From 65777c414de4affbf23d09cb8a4ac91391647aaf Mon Sep 17 00:00:00 2001 From: Tatiana Gonzalez Date: Fri, 19 May 2017 18:06:54 +0000 Subject: [PATCH 001/487] Initial empty repository From 38c5959cb251ed475cc70c8a1fb9e381c85e2596 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 3 Aug 2017 19:44:37 +0000 Subject: [PATCH 002/487] initial crow code Change-Id: I367cdc6f2eeb0fbd33848ec80f49555dc2016e38 --- crow/__init__.py | 1 + crow/__init__.pyc | Bin 0 -> 160 bytes crow/config/__init__.py | 28 +++ crow/config/__init__.pyc | Bin 0 -> 1887 bytes crow/config/exceptions.py | 14 ++ crow/config/from_yaml.py | 143 ++++++++++++ crow/config/represent.py | 374 ++++++++++++++++++++++++++++++++ crow/config/tools.py | 18 ++ crow/metascheduler/__init__.py | 4 + crow/metascheduler/to_ecflow.py | 8 + crow/tools.py | 52 +++++ crow/tools.pyc | Bin 0 -> 3368 bytes example-config.py | 21 ++ test.yml | 136 ++++++++++++ 14 files changed, 799 insertions(+) create mode 100644 crow/__init__.py create mode 100644 crow/__init__.pyc create mode 100644 crow/config/__init__.py create mode 100644 crow/config/__init__.pyc create mode 100644 crow/config/exceptions.py create mode 100644 crow/config/from_yaml.py create mode 100644 crow/config/represent.py create mode 100644 crow/config/tools.py create mode 100644 crow/metascheduler/__init__.py create mode 100644 crow/metascheduler/to_ecflow.py create mode 100644 crow/tools.py create mode 100644 crow/tools.pyc create mode 100755 example-config.py create mode 100644 test.yml diff --git a/crow/__init__.py b/crow/__init__.py new file mode 100644 index 0000000..8b13789 --- /dev/null +++ b/crow/__init__.py @@ -0,0 +1 @@ + diff --git a/crow/__init__.pyc b/crow/__init__.pyc new file mode 100644 index 0000000000000000000000000000000000000000..9ea1ef32ccfc5d8f265cdceaa8d332b5beb7de6e GIT binary patch literal 160 zcmZSn%*&NDt12>?0SXv_v;zmvT+|tw>y^x~BjKnZJc5Pc088w>{HZ*TU_$t2NUR*Irnc)eO_gPj3ocUy`^RpI?ot}&vEs_Lfey*E`;{kz?)efh_`7!RKv`u&cUg8~eF6*547@D+4L z@)cxN*cx;ViqBP%t-;oz>#*z4>#!Tp8?X)N25b|$3A+is3EP5h!M35>uv^euupQ_Q zY!|uSl~>Fcj$bX<};}v@iZkoT)`LN z;wE6Nf(n}|8wMKiZouUN#u#w5fDr~wHmpRz^@28=EjBE6!0N_)A1}UbNXtDXBlhPC_rRFEai6P?gkC6^Bq7wVBNusJ277d3#gnfsuI7FEff#p5-oV9Ww zQe~GeWnzx8K?y4^&1*=@eNBc~d4+j}`P?h`Du~{l!Yw6hOz)1i$X{b$-BySyD>Leg zwB$kh;wG}0I9U;yV4Kq{JE7vsy7Ds z;q5P7ydgbIg_R@yNLu=ih5pF%11bHIwP-e*BIQcF)Om3Vnh;SYTF9(0z%n6anS-2| z7ir$-v_w%kbK;nYW}VX?CS=NYJ+`#`7C)fnc(|Y%s;zqJsp@}0QE*7c^9DESi7$$5 zg_T3kQz7|P1E0uvb2kOFf&Xlm$%2}N#QDEx-g9Ss3Pf;z?Bp \d+ ) + \s+ (?P \d+ ) + \s+ (?P \d+ ) + [^\r\n]* (?: [\r\n] | [\r\n]*\Z ) + | + (?P [^\r\n]*[\r\n] | [^\r\n]*\Z ) + ) + ''',mmlsquota): + + if m.group('bad') or not m.group('TBused') \ + or not m.group('TBlimit'): + continue + return 1024*(int(m.group('TBlimit')) - int(m.group('TBused'))) + return 0 + +class ImmutableMapping(MutableMapping): + """Immutable dictionary""" + + def __init__(self,*args,**kwargs): self.__dict=dict(*args,**kwargs) + def __len__(self): return len(self.__dict) + def __getitem__(self,k): return self.__dict[k] + def __getattr__(self,name): return self[name] + def __iter__(self): + for i in self.__dict: + yield i + def __setitem__(self,k,v): raise Exception('Immutable object') + def __delitem__(self,k): raise Exception('Immutable object') + + diff --git a/crow/tools.pyc b/crow/tools.pyc new file mode 100644 index 0000000000000000000000000000000000000000..a9164f9f76688dada9c5b5cc3b30746d3a5c9ac2 GIT binary patch literal 3368 zcmcImZEqVz5S}|bj_o86l~P(Es3iorF^N^gr>aR%UWzJ_ke;JfbrV+SyR&o7`Odjr zrzvtiK>5r!{tADL9{|tH*^WXIgi!5d=Vo7S=9!(@=Qj7}LivyS&o4b1{c?DIi>4z8 zAO99XYff~Fn$~#Srlu{rO;L_|d1~g#@^j?pse`#0@@ME*yj&o^Aj&NHvlQg14HHEk zc}w)NS!5@TY6%X*zwq0wT2Re<5IY0owxVFi?f1jDW6BunyRoZXtvW3;i*BdiX5Hgg z@@NILO*CyF(7G0NZ5qOrMduco9Ch>T&j)frI<%-WBeOQ0t2d#dZc!Abt9$oJ!@Qzh7F#Um%z0v(BG*K7_ z8gcR-r1A`7V`jL|(^WPv!+w~Guap(WtBnt1GR8)#7$On+3ADQQQrCD#-_&zCBR8&#D=&%LVW;k;$?LjFl1Q)g-#DBoPMqcl$hu{H zYJC9tvE?yjhRI=XqUqluvJ_4d){#UYFK4HO{pw~Z#Gs9Ywdg!g!@OizQmSj?E^=hi z{6&9O`e~a^Y7`@nMZyk^UX?j4zZj9XF>?2I4CS$O(7Xo3@M;8% zz2yRUW@l_dj9zEsN@P;Nupo7t?Sjz3{)RvgnG3R$#f9x~NjaP@NlF^X(Q1dWADSSQ zv(ZVDLEqt;ta1%H%syhV9Y%o;j5zXx)6ff?TRg{g+?&o3VWwaongv+}9FJ0Y(Y|jj zLa$k75u;Cgy-VEa@lyUVt_?K30}+6Ig|3;XE#Y9C7+Vz3U``b9bY2wjbVk_V>5Q;J z&9gF9A}3=ueH&&c&MSWCnJ|gn^o_@k`3Q6S0Zre5!0`oxf>eKlLZk#tLkNDi%5e(Y zuod$WdTL^MMEOebT$OFgN*zRPQQWknB`3$PS)EEEq)LKc^~f=UKepp1VR9af&*)t5-8lC-)3&Lu}8pTX@jFX%H9$WfKFIA8E2 zuQ;7fTv=kk%~=UOgr^%y=>LS}a7kVinM5DmkK>SA#)yNsyp@tmo>INU zA4J^cdlVQl@sx5tgYJCJ;!73}Skzc>%AGpI1$xom<)w}*pWRB86s&?>vPOTok|meh z>=P`XRwjD$K7^M<5vmAPqgULP=U^!N<|^ez5n_^qB6P$KriWiP`Ej Date: Thu, 3 Aug 2017 20:00:59 +0000 Subject: [PATCH 003/487] Remove the pyc files. They are created at runtime. Change-Id: I90896a83e29c7f4f0db7fd50f62898a3dd7a70ea From d9a7b9ed8f1c4d65d27da70053dced537a556766 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 3 Aug 2017 20:03:28 +0000 Subject: [PATCH 004/487] remove pyc files Change-Id: Id4c53dd2a056d3674439ccaef86668d4a1cd660b --- crow/__init__.pyc | Bin 160 -> 0 bytes crow/config/__init__.pyc | Bin 1887 -> 0 bytes crow/tools.pyc | Bin 3368 -> 0 bytes 3 files changed, 0 insertions(+), 0 deletions(-) delete mode 100644 crow/__init__.pyc delete mode 100644 crow/config/__init__.pyc delete mode 100644 crow/tools.pyc diff --git a/crow/__init__.pyc b/crow/__init__.pyc deleted file mode 100644 index 9ea1ef32ccfc5d8f265cdceaa8d332b5beb7de6e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 160 zcmZSn%*&NDt12>?0SXv_v;zmvT+|tw>y^x~BjKnZJc5Pc088w>{HZ*TU_$t2NUR*Irnc)eO_gPj3ocUy`^RpI?ot}&vEs_Lfey*E`;{kz?)efh_`7!RKv`u&cUg8~eF6*547@D+4L z@)cxN*cx;ViqBP%t-;oz>#*z4>#!Tp8?X)N25b|$3A+is3EP5h!M35>uv^euupQ_Q zY!|uSl~>Fcj$bX<};}v@iZkoT)`LN z;wE6Nf(n}|8wMKiZouUN#u#w5fDr~wHmpRz^@28=EjBE6!0N_)A1}UbNXtDXBlhPC_rRFEai6P?gkC6^Bq7wVBNusJ277d3#gnfsuI7FEff#p5-oV9Ww zQe~GeWnzx8K?y4^&1*=@eNBc~d4+j}`P?h`Du~{l!Yw6hOz)1i$X{b$-BySyD>Leg zwB$kh;wG}0I9U;yV4Kq{JE7vsy7Ds z;q5P7ydgbIg_R@yNLu=ih5pF%11bHIwP-e*BIQcF)Om3Vnh;SYTF9(0z%n6anS-2| z7ir$-v_w%kbK;nYW}VX?CS=NYJ+`#`7C)fnc(|Y%s;zqJsp@}0QE*7c^9DESi7$$5 zg_T3kQz7|P1E0uvb2kOFf&Xlm$%2}N#QDEx-g9Ss3Pf;z?BpaR%UWzJ_ke;JfbrV+SyR&o7`Odjr zrzvtiK>5r!{tADL9{|tH*^WXIgi!5d=Vo7S=9!(@=Qj7}LivyS&o4b1{c?DIi>4z8 zAO99XYff~Fn$~#Srlu{rO;L_|d1~g#@^j?pse`#0@@ME*yj&o^Aj&NHvlQg14HHEk zc}w)NS!5@TY6%X*zwq0wT2Re<5IY0owxVFi?f1jDW6BunyRoZXtvW3;i*BdiX5Hgg z@@NILO*CyF(7G0NZ5qOrMduco9Ch>T&j)frI<%-WBeOQ0t2d#dZc!Abt9$oJ!@Qzh7F#Um%z0v(BG*K7_ z8gcR-r1A`7V`jL|(^WPv!+w~Guap(WtBnt1GR8)#7$On+3ADQQQrCD#-_&zCBR8&#D=&%LVW;k;$?LjFl1Q)g-#DBoPMqcl$hu{H zYJC9tvE?yjhRI=XqUqluvJ_4d){#UYFK4HO{pw~Z#Gs9Ywdg!g!@OizQmSj?E^=hi z{6&9O`e~a^Y7`@nMZyk^UX?j4zZj9XF>?2I4CS$O(7Xo3@M;8% zz2yRUW@l_dj9zEsN@P;Nupo7t?Sjz3{)RvgnG3R$#f9x~NjaP@NlF^X(Q1dWADSSQ zv(ZVDLEqt;ta1%H%syhV9Y%o;j5zXx)6ff?TRg{g+?&o3VWwaongv+}9FJ0Y(Y|jj zLa$k75u;Cgy-VEa@lyUVt_?K30}+6Ig|3;XE#Y9C7+Vz3U``b9bY2wjbVk_V>5Q;J z&9gF9A}3=ueH&&c&MSWCnJ|gn^o_@k`3Q6S0Zre5!0`oxf>eKlLZk#tLkNDi%5e(Y zuod$WdTL^MMEOebT$OFgN*zRPQQWknB`3$PS)EEEq)LKc^~f=UKepp1VR9af&*)t5-8lC-)3&Lu}8pTX@jFX%H9$WfKFIA8E2 zuQ;7fTv=kk%~=UOgr^%y=>LS}a7kVinM5DmkK>SA#)yNsyp@tmo>INU zA4J^cdlVQl@sx5tgYJCJ;!73}Skzc>%AGpI1$xom<)w}*pWRB86s&?>vPOTok|meh z>=P`XRwjD$K7^M<5vmAPqgULP=U^!N<|^ez5n_^qB6P$KriWiP`Ej Date: Mon, 7 Aug 2017 14:52:46 +0000 Subject: [PATCH 005/487] Comment code and move example to more sensible location Change-Id: I76e0dbb4c13353f2af0c94925aa7adebcc507ae9 --- crow/config/from_yaml.py | 46 +++++++- crow/config/represent.py | 111 ++++++++++++++++-- crow/config/tools.py | 1 - .../toy-yaml/example-config.py | 5 + test.yml => examples/toy-yaml/test.yml | 0 5 files changed, 152 insertions(+), 11 deletions(-) rename example-config.py => examples/toy-yaml/example-config.py (89%) rename test.yml => examples/toy-yaml/test.yml (100%) diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index 236359a..a76818a 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -1,3 +1,14 @@ +"""!Converts YAML objects to internal representations. + +\note Advanced python concept in use. + +You will not understand this file unless you are fluent in the +following python concept: + +* Lexical functions + +""" + from collections import namedtuple, OrderedDict import yaml from yaml import YAMLObject @@ -17,6 +28,9 @@ class TaskYAML(OrderedDict): pass class FamilyYAML(OrderedDict): pass class CycleYAML(OrderedDict): pass +# Mapping from YAML representation class to a pair: +# * internal representation class +# * python core class for intermediate conversion TYPE_MAP={ PlatformYAML: [ Platform, dict ], TemplateYAML: [ Template, dict ], ActionYAML: [ Action, dict ], @@ -26,10 +40,16 @@ class CycleYAML(OrderedDict): pass } def type_for(t): + """!Returns an empty, internal representation, class for the given + YAML type. This is simply a wrapper around TYPE_MAP""" (internal_class,python_class)=TYPE_MAP[type(t)] return internal_class(python_class()) +######################################################################## + def add_yaml_string(key,cls): + """!Generates and registers representers and constructors for custom string + YAML types""" def representer(dumper,data): return dumper.represent_scalar(key,str(data)) yaml.add_representer(cls,representer) @@ -44,7 +64,9 @@ def constructor(loader,node): ######################################################################## -def add_yaml_sequence(key,cls): +def add_yaml_sequence(key,cls): + """!Generates and registers representers and constructors for custom + YAML sequence types """ def representer(dumper,data): return dumper.represent_sequence(key,data) def constructor(loader,node): @@ -60,6 +82,8 @@ def constructor(loader,node): ######################################################################## def add_yaml_ordered_dict(key,cls): + """!Generates and registers representers and constructors for custom + YAML map types """ def representer(dumper,data): return dumper.represent_ordered_dict(key,data) def constructor(loader,node): @@ -72,6 +96,7 @@ def constructor(loader,node): add_yaml_ordered_dict(u'!Family',FamilyYAML) def valid_name(varname): + """!Returns true if and only if the variable name is supported by this implementation.""" return not varname.startswith('_') and '-' not in varname and \ not varname.endswith('_yaml') and '.' not in varname and \ not varname.startswith('yaml_') @@ -93,11 +118,16 @@ def convert(self): return self.result def to_eval(self,v,locals): + """!Converts the object v to an internal implementation class. If the + conversion has already happened, returns the converted object + from self.memo """ if id(v) not in self.memo: self.memo[id(v)]=self.to_eval_impl(v,locals) return self.memo[id(v)] def to_eval_impl(self,v,locals): + """!Unconditionally converts the object v to an internal + implementation class, without checking self.memo.""" top=self.result # Specialized containers: cls=type(v) @@ -116,6 +146,9 @@ def to_eval_impl(self,v,locals): return v def from_yaml(self,yobj): + """!Converts a YAMLObject instance yobj of a YAML, and its elements, + to internal implementation types. Elements with unsupported + names are ignored. """ ret=type_for(yobj) for k in dir(yobj): if not valid_name(k): continue @@ -124,6 +157,9 @@ def from_yaml(self,yobj): return ret def from_dict(self,tree): + """!Converts an object yobj of a YAML standard map type, and its + elements, to internal implementation types. Elements with + unsupported names are ignored. """ top=self.result ret=dict_eval(tree) for k,v in tree.items(): @@ -132,11 +168,17 @@ def from_dict(self,tree): return ret def from_list(self,sequence,locals): + """!Converts an object yobj of a YAML standard sequence type, and its + elements, to internal implementation types. Elements with + unsupported names are ignored. This is also used to handle + other sequence-like types such as omap or set. """ return list_eval( [self.to_eval(s,locals) for s in sequence], locals) - +## @var CONDITIONALS +# Used to handle custom yaml conditional types. Maps from conditional type +# to the function that performs the comparison. CONDITIONALS={ MaxKeyYAML:max_index, MinKeyYAML:min_index, FirstTrueYAML:first_true, diff --git a/crow/config/represent.py b/crow/config/represent.py index 91969af..1b1d910 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -1,3 +1,16 @@ +"""!Internal representation classes for crow.config. These handle the +embedded yaml calculations, as well as internal representations of all +custom data types in the yaml files. + +\note Advanced python concepts in use. + +To develop or understand this file, you must be fluent in the +following Python concepts: + + * operator overloading + * custom dict/list types (eg. MutableMapping and MutableSequence) + * python built-in eval() function """ + from collections import namedtuple, OrderedDict from collections.abc import MutableMapping, MutableSequence from copy import copy,deepcopy @@ -10,9 +23,16 @@ 'calc','Trigger','Depend','Timespec', 'max_index', 'min_index', 'last_true', 'first_true' ] +## @var MISSING +# A special constant that indicates an argument was not specified. MISSING=object() class multidict(MutableMapping): + """!This is a dict-like object that makes multiple dicts act as one. + Its methods look over the dicts in order, returning the result + from the first dict that has a matching key. This class is + intended to be used in favor of a new dict, when the underlying + dicts have special behaviors that are lost upon copy to a standard dict.""" def __init__(self,*args): self.__dicts=list(args) self.__keys=frozenset().union(*args) @@ -21,7 +41,9 @@ def __contains__(self,k): return k in self.__keys def __copy__(self): return multidict(self.__dicts) def __setitem__(self,k,v): raise NotImplementedError('immutable') def __delitem__(self,k): raise NotImplementedError('immutable') - def _globals(self): return self.dicts[0]._globals() + def _globals(self): + """!Returns the global values used in eval() functions""" + return self.dicts[0]._globals() def __contains__(self,key): for d in self.__dicts: if key in d: @@ -35,6 +57,7 @@ def __getitem__(self,key): return d[key] raise KeyError(key) def _raw(self,key): + """!Returns the raw value of the given key without calling eval()""" for d in self.__dicts: if key in d: return d._raw(key) @@ -47,14 +70,29 @@ def __repr__(self): ######################################################################## class dict_eval(MutableMapping): + """!This is a dict-like object that knows how to eval() its contents, + passing this dict as the local arguments. This allows one to + store actions like the following: + + * \c a = b + c + + where a, b, and c are elements of dict_eval. The result of + __getitem__(a) is then the result of: + + * __getitem__(b) + __getitem__(c) """ + def __init__(self,child): self.__child=copy(child) self.__cache=copy(child) self.__globals={} def __len__(self): return len(self.__child) - def _raw(self,key): return self.__child[key] + def _raw(self,key): + """!Returns the value for the given key, without calling eval() on it""" + return self.__child[key] def __contains__(self,k): return k in self.__child - def _globals(self): return self.__globals + def _globals(self): + """!Returns the global values used in eval() functions""" + return self.__globals def __copy__(self): return dict_eval(self.__child) def __deepcopy__(self,memo): @@ -69,7 +107,7 @@ def __delitem__(self,k): del(self.__child[k], self.__cache[k]) def __iter__(self): for k in self.__child.keys(): yield k def _validate(self): - assert(self.__globals) + """!Validates this dict_eval using its embedded Template object, if present """ if 'Template' in self: self.Template._check_scope(self) def __getitem__(self,key): @@ -81,10 +119,12 @@ def __getitem__(self,key): def __getattr__(self,name): return self[name] def _to_py(self,recurse=True): + """!Converts to a python core object; does not work for cyclic object trees""" cls=type(self.__child) return cls([(k, to_py(v)) for k,v in self.items()]) def _child(self): return self.__child def _recursively_set_globals(self,globals): + """Recurses through the object tree setting the globals for eval() calls""" assert('tools' in globals) if self.__globals is globals: return self.__globals=globals @@ -97,13 +137,30 @@ def __repr__(self): ######################################################################## class list_eval(MutableSequence): + """!This is a dict-like object that knows how to eval() its contents, + passing a containing dict as the local arguments. The parent + dict-like object is passed as the locals argument of the + constructor. This class allows one to store actions like the + following: + + * \c a = [ b+c, b-c ] + + where a, b, and c are elements of the parent dict. The result of + __getitem__(a) is then the result of: + + \code + [ self.__locals.__getitem__(b) + self.__locals.__getitem__(c), + self.__locals.__getitem__(b) - self.__locals.__getitem__(c) ] + \endcode """ def __init__(self,child,locals): self.__child=list(child) self.__cache=list(child) self.__locals=locals self.__globals={} def __len__(self): return len(self.__child) - def _raw(self,i): return self.__child[i] + def _raw(self,i): + """!Returns the value at index i without calling eval() on it""" + return self.__child[i] def __copy__(self): return list_eval(self.__child,self.__locals) def __deepcopy__(self,memo): @@ -126,6 +183,7 @@ def __getitem__(self,index): self.__cache[index]=val return val def _to_py(self,recurse=True): + """!Converts to a python core object; does not work for cyclic object trees""" return [ to_py(v) for v in self ] def _recursively_set_globals(self,globals): if self.__globals is globals: return @@ -139,14 +197,14 @@ def __repr__(self): ######################################################################## class strcalc(str): + """Represents a string that should be run through eval()""" def __repr__(self): return '%s(%s)'%(type(self).__name__, super().__repr__()) def from_config(key,val,globals,locals): - assert('tools' in globals) - assert('tools' not in locals) - assert(globals['tools'] is not None) + """!Converts s strcalc cor Conditional to another data type via eval(). + Other types are returned unmodified.""" try: if isinstance(val,strcalc): return eval(val,globals,locals) @@ -163,12 +221,16 @@ def from_config(key,val,globals,locals): def as_state(obj): + """!Converts the containing object to a State. Action objects are + compared to the "complete" state.""" if isinstance(obj,Action): return State(other,'complete',True) elif isinstance(obj,State): return obj elif isinstance(obj,ComboState): return obj else: return NotImplemented class Action(dict_eval): + """!Represents an action that a workflow should take, such as running + a batch job.""" def __and__(self,other): other=as_state(other) if other is NotImplemented: return other @@ -188,6 +250,8 @@ class TaskStateNot(namedtuple('TaskStateNot',['task'])): pass class TaskStateIs(namedtuple('TaskStateIs',['task','state'])): pass def as_task_state(obj,state='COMPLETED'): + """!Converts obj to a task state comparison. If obj is not a task + state, then it is compared to the specified state.""" if type(obj) in [ TaskStateAnd, TaskStateOr, TaskStateNot, TaskStateIs ]: return obj if isinstance(obj,Taskable): @@ -195,6 +259,7 @@ def as_task_state(obj,state='COMPLETED'): return NotImplemented class Taskable(object): + """!Represents any noun in a dependency specification.""" def __init__(self,info): self.info=info def __and__(self,other): @@ -268,13 +333,23 @@ class Timespec(strcalc): pass # Validation class Template(dict_eval): + """!Internal implementation of the YAML Template type. Validates a + dict_eval, inserting defaults and reporting errors via the + TemplateErrors exception. """ def _check_scope(self,scope): checked=set() errors=list() template=dict(self) did_something=True + + # Main validation loop. Iteratively validate, adding new + # Templates as they become available via is_present. while did_something: did_something=False + + # Inner validation loop. Validate based on all Templates + # found thus far. Add new templates if found via + # is_present. for var in set(scope)-checked: if var not in template: continue try: @@ -292,6 +367,8 @@ def _check_scope(self,scope): except ConfigError as ce: errors.append(ce) raise + + # Insert default values for all templates found thus far: for var in template: if var not in scope: tmpl=template[var] @@ -305,12 +382,16 @@ def _check_scope(self,scope): if errors: raise TemplateErrors(errors) class TemplateValidationFailed(object): + """!Used for constants that represent validation failure cases""" def __bool__(self): return False + NOT_ALLOWED=TemplateValidationFailed() TYPE_MISMATCH=TemplateValidationFailed() UNKNOWN_TYPE=TemplateValidationFailed() def validate_scalar(types,val,allowed,tname): + """!Validates val against the type tname, and allowed values. Forbids + recursion (scalars cannot contain subobjects.""" if allowed and val not in allowed: return NOT_ALLOWED if len(types): return TYPE_MISMATCH for cls in TYPES[tname]: @@ -318,6 +399,8 @@ def validate_scalar(types,val,allowed,tname): return TYPE_MISMATCH def validate_list(types,val,allowed,tname): + """!Valdiates that val is a list that contains the specified allowed + values. Recurses into subobjects, which must be of type types[-1] """ if not len(types): return TYPE_MISMATCH if str(type(val)) not in TYPES(tname): return UNKNOWN_TYPE for v in val: @@ -326,6 +409,8 @@ def validate_list(types,val,allowed,tname): return True def validate_dict(types,val,allowed,typ): + """!Valdiates that val is a map that contains the specified allowed + values. Recurses into subobjects, which must be of type types[-1] """ if not len(types): return TYPE_MISMATCH if str(type(val)) not in typ['list']: return UNKNOWN_TYPE for k,v in val.items(): @@ -333,10 +418,14 @@ def validate_dict(types,val,allowed,typ): if not result: return result return True +## @var TYPES +# Mapping from YAML type to valid python types. TYPES={ 'int':[int], 'bool':[bool], 'string':[str,bytes], 'float':[float], 'list':[set,list,tuple,list_eval], 'dict':[dict,dict_eval] } +## @var VALIDATORS +# Mapping from YAML type to validation function. VALIDATORS={ 'map':validate_dict, 'seq':validate_list, 'set':validate_list, @@ -346,6 +435,9 @@ def validate_dict(types,val,allowed,typ): 'float':validate_scalar } def validate_type(var,typ,val,allowed): + """!Top-level validation function. Checks that the value val of the + variable var is of the given type typ and has values in the list + of those allowed. """ types=typ.split() for t in types: if t not in VALIDATORS: @@ -363,6 +455,9 @@ def validate_type(var,typ,val,allowed): str(var),repr(val),', '.join([repr(s) for s in allowed]))) def validate_var(scheme,var,val): + """!Main entry point to recursive validation system. Validates + variable var with value val against the YAML Template list item in + scheme. """ if 'type' not in scheme: raise InvalidConfigTemplate(var+'.type: missing') typ=scheme.type diff --git a/crow/config/tools.py b/crow/config/tools.py index 9027d3e..2734ecd 100644 --- a/crow/config/tools.py +++ b/crow/config/tools.py @@ -15,4 +15,3 @@ 'islink':os.path.islink, 'exists':os.path.exists, }) -assert('panasas_gb' in CONFIG_TOOLS) diff --git a/example-config.py b/examples/toy-yaml/example-config.py similarity index 89% rename from example-config.py rename to examples/toy-yaml/example-config.py index 5f97ec3..dba1004 100755 --- a/example-config.py +++ b/examples/toy-yaml/example-config.py @@ -1,8 +1,13 @@ #! /usr/bin/env python3.6 +## Simple test program for crow.config module + import crow.config config=crow.config.from_file('test.yml') + +print() +print("test = expected value = actual value") print() print("gfsfcst.a = 10 = "+repr(config.gfsfcst.a)) print("gfsfcst.d = 9200 = "+repr(config.gfsfcst.d)) diff --git a/test.yml b/examples/toy-yaml/test.yml similarity index 100% rename from test.yml rename to examples/toy-yaml/test.yml From 9c13c5291ae8c7ffc30cedc25913a2d946a8fef1 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 14 Aug 2017 18:04:58 +0000 Subject: [PATCH 006/487] Change value/key to do/when --- crow/config/exceptions.py | 1 + crow/config/represent.py | 44 +++++++++++++++++-------- examples/toy-yaml/test.yml | 66 +++++++++++++++++++------------------- 3 files changed, 64 insertions(+), 47 deletions(-) diff --git a/crow/config/exceptions.py b/crow/config/exceptions.py index c9f7a72..8e91c33 100644 --- a/crow/config/exceptions.py +++ b/crow/config/exceptions.py @@ -2,6 +2,7 @@ # module-specific exceptions: class ConfigError(Exception): pass +class ConditionalMissingDoWhen(ConfigError): pass class CalcRecursionTooDeep(ConfigError): pass class CalcKeyError(ConfigError): pass class TemplateError(ConfigError): pass diff --git a/crow/config/represent.py b/crow/config/represent.py index 1b1d910..4c71dec 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -11,6 +11,7 @@ * custom dict/list types (eg. MutableMapping and MutableSequence) * python built-in eval() function """ +import logging from collections import namedtuple, OrderedDict from collections.abc import MutableMapping, MutableSequence from copy import copy,deepcopy @@ -23,6 +24,8 @@ 'calc','Trigger','Depend','Timespec', 'max_index', 'min_index', 'last_true', 'first_true' ] +logger=logging.getLogger('crow.represent') + ## @var MISSING # A special constant that indicates an argument was not specified. MISSING=object() @@ -62,6 +65,11 @@ def _raw(self,key): if key in d: return d._raw(key) raise KeyError(key) + def _has_raw(self,key): + try: + self._raw(key) + return True + except KeyError: return False def __repr__(self): return '%s(%s)'%( type(self).__name__, @@ -89,6 +97,8 @@ def __len__(self): return len(self.__child) def _raw(self,key): """!Returns the value for the given key, without calling eval() on it""" return self.__child[key] + def _has_raw(self,key): + return key in self.__child def __contains__(self,k): return k in self.__child def _globals(self): """!Returns the global values used in eval() functions""" @@ -117,7 +127,8 @@ def __getitem__(self,key): self.__cache[key]=val return val def __getattr__(self,name): - return self[name] + if name in self: return self[name] + raise AttributeError(name) def _to_py(self,recurse=True): """!Converts to a python core object; does not work for cyclic object trees""" cls=type(self.__child) @@ -161,6 +172,8 @@ def __len__(self): return len(self.__child) def _raw(self,i): """!Returns the value at index i without calling eval() on it""" return self.__child[i] + def _has_raw(self,i): + return i>=0 and len(self.__child)>i def __copy__(self): return list_eval(self.__child,self.__locals) def __deepcopy__(self,memo): @@ -201,24 +214,22 @@ class strcalc(str): def __repr__(self): return '%s(%s)'%(type(self).__name__, super().__repr__()) - + def _result(self,globals,locals): + return eval(self,globals,locals) def from_config(key,val,globals,locals): """!Converts s strcalc cor Conditional to another data type via eval(). Other types are returned unmodified.""" try: - if isinstance(val,strcalc): - return eval(val,globals,locals) - elif isinstance(val,Conditional): - newval=val._result(globals,locals) - return from_config(key,newval,globals,locals) + if hasattr(val,'_result'): + return from_config(key,val._result(globals,locals), + globals,locals) + return val except(KeyError,NameError,IndexError,AttributeError) as ke: raise CalcKeyError('%s: !%s %s -- %s %s'%( str(key),type(val).__name__,str(val),type(ke).__name__,str(ke))) except RecursionError as re: raise CalcRecursionTooDeep('%s: !%s %s'%( str(key),type(val).__name__,str(val))) - return val - def as_state(obj): """!Converts the containing object to a State. Action objects are @@ -296,16 +307,21 @@ def _result(self,globals,locals): keys=list() values=list() for vk in self: - value=vk._raw('value') - values.append(value) - keys.append(from_config('key',vk._raw('key'),globals, - multidict(vk,locals))) + if vk._has_raw('when') and vk._has_raw('do'): + values.append(vk._raw('do')) + keys.append(from_config('when',vk._raw('when'), + globals,multidict(vk,locals))) + else: + raise ConditionalMissingDoWhen( + 'Conditional list entries must have "do" and "when" ' + 'elements (saw keys: %s)' + %(', '.join(list(vk.keys())), )) index=self.__index(keys) if index is None: self.__cache=None else: try: - values=[ vk._raw('value') for vk in self ] + values=[ vk._raw('do') for vk in self ] except AttributeError: values=[ vk.value for vk in self ] scope[var]=tmpl['default'] diff --git a/examples/toy-yaml/test.yml b/examples/toy-yaml/test.yml index 176bad5..38f92ce 100644 --- a/examples/toy-yaml/test.yml +++ b/examples/toy-yaml/test.yml @@ -1,17 +1,17 @@ test_things: &test_things four: !calc 2*2 B: !FirstTrue - - { value: A, key: false } - - { value: B, key: true } - - { value: C, key: true } + - { do: A, when: false } + - { do: B, when: true } + - { do: C, when: true } C: !LastTrue - - { value: A, key: false } - - { value: B, key: true } - - { value: C, key: true } + - { do: A, when: false } + - { do: B, when: true } + - { do: C, when: true } none: !LastTrue - - { value: X, key: false } - - { value: Y, key: false } - - { value: Z, key: false } + - { do: X, when: false } + - { do: Y, when: false } + - { do: Z, when: false } # Conditionals on an empty list always return null: badlt: !LastTrue [] @@ -30,14 +30,14 @@ theia: &theia !Platform BASE_GFS: /scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17/global_shared.v14.1.0 detect: !calc tools.isdir('/scratch4') and tools.isdir('/scratch3') scrub: !MaxKey - - value: /scratch3/NCEPDEV/stmp1 - key: !calc tools.panasas_gb(value) - - value: /scratch3/NCEPDEV/stmp2 - key: !calc tools.panasas_gb(value) - - value: /scratch4/NCEPDEV/stmp3 - key: !calc tools.panasas_gb(value) - - value: /scratch4/NCEPDEV/stmp4 - key: !calc tools.panasas_gb(value) + - do: /scratch3/NCEPDEV/stmp1 + when: !calc tools.panasas_gb(do) + - do: /scratch3/NCEPDEV/stmp2 + when: !calc tools.panasas_gb(do) + - do: /scratch4/NCEPDEV/stmp3 + when: !calc tools.panasas_gb(do) + - do: /scratch4/NCEPDEV/stmp4 + when: !calc tools.panasas_gb(do) wcoss_cray: &wcoss_cray !Platform <<: *test_things @@ -49,17 +49,17 @@ wcoss_cray: &wcoss_cray !Platform BASE_SVN: /scratch4/NCEPDEV/global/save/glopara/svn BASE_GFS: /scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17/global_shared.v14.1.0 scrub: !MaxKey - - value: /gpfs/hps2/ptmp - key: !calc tools.gpfs_gb(value,'hps2-ptmp','hps2') - - value: /gpfs/hps3/ptmp - key: !calc tools.gpfs_gb(value,'hps3-ptmp','hps3') + - do: /gpfs/hps2/ptmp + when: !calc tools.gpfs_gb(do,'hps2-ptmp','hps2') + - do: /gpfs/hps3/ptmp + when: !calc tools.gpfs_gb(do,'hps3-ptmp','hps3') detect: !calc tools.isdir('/gpfs/hps') and tools.isfile('/etc/SuSE-release') platform: !FirstTrue - - value: *wcoss_cray - key: !calc value.detect - - value: *theia - key: !calc value.detect + - do: *wcoss_cray + when: !calc do.detect + - do: *theia + when: !calc do.detect more_vars: &more_vars !Template b: @@ -78,8 +78,8 @@ fcst_vars: &fcst_vars !Template description: "Sample integer a" # if_present is not useful. We need to condition on the value. if_present: !FirstTrue - - value: *more_vars - key: !calc a==10 + - do: *more_vars + when: !calc a==10 cow: type: string allowed: [ brown, black, white, red, blue ] @@ -105,12 +105,12 @@ fcst: &fcst !Action b: !calc a*2 c: !calc fv_sg_adj+b d: !MaxKey - - key: a - value: !calc 10*a - - key: b - value: !calc 10*b - - key: c - value: !calc 10*c + - when: a + do: !calc 10*a + - when: b + do: !calc 10*b + - when: c + do: !calc 10*c gfspost: &gfspost !Action Template: *fcst_vars From 7f57f0fe94c8bec712d8c52a6ea34866df8714b1 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 14 Aug 2017 18:20:24 +0000 Subject: [PATCH 007/487] Add an !Expand type which is simply a wrapper around "result: !calc". This is to be used for MPI excecution --- crow/config/exceptions.py | 1 + crow/config/from_yaml.py | 4 ++++ crow/config/represent.py | 11 +++++++++-- examples/toy-yaml/example-config.py | 3 +++ examples/toy-yaml/test.yml | 7 +++++++ 5 files changed, 24 insertions(+), 2 deletions(-) diff --git a/crow/config/exceptions.py b/crow/config/exceptions.py index 8e91c33..e65f583 100644 --- a/crow/config/exceptions.py +++ b/crow/config/exceptions.py @@ -4,6 +4,7 @@ class ConfigError(Exception): pass class ConditionalMissingDoWhen(ConfigError): pass class CalcRecursionTooDeep(ConfigError): pass +class ExpandMissingResult(ConfigError): pass class CalcKeyError(ConfigError): pass class TemplateError(ConfigError): pass class InvalidConfigTemplate(TemplateError): pass diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index a76818a..bed7f44 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -20,6 +20,7 @@ class PlatformYAML(YAMLObject): yaml_tag=u'!Platform' class ActionYAML(YAMLObject): yaml_tag=u'!Action' class TemplateYAML(YAMLObject): yaml_tag=u'!Template' +class ExpandYAML(dict): pass class MaxKeyYAML(list): pass class MinKeyYAML(list): pass class FirstTrueYAML(list): pass @@ -91,6 +92,7 @@ def constructor(loader,node): yaml.add_representer(cls,representer) yaml.add_constructor(key,constructor) +add_yaml_ordered_dict(u'!Expand',ExpandYAML) add_yaml_ordered_dict(u'!Cycle',CycleYAML) add_yaml_ordered_dict(u'!Task',TaskYAML) add_yaml_ordered_dict(u'!Family',FamilyYAML) @@ -134,6 +136,8 @@ def to_eval_impl(self,v,locals): if cls in CONDITIONALS: return Conditional(CONDITIONALS[cls], self.from_list(v,locals),locals) + elif cls is ExpandYAML: + return Expand(self.from_dict(v)) # Generic containers: elif isinstance(v,YAMLObject): return self.from_yaml(v) diff --git a/crow/config/represent.py b/crow/config/represent.py index 4c71dec..4237fb4 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -22,7 +22,7 @@ 'TaskStateNot', 'TaskStateIs', 'Taskable', 'Task', 'Family','CycleAt','CycleTime','Cycle','Conditional', 'calc','Trigger','Depend','Timespec', 'max_index', - 'min_index', 'last_true', 'first_true' ] + 'min_index', 'last_true', 'first_true', 'Expand' ] logger=logging.getLogger('crow.represent') @@ -122,7 +122,7 @@ def _validate(self): self.Template._check_scope(self) def __getitem__(self,key): val=self.__cache[key] - if isinstance(val,strcalc) or isinstance(val,Conditional): + if hasattr(val,'_result'): val=from_config(key,val,self.__globals,self) self.__cache[key]=val return val @@ -216,6 +216,13 @@ def __repr__(self): super().__repr__()) def _result(self,globals,locals): return eval(self,globals,locals) + +class Expand(dict_eval): + def _result(self,globals,locals): + if 'result' not in self: + raise ExpandMissingCalc('"!Expand" block lacks a "result: !calc"') + return self.result + def from_config(key,val,globals,locals): """!Converts s strcalc cor Conditional to another data type via eval(). Other types are returned unmodified.""" diff --git a/examples/toy-yaml/example-config.py b/examples/toy-yaml/example-config.py index dba1004..6712a57 100755 --- a/examples/toy-yaml/example-config.py +++ b/examples/toy-yaml/example-config.py @@ -24,3 +24,6 @@ print("config.gfsfcst.cow = blue = "+repr(config.gfsfcst.cow)) print("config.gfsfcst.dog = brown = "+repr(config.gfsfcst.dog)) print("config.gfsfcst.lencow = 4 = "+repr(config.gfsfcst.lencow)) +print() +print("test_things.expandme = abc, def, ghi = "+ + repr(config.test_things.expandme)) diff --git a/examples/toy-yaml/test.yml b/examples/toy-yaml/test.yml index 38f92ce..94a4c5e 100644 --- a/examples/toy-yaml/test.yml +++ b/examples/toy-yaml/test.yml @@ -19,6 +19,13 @@ test_things: &test_things badxv: !MaxKey [] badnv: !MinKey [] + expandme: !Expand + result: !calc "', '.join(lst)" + lst: + - abc + - def + - ghi + theia: &theia !Platform <<: *test_things machine: THEIA From f91ad4d1a3bfe2240c2937520c59642f6897c4c7 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 14 Aug 2017 19:58:17 +0000 Subject: [PATCH 008/487] change MinKey and MaxKey to FirstMin and FirstMax --- crow/config/from_yaml.py | 12 ++++++------ examples/toy-yaml/test.yml | 10 +++++----- 2 files changed, 11 insertions(+), 11 deletions(-) diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index bed7f44..a4408dc 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -21,8 +21,8 @@ class PlatformYAML(YAMLObject): yaml_tag=u'!Platform' class ActionYAML(YAMLObject): yaml_tag=u'!Action' class TemplateYAML(YAMLObject): yaml_tag=u'!Template' class ExpandYAML(dict): pass -class MaxKeyYAML(list): pass -class MinKeyYAML(list): pass +class FirstMaxYAML(list): pass +class FirstMinYAML(list): pass class FirstTrueYAML(list): pass class LastTrueYAML(list): pass class TaskYAML(OrderedDict): pass @@ -75,8 +75,8 @@ def constructor(loader,node): yaml.add_representer(cls,representer) yaml.add_constructor(key,constructor) -add_yaml_sequence(u'!MaxKey',MaxKeyYAML) -add_yaml_sequence(u'!MinKey',MinKeyYAML) +add_yaml_sequence(u'!FirstMax',FirstMaxYAML) +add_yaml_sequence(u'!FirstMin',FirstMinYAML) add_yaml_sequence(u'!LastTrue',LastTrueYAML) add_yaml_sequence(u'!FirstTrue',FirstTrueYAML) @@ -183,7 +183,7 @@ def from_list(self,sequence,locals): ## @var CONDITIONALS # Used to handle custom yaml conditional types. Maps from conditional type # to the function that performs the comparison. -CONDITIONALS={ MaxKeyYAML:max_index, - MinKeyYAML:min_index, +CONDITIONALS={ FirstMaxYAML:max_index, + FirstMinYAML:min_index, FirstTrueYAML:first_true, LastTrueYAML:last_true } diff --git a/examples/toy-yaml/test.yml b/examples/toy-yaml/test.yml index 94a4c5e..39802e1 100644 --- a/examples/toy-yaml/test.yml +++ b/examples/toy-yaml/test.yml @@ -16,8 +16,8 @@ test_things: &test_things # Conditionals on an empty list always return null: badlt: !LastTrue [] badft: !FirstTrue [] - badxv: !MaxKey [] - badnv: !MinKey [] + badxv: !FirstMax [] + badnv: !FirstMin [] expandme: !Expand result: !calc "', '.join(lst)" @@ -36,7 +36,7 @@ theia: &theia !Platform BASE_SVN: /scratch4/NCEPDEV/global/save/glopara/svn BASE_GFS: /scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17/global_shared.v14.1.0 detect: !calc tools.isdir('/scratch4') and tools.isdir('/scratch3') - scrub: !MaxKey + scrub: !FirstMax - do: /scratch3/NCEPDEV/stmp1 when: !calc tools.panasas_gb(do) - do: /scratch3/NCEPDEV/stmp2 @@ -55,7 +55,7 @@ wcoss_cray: &wcoss_cray !Platform HPSS_PROJECT: emc-global BASE_SVN: /scratch4/NCEPDEV/global/save/glopara/svn BASE_GFS: /scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17/global_shared.v14.1.0 - scrub: !MaxKey + scrub: !FirstMax - do: /gpfs/hps2/ptmp when: !calc tools.gpfs_gb(do,'hps2-ptmp','hps2') - do: /gpfs/hps3/ptmp @@ -111,7 +111,7 @@ fcst: &fcst !Action a: !calc 5 + 5 b: !calc a*2 c: !calc fv_sg_adj+b - d: !MaxKey + d: !FirstMax - when: a do: !calc 10*a - when: b From d88b8379678965036ff92fbe62914aac6c7b0be2 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 15 Aug 2017 01:38:31 +0000 Subject: [PATCH 009/487] Add several features to YAML configuration system: 1. !expand '{var} {var2} ...' ---- expand variables in string 2. !Eval s: !Eval result: !calc a+b a: 1 b: 2 three: !calc s The value of "three" is 3. This is intended for MPI launcher expression. The "result: !calc" will call some python function, passing other parts of the !Eval as arguments. The result of "result: !calc" is then the value of the variable. --- crow/config/__init__.py | 4 +-- crow/config/from_yaml.py | 44 ++++++++++++++++++----------- crow/config/represent.py | 33 +++++++++++++--------- crow/config/tools.py | 8 ++++++ examples/toy-yaml/example-config.py | 3 ++ examples/toy-yaml/test.yml | 10 ++++++- 6 files changed, 70 insertions(+), 32 deletions(-) diff --git a/crow/config/__init__.py b/crow/config/__init__.py index f626a2c..bd305a6 100644 --- a/crow/config/__init__.py +++ b/crow/config/__init__.py @@ -4,7 +4,7 @@ from crow.config.represent import Action, Platform, Template, \ TaskStateAnd, TaskStateOr, TaskStateNot, TaskStateIs, Taskable, \ Task, Family, CycleAt, CycleTime, Cycle, Trigger, Depend, Timespec -from crow.config.tools import CONFIG_TOOLS +from crow.config.tools import CONFIG_TOOLS, ENV __all__=["from_string","from_file","to_py", 'Action', 'Platform', 'Template', 'TaskStateAnd', 'TaskStateOr', 'TaskStateNot', 'TaskStateIs', @@ -15,7 +15,7 @@ def to_py(obj): return obj._to_py() if hasattr(obj,'_to_py') else obj def from_string(s): - c=ConvertFromYAML(yaml.load(s),CONFIG_TOOLS) + c=ConvertFromYAML(yaml.load(s),CONFIG_TOOLS,ENV) result=c.convert() #c.close() return result diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index a4408dc..e638c32 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -20,11 +20,12 @@ class PlatformYAML(YAMLObject): yaml_tag=u'!Platform' class ActionYAML(YAMLObject): yaml_tag=u'!Action' class TemplateYAML(YAMLObject): yaml_tag=u'!Template' -class ExpandYAML(dict): pass class FirstMaxYAML(list): pass class FirstMinYAML(list): pass class FirstTrueYAML(list): pass class LastTrueYAML(list): pass + +class EvalYAML(dict): pass class TaskYAML(OrderedDict): pass class FamilyYAML(OrderedDict): pass class CycleYAML(OrderedDict): pass @@ -58,6 +59,7 @@ def constructor(loader,node): return cls(loader.construct_scalar(node)) yaml.add_constructor(key,constructor) +add_yaml_string(u'!expand',expand) add_yaml_string(u'!calc',calc) add_yaml_string(u'!Trigger',Trigger) add_yaml_string(u'!Depend',Depend) @@ -80,6 +82,14 @@ def constructor(loader,node): add_yaml_sequence(u'!LastTrue',LastTrueYAML) add_yaml_sequence(u'!FirstTrue',FirstTrueYAML) +## @var CONDITIONALS +# Used to handle custom yaml conditional types. Maps from conditional type +# to the function that performs the comparison. +CONDITIONALS={ FirstMaxYAML:max_index, + FirstMinYAML:min_index, + FirstTrueYAML:first_true, + LastTrueYAML:last_true } + ######################################################################## def add_yaml_ordered_dict(key,cls): @@ -92,11 +102,18 @@ def constructor(loader,node): yaml.add_representer(cls,representer) yaml.add_constructor(key,constructor) -add_yaml_ordered_dict(u'!Expand',ExpandYAML) +add_yaml_ordered_dict(u'!Eval',EvalYAML) add_yaml_ordered_dict(u'!Cycle',CycleYAML) add_yaml_ordered_dict(u'!Task',TaskYAML) add_yaml_ordered_dict(u'!Family',FamilyYAML) +SUITE={ EvalYAML: Eval, + CycleYAML: Cycle, + TaskYAML: Task, + FamilyYAML: Family } + +######################################################################## + def valid_name(varname): """!Returns true if and only if the variable name is supported by this implementation.""" return not varname.startswith('_') and '-' not in varname and \ @@ -104,16 +121,17 @@ def valid_name(varname): not varname.startswith('yaml_') class ConvertFromYAML(object): - def __init__(self,tree,tools): + def __init__(self,tree,tools,ENV): self.memo=dict() self.result=None self.tree=tree self.tools=tools self.validatable=dict() + self.ENV=ENV def convert(self): self.result=self.from_dict(self.tree) - globals={ 'tools':self.tools, 'doc':self.result } + globals={ 'tools':self.tools, 'doc':self.result, 'ENV': self.ENV } self.result._recursively_set_globals(globals) for i,v in self.validatable.items(): v._validate() @@ -136,8 +154,10 @@ def to_eval_impl(self,v,locals): if cls in CONDITIONALS: return Conditional(CONDITIONALS[cls], self.from_list(v,locals),locals) - elif cls is ExpandYAML: - return Expand(self.from_dict(v)) + elif cls in SUITE: + return self.from_dict(v,SUITE[cls]) + elif cls is EvalYAML: + return Eval(self.from_dict(v)) # Generic containers: elif isinstance(v,YAMLObject): return self.from_yaml(v) @@ -160,12 +180,12 @@ def from_yaml(self,yobj): self.validatable[id(ret)]=ret return ret - def from_dict(self,tree): + def from_dict(self,tree,cls=dict_eval): """!Converts an object yobj of a YAML standard map type, and its elements, to internal implementation types. Elements with unsupported names are ignored. """ top=self.result - ret=dict_eval(tree) + ret=cls(tree) for k,v in tree.items(): if not valid_name(k): continue ret[k]=self.to_eval(v,ret) @@ -179,11 +199,3 @@ def from_list(self,sequence,locals): return list_eval( [self.to_eval(s,locals) for s in sequence], locals) - -## @var CONDITIONALS -# Used to handle custom yaml conditional types. Maps from conditional type -# to the function that performs the comparison. -CONDITIONALS={ FirstMaxYAML:max_index, - FirstMinYAML:min_index, - FirstTrueYAML:first_true, - LastTrueYAML:last_true } diff --git a/crow/config/represent.py b/crow/config/represent.py index 4237fb4..a2c8782 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -21,8 +21,8 @@ 'Platform', 'Template', 'TaskStateAnd', 'TaskStateOr', 'TaskStateNot', 'TaskStateIs', 'Taskable', 'Task', 'Family','CycleAt','CycleTime','Cycle','Conditional', - 'calc','Trigger','Depend','Timespec', 'max_index', - 'min_index', 'last_true', 'first_true', 'Expand' ] + 'calc','Trigger','Depend','Timespec', 'max_index', 'expand', + 'min_index', 'last_true', 'first_true', 'Eval' ] logger=logging.getLogger('crow.represent') @@ -90,6 +90,7 @@ class dict_eval(MutableMapping): * __getitem__(b) + __getitem__(c) """ def __init__(self,child): + assert(not isinstance(child,dict_eval)) self.__child=copy(child) self.__cache=copy(child) self.__globals={} @@ -137,11 +138,13 @@ def _child(self): return self.__child def _recursively_set_globals(self,globals): """Recurses through the object tree setting the globals for eval() calls""" assert('tools' in globals) + assert('doc' in globals) if self.__globals is globals: return self.__globals=globals for k,v in self.__child.items(): - if isinstance(v,dict_eval) or isinstance(v,list_eval): + try: v._recursively_set_globals(globals) + except AttributeError: pass def __repr__(self): return '%s(%s)'%(type(self).__name__,repr(self.__child),) @@ -191,7 +194,7 @@ def insert(self,i,o): self.__cache.insert(i,o) def __getitem__(self,index): val=self.__cache[index] - if isinstance(val,strcalc) or isinstance(val,Conditional): + if hasattr(val,'_result'): val=from_config(index,val,self.__globals,self.__locals) self.__cache[index]=val return val @@ -209,6 +212,11 @@ def __repr__(self): ######################################################################## +class expand(str): + """!Represents a literal format string.""" + def _result(self,globals,locals): + return eval('f'+repr(self),globals,locals) + class strcalc(str): """Represents a string that should be run through eval()""" def __repr__(self): @@ -217,10 +225,10 @@ def __repr__(self): def _result(self,globals,locals): return eval(self,globals,locals) -class Expand(dict_eval): +class Eval(dict_eval): def _result(self,globals,locals): if 'result' not in self: - raise ExpandMissingCalc('"!Expand" block lacks a "result: !calc"') + raise EvalMissingCalc('"!Eval" block lacks a "result: !calc"') return self.result def from_config(key,val,globals,locals): @@ -233,7 +241,7 @@ def from_config(key,val,globals,locals): return val except(KeyError,NameError,IndexError,AttributeError) as ke: raise CalcKeyError('%s: !%s %s -- %s %s'%( - str(key),type(val).__name__,str(val),type(ke).__name__,str(ke))) + str(key),type(val).__name__,repr(val),type(ke).__name__,str(ke))) except RecursionError as re: raise CalcRecursionTooDeep('%s: !%s %s'%( str(key),type(val).__name__,str(val))) @@ -278,8 +286,6 @@ def as_task_state(obj,state='COMPLETED'): class Taskable(object): """!Represents any noun in a dependency specification.""" - def __init__(self,info): - self.info=info def __and__(self,other): other=as_task_state(other) if other is NotImplemented: return other @@ -291,13 +297,13 @@ def __or__(self,other): def __not__(self): return TaskStateNot(as_task_state(self)) -class Task(Taskable): pass -class Family(Taskable): pass +class Task(dict_eval): pass +class Family(dict_eval): pass class CycleAt(namedtuple('CycleAt',['cycle','hours','days'])): pass class CycleTime(namedtuple('CycleTime',['cycle','hours','days'])): pass -class Cycle(Taskable): +class Cycle(dict_eval): def name(self,when): - return self.info.get('format','cyc_%Y%m%d_%H%M%S') + return self.get('format','cyc_%Y%m%d_%H%M%S') def at(self,hours=0,days=0): return CycleAt(self,hours,days) def clock(self,hours=0,days=0): @@ -310,6 +316,7 @@ def __init__(self,_index,*args,**kwargs): self.__index=_index def _result(self,globals,locals): assert('tools' in globals) + assert('doc' in globals) if self.__cache is MISSING: keys=list() values=list() diff --git a/crow/config/tools.py b/crow/config/tools.py index 2734ecd..147747a 100644 --- a/crow/config/tools.py +++ b/crow/config/tools.py @@ -1,5 +1,13 @@ import crow.tools import os.path +import os + +class Environment(dict): + def __getattr__(self,key): + if key in self: return self[key] + raise AttributeError(key) + +ENV=Environment(os.environ) ## The CONFIG_TOOLS contains the tools available to configuration yaml ## "!calc" expressions in their "tools" variable. diff --git a/examples/toy-yaml/example-config.py b/examples/toy-yaml/example-config.py index 6712a57..b1dff7c 100755 --- a/examples/toy-yaml/example-config.py +++ b/examples/toy-yaml/example-config.py @@ -27,3 +27,6 @@ print() print("test_things.expandme = abc, def, ghi = "+ repr(config.test_things.expandme)) +print('fcst.hydro_mono = hydro_mono = '+ + repr(config.fcst.hydro_mono)) +print('fcst.some_namelist: \n'+str(config.fcst.some_namelist)) diff --git a/examples/toy-yaml/test.yml b/examples/toy-yaml/test.yml index 39802e1..f04c9f3 100644 --- a/examples/toy-yaml/test.yml +++ b/examples/toy-yaml/test.yml @@ -19,7 +19,7 @@ test_things: &test_things badxv: !FirstMax [] badnv: !FirstMin [] - expandme: !Expand + expandme: !Eval result: !calc "', '.join(lst)" lst: - abc @@ -108,6 +108,14 @@ fcst: &fcst !Action master_grid: "0p5deg" TYPE: hydro MONO: mono + hydro_mono: !expand '{TYPE}_{MONO}' + some_namelist: !expand | + &some_namelist + type = {TYPE} + mono = {MONO} + shal_cnv = .{shal_cnv}. + agrid_vel_rst: .{agrid_vel_rst}. + / a: !calc 5 + 5 b: !calc a*2 c: !calc fv_sg_adj+b From 4f88310e887185eee5b005308c7b77c2731ae346 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 15 Aug 2017 01:41:10 +0000 Subject: [PATCH 010/487] The beginnings of Rocoto support --- crow/metascheduler/__init__.py | 4 +- crow/metascheduler/to_rocoto.py | 182 ++++++++++++++++++++++++++++++++ rocoto-test-file.yaml | 113 ++++++++++++++++++++ 3 files changed, 297 insertions(+), 2 deletions(-) create mode 100644 crow/metascheduler/to_rocoto.py create mode 100644 rocoto-test-file.yaml diff --git a/crow/metascheduler/__init__.py b/crow/metascheduler/__init__.py index 93a4af6..d202263 100644 --- a/crow/metascheduler/__init__.py +++ b/crow/metascheduler/__init__.py @@ -1,4 +1,4 @@ -from crow.metascheduler.to_ecflow import to_ecflow +from crow.metascheduler.to_rocoto import to_rocoto -__all__=[ 'to_ecflow' ] +__all__=[ 'to_rocoto' ] diff --git a/crow/metascheduler/to_rocoto.py b/crow/metascheduler/to_rocoto.py new file mode 100644 index 0000000..9c438ef --- /dev/null +++ b/crow/metascheduler/to_rocoto.py @@ -0,0 +1,182 @@ +from crow.config import Action, Template, TaskStateAnd, TaskStateOr, \ + TaskStateNot, TaskStateIs, Taskable, Task, \ + Family,CycleAt,CycleTime,Cycle,Trigger,Depend,Timespec +import sys +from io import StringIO as sio +from collections import namedtuple + +__all__=['to_rocoto'] + +KEY_WARNINGS={ 'scheduler':'Did you mean rocoto_scheduler?', + 'cyclethrottle':'Did you mean cycle_throttle?' } + +REQUIRED_KEYS={ 'workflow_install':'directory to receive Rocoto workflow', + 'rocoto_scheduler':'Rocoto internal scheduler class' } + +class MetaschedulerConfigError(Exception): pass + +class RocotoTask(namedtuple('RocotoTask', + ['scope','task_path','trigger','complete'])): + pass + +def task_state_dep(task,time): + attr='task="%s"'%(task,) + if task.state != 'completed': + attr+=' state="%s"'%(task.state.upper(),) + if time: + attr+=' cycle_offset="%s"' + if state == 'completed': + return ' '*depth + '%s\n'%(tree.task,) + else: + return ' '*depth + \ + '%s\n'%( + tree.task,tree.state.upper()) + +TO_ROCOTO_DEP={ + TaskStateAnd: lambda x,t: to_dep('',[x.task1,x.task2]), + TaskStateOr: lambda x,t: to_dep('',[x.task1,x.task2]), + TaskStateNot: lambda x,t: to_dep('',[x.task]), + TaskStateIs: task_state_dep, + } + +def merge_trigger(a,b): + if a: + if b: + return TaskStateAnd(a,b) + else: + return a + elif b: + return b + +def merge_time(a,b): + if a is not None: + if b is not None: + return a if a>b else b + else: + return a + elif b is not None: + return b + +def merge_deps(task,family_trigger,family_complete,family_time): + ( trigger, complete, time ) = None, None, None + if 'trigger' in task: trigger = task.trigger + if 'complete' in task: complete = task.complete + if 'time' in task: time = task.time + + trigger=merge_trigger(trigger,family_trigger) + complete=merge_trigger(complete,family_complete) + time=merge_time(time,family_time) + + return trigger, complete, time + +class ToRocoto(object): + def __init__(self,suite): + self.suite=suite + self.tasks=dict() + self.completes=dict() + + def validate_cycle(self): + """!Perform sanity checks on top level of suite.""" + suite=self.suite + if not isinstance(suite,Cycle): + raise TypeError('The top level of a suite must be a Cycle, ' + 'not a %s'%(type(suite).__name__)) + + for key,what in REQUIRED_KEYS.items(): + if key not in suite: + raise KeyError('%s: missing variable (%s)'%(key,what)) + + for key,what in KEY_WARNINGS.items(): + if key in suite: + raise KeyError('%s: %s'%(key,what)) + + def flatten_tasks(self,fd): + suite=self.suite + for name,task in suite.items(): + if isinstance(task,Task): + self.convert_task([0,name],task,None,None,0) + elif isinstance(task,Family): + self.convert_task([0,name],task,None,None,0) + + if self.completes: + self.handle_completes() + + for name,task in suite.items(): + if name == 'final': continue + fd.write(''' +{command:%s} +&WORKFLOW_INSTALL;/log/{logname:%s} +''') + + def handle_completes(self): + if [0,'final'] not in self.tasks: + raise MetaschedulerConfigError( + 'In a Rocoto workflow, if a suite has "complete" ' + 'directives, it must have a "final" task at the suite ' + '(cycle) level.') + + final=self.tasks[ [0,'final'] ] + if final.trigger or final.complete: + raise MetaschedulerConfigError( + 'In a Rocoto workflow, the "final" task must have no' + '"complete" or "trigger" directives.') + + def add_tasks(self,tasks_path,task): + for task in tasks: + if 'complete' in task: + self.completes[task_path]=task + self.tasks[task_path]=task + + def top_level_xml(self): + + out.write(''' + +]> + +\n') + out.write(''' + &WORKFLOW_INSTALL;/logs/@Y@m@d@H.log + + {start:%Y%m%d%H%M} {end:%Y%m%d%H%M} {step:%s} + +'''.format({ + 'start':datetime.datetime(suite.start), + 'end':datetime.datetime(suite.end), + 'step':to_hhmmss(int(suite.step,10))})) + + def bottom_xml(self): + out.write('\n') + + def convert_task(self,task_path,scope,family_trigger, + family_complete,family_time): + ( trigger, complete, time ) = merge_deps( + scope,family_trigger,family_complete,family_time) + + return RocotoTask(scope,task_path,trigger,complete) + + def convert_family(self,task_path,scope,family_trigger, + family_complete,family_time): + ( trigger, complete, time ) = merge_deps( + scope,family_trigger,family_complete,family_time) + tasks=list() + for name,task in scope.items(): + path=task_path+[name] + if isinstance(task,Task): + tasks.append(self.convert_task(path,task,trigger,complete)) + elif isinstance(task,Family): + tasks.extend(self.convert_family(path,task,trigger,complete)) + + return tasks + + +def to_rocoto(suite): + tr=ToRocoto(suite) + tr.validate_cycle() + tr.flatten_tasks(sys.stdout) diff --git a/rocoto-test-file.yaml b/rocoto-test-file.yaml new file mode 100644 index 0000000..e6637b3 --- /dev/null +++ b/rocoto-test-file.yaml @@ -0,0 +1,113 @@ + +wcoss_cray: &wcoss_cray !Platform + machine: THEIA + ACCOUNT: fv3-cpu + QUEUE: batch + QUEUE_ARCH: service + HPSS_PROJECT: emc-global + BASE_SVN: /scratch4/NCEPDEV/global/save/glopara/svn + BASE_GFS: /scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17/global_shared.v14.1.0 + scrub: !FirstMax + - do: /gpfs/hps2/ptmp + when: !calc tools.gpfs_gb(do,'hps2-ptmp','hps2') + - do: /gpfs/hps3/ptmp + when: !calc tools.gpfs_gb(do,'hps3-ptmp','hps3') + detect: !calc tools.isdir('/gpfs/hps') and tools.isfile('/etc/SuSE-release') + +theia: &theia !Platform + machine: THEIA + ACCOUNT: fv3-cpu + QUEUE: batch + QUEUE_ARCH: service + HPSS_PROJECT: emc-global + BASE_SVN: /scratch4/NCEPDEV/global/save/glopara/svn + BASE_GFS: /scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17/global_shared.v14.1.0 + detect: !calc tools.isdir('/scratch4') and tools.isdir('/scratch3') + scrub: !FirstMax + - do: /scratch3/NCEPDEV/stmp1 + when: !calc tools.panasas_gb(do) + - do: /scratch3/NCEPDEV/stmp2 + when: !calc tools.panasas_gb(do) + - do: /scratch4/NCEPDEV/stmp3 + when: !calc tools.panasas_gb(do) + - do: /scratch4/NCEPDEV/stmp4 + when: !calc tools.panasas_gb(do) + +platform: !FirstTrue + - do: *wcoss_cray + when: !calc do.detect + - do: *theia + when: !calc do.detect + +######################################################################## + +# Kate's vast database + +suite_10_variables: &suite_10_variables !Template + b: + type: int + description: 'Boson density' + +fcst_vars: &fcst_vars !Template + do_vort_damp: + type: bool + + hydro: + type: bool + description: "Hydrostatic mode?" + default: false + + physics_suite: + type: int + allowed: [ 10, 20, 30 ] + description: "Example physics suite selection variable" + if_present: !FirstTrue + - do: *suite_10_variables + when: !calc physics_suite==10 + +######################################################################## + +# Maintained by scientific programmers? + +fcst: &default_fcst !Action + Template: *fcst_vars + do_vort_damp: true + b: 3 + physics_suite: 10 + +######################################################################## + +# Yaml written by user + +sams_fcst: &sams_fcst + <<: *default_fcst + b: 7 + crazy_var: !calc doc.wcoss_cray.ACCOUNT + testvar: !calc tools.realpath(".") + +post: &post !Action + somevar: 3 + +######################################################################## + +suite: !Cycle + workflow_install: !calc doc.options.workflow_install + rocoto_scheduler: moabtorque + Clock: + start: 2017-02-14t18:00:00 + end: 2017-02-19t12:00:00 + step: !calc 6*3600 + gfs: !Family + fcst: !Task + Perform: [ *sams_fcst ] + Trigger: !Depend doc.suite.at(hours=-6).gdas.anal + Time: !Timespec doc.suite.clock(hours=+3) + post: !Task + Perform: [ *post ] + Trigger: !Trigger fcst==RUNNING + +######################################################################## + +options: + pslot: TEST + workflow_install: !expand '{doc.platform.scrub}/{ENV.USER}/{pslot}' From 4e17cf542b3636b69265add4b0eadd567632f6e4 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 15 Aug 2017 16:21:14 +0000 Subject: [PATCH 011/487] Split crow.config.represent into multiple files and update "python features in use" notes at the top of each. --- crow/config/__init__.py | 8 +- crow/config/eval_tools.py | 253 +++++++++++++++++++++ crow/config/from_yaml.py | 3 + crow/config/represent.py | 448 +------------------------------------- crow/config/tasks.py | 70 ++++++ crow/config/template.py | 152 +++++++++++++ 6 files changed, 490 insertions(+), 444 deletions(-) create mode 100644 crow/config/eval_tools.py create mode 100644 crow/config/tasks.py create mode 100644 crow/config/template.py diff --git a/crow/config/__init__.py b/crow/config/__init__.py index bd305a6..93084cc 100644 --- a/crow/config/__init__.py +++ b/crow/config/__init__.py @@ -1,9 +1,11 @@ import yaml import crow.tools from crow.config.from_yaml import ConvertFromYAML -from crow.config.represent import Action, Platform, Template, \ - TaskStateAnd, TaskStateOr, TaskStateNot, TaskStateIs, Taskable, \ - Task, Family, CycleAt, CycleTime, Cycle, Trigger, Depend, Timespec +from crow.config.template import Template +from crow.config.represent import Action, Platform +from crow.config.tasks import Task, Family, CycleAt, CycleTime, \ + Cycle, Trigger, Depend, Timespec, TaskStateAnd, TaskStateOr, \ + TaskStateNot, TaskStateIs, Taskable from crow.config.tools import CONFIG_TOOLS, ENV __all__=["from_string","from_file","to_py", 'Action', 'Platform', 'Template', diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py new file mode 100644 index 0000000..1edd5b2 --- /dev/null +++ b/crow/config/eval_tools.py @@ -0,0 +1,253 @@ +"""!Tools for handling inline python expression validation in YAML +objects. In order to implement these inline expressions with +consistent and intuitive behavior, this module has to use some more +advanced features of Python, detailed below. + +@note Basic python concepts in use + +To develop or understand this file, you must be fluent in the +following basic Python concepts: + + * python built-in eval() function + * MutableMapping and MutableSequence abstract base classes + +@note Intermediate python concepts in use + +To develop or understand this file, you must be fluent in the +following Python concepts: + + * operator specification (__getitem__, etc.) + * default attributes (__getattr__) + +@note Advanced python concept in use + +Out of necessity, this file uses an advanced python feature. To +develop or understand this file, you must be fluent in the use of this +feature: + + * custom locals in calls to eval() + +""" + + +from collections.abc import MutableMapping, MutableSequence +from copy import copy,deepcopy + +__all__=[ 'expand', 'strcalc', 'from_config', 'dict_eval', + 'list_eval', 'multidict', 'Eval' ] + +class expand(str): + """!Represents a literal format string.""" + def _result(self,globals,locals): + return eval('f'+repr(self),globals,locals) + +class strcalc(str): + """Represents a string that should be run through eval()""" + def __repr__(self): + return '%s(%s)'%(type(self).__name__, + super().__repr__()) + def _result(self,globals,locals): + return eval(self,globals,locals) + +def from_config(key,val,globals,locals): + """!Converts s strcalc cor Conditional to another data type via eval(). + Other types are returned unmodified.""" + try: + if hasattr(val,'_result'): + return from_config(key,val._result(globals,locals), + globals,locals) + return val + except(KeyError,NameError,IndexError,AttributeError) as ke: + raise CalcKeyError('%s: !%s %s -- %s %s'%( + str(key),type(val).__name__,repr(val),type(ke).__name__,str(ke))) + except RecursionError as re: + raise CalcRecursionTooDeep('%s: !%s %s'%( + str(key),type(val).__name__,str(val))) + +class multidict(MutableMapping): + """!This is a dict-like object that makes multiple dicts act as one. + Its methods look over the dicts in order, returning the result + from the first dict that has a matching key. This class is + intended to be used in favor of a new dict, when the underlying + dicts have special behaviors that are lost upon copy to a standard dict.""" + def __init__(self,*args): + self.__dicts=list(args) + self.__keys=frozenset().union(*args) + def __len__(self): return len(self.__keys) + def __contains__(self,k): return k in self.__keys + def __copy__(self): return multidict(self.__dicts) + def __setitem__(self,k,v): raise NotImplementedError('immutable') + def __delitem__(self,k): raise NotImplementedError('immutable') + def _globals(self): + """!Returns the global values used in eval() functions""" + return self.dicts[0]._globals() + def __contains__(self,key): + for d in self.__dicts: + if key in d: + return True + return False + def __iter__(self): + for k in self.__keys: yield k + def __getitem__(self,key): + for d in self.__dicts: + if key in d: + return d[key] + raise KeyError(key) + def _raw(self,key): + """!Returns the raw value of the given key without calling eval()""" + for d in self.__dicts: + if key in d: + return d._raw(key) + raise KeyError(key) + def _has_raw(self,key): + try: + self._raw(key) + return True + except KeyError: return False + def __repr__(self): + return '%s(%s)'%( + type(self).__name__, + ','.join([repr(d) for d in self.__dicts])) + +######################################################################## + +class dict_eval(MutableMapping): + """!This is a dict-like object that knows how to eval() its contents, + passing this dict as the local arguments. This allows one to + store actions like the following: + + * \c a = b + c + + where a, b, and c are elements of dict_eval. The result of + __getitem__(a) is then the result of: + + * __getitem__(b) + __getitem__(c) """ + + def __init__(self,child): + assert(not isinstance(child,dict_eval)) + self.__child=copy(child) + self.__cache=copy(child) + self.__globals={} + def __len__(self): return len(self.__child) + def _raw(self,key): + """!Returns the value for the given key, without calling eval() on it""" + return self.__child[key] + def _has_raw(self,key): + return key in self.__child + def __contains__(self,k): return k in self.__child + def _globals(self): + """!Returns the global values used in eval() functions""" + return self.__globals + def __copy__(self): + return dict_eval(self.__child) + def __deepcopy__(self,memo): + cls=type(self.__child) + r=dict_eval(cls([ (k,deepcopy(v)) for k,v in self.__child])) + memo[id(self)]=r + return r + def __setitem__(self,k,v): + self.__child[k]=v + self.__cache[k]=v + def __delitem__(self,k): del(self.__child[k], self.__cache[k]) + def __iter__(self): + for k in self.__child.keys(): yield k + def _validate(self): + """!Validates this dict_eval using its embedded Template object, if present """ + if 'Template' in self: + self.Template._check_scope(self) + def __getitem__(self,key): + val=self.__cache[key] + if hasattr(val,'_result'): + val=from_config(key,val,self.__globals,self) + self.__cache[key]=val + return val + def __getattr__(self,name): + if name in self: return self[name] + raise AttributeError(name) + def _to_py(self,recurse=True): + """!Converts to a python core object; does not work for cyclic object trees""" + cls=type(self.__child) + return cls([(k, to_py(v)) for k,v in self.items()]) + def _child(self): return self.__child + def _recursively_set_globals(self,globals): + """Recurses through the object tree setting the globals for eval() calls""" + assert('tools' in globals) + assert('doc' in globals) + if self.__globals is globals: return + self.__globals=globals + for k,v in self.__child.items(): + try: + v._recursively_set_globals(globals) + except AttributeError: pass + def __repr__(self): + return '%s(%s)'%(type(self).__name__,repr(self.__child),) + +######################################################################## + +class list_eval(MutableSequence): + """!This is a dict-like object that knows how to eval() its contents, + passing a containing dict as the local arguments. The parent + dict-like object is passed as the locals argument of the + constructor. This class allows one to store actions like the + following: + + * \c a = [ b+c, b-c ] + + where a, b, and c are elements of the parent dict. The result of + __getitem__(a) is then the result of: + + \code + [ self.__locals.__getitem__(b) + self.__locals.__getitem__(c), + self.__locals.__getitem__(b) - self.__locals.__getitem__(c) ] + \endcode """ + def __init__(self,child,locals): + self.__child=list(child) + self.__cache=list(child) + self.__locals=locals + self.__globals={} + def __len__(self): return len(self.__child) + def _raw(self,i): + """!Returns the value at index i without calling eval() on it""" + return self.__child[i] + def _has_raw(self,i): + return i>=0 and len(self.__child)>i + def __copy__(self): + return list_eval(self.__child,self.__locals) + def __deepcopy__(self,memo): + r=list_eval([ deepcopy(v) for v in self.__child ], + deepcopy(self.__locals)) + memo[id(self)]=r + return r + def __setitem__(self,k,v): + self.__child[k]=v + self.__cache[k]=v + def __delitem__(self,k): + del(self.__child[k], self.__cache[k]) + def insert(self,i,o): + self.__child.insert(i,o) + self.__cache.insert(i,o) + def __getitem__(self,index): + val=self.__cache[index] + if hasattr(val,'_result'): + val=from_config(index,val,self.__globals,self.__locals) + self.__cache[index]=val + return val + def _to_py(self,recurse=True): + """!Converts to a python core object; does not work for cyclic object trees""" + return [ to_py(v) for v in self ] + def _recursively_set_globals(self,globals): + if self.__globals is globals: return + self.__globals=globals + for v in self.__child: + if isinstance(v,dict_eval) or isinstance(v,list_eval): + v._recursively_set_globals(globals) + def __repr__(self): + return '%s(%s)'%(type(self).__name__,repr(self.__child),) + +######################################################################## + +class Eval(dict_eval): + def _result(self,globals,locals): + if 'result' not in self: + raise EvalMissingCalc('"!Eval" block lacks a "result: !calc"') + return self.result diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index e638c32..573cb11 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -12,7 +12,10 @@ from collections import namedtuple, OrderedDict import yaml from yaml import YAMLObject +from crow.config.eval_tools import * from crow.config.represent import * +from crow.config.tasks import * +from crow.config.template import Template __all__=['ConvertFromYAML'] diff --git a/crow/config/represent.py b/crow/config/represent.py index a2c8782..e4ee14b 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -1,323 +1,31 @@ """!Internal representation classes for crow.config. These handle the embedded yaml calculations, as well as internal representations of all -custom data types in the yaml files. +custom data types in the yaml files.""" -\note Advanced python concepts in use. - -To develop or understand this file, you must be fluent in the -following Python concepts: - - * operator overloading - * custom dict/list types (eg. MutableMapping and MutableSequence) - * python built-in eval() function """ - -import logging -from collections import namedtuple, OrderedDict -from collections.abc import MutableMapping, MutableSequence -from copy import copy,deepcopy from crow.config.exceptions import * +from crow.config.eval_tools import list_eval, dict_eval, multidict, from_config, strcalc -__all__=[ 'MISSING', 'dict_eval', 'list_eval', 'strcalc', 'Action', - 'Platform', 'Template', 'TaskStateAnd', 'TaskStateOr', - 'TaskStateNot', 'TaskStateIs', 'Taskable', 'Task', - 'Family','CycleAt','CycleTime','Cycle','Conditional', - 'calc','Trigger','Depend','Timespec', 'max_index', 'expand', - 'min_index', 'last_true', 'first_true', 'Eval' ] - -logger=logging.getLogger('crow.represent') - -## @var MISSING -# A special constant that indicates an argument was not specified. -MISSING=object() - -class multidict(MutableMapping): - """!This is a dict-like object that makes multiple dicts act as one. - Its methods look over the dicts in order, returning the result - from the first dict that has a matching key. This class is - intended to be used in favor of a new dict, when the underlying - dicts have special behaviors that are lost upon copy to a standard dict.""" - def __init__(self,*args): - self.__dicts=list(args) - self.__keys=frozenset().union(*args) - def __len__(self): return len(self.__keys) - def __contains__(self,k): return k in self.__keys - def __copy__(self): return multidict(self.__dicts) - def __setitem__(self,k,v): raise NotImplementedError('immutable') - def __delitem__(self,k): raise NotImplementedError('immutable') - def _globals(self): - """!Returns the global values used in eval() functions""" - return self.dicts[0]._globals() - def __contains__(self,key): - for d in self.__dicts: - if key in d: - return True - return False - def __iter__(self): - for k in self.__keys: yield k - def __getitem__(self,key): - for d in self.__dicts: - if key in d: - return d[key] - raise KeyError(key) - def _raw(self,key): - """!Returns the raw value of the given key without calling eval()""" - for d in self.__dicts: - if key in d: - return d._raw(key) - raise KeyError(key) - def _has_raw(self,key): - try: - self._raw(key) - return True - except KeyError: return False - def __repr__(self): - return '%s(%s)'%( - type(self).__name__, - ','.join([repr(d) for d in self.__dicts])) +__all__=[ 'Action','Platform', 'Conditional', 'calc','max_index', + 'min_index', 'last_true', 'first_true' ] ######################################################################## -class dict_eval(MutableMapping): - """!This is a dict-like object that knows how to eval() its contents, - passing this dict as the local arguments. This allows one to - store actions like the following: - - * \c a = b + c - - where a, b, and c are elements of dict_eval. The result of - __getitem__(a) is then the result of: - - * __getitem__(b) + __getitem__(c) """ - - def __init__(self,child): - assert(not isinstance(child,dict_eval)) - self.__child=copy(child) - self.__cache=copy(child) - self.__globals={} - def __len__(self): return len(self.__child) - def _raw(self,key): - """!Returns the value for the given key, without calling eval() on it""" - return self.__child[key] - def _has_raw(self,key): - return key in self.__child - def __contains__(self,k): return k in self.__child - def _globals(self): - """!Returns the global values used in eval() functions""" - return self.__globals - def __copy__(self): - return dict_eval(self.__child) - def __deepcopy__(self,memo): - cls=type(self.__child) - r=dict_eval(cls([ (k,deepcopy(v)) for k,v in self.__child])) - memo[id(self)]=r - return r - def __setitem__(self,k,v): - self.__child[k]=v - self.__cache[k]=v - def __delitem__(self,k): del(self.__child[k], self.__cache[k]) - def __iter__(self): - for k in self.__child.keys(): yield k - def _validate(self): - """!Validates this dict_eval using its embedded Template object, if present """ - if 'Template' in self: - self.Template._check_scope(self) - def __getitem__(self,key): - val=self.__cache[key] - if hasattr(val,'_result'): - val=from_config(key,val,self.__globals,self) - self.__cache[key]=val - return val - def __getattr__(self,name): - if name in self: return self[name] - raise AttributeError(name) - def _to_py(self,recurse=True): - """!Converts to a python core object; does not work for cyclic object trees""" - cls=type(self.__child) - return cls([(k, to_py(v)) for k,v in self.items()]) - def _child(self): return self.__child - def _recursively_set_globals(self,globals): - """Recurses through the object tree setting the globals for eval() calls""" - assert('tools' in globals) - assert('doc' in globals) - if self.__globals is globals: return - self.__globals=globals - for k,v in self.__child.items(): - try: - v._recursively_set_globals(globals) - except AttributeError: pass - def __repr__(self): - return '%s(%s)'%(type(self).__name__,repr(self.__child),) - -######################################################################## - -class list_eval(MutableSequence): - """!This is a dict-like object that knows how to eval() its contents, - passing a containing dict as the local arguments. The parent - dict-like object is passed as the locals argument of the - constructor. This class allows one to store actions like the - following: - - * \c a = [ b+c, b-c ] - - where a, b, and c are elements of the parent dict. The result of - __getitem__(a) is then the result of: - - \code - [ self.__locals.__getitem__(b) + self.__locals.__getitem__(c), - self.__locals.__getitem__(b) - self.__locals.__getitem__(c) ] - \endcode """ - def __init__(self,child,locals): - self.__child=list(child) - self.__cache=list(child) - self.__locals=locals - self.__globals={} - def __len__(self): return len(self.__child) - def _raw(self,i): - """!Returns the value at index i without calling eval() on it""" - return self.__child[i] - def _has_raw(self,i): - return i>=0 and len(self.__child)>i - def __copy__(self): - return list_eval(self.__child,self.__locals) - def __deepcopy__(self,memo): - r=list_eval([ deepcopy(v) for v in self.__child ], - deepcopy(self.__locals)) - memo[id(self)]=r - return r - def __setitem__(self,k,v): - self.__child[k]=v - self.__cache[k]=v - def __delitem__(self,k): - del(self.__child[k], self.__cache[k]) - def insert(self,i,o): - self.__child.insert(i,o) - self.__cache.insert(i,o) - def __getitem__(self,index): - val=self.__cache[index] - if hasattr(val,'_result'): - val=from_config(index,val,self.__globals,self.__locals) - self.__cache[index]=val - return val - def _to_py(self,recurse=True): - """!Converts to a python core object; does not work for cyclic object trees""" - return [ to_py(v) for v in self ] - def _recursively_set_globals(self,globals): - if self.__globals is globals: return - self.__globals=globals - for v in self.__child: - if isinstance(v,dict_eval) or isinstance(v,list_eval): - v._recursively_set_globals(globals) - def __repr__(self): - return '%s(%s)'%(type(self).__name__,repr(self.__child),) - -######################################################################## - -class expand(str): - """!Represents a literal format string.""" - def _result(self,globals,locals): - return eval('f'+repr(self),globals,locals) - -class strcalc(str): - """Represents a string that should be run through eval()""" - def __repr__(self): - return '%s(%s)'%(type(self).__name__, - super().__repr__()) - def _result(self,globals,locals): - return eval(self,globals,locals) - -class Eval(dict_eval): - def _result(self,globals,locals): - if 'result' not in self: - raise EvalMissingCalc('"!Eval" block lacks a "result: !calc"') - return self.result - -def from_config(key,val,globals,locals): - """!Converts s strcalc cor Conditional to another data type via eval(). - Other types are returned unmodified.""" - try: - if hasattr(val,'_result'): - return from_config(key,val._result(globals,locals), - globals,locals) - return val - except(KeyError,NameError,IndexError,AttributeError) as ke: - raise CalcKeyError('%s: !%s %s -- %s %s'%( - str(key),type(val).__name__,repr(val),type(ke).__name__,str(ke))) - except RecursionError as re: - raise CalcRecursionTooDeep('%s: !%s %s'%( - str(key),type(val).__name__,str(val))) - -def as_state(obj): - """!Converts the containing object to a State. Action objects are - compared to the "complete" state.""" - if isinstance(obj,Action): return State(other,'complete',True) - elif isinstance(obj,State): return obj - elif isinstance(obj,ComboState): return obj - else: return NotImplemented - class Action(dict_eval): """!Represents an action that a workflow should take, such as running a batch job.""" - def __and__(self,other): - other=as_state(other) - if other is NotImplemented: return other - return ComboState('and',as_state(self),other) - def __or__(self,other): - other=as_state(other) - if other is NotImplemented: return other - return ComboState('or',as_state(self),other) - def __not__(self): - return State(self,'complete',False) class Platform(dict_eval): pass -class TaskStateAnd(namedtuple('TaskStateAnd',['task1','task2'])): pass -class TaskStateOr(namedtuple('TaskStateOr',['task1','task2'])): pass -class TaskStateNot(namedtuple('TaskStateNot',['task'])): pass -class TaskStateIs(namedtuple('TaskStateIs',['task','state'])): pass - -def as_task_state(obj,state='COMPLETED'): - """!Converts obj to a task state comparison. If obj is not a task - state, then it is compared to the specified state.""" - if type(obj) in [ TaskStateAnd, TaskStateOr, TaskStateNot, TaskStateIs ]: - return obj - if isinstance(obj,Taskable): - return TaskStateIs(obj,state) - return NotImplemented - -class Taskable(object): - """!Represents any noun in a dependency specification.""" - def __and__(self,other): - other=as_task_state(other) - if other is NotImplemented: return other - return TaskStateAnd(as_task_state(self),other) - def __or__(self,other): - other=as_task_state(other) - if other is NotImplemented: return other - return TaskStateOr(as_task_state(self),other) - def __not__(self): - return TaskStateNot(as_task_state(self)) - -class Task(dict_eval): pass -class Family(dict_eval): pass -class CycleAt(namedtuple('CycleAt',['cycle','hours','days'])): pass -class CycleTime(namedtuple('CycleTime',['cycle','hours','days'])): pass -class Cycle(dict_eval): - def name(self,when): - return self.get('format','cyc_%Y%m%d_%H%M%S') - def at(self,hours=0,days=0): - return CycleAt(self,hours,days) - def clock(self,hours=0,days=0): - return CycleTime(self,hours,days) - class Conditional(list_eval): + MISSING=object() def __init__(self,_index,*args,**kwargs): super().__init__(*args,**kwargs) - self.__cache=MISSING + self.__cache=Conditional.MISSING self.__index=_index def _result(self,globals,locals): assert('tools' in globals) assert('doc' in globals) - if self.__cache is MISSING: + if self.__cache is Conditional.MISSING: keys=list() values=list() for vk in self: @@ -355,145 +63,3 @@ def first_true(lst): return None class calc(strcalc): pass -class Trigger(strcalc): pass -class Depend(strcalc): pass -class Timespec(strcalc): pass - -######################################################################## - -# Validation -class Template(dict_eval): - """!Internal implementation of the YAML Template type. Validates a - dict_eval, inserting defaults and reporting errors via the - TemplateErrors exception. """ - def _check_scope(self,scope): - checked=set() - errors=list() - template=dict(self) - did_something=True - - # Main validation loop. Iteratively validate, adding new - # Templates as they become available via is_present. - while did_something: - did_something=False - - # Inner validation loop. Validate based on all Templates - # found thus far. Add new templates if found via - # is_present. - for var in set(scope)-checked: - if var not in template: continue - try: - did_something=True - checked.add(var) - scheme=template[var] - validate_var(scheme,var,scope[var]) - if 'if_present' in scheme: - ip=from_config( - var,scheme._raw('if_present'),self._globals(),scope) - if not ip: continue - new_template=dict(ip) - new_template.update(template) - template=new_template - except ConfigError as ce: - errors.append(ce) - raise - - # Insert default values for all templates found thus far: - for var in template: - if var not in scope: - tmpl=template[var] - if 'default' in tmpl: - try: - did_something=True - scope[var]=tmpl._raw('default') - except AttributeError: - scope[var]=tmpl['default'] - - if errors: raise TemplateErrors(errors) - -class TemplateValidationFailed(object): - """!Used for constants that represent validation failure cases""" - def __bool__(self): return False - -NOT_ALLOWED=TemplateValidationFailed() -TYPE_MISMATCH=TemplateValidationFailed() -UNKNOWN_TYPE=TemplateValidationFailed() - -def validate_scalar(types,val,allowed,tname): - """!Validates val against the type tname, and allowed values. Forbids - recursion (scalars cannot contain subobjects.""" - if allowed and val not in allowed: return NOT_ALLOWED - if len(types): return TYPE_MISMATCH - for cls in TYPES[tname]: - if isinstance(val,cls): return True - return TYPE_MISMATCH - -def validate_list(types,val,allowed,tname): - """!Valdiates that val is a list that contains the specified allowed - values. Recurses into subobjects, which must be of type types[-1] """ - if not len(types): return TYPE_MISMATCH - if str(type(val)) not in TYPES(tname): return UNKNOWN_TYPE - for v in val: - result=VALIDATORS[types[-1]](types[:-1],v,allowed,types[-1]) - if not result: return result - return True - -def validate_dict(types,val,allowed,typ): - """!Valdiates that val is a map that contains the specified allowed - values. Recurses into subobjects, which must be of type types[-1] """ - if not len(types): return TYPE_MISMATCH - if str(type(val)) not in typ['list']: return UNKNOWN_TYPE - for k,v in val.items(): - result=VALIDATORS[types[-1]](types[:-1],v,allowed,types[-1]) - if not result: return result - return True - -## @var TYPES -# Mapping from YAML type to valid python types. -TYPES={ 'int':[int], 'bool':[bool], 'string':[str,bytes], - 'float':[float], 'list':[set,list,tuple,list_eval], - 'dict':[dict,dict_eval] } - -## @var VALIDATORS -# Mapping from YAML type to validation function. -VALIDATORS={ 'map':validate_dict, - 'seq':validate_list, - 'set':validate_list, - 'int':validate_scalar, - 'bool':validate_scalar, - 'string':validate_scalar, - 'float':validate_scalar } - -def validate_type(var,typ,val,allowed): - """!Top-level validation function. Checks that the value val of the - variable var is of the given type typ and has values in the list - of those allowed. """ - types=typ.split() - for t in types: - if t not in VALIDATORS: - raise InvalidConfigType('%=%s: unknown type in %s'%( - str(var),repr(t),repr(typ))) - result=VALIDATORS[types[-1]](types[:-1],val,allowed,types[-1]) - if result is UNKNOWN_TYPE: - raise InvalidConfigType('%s: type %s: unknown type in %s'%( - str(var),repr(t),repr(typ))) - elif result is TYPE_MISMATCH: - raise InvalidConfigValue('%s=%s: not valid for type %s'%( - str(var),repr(val),repr(typ))) - elif result is NOT_ALLOWED: - raise InvalidConfigValue('%s=%s: not an allowed value (%s)'%( - str(var),repr(val),', '.join([repr(s) for s in allowed]))) - -def validate_var(scheme,var,val): - """!Main entry point to recursive validation system. Validates - variable var with value val against the YAML Template list item in - scheme. """ - if 'type' not in scheme: - raise InvalidConfigTemplate(var+'.type: missing') - typ=scheme.type - if not isinstance(typ,str): - raise InvalidConfigTemplate(var+'.type: must be a string') - allowed=scheme.get('allowed',[]) - if not isinstance(allowed,list) and not isinstance(allowed,list_eval): - raise InvalidConfigTemplate(var+'.allowed: must be a list') - validate_type(var,typ,val,allowed) diff --git a/crow/config/tasks.py b/crow/config/tasks.py new file mode 100644 index 0000000..8b6b637 --- /dev/null +++ b/crow/config/tasks.py @@ -0,0 +1,70 @@ +"""!Internal representation types for tasks and workflows + +@note Basic python concepts in use + +To develop or understand this file, you must be fluent in the +following basic Python concepts: + +- namedtuple +- inheritance +""" + +from collections import namedtuple +from crow.config.exceptions import * +from crow.config.eval_tools import dict_eval, strcalc + +__all__=[ 'TaskStateAnd', 'TaskStateOr', 'Trigger', 'Depend', + 'TaskStateNot', 'TaskStateIs', 'Taskable', 'Task', + 'Family', 'CycleAt', 'CycleTime', 'Cycle', 'Timespec' ] + +class Trigger(strcalc): pass +class Depend(strcalc): pass +class Timespec(strcalc): pass + +def as_state(obj): + """!Converts the containing object to a State. Action objects are + compared to the "complete" state.""" + if isinstance(obj,Action): return State(other,'complete',True) + elif isinstance(obj,State): return obj + elif isinstance(obj,ComboState): return obj + else: return NotImplemented + + +class TaskStateAnd(namedtuple('TaskStateAnd',['task1','task2'])): pass +class TaskStateOr(namedtuple('TaskStateOr',['task1','task2'])): pass +class TaskStateNot(namedtuple('TaskStateNot',['task'])): pass +class TaskStateIs(namedtuple('TaskStateIs',['task','state'])): pass + +def as_task_state(obj,state='COMPLETED'): + """!Converts obj to a task state comparison. If obj is not a task + state, then it is compared to the specified state.""" + if type(obj) in [ TaskStateAnd, TaskStateOr, TaskStateNot, TaskStateIs ]: + return obj + if isinstance(obj,Taskable): + return TaskStateIs(obj,state) + return NotImplemented + +class Taskable(object): + """!Represents any noun in a dependency specification.""" + def __and__(self,other): + other=as_task_state(other) + if other is NotImplemented: return other + return TaskStateAnd(as_task_state(self),other) + def __or__(self,other): + other=as_task_state(other) + if other is NotImplemented: return other + return TaskStateOr(as_task_state(self),other) + def __not__(self): + return TaskStateNot(as_task_state(self)) + +class Task(dict_eval): pass +class Family(dict_eval): pass +class CycleAt(namedtuple('CycleAt',['cycle','hours','days'])): pass +class CycleTime(namedtuple('CycleTime',['cycle','hours','days'])): pass +class Cycle(dict_eval): + def name(self,when): + return self.get('format','cyc_%Y%m%d_%H%M%S') + def at(self,hours=0,days=0): + return CycleAt(self,hours,days) + def clock(self,hours=0,days=0): + return CycleTime(self,hours,days) diff --git a/crow/config/template.py b/crow/config/template.py new file mode 100644 index 0000000..306f10c --- /dev/null +++ b/crow/config/template.py @@ -0,0 +1,152 @@ +"""!Validation logic for YAML mapping types via the "!Template" YAML +type. + +@note Intermediate python concepts in use + +To develop or understand this file, you must be fluent in the +following intermediate Python concepts: + +- treating types as objects +- treating functions as objects + +""" + +from crow.config.exceptions import * +from crow.config.eval_tools import list_eval, dict_eval, multidict, from_config + + +class Template(dict_eval): + """!Internal implementation of the YAML Template type. Validates a + dict_eval, inserting defaults and reporting errors via the + TemplateErrors exception. """ + def _check_scope(self,scope): + checked=set() + errors=list() + template=dict(self) + did_something=True + + # Main validation loop. Iteratively validate, adding new + # Templates as they become available via is_present. + while did_something: + did_something=False + + # Inner validation loop. Validate based on all Templates + # found thus far. Add new templates if found via + # is_present. + for var in set(scope)-checked: + if var not in template: continue + try: + did_something=True + checked.add(var) + scheme=template[var] + validate_var(scheme,var,scope[var]) + if 'if_present' in scheme: + ip=from_config( + var,scheme._raw('if_present'),self._globals(),scope) + if not ip: continue + new_template=dict(ip) + new_template.update(template) + template=new_template + except ConfigError as ce: + errors.append(ce) + raise + + # Insert default values for all templates found thus far: + for var in template: + if var not in scope: + tmpl=template[var] + if 'default' in tmpl: + try: + did_something=True + scope[var]=tmpl._raw('default') + except AttributeError: + scope[var]=tmpl['default'] + + if errors: raise TemplateErrors(errors) + +class TemplateValidationFailed(object): + """!Used for constants that represent validation failure cases""" + def __bool__(self): return False + +NOT_ALLOWED=TemplateValidationFailed() +TYPE_MISMATCH=TemplateValidationFailed() +UNKNOWN_TYPE=TemplateValidationFailed() + +def validate_scalar(types,val,allowed,tname): + """!Validates val against the type tname, and allowed values. Forbids + recursion (scalars cannot contain subobjects.""" + if allowed and val not in allowed: return NOT_ALLOWED + if len(types): return TYPE_MISMATCH + for cls in TYPES[tname]: + if isinstance(val,cls): return True + return TYPE_MISMATCH + +def validate_list(types,val,allowed,tname): + """!Valdiates that val is a list that contains the specified allowed + values. Recurses into subobjects, which must be of type types[-1] """ + if not len(types): return TYPE_MISMATCH + if str(type(val)) not in TYPES(tname): return UNKNOWN_TYPE + for v in val: + result=VALIDATORS[types[-1]](types[:-1],v,allowed,types[-1]) + if not result: return result + return True + +def validate_dict(types,val,allowed,typ): + """!Valdiates that val is a map that contains the specified allowed + values. Recurses into subobjects, which must be of type types[-1] """ + if not len(types): return TYPE_MISMATCH + if str(type(val)) not in typ['list']: return UNKNOWN_TYPE + for k,v in val.items(): + result=VALIDATORS[types[-1]](types[:-1],v,allowed,types[-1]) + if not result: return result + return True + +## @var TYPES +# Mapping from YAML type to valid python types. +TYPES={ 'int':[int], 'bool':[bool], 'string':[str,bytes], + 'float':[float], 'list':[set,list,tuple,list_eval], + 'dict':[dict,dict_eval] } + +## @var VALIDATORS +# Mapping from YAML type to validation function. +VALIDATORS={ 'map':validate_dict, + 'seq':validate_list, + 'set':validate_list, + 'int':validate_scalar, + 'bool':validate_scalar, + 'string':validate_scalar, + 'float':validate_scalar } + +def validate_type(var,typ,val,allowed): + """!Top-level validation function. Checks that the value val of the + variable var is of the given type typ and has values in the list + of those allowed. """ + types=typ.split() + for t in types: + if t not in VALIDATORS: + raise InvalidConfigType('%=%s: unknown type in %s'%( + str(var),repr(t),repr(typ))) + result=VALIDATORS[types[-1]](types[:-1],val,allowed,types[-1]) + if result is UNKNOWN_TYPE: + raise InvalidConfigType('%s: type %s: unknown type in %s'%( + str(var),repr(t),repr(typ))) + elif result is TYPE_MISMATCH: + raise InvalidConfigValue('%s=%s: not valid for type %s'%( + str(var),repr(val),repr(typ))) + elif result is NOT_ALLOWED: + raise InvalidConfigValue('%s=%s: not an allowed value (%s)'%( + str(var),repr(val),', '.join([repr(s) for s in allowed]))) + +def validate_var(scheme,var,val): + """!Main entry point to recursive validation system. Validates + variable var with value val against the YAML Template list item in + scheme. """ + if 'type' not in scheme: + raise InvalidConfigTemplate(var+'.type: missing') + typ=scheme.type + if not isinstance(typ,str): + raise InvalidConfigTemplate(var+'.type: must be a string') + allowed=scheme.get('allowed',[]) + if not isinstance(allowed,list) and not isinstance(allowed,list_eval): + raise InvalidConfigTemplate(var+'.allowed: must be a list') + validate_type(var,typ,val,allowed) From 07ebb10e4215a0b570b604f689aab77ec83c5cb5 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 15 Aug 2017 22:02:14 +0000 Subject: [PATCH 012/487] add two more features: 1. timedelta support 2. support for reading external files and replacing their results with variables from some scope --- crow/config/__init__.py | 7 ++++ crow/config/eval_tools.py | 16 +++++--- crow/config/exceptions.py | 5 ++- crow/config/from_yaml.py | 58 ++++++++++++++++++++++++++++- crow/config/tools.py | 9 +++++ examples/toy-yaml/example-config.py | 20 ++++++++-- examples/toy-yaml/namelist.nl | 6 +++ examples/toy-yaml/test.yml | 15 +++++--- 8 files changed, 118 insertions(+), 18 deletions(-) create mode 100644 examples/toy-yaml/namelist.nl diff --git a/crow/config/__init__.py b/crow/config/__init__.py index 93084cc..05680ca 100644 --- a/crow/config/__init__.py +++ b/crow/config/__init__.py @@ -16,6 +16,13 @@ def to_py(obj): return obj._to_py() if hasattr(obj,'_to_py') else obj +def expand_text(text,scope): + if hasattr(scope,'_expand_text'): + return scope._expand_text(text) + raise TypeError('In expand_text, the "scope" parameter must be an ' + 'object with the _expand_text argument. You sent a ' + '%s.'%(type(scope).__name__)) + def from_string(s): c=ConvertFromYAML(yaml.load(s),CONFIG_TOOLS,ENV) result=c.convert() diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 1edd5b2..a5ecdc8 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -32,6 +32,7 @@ from collections.abc import MutableMapping, MutableSequence from copy import copy,deepcopy +from crow.config.exceptions import * __all__=[ 'expand', 'strcalc', 'from_config', 'dict_eval', 'list_eval', 'multidict', 'Eval' ] @@ -104,6 +105,8 @@ def _has_raw(self,key): self._raw(key) return True except KeyError: return False + def _expand_text(self,text): + eval('f'+repr(text),self._globals(),self) def __repr__(self): return '%s(%s)'%( type(self).__name__, @@ -124,22 +127,23 @@ class dict_eval(MutableMapping): * __getitem__(b) + __getitem__(c) """ def __init__(self,child): - assert(not isinstance(child,dict_eval)) + #assert(not isinstance(child,dict_eval)) self.__child=copy(child) self.__cache=copy(child) self.__globals={} + def __contains__(self,k): return k in self.__child def __len__(self): return len(self.__child) + def __copy__(self): return dict_eval(self.__child) + def _raw_child(self): return self.__child + def _has_raw(self,key): return key in self.__child def _raw(self,key): """!Returns the value for the given key, without calling eval() on it""" return self.__child[key] - def _has_raw(self,key): - return key in self.__child - def __contains__(self,k): return k in self.__child def _globals(self): """!Returns the global values used in eval() functions""" return self.__globals - def __copy__(self): - return dict_eval(self.__child) + def _expand_text(self,text): + return eval('f'+repr(text),self.__globals,self) def __deepcopy__(self,memo): cls=type(self.__child) r=dict_eval(cls([ (k,deepcopy(v)) for k,v in self.__child])) diff --git a/crow/config/exceptions.py b/crow/config/exceptions.py index e65f583..0bcc9d3 100644 --- a/crow/config/exceptions.py +++ b/crow/config/exceptions.py @@ -1,4 +1,7 @@ -# Note: all symbols are public by default (no __all__) +__all__=['ConfigError', 'ConditionalMissingDoWhen', 'TemplateErrors', + 'CalcRecursionTooDeep', 'ExpandMissingResult', + 'CalcKeyError', 'TemplateError', 'InvalidConfigTemplate', + 'InvalidConfigValue', 'InvalidConfigType' ] # module-specific exceptions: class ConfigError(Exception): pass diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index 573cb11..3c0ad13 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -9,13 +9,18 @@ """ +from datetime import timedelta from collections import namedtuple, OrderedDict +import re + import yaml from yaml import YAMLObject + from crow.config.eval_tools import * from crow.config.represent import * from crow.config.tasks import * from crow.config.template import Template +from crow.config.exceptions import * __all__=['ConvertFromYAML'] @@ -52,9 +57,58 @@ def type_for(t): ######################################################################## +DT_REGEX={ + u'(\d+):(\d+)':( + lambda m: timedelta(hours=m[0],minutes=m[1]) ), + u'(\d+):(\d+):(\d+)':( + lambda m: timedelta(hours=m[0],minutes=m[1],seconds=m[2]) ), + u'(\d+)d(\d+)h':( + lambda m: timedelta(days=m[0],hours=m[1])), + u'(\d+)d(\d+):(\d+)':( + lambda m: timedelta(days=m[0],hours=m[1],minutes=m[2])), + u'(\d+)d(\d+):(\d+):(\d+)':( + lambda m: timedelta(days=m[0],hours=m[1],minutes=m[2], + seconds=m[3])) + } + +def timedelta_constructor(loader,node): + s=loader.construct_scalar(node) + mult=1 + if s[0]=='-': + s=s[1:] + mult=-1 + for regex,fun in DT_REGEX.items(): + m=re.match(regex,s) + if m: + ints=[ int(s,10) for s in m.groups() ] + return mult*fun(ints) + raise ValueError(s+': invalid timedelta specification (12:34, ' + '12:34:56, 9d12h, 9d12:34, 9d12:34:56)') + +ZERO_DT=timedelta() + +def timedelta_representer(dumper,dt): + pre='' + if dt Date: Tue, 15 Aug 2017 22:02:54 +0000 Subject: [PATCH 013/487] Add a SuiteView, needed to automatically generate dependency information. This gets us slightly closer to having workflow generation support. --- crow/config/tasks.py | 44 ++++++++++++++++++++++++++++++++++++++------ 1 file changed, 38 insertions(+), 6 deletions(-) diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 8b6b637..7144cd4 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -9,7 +9,8 @@ - inheritance """ -from collections import namedtuple +from collections import namedtuple, UserDict +from copy import copy from crow.config.exceptions import * from crow.config.eval_tools import dict_eval, strcalc @@ -17,6 +18,35 @@ 'TaskStateNot', 'TaskStateIs', 'Taskable', 'Task', 'Family', 'CycleAt', 'CycleTime', 'Cycle', 'Timespec' ] +class SuiteView(dict_eval): + def __init__(self,path,child): + super().__init__(child) + self.__path=path + + @property + def path(self): return self.__path + + def __getattr__(self,key): + if key in self: return self[key] + # Any key not "in self" is referring to an actual method or + # property of the child class. Hence, we pass it through + # without __wrapping it. + return getattr(self._raw_child(),key) + + def __wrap(self,obj): + if isinstance(val,Taskable): + # Add to path when we add a family + return SuiteView(self.__path+[key],val) + if isinstance(val,Cycle): + # Reset path when we see a cycle + return SuiteView(self.__path[:1],val) + if isinstance(obj,SuiteView): + return obj + return val + + def __getitem__(self,key): + return self.__wrap(dict_eval.__getitem__(self,key)) + class Trigger(strcalc): pass class Depend(strcalc): pass class Timespec(strcalc): pass @@ -29,7 +59,6 @@ def as_state(obj): elif isinstance(obj,ComboState): return obj else: return NotImplemented - class TaskStateAnd(namedtuple('TaskStateAnd',['task1','task2'])): pass class TaskStateOr(namedtuple('TaskStateOr',['task1','task2'])): pass class TaskStateNot(namedtuple('TaskStateNot',['task'])): pass @@ -45,7 +74,10 @@ def as_task_state(obj,state='COMPLETED'): return NotImplemented class Taskable(object): - """!Represents any noun in a dependency specification.""" + """!Abstract base class that adds logical operators for dependency + specification. This is intended to be used as a mixin. It must + be included last in an inheritance list to ensure non-abstract + class constructors are called. """ def __and__(self,other): other=as_task_state(other) if other is NotImplemented: return other @@ -57,9 +89,9 @@ def __or__(self,other): def __not__(self): return TaskStateNot(as_task_state(self)) -class Task(dict_eval): pass -class Family(dict_eval): pass -class CycleAt(namedtuple('CycleAt',['cycle','hours','days'])): pass +class Task(dict_eval,Taskable): pass +class Family(dict_eval,Taskable): pass +class CycleAt(dict_eval,Taskable): pass class CycleTime(namedtuple('CycleTime',['cycle','hours','days'])): pass class Cycle(dict_eval): def name(self,when): From e47db2eff047b62869d7951a0041dfdab0090a6f Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 22 Aug 2017 01:19:49 +0000 Subject: [PATCH 014/487] generate a rocoto workflow from workflow specification in YAML --- crow/config/__init__.py | 11 +- crow/config/eval_tools.py | 31 ++- crow/config/from_yaml.py | 28 +-- crow/config/represent.py | 47 +++- crow/config/tasks.py | 335 ++++++++++++++++++++++------ crow/config/template.py | 2 +- crow/metascheduler/__init__.py | 2 +- crow/metascheduler/rocoto.py | 271 ++++++++++++++++++++++ crow/metascheduler/to_rocoto.py | 182 --------------- crow/sysenv/MoabTorque.py | 134 +++++++++++ crow/sysenv/__init__.py | 3 + crow/sysenv/exceptions.py | 3 + crow/sysenv/schedulers.py | 15 ++ crow/sysenv/spec.py | 135 +++++++++++ crow/sysenv/util.py | 27 +++ crow/tools.py | 12 +- examples/toy-yaml/example-config.py | 13 ++ examples/toy-yaml/test.yml | 75 ++++++- 18 files changed, 1024 insertions(+), 302 deletions(-) create mode 100644 crow/metascheduler/rocoto.py delete mode 100644 crow/metascheduler/to_rocoto.py create mode 100644 crow/sysenv/MoabTorque.py create mode 100644 crow/sysenv/__init__.py create mode 100644 crow/sysenv/exceptions.py create mode 100644 crow/sysenv/schedulers.py create mode 100644 crow/sysenv/spec.py create mode 100644 crow/sysenv/util.py diff --git a/crow/config/__init__.py b/crow/config/__init__.py index 05680ca..2878bc8 100644 --- a/crow/config/__init__.py +++ b/crow/config/__init__.py @@ -3,15 +3,18 @@ from crow.config.from_yaml import ConvertFromYAML from crow.config.template import Template from crow.config.represent import Action, Platform -from crow.config.tasks import Task, Family, CycleAt, CycleTime, \ - Cycle, Trigger, Depend, Timespec, TaskStateAnd, TaskStateOr, \ - TaskStateNot, TaskStateIs, Taskable from crow.config.tools import CONFIG_TOOLS, ENV +from crow.config.tasks import Suite, Depend, AndDependency, SuitePath, \ + OrDependency, NotDependency, StateDependency, Dependable, \ + Taskable, Task, Family, Cycle, LogicalDependency, SuiteView, \ + RUNNING, COMPLETED, FAILED, TRUE_DEPENDENCY, FALSE_DEPENDENCY, \ + CycleExistsDependency __all__=["from_string","from_file","to_py", 'Action', 'Platform', 'Template', 'TaskStateAnd', 'TaskStateOr', 'TaskStateNot', 'TaskStateIs', 'Taskable', 'Task', 'Family', 'CycleAt', 'CycleTime', 'Cycle', - 'Trigger', 'Depend', 'Timespec'] + 'Trigger', 'Depend', 'Timespec', 'SuitePath', + 'CycleExistsDependency'] def to_py(obj): return obj._to_py() if hasattr(obj,'_to_py') else obj diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index a5ecdc8..80994ab 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -136,6 +136,7 @@ def __len__(self): return len(self.__child) def __copy__(self): return dict_eval(self.__child) def _raw_child(self): return self.__child def _has_raw(self,key): return key in self.__child + def _set_globals(self,g): self.__globals=g def _raw(self,key): """!Returns the value for the given key, without calling eval() on it""" return self.__child[key] @@ -144,10 +145,20 @@ def _globals(self): return self.__globals def _expand_text(self,text): return eval('f'+repr(text),self.__globals,self) - def __deepcopy__(self,memo): + def _deepcopy_child(self,memo): cls=type(self.__child) - r=dict_eval(cls([ (k,deepcopy(v)) for k,v in self.__child])) + return deepcopy(self.__child,memo) + def _deepcopy_privates_from(self,memo,other): + self.__globals=dict([ ( deepcopy(k,memo),deepcopy(v,memo) ) + for k,v in other.__globals.items() ]) + #self.__globals=deepcopy(other.__globals,memo) + def __deepcopy__(self,memo): + cls=type(self) + r=cls({}) memo[id(self)]=r + r.__child=self._deepcopy_child(memo) + r.__cache=copy(r.__child) + r._deepcopy_privates_from(memo,self) return r def __setitem__(self,k,v): self.__child[k]=v @@ -210,6 +221,7 @@ def __init__(self,child,locals): self.__locals=locals self.__globals={} def __len__(self): return len(self.__child) + def _set_globals(self,g): self.__globals=g def _raw(self,i): """!Returns the value at index i without calling eval() on it""" return self.__child[i] @@ -217,11 +229,22 @@ def _has_raw(self,i): return i>=0 and len(self.__child)>i def __copy__(self): return list_eval(self.__child,self.__locals) + def _deepcopy_child_and_locals(self,memo): + return ( deepcopy(self.__child,memo), + deepcopy(self.__locals,memo) ) def __deepcopy__(self,memo): - r=list_eval([ deepcopy(v) for v in self.__child ], - deepcopy(self.__locals)) + if id(self) in memo: return memo[id(self)] + cls=type(self) + r=cls([],{}) + child,locals = self._deepcopy_child_and_locals(memo) + r.__child=child + r.__locals=locals + r.__cache=copy(child) memo[id(self)]=r + r._deepcopy_privates_from(memo,self) return r + def _deepcopy_privates_from(self,memo,other): + self.__globals=deepcopy(other.__globals,memo) def __setitem__(self,k,v): self.__child[k]=v self.__cache[k]=v diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index 3c0ad13..e9ace6f 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -57,33 +57,9 @@ def type_for(t): ######################################################################## -DT_REGEX={ - u'(\d+):(\d+)':( - lambda m: timedelta(hours=m[0],minutes=m[1]) ), - u'(\d+):(\d+):(\d+)':( - lambda m: timedelta(hours=m[0],minutes=m[1],seconds=m[2]) ), - u'(\d+)d(\d+)h':( - lambda m: timedelta(days=m[0],hours=m[1])), - u'(\d+)d(\d+):(\d+)':( - lambda m: timedelta(days=m[0],hours=m[1],minutes=m[2])), - u'(\d+)d(\d+):(\d+):(\d+)':( - lambda m: timedelta(days=m[0],hours=m[1],minutes=m[2], - seconds=m[3])) - } - def timedelta_constructor(loader,node): s=loader.construct_scalar(node) - mult=1 - if s[0]=='-': - s=s[1:] - mult=-1 - for regex,fun in DT_REGEX.items(): - m=re.match(regex,s) - if m: - ints=[ int(s,10) for s in m.groups() ] - return mult*fun(ints) - raise ValueError(s+': invalid timedelta specification (12:34, ' - '12:34:56, 9d12h, 9d12:34, 9d12:34:56)') + return to_timedelta(s) ZERO_DT=timedelta() @@ -118,9 +94,7 @@ def constructor(loader,node): add_yaml_string(u'!expand',expand) add_yaml_string(u'!calc',calc) -add_yaml_string(u'!Trigger',Trigger) add_yaml_string(u'!Depend',Depend) -add_yaml_string(u'!Timespec',Timespec) ######################################################################## diff --git a/crow/config/represent.py b/crow/config/represent.py index e4ee14b..0b8e2de 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -2,11 +2,49 @@ embedded yaml calculations, as well as internal representations of all custom data types in the yaml files.""" +import re +from datetime import timedelta +from copy import deepcopy from crow.config.exceptions import * from crow.config.eval_tools import list_eval, dict_eval, multidict, from_config, strcalc __all__=[ 'Action','Platform', 'Conditional', 'calc','max_index', - 'min_index', 'last_true', 'first_true' ] + 'min_index', 'last_true', 'first_true', 'to_timedelta' ] + +######################################################################## + +DT_REGEX={ + u'(\d+):(\d+)':( + lambda m: timedelta(hours=m[0],minutes=m[1]) ), + u'(\d+):(\d+):(\d+)':( + lambda m: timedelta(hours=m[0],minutes=m[1],seconds=m[2]) ), + u'(\d+)d(\d+)h':( + lambda m: timedelta(days=m[0],hours=m[1])), + u'(\d+)d(\d+):(\d+)':( + lambda m: timedelta(days=m[0],hours=m[1],minutes=m[2])), + u'(\d+)d(\d+):(\d+):(\d+)':( + lambda m: timedelta(days=m[0],hours=m[1],minutes=m[2], + seconds=m[3])) + } + +def to_timedelta(s): + if isinstance(s,timedelta): return s + if not isinstance(s,str): + raise TypeError('Argument to to_timedelta must be a str not a %s'%( + type(s).__name__,)) + mult=1 + if s[0]=='-': + s=s[1:] + mult=-1 + elif s[0]=='+': + s=s[1:] + for regex,fun in DT_REGEX.items(): + m=re.match(regex,s) + if m: + ints=[ int(s,10) for s in m.groups() ] + return mult*fun(ints) + raise ValueError(s+': invalid timedelta specification (12:34, ' + '12:34:56, 9d12h, 9d12:34, 9d12:34:56)') ######################################################################## @@ -49,6 +87,13 @@ def _result(self,globals,locals): scope[var]=tmpl['default'] self.__cache=values[index] return self.__cache + def __deepcopy__(self,memo): + cls=type(self) + index=deepcopy(self.__index) + child,locals=self._deepcopy_child_and_locals(memo) + r=cls(index,child,locals) + r._deepcopy_privates_from(memo,self) + return r def max_index(lst): return lst.index(max(lst)) if lst else None def min_index(lst): return lst.index(min(lst)) if lst else None diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 7144cd4..9371b1a 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -9,94 +9,283 @@ - inheritance """ -from collections import namedtuple, UserDict -from copy import copy +from datetime import timedelta +from collections import namedtuple, OrderedDict, Sequence +from collections.abc import Mapping +from copy import copy, deepcopy from crow.config.exceptions import * -from crow.config.eval_tools import dict_eval, strcalc +from crow.config.eval_tools import dict_eval, strcalc, multidict +from crow.config.represent import to_timedelta -__all__=[ 'TaskStateAnd', 'TaskStateOr', 'Trigger', 'Depend', - 'TaskStateNot', 'TaskStateIs', 'Taskable', 'Task', - 'Family', 'CycleAt', 'CycleTime', 'Cycle', 'Timespec' ] +__all__=[ 'SuiteView', 'Suite', 'Depend', 'LogicalDependency', + 'AndDependency', 'OrDependency', 'NotDependency', + 'StateDependency', 'Dependable', 'Taskable', 'Task', + 'Family', 'Cycle', 'RUNNING', 'COMPLETED', 'FAILED', + 'TRUE_DEPENDENCY', 'FALSE_DEPENDENCY', 'SuitePath', + 'CycleExistsDependency' ] -class SuiteView(dict_eval): - def __init__(self,path,child): - super().__init__(child) - self.__path=path +class StateConstant(object): + def __init__(self,name): + self.name=name + def __repr__(self): return self.name + def __str__(self): return self.name +RUNNING=StateConstant('RUNNING') +COMPLETED=StateConstant('COMPLETED') +FAILED=StateConstant('FAILED') - @property - def path(self): return self.__path +MISSING=object() +VALID_STATES=[ 'RUNNING', 'FAILED', 'COMPLETED' ] +ZERO_DT=timedelta() +EMPTY_DICT={} + +class SuitePath(Sequence): + """!Simply a read-only list that can be hashed.""" + def __init__(self,*args): + self.list=list(*args) + def __hash__(self): + result=0 + for element in self: + result=result^hash(element) + return result + def __getitem__(self,i): return self.list[i] + def __len__(self): return len(self.list) + +class SuiteView(Mapping): + LOCALS=set(['suite','viewed','path','parent','__cache','__globals', + '_more_globals']) + def __init__(self,suite,viewed,path,parent): + # assert(isinstance(suite,Suite)) + # assert(isinstance(viewed,dict_eval)) + # assert(isinstance(parent,SuiteView)) + self.suite=suite + self.viewed=viewed + self.path=path + self.parent=parent + self.__cache={} + + def has_cycle(self,dt): + return CycleExistsDependency(to_timedelta(dt)) + + def __len__(self): + return len(self.viewed) + + def __iter__(self): + for var in self.viewed: yield var + + def get_trigger_dep(self): + return self.get('Trigger',TRUE_DEPENDENCY) + + def get_complete_dep(self): + return self.get('Complete',FALSE_DEPENDENCY) + + def get_time_dep(self): + return self.get('Time',timedelta.min) + + def child_iter(self): + """!Iterates over all tasks and families that are direct + children of this family, yielding a SuiteView of each.""" + for var,val in self.items(): + if isinstance(val,SuiteView): + yield val + + def walk_task_tree(self): + """!Iterates over the entire tree of descendants below this SuiteView, + yielding a SuiteView of each.""" + for var,val in self.items(): + if isinstance(val,SuiteView): + yield val + for t in val.walk_task_tree(): + yield t + + def __contains__(self,key): + return key in self.viewed + + def is_task(self): return isinstance(self.viewed,Task) + + def at(self,dt): + dt=to_timedelta(dt) + ret=SuiteView(self.suite,self.viewed, + [self.path[0]+dt]+self.path[1:],self) + return ret def __getattr__(self,key): + if key in SuiteView.LOCALS: raise AttributeError(key) if key in self: return self[key] - # Any key not "in self" is referring to an actual method or - # property of the child class. Hence, we pass it through - # without __wrapping it. - return getattr(self._raw_child(),key) - - def __wrap(self,obj): - if isinstance(val,Taskable): - # Add to path when we add a family - return SuiteView(self.__path+[key],val) - if isinstance(val,Cycle): - # Reset path when we see a cycle - return SuiteView(self.__path[:1],val) - if isinstance(obj,SuiteView): - return obj - return val + raise AttributeError(key) def __getitem__(self,key): - return self.__wrap(dict_eval.__getitem__(self,key)) + assert(isinstance(key,str)) + if key in self.__cache: return self.__cache[key] + if key not in self.viewed: raise KeyError(key) + val=self.viewed[key] -class Trigger(strcalc): pass -class Depend(strcalc): pass -class Timespec(strcalc): pass + if isinstance(val,Task) or isinstance(val,Family): + val=self.__wrap(key,val) + elif hasattr(val,'_as_dependency'): + val=self.__wrap(key,val._as_dependency( + self.viewed._globals(),self.parent,self.path)) + self.__cache[key]=val + return val -def as_state(obj): + def __wrap(self,key,obj): + if isinstance(obj,Taskable): + # Add to path when recursing into a family or task + return SuiteView(self.suite,obj,self.path+[key],self) + if isinstance(obj,Cycle): + # Reset path when we see a cycle + return SuiteView(self.suite,obj,self.path[:1],self) + return obj + + # Dependency handling. When this SuiteView is wrapped around a + # Task or Family, these operators will generate dependencies. + + def __and__(self,other): + dep=as_dependency(other) + if dep is NotImplemented: return dep + return AndDependency(as_dependency(self.viewed),dep) + def __or__(self,other): + dep=as_dependency(other) + if dep is NotImplemented: return dep + return OrDependency(as_dependency(self.viewed),dep) + def __invert__(self): + return NotDependency(StateDependency(self,COMPLETED)) + def is_running(self): + return StateDependency(self,RUNNING) + def is_failed(self): + return StateDependency(self,FAILED) + def is_completed(self): + return StateDependency(self,COMPLETED) + +class Suite(SuiteView): + def __init__(self,suite,more_globals=EMPTY_DICT): + if not isinstance(suite,Cycle): + raise TypeError('The top level of a suite must be a Cycle not ' + 'a %s.'%(type(suite).__name__,)) + viewed=deepcopy(suite) + globals=dict(viewed._globals()) + assert(globals['tools'] is not None) + globals.update(suite=self, + RUNNING=RUNNING,COMPLETED=COMPLETED, + FAILED=FAILED) + self._more_globals=dict(more_globals) + + globals.update(self._more_globals) + super().__init__(self,viewed,[ZERO_DT],self) + viewed._recursively_set_globals(globals) + def has_cycle(self,dt): + return CycleExistsDependency(to_timedelta(dt)) + def make_empty_copy(self,more_globals=EMPTY_DICT): + new_more_globals=copy(self._more_globals) + new_more_globals.update(more_globals) + suite_copy=deepcopy(self.viewed) + return Suite(suite_copy,new_more_globals) + +class Depend(str): + def _as_dependency(self,globals,locals,path): + result=eval(self,globals,locals) + result=as_dependency(result,path) + return result + +def as_dependency(obj,path=MISSING,state=COMPLETED): """!Converts the containing object to a State. Action objects are compared to the "complete" state.""" - if isinstance(obj,Action): return State(other,'complete',True) - elif isinstance(obj,State): return obj - elif isinstance(obj,ComboState): return obj - else: return NotImplemented - -class TaskStateAnd(namedtuple('TaskStateAnd',['task1','task2'])): pass -class TaskStateOr(namedtuple('TaskStateOr',['task1','task2'])): pass -class TaskStateNot(namedtuple('TaskStateNot',['task'])): pass -class TaskStateIs(namedtuple('TaskStateIs',['task','state'])): pass - -def as_task_state(obj,state='COMPLETED'): - """!Converts obj to a task state comparison. If obj is not a task - state, then it is compared to the specified state.""" - if type(obj) in [ TaskStateAnd, TaskStateOr, TaskStateNot, TaskStateIs ]: + if isinstance(obj,SuiteView): + return StateDependency(obj,state) + if isinstance(obj,LogicalDependency): return obj - if isinstance(obj,Taskable): - return TaskStateIs(obj,state) + raise TypeError(f'{type(obj).__name__} is not a valid type for a dependency') return NotImplemented -class Taskable(object): - """!Abstract base class that adds logical operators for dependency - specification. This is intended to be used as a mixin. It must - be included last in an inheritance list to ensure non-abstract - class constructors are called. """ +class LogicalDependency(object): def __and__(self,other): - other=as_task_state(other) - if other is NotImplemented: return other - return TaskStateAnd(as_task_state(self),other) + dep=as_dependency(other) + if dep is NotImplemented: raise TypeError(other) + return AndDependency(self,dep) def __or__(self,other): - other=as_task_state(other) - if other is NotImplemented: return other - return TaskStateOr(as_task_state(self),other) - def __not__(self): - return TaskStateNot(as_task_state(self)) - -class Task(dict_eval,Taskable): pass -class Family(dict_eval,Taskable): pass -class CycleAt(dict_eval,Taskable): pass -class CycleTime(namedtuple('CycleTime',['cycle','hours','days'])): pass -class Cycle(dict_eval): - def name(self,when): - return self.get('format','cyc_%Y%m%d_%H%M%S') - def at(self,hours=0,days=0): - return CycleAt(self,hours,days) - def clock(self,hours=0,days=0): - return CycleTime(self,hours,days) + dep=as_dependency(other) + if dep is NotImplemented: raise TypeError(other) + return OrDependency(self,dep) + def __invert__(self): + return NotDependency(self) + +class AndDependency(LogicalDependency): + def __init__(self,*args): + self.depends=SuitePath(args) + def __and__(self,other): + if isinstance(other,AndDependency): + return AndDependency(self.depends+other.depends) + dep=as_dependency(other) + if dep is NotImplemented: return dep + return AndDependency(self.depends+[dep]) + def __iter__(self): + for dep in self.depends: + yield dep + def __repr__(self): + return f'and({repr(self.depends)})' + +class OrDependency(LogicalDependency): + def __init__(self,*args): + self.depends=SuitePath(args) + def __or__(self,other): + if isinstance(other,OrDependency): + return OrDependency(self.depends+other.depends) + dep=as_dependency(other) + if dep is NotImplemented: return dep + return OrDependency(self.depends+[dep]) + def __iter__(self): + for dep in self.depends: + yield dep + def __repr__(self): + return f'or({repr(self.depends)})' + +class NotDependency(LogicalDependency): + def __init__(self,depend): + self.depend=depend + def __invert__(self): + return self.depend + def __repr__(self): + return f'not({repr(self.depend)})' + def __iter__(self): yield self.depend + +class CycleExistsDependency(LogicalDependency): + def __init__(self,dt): + self.dt=dt + def __repr__(self): + return f'cycle_exists({repr(self.dt)})' + +class StateDependency(LogicalDependency): + def __init__(self,view,state): + self.view=view + self.state=state + def __repr__(self): + return f'state({self.state},{repr(self.view.path)})' + @property + def path(self): + return self.view.path + def is_task(self): + return self.view.is_task() + +class TrueDependency(LogicalDependency): + def __and__(self,other): + return other + def __or__(self,other): + return self + def __invert__(self): + return FALSE_DEPENDENCY + +class FalseDependency(LogicalDependency): + def __and__(self,other): + return self + def __or__(self,other): + return other + def __invert__(self): + return TRUE_DEPENDENCY + +TRUE_DEPENDENCY=TrueDependency() +FALSE_DEPENDENCY=FalseDependency() + +class Dependable(dict_eval): pass +class Taskable(Dependable): pass +class Task(Taskable): pass +class Family(Taskable): pass +class Cycle(dict_eval): pass diff --git a/crow/config/template.py b/crow/config/template.py index 306f10c..8cb4776 100644 --- a/crow/config/template.py +++ b/crow/config/template.py @@ -124,7 +124,7 @@ def validate_type(var,typ,val,allowed): types=typ.split() for t in types: if t not in VALIDATORS: - raise InvalidConfigType('%=%s: unknown type in %s'%( + raise InvalidConfigType('%s=%s: unknown type in %s'%( str(var),repr(t),repr(typ))) result=VALIDATORS[types[-1]](types[:-1],val,allowed,types[-1]) if result is UNKNOWN_TYPE: diff --git a/crow/metascheduler/__init__.py b/crow/metascheduler/__init__.py index d202263..c0c0723 100644 --- a/crow/metascheduler/__init__.py +++ b/crow/metascheduler/__init__.py @@ -1,4 +1,4 @@ -from crow.metascheduler.to_rocoto import to_rocoto +from crow.metascheduler.rocoto import to_rocoto __all__=[ 'to_rocoto' ] diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py new file mode 100644 index 0000000..7d3919b --- /dev/null +++ b/crow/metascheduler/rocoto.py @@ -0,0 +1,271 @@ +import sys +from datetime import timedelta, datetime +from io import StringIO as sio +from collections import namedtuple +from collections.abc import Sequence, Mapping +import crow.sysenv +from crow.config import SuiteView, Suite, Depend, LogicalDependency, \ + AndDependency, OrDependency, NotDependency, \ + StateDependency, Dependable, Taskable, Task, \ + Family, Cycle, RUNNING, COMPLETED, FAILED, \ + TRUE_DEPENDENCY, FALSE_DEPENDENCY, SuitePath, \ + CycleExistsDependency + +__all__=['ToRocoto','RocotoConfigError'] + +KEY_WARNINGS={ 'cyclethrottle':'Did you mean cycle_throttle?' } + +REQUIRED_KEYS={ 'workflow_install':'directory to receive Rocoto workflow', + 'scheduler':'Scheduler class', + 'workflow_xml': 'Contents of Rocoto XML file'} + +class RocotoConfigError(Exception): pass + +ROCOTO_STATE_MAP={ COMPLETED:'SUCCEEDED', + FAILED:'DEAD', + RUNNING:'RUNNING' } + +ROCOTO_DEP_TAG={ AndDependency:'and', + OrDependency:'or', + NotDependency:'not' } + +ZERO_DT=timedelta() + +def cycle_offset(dt): + sign=1 + if dt\n') + for d in dep: to_rocoto_dep(d,fd,indent+1) + fd.write(f'{" "*indent}\n') + elif isinstance(dep,StateDependency): + path='-'.join(dep.path[1:]) + state=ROCOTO_STATE_MAP[dep.state] + tag='taskdep' if dep.is_task() else 'metataskdep' + fd.write(f'{" "*indent}<{tag} task="{path}" state="{state}"/>\n') + elif isinstance(dep,CycleExistsDependency): + dt=cycle_offset(dep.dt) + fd.write(f'{" "*indent}\n') + +def to_rocoto_time_dep(dt,fd,indent): + string_dt=cycle_offset(dt) + fd.write(f'{" "*indent}{string_dt}\n') + +def to_rocoto_time(t): + return t.strftime('%Y%m%d%H%M') + +def xml_quote(s): + return s.replace('&','&') \ + .replace('"','"') \ + .replace('<','<') + +class ToRocoto(object): + def __init__(self,suite,fd): + self.fd=fd + if isinstance(suite,Cycle): + suite=Suite(suite) + elif not isinstance(suite,Suite): + raise TypeError('The suite argument must be a Suite, ' + 'not a '+type(suite).__name__) + + # Get the Rocoto settings: + if 'Rocoto' not in suite or not isinstance(suite.Rocoto,Mapping): + raise RocotoConfigError( + 'To run a suite in Rocoto, you must have a suite-level ' + 'Rocoto mapping that defines Rocoto-specific information.') + self.settings=suite.Rocoto + + # Get the scheduler + if 'scheduler' not in self.settings: + raise RocotoConfigError( + 'The Rocoto section of a suite must specify the scheduler ' + 'settings in the "scheduler" section.') + + scheduler_settings=self.settings.scheduler + scheduler_name=self.settings.scheduler.name + + sched=crow.sysenv.get_scheduler(scheduler_name,scheduler_settings) + + self.suite=suite.make_empty_copy({'sched':sched}) + self.settings=self.suite.Rocoto + self.__completes=dict() + self.__families=set() + self.__spacing=suite.Rocoto.get('indent_text',' ') + if not isinstance(self.__spacing,str): + raise TypeError("Suite's Rocoto.indent_text, if present, " + "must be a string.") + self.__dummy_var_count=0 + + def validate_cycle(self): + """!Perform sanity checks on top level of suite.""" + settings=self.settings + for key,what in REQUIRED_KEYS.items(): + if key not in settings: + raise KeyError('%s: missing variable (%s)'%(key,what)) + + for key,what in KEY_WARNINGS.items(): + if key in settings: + raise KeyError('%s: %s'%(key,what)) + + def convert_family(self,indent,view,trigger,complete,time): + trigger=trigger & view.get_trigger_dep() + complete=complete | view.get_complete_dep() + time=max(time,view.get_time_dep()) + space=self.__spacing + + self.__dummy_var_count+=1 + dummy_var="dummy_var_"+str(self.__dummy_var_count) + + path=xml_quote('-'.join(view.path[1:])) + if not isinstance(view,Suite): + self.fd.write(f'''{space*indent} +{space*indent} DUMMY_VALUE +''') + self.__families.add(SuitePath(view.path[1:-1])) + + for key,child in view.items(): + if not isinstance(child,SuiteView): + continue + if child.path[1:] == ['final']: + if not child.is_task(): + raise RocotoConfigError( + 'The "final" task must be a Task, not a Family.') + self.__final_task=child + elif child.is_task(): + self.convert_task(indent+1,child,trigger,complete,time) + else: + self.convert_family(indent+1,child,trigger,complete,time) + + if not isinstance(view,Suite): + self.fd.write(f'{space*indent}\n') + + def convert_task(self,indent,view,trigger,complete,time): + trigger=trigger & view.get_trigger_dep() + complete=complete | view.get_complete_dep() + time=max(time,view.get_time_dep()) + space=self.__spacing + + if complete is not FALSE_DEPENDENCY: + self.__completes[view.path[1:]]=complete + + dep_count = int(trigger is not TRUE_DEPENDENCY) + \ + int(time>timedelta.min) + indent1=indent+1 + + path='/'.join(view.path[1:]) + self.fd.write(f'{space*indent}\n') + + if 'RocotoResources' in view: + for line in view.RocotoResources.splitlines(): + self.fd.write(f'{space*indent1}{line}\n') + + if dep_count==2: + self.fd.write(space*indent1 + ' \n') + elif dep_count==1: + self.fd.write(space*indent1 + '\n') + + if trigger is not TRUE_DEPENDENCY: + to_rocoto_dep(trigger,self.fd,indent1+1) + if time>timedelta.min: + to_rocoto_time_dep(time,self.fd,indent1+1) + + if dep_count==2: + self.fd.write(space*indent1 + ' \n') + elif dep_count==1: + self.fd.write(space*indent1 + '\n') + self.fd.write(space*indent+'\n') + + def make_time_xml(self,indent=2): + start_time=self.Clock.start.strftime('%Y%m%d%H%M') + end_time=self.Clock.start.strftime('%Y%m%d%H%M') + step=to_timedelta(self.Clock.step) # convert to python timedelta + step=cycle_offset(step) # convert to rocoto time delta + space=self.__spacing + return f'{space*indent}{start_time} {end_time} {step}' + + def make_task_xml(self,indent=2): + self.convert_family(indent,self.suite,TRUE_DEPENDENCY,FALSE_DEPENDENCY, + timedelta.min) + self.handle_final_task(indent) + + def completes_for(self,item,with_completes): + path=SuitePath(item.path[1:]) + + if item.is_task(): + return item.is_complete() | self.__completes[item] + + # Initial completion dependency is the task or family + # completion unless this item is the Suite. Suites must be + # handled differently. + dep = item.is_complete() if path else FALSE_DEPENDENCY + + if path and path not in with_completes: + # Families with no "complete" dependency in their entire + # tree have no further dependencies to identify. Their + # own completion is the entirety of the completion + # dependency. + return dep + + for subitem in item.child_iter(): + if not isinstance(subitem,Taskable): continue + dep=dep | self.completes_for(subitem,with_completes) + + def handle_final_task(self,indent): + # Find and validate the "final" task: + final=None + if 'final' in self.suite: + final=self.suite.final + if not final.is_task(): + raise RocotoConfigError( + 'For a workflow suite to be expressed in Rocoto, it ' + 'must have a "final" task with no dependencies') + for elem in [ 'Trigger', 'Complete', 'Time', 'Perform' ]: + if elem in final: + raise RocotoConfigError( + f'{elem}: In a Rocoto workflow, the "final" task ' + 'must have no dependencies and no performed actions.') + + if self.__completes and final is None: + raise RocotoConfigError( + 'If a workflow suite has any "complete" conditions, ' + 'then it must have a "final" task with no dependencies.') + + # Find all families that have tasks with completes: + families_with_completes=set() + for task in self.__completes: + families_with_completes.add(task.path[1:-1]) + + # Generate dependency for the final task: + dep=self.completes_for(self.suite,families_with_completes) + + +def to_rocoto(suite,fd): + tr=ToRocoto(suite,fd) + tr.validate_cycle() + tr.make_task_xml() + +def test(): + from io import StringIO + def to_string(action): + sio=StringIO() + action(sio) + v=sio.getvalue() + sio.close() + return v + dt=timedelta(seconds=7380,days=2) + assert(cycle_offset(dt)=='50:03:00') + assert(xml_quote('&<"')=='&<"') + then=datetime.strptime('2017-08-15','%Y-%m-%d') + assert(to_rocoto_time(then+dt)=='201708170203') + result=to_string(lambda x: to_rocoto_time_dep(dt,x,1)) + assert(result==' 50:03:00\n') diff --git a/crow/metascheduler/to_rocoto.py b/crow/metascheduler/to_rocoto.py deleted file mode 100644 index 9c438ef..0000000 --- a/crow/metascheduler/to_rocoto.py +++ /dev/null @@ -1,182 +0,0 @@ -from crow.config import Action, Template, TaskStateAnd, TaskStateOr, \ - TaskStateNot, TaskStateIs, Taskable, Task, \ - Family,CycleAt,CycleTime,Cycle,Trigger,Depend,Timespec -import sys -from io import StringIO as sio -from collections import namedtuple - -__all__=['to_rocoto'] - -KEY_WARNINGS={ 'scheduler':'Did you mean rocoto_scheduler?', - 'cyclethrottle':'Did you mean cycle_throttle?' } - -REQUIRED_KEYS={ 'workflow_install':'directory to receive Rocoto workflow', - 'rocoto_scheduler':'Rocoto internal scheduler class' } - -class MetaschedulerConfigError(Exception): pass - -class RocotoTask(namedtuple('RocotoTask', - ['scope','task_path','trigger','complete'])): - pass - -def task_state_dep(task,time): - attr='task="%s"'%(task,) - if task.state != 'completed': - attr+=' state="%s"'%(task.state.upper(),) - if time: - attr+=' cycle_offset="%s"' - if state == 'completed': - return ' '*depth + '%s\n'%(tree.task,) - else: - return ' '*depth + \ - '%s\n'%( - tree.task,tree.state.upper()) - -TO_ROCOTO_DEP={ - TaskStateAnd: lambda x,t: to_dep('',[x.task1,x.task2]), - TaskStateOr: lambda x,t: to_dep('',[x.task1,x.task2]), - TaskStateNot: lambda x,t: to_dep('',[x.task]), - TaskStateIs: task_state_dep, - } - -def merge_trigger(a,b): - if a: - if b: - return TaskStateAnd(a,b) - else: - return a - elif b: - return b - -def merge_time(a,b): - if a is not None: - if b is not None: - return a if a>b else b - else: - return a - elif b is not None: - return b - -def merge_deps(task,family_trigger,family_complete,family_time): - ( trigger, complete, time ) = None, None, None - if 'trigger' in task: trigger = task.trigger - if 'complete' in task: complete = task.complete - if 'time' in task: time = task.time - - trigger=merge_trigger(trigger,family_trigger) - complete=merge_trigger(complete,family_complete) - time=merge_time(time,family_time) - - return trigger, complete, time - -class ToRocoto(object): - def __init__(self,suite): - self.suite=suite - self.tasks=dict() - self.completes=dict() - - def validate_cycle(self): - """!Perform sanity checks on top level of suite.""" - suite=self.suite - if not isinstance(suite,Cycle): - raise TypeError('The top level of a suite must be a Cycle, ' - 'not a %s'%(type(suite).__name__)) - - for key,what in REQUIRED_KEYS.items(): - if key not in suite: - raise KeyError('%s: missing variable (%s)'%(key,what)) - - for key,what in KEY_WARNINGS.items(): - if key in suite: - raise KeyError('%s: %s'%(key,what)) - - def flatten_tasks(self,fd): - suite=self.suite - for name,task in suite.items(): - if isinstance(task,Task): - self.convert_task([0,name],task,None,None,0) - elif isinstance(task,Family): - self.convert_task([0,name],task,None,None,0) - - if self.completes: - self.handle_completes() - - for name,task in suite.items(): - if name == 'final': continue - fd.write(''' -{command:%s} -&WORKFLOW_INSTALL;/log/{logname:%s} -''') - - def handle_completes(self): - if [0,'final'] not in self.tasks: - raise MetaschedulerConfigError( - 'In a Rocoto workflow, if a suite has "complete" ' - 'directives, it must have a "final" task at the suite ' - '(cycle) level.') - - final=self.tasks[ [0,'final'] ] - if final.trigger or final.complete: - raise MetaschedulerConfigError( - 'In a Rocoto workflow, the "final" task must have no' - '"complete" or "trigger" directives.') - - def add_tasks(self,tasks_path,task): - for task in tasks: - if 'complete' in task: - self.completes[task_path]=task - self.tasks[task_path]=task - - def top_level_xml(self): - - out.write(''' - -]> - -\n') - out.write(''' - &WORKFLOW_INSTALL;/logs/@Y@m@d@H.log - - {start:%Y%m%d%H%M} {end:%Y%m%d%H%M} {step:%s} - -'''.format({ - 'start':datetime.datetime(suite.start), - 'end':datetime.datetime(suite.end), - 'step':to_hhmmss(int(suite.step,10))})) - - def bottom_xml(self): - out.write('\n') - - def convert_task(self,task_path,scope,family_trigger, - family_complete,family_time): - ( trigger, complete, time ) = merge_deps( - scope,family_trigger,family_complete,family_time) - - return RocotoTask(scope,task_path,trigger,complete) - - def convert_family(self,task_path,scope,family_trigger, - family_complete,family_time): - ( trigger, complete, time ) = merge_deps( - scope,family_trigger,family_complete,family_time) - tasks=list() - for name,task in scope.items(): - path=task_path+[name] - if isinstance(task,Task): - tasks.append(self.convert_task(path,task,trigger,complete)) - elif isinstance(task,Family): - tasks.extend(self.convert_family(path,task,trigger,complete)) - - return tasks - - -def to_rocoto(suite): - tr=ToRocoto(suite) - tr.validate_cycle() - tr.flatten_tasks(sys.stdout) diff --git a/crow/sysenv/MoabTorque.py b/crow/sysenv/MoabTorque.py new file mode 100644 index 0000000..062d6e7 --- /dev/null +++ b/crow/sysenv/MoabTorque.py @@ -0,0 +1,134 @@ +import itertools +from crow.sysenv.exceptions import * +from crow.sysenv.util import ranks_to_nodes_ppn +from crow.sysenv.spec import JobResourceSpec +from collections import Sequence + +__all__=['Scheduler'] + +class Scheduler(object): + + def __init__(self,settings): + self.settings=dict(settings) + self.cores_per_node=int(settings['physical_cores_per_node']) + self.cpus_per_core=int(settings.get('logical_cpus_per_core',1)) + self.hyperthreading_allowed=bool( + settings.get('hyperthreading_allowed',False)) + self.rocoto_name='MoabTorque' + + def rocoto_accounting(self,spec,indent=''): + return '' + + def rocoto_resources(self,spec,indent=''): + if not isinstance(spec,JobResourceSpec): + spec=JobResourceSpec(spec) + + if spec.is_pure_serial(): + if spec[0].is_exclusive() in [True,None]: + return indent+'1:ppn=2\n' + else: + return indent+'1\n' + elif spec.is_pure_openmp(): + # Pure threaded. Treat as exclusive serial. + return indent+'1:ppn=2\n' + + # MPI program. Split into (nodes,ranks_per_node) pairs. + nodes_ranks=self.node_ppn_pairs_for_mpi_spec(spec) + + return '' \ + + '+'.join([f'{n}:ppn={p}' for n,p in nodes_ranks ]) \ + + '\n' + + def node_ppn_pairs_for_mpi_spec(self,spec): + """!Given a JobResourceSpec that represents an MPI program, express + it in (nodes,ranks_per_node) pairs.""" + + def remove_exe(rank): + if 'exe' in rank: del rank['exe'] + + # Merge ranks with same specifications: + collapsed=spec.simplify(self.merge_similar_ranks,remove_exe) + + # Get the (nodes,ppn) pairs for all ranks: + nodes_ranks=list() + can_hyper=self.hyperthreading_allowed + for block in collapsed: + max_per_node=self.cores_per_node + if can_hyper and block.get('hyperthreading',False): + max_per_node*=self.cpus_per_core + threads_per_node=max_per_node + max_per_node //= max(1,block.get('OMP_NUM_THREADS',1)) + if max_per_node<1: + raise MachineTooSmallError(f'Specification too large for node: max {threads_per_node} for {block!r}') + ranks=block['mpi_ranks'] + kj=ranks_to_nodes_ppn(max_per_node,ranks) + nodes_ranks.extend(kj) + assert(nodes_ranks) + return nodes_ranks + + def merge_similar_ranks(self,ranks): + if not isinstance(ranks,Sequence): + raise TypeError('ranks argument must be a Sequence not a %s'%( + type(ranks).__name__,)) + is_threaded=any([bool(rank.is_openmp()) for rank in ranks]) + i=0 + while i6:ppn=2+1:ppn=7\n') + + # Serial program test + input2=[ { 'exe':'echo', 'args':['hello','world'], 'exclusive':False } ] + spec2=JobResourceSpec(input2) + assert(sched.rocoto_resources(spec2)=='1\n') + + # Exclusive serial program test + input3=[ { 'exe':'echo', 'args':['hello','world 2'], 'exclusive':True } ] + spec3=JobResourceSpec(input3) + result=sched.rocoto_resources(spec3) + assert(result=='1:ppn=2\n') + + # Pure openmp test + input4=[ { 'OMP_NUM_THREADS':20 } ] + spec4=JobResourceSpec(input4) + result=sched.rocoto_resources(spec4) + assert(result=='1:ppn=2\n') + + # Too big for node + try: + input5=[ { 'OMP_NUM_THREADS':200, 'mpi_ranks':3 } ] + spec5=JobResourceSpec(input5) + result=sched.rocoto_resources(spec5) + assert(False) + except MachineTooSmallError: + pass # success! + diff --git a/crow/sysenv/__init__.py b/crow/sysenv/__init__.py new file mode 100644 index 0000000..f2cd087 --- /dev/null +++ b/crow/sysenv/__init__.py @@ -0,0 +1,3 @@ +from crow.sysenv.spec import JobResourceSpec, JobRankSpec +from crow.sysenv.exceptions import UnknownSchedulerError +from crow.sysenv.schedulers import get_scheduler, has_scheduler diff --git a/crow/sysenv/exceptions.py b/crow/sysenv/exceptions.py new file mode 100644 index 0000000..2e622d0 --- /dev/null +++ b/crow/sysenv/exceptions.py @@ -0,0 +1,3 @@ +class SchedulerConfigError(Exception): pass +class UnknownSchedulerError(SchedulerConfigError): pass +class MachineTooSmallError(Exception): pass diff --git a/crow/sysenv/schedulers.py b/crow/sysenv/schedulers.py new file mode 100644 index 0000000..9ea9ef1 --- /dev/null +++ b/crow/sysenv/schedulers.py @@ -0,0 +1,15 @@ +from crow.sysenv.exceptions import UnknownSchedulerError +from crow.sysenv.MoabTorque import Scheduler as MoabTorqueScheduler + +KNOWN_SCHEDULERS={ + 'MoabTorque': MoabTorqueScheduler + } + +def get_scheduler(name,settings): + if name not in KNOWN_SCHEDULERS: + raise UnknownSchedulerError(name) + cls=KNOWN_SCHEDULERS[name] + return cls(settings) + +def has_scheduler(name): + return name in KNOWN_SCHEDULERS diff --git a/crow/sysenv/spec.py b/crow/sysenv/spec.py new file mode 100644 index 0000000..6807896 --- /dev/null +++ b/crow/sysenv/spec.py @@ -0,0 +1,135 @@ +from collections import UserList, Mapping, Sequence + +__all__=['JobRankSpec','JobResourceSpec'] + +JOB_RANK_SPEC_TEMPLATE={ + 'mpi_ranks':0, + 'OMP_NUM_THREADS':0, + 'hyperthreading':False } + +MISSING=object() # special constant for missing arguments + +class JobRankSpec(Mapping): + def __init__(self,*,OMP_NUM_THREADS=0,mpi_ranks=0, + exe=MISSING,args=MISSING,exclusive=True, + separate_node=False): + self.__spec={ + 'mpi_ranks':max(0,int(mpi_ranks)), + 'exclusive':bool(exclusive), + 'separate_node':separate_node, + 'OMP_NUM_THREADS':max(0,int(OMP_NUM_THREADS)), + 'exe':( None if exe is MISSING else exe ), + 'args':( [] if args is MISSING else list(args) ) } + if not isinstance(exe,str) and exe is not MISSING and \ + exe is not None: + raise TypeError('exe must be a string, not a %s'%( + type(exe).__name__,)) + + def is_exclusive(self): + """!Trinary accessor - True, False, None (unset). None indicates + no request was made for or against exclusive.""" + return self.__spec['exclusive'] + + def is_pure_serial(self): + return not self.is_mpi() and not self.is_openmp() + def is_openmp(self): + return self['OMP_NUM_THREADS']>0 + def is_mpi(self): + return self['mpi_ranks']>0 + + def simplify(self,adapt): + js=JobRankSpec(**self.__spec) + adapt(js.__spec) + return js + + def new_with(self,*args,**kwargs): + """!Creates a new JobRankSpec with the given modifications. The + calling convention is the same as dict.update().""" + newspec=dict(self.__spec) + newspec.update(*args,**kwargs) + return JobRankSpec(**newspec) + + # Implement Mapping abstract methods: + def __getitem__(self,key): return self.__spec[key] + def __len__(self): return len(self.__spec) + def __iter__(self): + for k in self.__spec: + yield k + + def __repr__(self): + typ=type(self).__name__ + return typ+'{'+\ + ','.join([f'{repr(k)}:{repr(v)}' for k,v in self.items()]) + \ + '}' + +class JobResourceSpec(Sequence): + def __init__(self,specs): + self.__specs=[ JobRankSpec(**spec) for spec in specs ] + + # Implement Sequence abstract methods: + def __getitem__(self,index): return self.__specs[index] + def __len__(self): return len(self.__specs) + + def simplify(self,adapt_resource_spec,adapt_rank_spec): + new=JobResourceSpec( + [ spec.simplify(adapt_rank_spec) for spec in self ]) + adapt_resource_spec(new.__specs) + return new + + def has_threads(self): + return any([ spec.is_openmp() for spec in self]) + + def total_ranks(self): + return sum([ spec['mpi_ranks'] for spec in self]) + + def is_pure_serial(self): + return len(self)<2 and self[0].is_pure_serial() + + def is_pure_openmp(self): + return len(self)<2 and not self[0].is_mpi() and self[0].is_openmp() + + def __repr__(self): + typ=type(self).__name__ + return f'{typ}[{", ".join([repr(r) for r in self])}]' + +def test(): + # MPI + OpenMP program test + input1=[ + {'mpi_ranks':5, 'OMP_NUM_THREADS':12}, + {'mpi_ranks':7, 'OMP_NUM_THREADS':12}, + {'mpi_ranks':7} ] + spec1=JobResourceSpec(input1) + assert(spec1.has_threads()) + assert(spec1.total_ranks()==19) + assert(not spec1.is_pure_serial()) + assert(not spec1.is_pure_openmp()) + assert(len(spec1)==3) + for x in [0,1,2]: + assert(spec1[x].is_mpi()) + for x in [0,1]: + assert(spec1[x].is_openmp()) + assert(not spec1[2].is_openmp()) + for x in [0,1,2]: + assert(not spec1[x].is_pure_serial()) + + # Serial program test + input2=[ { 'exe':'echo', 'args':['hello','world'] } ] + spec2=JobResourceSpec(input2) + assert(not spec2.has_threads()) + assert(spec2.total_ranks()==0) + assert(spec2.is_pure_serial()) + assert(not spec2.is_pure_openmp()) + assert(spec2[0].is_pure_serial()) + assert(not spec2[0].is_openmp()) + assert(not spec2[0].is_mpi()) + + # Pure openmp test + input3=[ { 'OMP_NUM_THREADS':20 } ] + spec3=JobResourceSpec(input3) + assert(spec3.has_threads()) + assert(spec3.total_ranks()==0) + assert(not spec3.is_pure_serial()) + assert(spec3.is_pure_openmp()) + assert(not spec3[0].is_pure_serial()) + assert(spec3[0].is_openmp()) + assert(not spec3[0].is_mpi()) diff --git a/crow/sysenv/util.py b/crow/sysenv/util.py new file mode 100644 index 0000000..d3e207e --- /dev/null +++ b/crow/sysenv/util.py @@ -0,0 +1,27 @@ +__all__=['ranks_to_nodes_ppn'] + +def ranks_to_nodes_ppn(max_per_node,ranks): + """!Given an MPI process that requires "ranks" ranks, and must run on + compute nodes with max_per_node maximum ranks per node, returns a + list of (nodes, ranks_per_node) tuples that spread the ranks on as + few nodes as possible. No more than two nodes are returned. """ + if ranks<0: + raise ValueError('Must have at least 1 MPI rank.') + if max_per_node<1: + raise ValueError('Nodes must support at least 1 rank per node.') + if ranks + + ]> + + + &WORKFLOW_INSTALL;/logs/@Y@m@d@H.log + {make_time_xml(indent=2)} + {make_task_xml(indent=2)} + + + accounting: + queue: batch + account: fv3-cpu + mpi_task_template: &my_task_template !expand | + {doc.platform.queue} + {sched.rocoto_accounting(suite.Rocoto.accounting)} + {sched.rocoto_resources(Perform.resources)} -suite: !Cycle Clock: start: 2017-02-14t18:00:00 end: 2017-02-19t12:00:00 - step: !calc 6*3600 + step: !timedelta 06:00 gfs: !Family + prep: !Task + Perform: *gfsprep + RocotoResources: *my_task_template + anal: !Task + Perform: *gfsanl + Trigger: !Depend suite.has_cycle('-6:00') | ~ prep.at('-6:00') + RocotoResources: *my_task_template fcst: !Task Perform: *gfsfcst - Trigger: !Depend doc.suite.at(hours=-6).gdas.anal - Time: !Timespec doc.suite.clock(hours=+3) + Trigger: !Depend anal + Time: !timedelta 3:15 + RocotoResources: *my_task_template post: !Task Perform: *gfspost - Trigger: !Trigger fcst==RUNNING + Trigger: !Depend fcst.is_running() + RocotoResources: *my_task_template + final: !Task + RocotoResources: *my_task_template \ No newline at end of file From 18680a7a51496007404a93d1cb407c3aa026808f Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 22 Aug 2017 14:24:52 +0000 Subject: [PATCH 015/487] Further progress towards full Rocoto support. Generates full Rocoto document now. --- crow/config/from_yaml.py | 1 + crow/config/represent.py | 38 +----- crow/config/tasks.py | 40 ++++--- crow/metascheduler/rocoto.py | 180 ++++++++++++++++------------ crow/tools.py | 38 +++++- examples/toy-yaml/example-config.py | 2 +- examples/toy-yaml/test.yml | 49 ++++++-- 7 files changed, 207 insertions(+), 141 deletions(-) diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index e9ace6f..6a3c952 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -21,6 +21,7 @@ from crow.config.tasks import * from crow.config.template import Template from crow.config.exceptions import * +from crow.tools import to_timedelta __all__=['ConvertFromYAML'] diff --git a/crow/config/represent.py b/crow/config/represent.py index 0b8e2de..883059b 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -7,44 +7,10 @@ from copy import deepcopy from crow.config.exceptions import * from crow.config.eval_tools import list_eval, dict_eval, multidict, from_config, strcalc +from crow.tools import to_timedelta __all__=[ 'Action','Platform', 'Conditional', 'calc','max_index', - 'min_index', 'last_true', 'first_true', 'to_timedelta' ] - -######################################################################## - -DT_REGEX={ - u'(\d+):(\d+)':( - lambda m: timedelta(hours=m[0],minutes=m[1]) ), - u'(\d+):(\d+):(\d+)':( - lambda m: timedelta(hours=m[0],minutes=m[1],seconds=m[2]) ), - u'(\d+)d(\d+)h':( - lambda m: timedelta(days=m[0],hours=m[1])), - u'(\d+)d(\d+):(\d+)':( - lambda m: timedelta(days=m[0],hours=m[1],minutes=m[2])), - u'(\d+)d(\d+):(\d+):(\d+)':( - lambda m: timedelta(days=m[0],hours=m[1],minutes=m[2], - seconds=m[3])) - } - -def to_timedelta(s): - if isinstance(s,timedelta): return s - if not isinstance(s,str): - raise TypeError('Argument to to_timedelta must be a str not a %s'%( - type(s).__name__,)) - mult=1 - if s[0]=='-': - s=s[1:] - mult=-1 - elif s[0]=='+': - s=s[1:] - for regex,fun in DT_REGEX.items(): - m=re.match(regex,s) - if m: - ints=[ int(s,10) for s in m.groups() ] - return mult*fun(ints) - raise ValueError(s+': invalid timedelta specification (12:34, ' - '12:34:56, 9d12h, 9d12:34, 9d12:34:56)') + 'min_index', 'last_true', 'first_true' ] ######################################################################## diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 9371b1a..bd8e7cf 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -15,7 +15,7 @@ from copy import copy, deepcopy from crow.config.exceptions import * from crow.config.eval_tools import dict_eval, strcalc, multidict -from crow.config.represent import to_timedelta +from crow.tools import to_timedelta __all__=[ 'SuiteView', 'Suite', 'Depend', 'LogicalDependency', 'AndDependency', 'OrDependency', 'NotDependency', @@ -38,17 +38,13 @@ def __str__(self): return self.name ZERO_DT=timedelta() EMPTY_DICT={} -class SuitePath(Sequence): - """!Simply a read-only list that can be hashed.""" - def __init__(self,*args): - self.list=list(*args) +class SuitePath(list): + """!Simply a list that can be hashed.""" def __hash__(self): result=0 for element in self: result=result^hash(element) return result - def __getitem__(self,i): return self.list[i] - def __len__(self): return len(self.list) class SuiteView(Mapping): LOCALS=set(['suite','viewed','path','parent','__cache','__globals', @@ -59,10 +55,16 @@ def __init__(self,suite,viewed,path,parent): # assert(isinstance(parent,SuiteView)) self.suite=suite self.viewed=viewed - self.path=path + self.path=SuitePath(path) self.parent=parent self.__cache={} + def __eq__(self,other): + return self.path==other.path and self.suite is other.suite + + def __hash__(self): + return hash(self.path) + def has_cycle(self,dt): return CycleExistsDependency(to_timedelta(dt)) @@ -198,10 +200,14 @@ def as_dependency(obj,path=MISSING,state=COMPLETED): class LogicalDependency(object): def __and__(self,other): + if other is FALSE_DEPENDENCY: return other + if other is TRUE_DEPENDENCY: return self dep=as_dependency(other) if dep is NotImplemented: raise TypeError(other) return AndDependency(self,dep) def __or__(self,other): + if other is TRUE_DEPENDENCY: return other + if other is FALSE_DEPENDENCY: return self dep=as_dependency(other) if dep is NotImplemented: raise TypeError(other) return OrDependency(self,dep) @@ -210,13 +216,16 @@ def __invert__(self): class AndDependency(LogicalDependency): def __init__(self,*args): - self.depends=SuitePath(args) + self.depends=list(args) + assert(self.depends) def __and__(self,other): + if other is TRUE_DEPENDENCY: return self + if other is FALSE_DEPENDENCY: return other if isinstance(other,AndDependency): - return AndDependency(self.depends+other.depends) + return AndDependency(*(self.depends+other.depends)) dep=as_dependency(other) if dep is NotImplemented: return dep - return AndDependency(self.depends+[dep]) + return AndDependency(*(self.depends+[dep])) def __iter__(self): for dep in self.depends: yield dep @@ -225,13 +234,16 @@ def __repr__(self): class OrDependency(LogicalDependency): def __init__(self,*args): - self.depends=SuitePath(args) + self.depends=list(args) + assert(self.depends) def __or__(self,other): + if other is FALSE_DEPENDENCY: return self + if other is TRUE_DEPENDENCY: return other if isinstance(other,OrDependency): - return OrDependency(self.depends+other.depends) + return OrDependency(*(self.depends+other.depends)) dep=as_dependency(other) if dep is NotImplemented: return dep - return OrDependency(self.depends+[dep]) + return OrDependency(*(self.depends+[dep])) def __iter__(self): for dep in self.depends: yield dep diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index 7d3919b..57a69e5 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -1,8 +1,9 @@ import sys from datetime import timedelta, datetime -from io import StringIO as sio +from io import StringIO from collections import namedtuple from collections.abc import Sequence, Mapping +from crow.tools import to_timedelta import crow.sysenv from crow.config import SuiteView, Suite, Depend, LogicalDependency, \ AndDependency, OrDependency, NotDependency, \ @@ -15,6 +16,8 @@ KEY_WARNINGS={ 'cyclethrottle':'Did you mean cycle_throttle?' } +MISSING=object() + REQUIRED_KEYS={ 'workflow_install':'directory to receive Rocoto workflow', 'scheduler':'Scheduler class', 'workflow_xml': 'Contents of Rocoto XML file'} @@ -50,12 +53,17 @@ def to_rocoto_dep(dep,fd,indent): fd.write(f'{" "*indent}\n') elif isinstance(dep,StateDependency): path='-'.join(dep.path[1:]) - state=ROCOTO_STATE_MAP[dep.state] tag='taskdep' if dep.is_task() else 'metataskdep' - fd.write(f'{" "*indent}<{tag} task="{path}" state="{state}"/>\n') + if dep.state is COMPLETED: + fd.write(f'{" "*indent}<{tag} task="{path}"/>\n') + else: + state=ROCOTO_STATE_MAP[dep.state] + fd.write(f'{" "*indent}<{tag} task="{path}" state="{state}"/>\n') elif isinstance(dep,CycleExistsDependency): dt=cycle_offset(dep.dt) fd.write(f'{" "*indent}\n') + else: + raise TypeError(f'Unexpected {type(dep).__name__} in to_rocoto_dep') def to_rocoto_time_dep(dt,fd,indent): string_dt=cycle_offset(dt) @@ -70,34 +78,18 @@ def xml_quote(s): .replace('<','<') class ToRocoto(object): - def __init__(self,suite,fd): - self.fd=fd - if isinstance(suite,Cycle): - suite=Suite(suite) - elif not isinstance(suite,Suite): - raise TypeError('The suite argument must be a Suite, ' + def __init__(self,suite): + if not isinstance(suite,Cycle): + raise TypeError('The suite argument must be a Cycle, ' 'not a '+type(suite).__name__) - - # Get the Rocoto settings: - if 'Rocoto' not in suite or not isinstance(suite.Rocoto,Mapping): - raise RocotoConfigError( - 'To run a suite in Rocoto, you must have a suite-level ' - 'Rocoto mapping that defines Rocoto-specific information.') - self.settings=suite.Rocoto - - # Get the scheduler - if 'scheduler' not in self.settings: - raise RocotoConfigError( - 'The Rocoto section of a suite must specify the scheduler ' - 'settings in the "scheduler" section.') - - scheduler_settings=self.settings.scheduler - scheduler_name=self.settings.scheduler.name + scheduler_settings=suite.Rocoto.scheduler + scheduler_name=suite.Rocoto.scheduler.name sched=crow.sysenv.get_scheduler(scheduler_name,scheduler_settings) - self.suite=suite.make_empty_copy({'sched':sched}) + self.suite=Suite(suite,{'sched':sched,'to_rocoto':self}) self.settings=self.suite.Rocoto + self.sched=sched self.__completes=dict() self.__families=set() self.__spacing=suite.Rocoto.get('indent_text',' ') @@ -106,6 +98,9 @@ def __init__(self,suite,fd): "must be a string.") self.__dummy_var_count=0 + def expand_workflow_xml(self): + return self.settings.workflow_xml + def validate_cycle(self): """!Perform sanity checks on top level of suite.""" settings=self.settings @@ -117,7 +112,7 @@ def validate_cycle(self): if key in settings: raise KeyError('%s: %s'%(key,what)) - def convert_family(self,indent,view,trigger,complete,time): + def convert_family(self,fd,indent,view,trigger,complete,time): trigger=trigger & view.get_trigger_dep() complete=complete | view.get_complete_dep() time=max(time,view.get_time_dep()) @@ -128,7 +123,7 @@ def convert_family(self,indent,view,trigger,complete,time): path=xml_quote('-'.join(view.path[1:])) if not isinstance(view,Suite): - self.fd.write(f'''{space*indent} + fd.write(f'''{space*indent} {space*indent} DUMMY_VALUE ''') self.__families.add(SuitePath(view.path[1:-1])) @@ -142,72 +137,87 @@ def convert_family(self,indent,view,trigger,complete,time): 'The "final" task must be a Task, not a Family.') self.__final_task=child elif child.is_task(): - self.convert_task(indent+1,child,trigger,complete,time) + self.convert_task(fd,indent+1,child,trigger,complete,time) else: - self.convert_family(indent+1,child,trigger,complete,time) + self.convert_family(fd,indent+1,child,trigger,complete,time) if not isinstance(view,Suite): - self.fd.write(f'{space*indent}\n') + fd.write(f'{space*indent}\n') - def convert_task(self,indent,view,trigger,complete,time): + def convert_task(self,fd,indent,view,trigger,complete,time): trigger=trigger & view.get_trigger_dep() complete=complete | view.get_complete_dep() time=max(time,view.get_time_dep()) - space=self.__spacing if complete is not FALSE_DEPENDENCY: - self.__completes[view.path[1:]]=complete + self.__completes[view]=complete dep_count = int(trigger is not TRUE_DEPENDENCY) + \ int(time>timedelta.min) - indent1=indent+1 + self.write_task_text(fd,'',indent,view,dep_count,trigger,time) - path='/'.join(view.path[1:]) - self.fd.write(f'{space*indent}\n') + def write_task_text(self,fd,attr,indent,view,dep_count,trigger,time): + path='-'.join(view.path[1:]) + indent1=indent+1 + space=self.__spacing + fd.write(f'{space*indent}\n') - if 'RocotoResources' in view: - for line in view.RocotoResources.splitlines(): - self.fd.write(f'{space*indent1}{line}\n') + if 'Rocoto' in view: + for line in view.Rocoto.splitlines(): + fd.write(f'{space*indent1}{line}\n') - if dep_count==2: - self.fd.write(space*indent1 + ' \n') - elif dep_count==1: - self.fd.write(space*indent1 + '\n') + if not dep_count: + fd.write(space*indent1 + '\n') + if dep_count: + fd.write(space*indent1 + '\n') + if dep_count>1: + fd.write(space*indent1 + '\n') if trigger is not TRUE_DEPENDENCY: - to_rocoto_dep(trigger,self.fd,indent1+1) + to_rocoto_dep(trigger,fd,indent1+1) if time>timedelta.min: - to_rocoto_time_dep(time,self.fd,indent1+1) - - if dep_count==2: - self.fd.write(space*indent1 + ' \n') - elif dep_count==1: - self.fd.write(space*indent1 + '\n') - self.fd.write(space*indent+'\n') - - def make_time_xml(self,indent=2): - start_time=self.Clock.start.strftime('%Y%m%d%H%M') - end_time=self.Clock.start.strftime('%Y%m%d%H%M') - step=to_timedelta(self.Clock.step) # convert to python timedelta + to_rocoto_time_dep(time,fd,indent1+1) + + if dep_count>1: + fd.write(space*indent1 + '\n') + if dep_count: + fd.write(space*indent1 + '\n') + fd.write(space*indent+'\n') + + def make_time_xml(self,indent=1): + clock=self.suite.Clock + start_time=clock.start.strftime('%Y%m%d%H%M') + end_time=clock.start.strftime('%Y%m%d%H%M') + step=to_timedelta(clock.step) # convert to python timedelta step=cycle_offset(step) # convert to rocoto time delta space=self.__spacing - return f'{space*indent}{start_time} {end_time} {step}' - - def make_task_xml(self,indent=2): - self.convert_family(indent,self.suite,TRUE_DEPENDENCY,FALSE_DEPENDENCY, - timedelta.min) - self.handle_final_task(indent) - - def completes_for(self,item,with_completes): + return f'{space*indent}{start_time} {end_time} {step}\n' + + def make_task_xml(self,indent=1): + fd=StringIO() + self.convert_family(fd,max(0,indent-1),self.suite,TRUE_DEPENDENCY, + FALSE_DEPENDENCY,timedelta.min) + self.handle_final_task(fd,indent) + result=fd.getvalue() + fd.close() + return result + + def completes_for(self,fd,item,with_completes): path=SuitePath(item.path[1:]) if item.is_task(): - return item.is_complete() | self.__completes[item] + dep = item.is_completed() + if item in self.__completes: + dep = dep | self.__completes[item] + return dep # Initial completion dependency is the task or family # completion unless this item is the Suite. Suites must be # handled differently. - dep = item.is_complete() if path else FALSE_DEPENDENCY + if path: + dep = item.is_completed() # Family SuiteView + else: + dep = FALSE_DEPENDENCY # Suite if path and path not in with_completes: # Families with no "complete" dependency in their entire @@ -216,11 +226,24 @@ def completes_for(self,item,with_completes): # dependency. return dep + subdep=TRUE_DEPENDENCY for subitem in item.child_iter(): - if not isinstance(subitem,Taskable): continue - dep=dep | self.completes_for(subitem,with_completes) + if not path and subitem.path[1:] == [ 'final' ]: + # Special case. Do not include final task's + # dependency in the final task's dependency. + continue + if not isinstance(subitem,SuiteView): + continue + subdep=subdep & self.completes_for(fd,subitem,with_completes) + + if dep is FALSE_DEPENDENCY: + dep=subdep + else: + dep=subdep | dep - def handle_final_task(self,indent): + return dep + + def handle_final_task(self,fd,indent): # Find and validate the "final" task: final=None if 'final' in self.suite: @@ -243,19 +266,20 @@ def handle_final_task(self,indent): # Find all families that have tasks with completes: families_with_completes=set() for task in self.__completes: - families_with_completes.add(task.path[1:-1]) + for i in range(1,len(task.path)): + families_with_completes.add(SuitePath(task.path[1:i])) # Generate dependency for the final task: - dep=self.completes_for(self.suite,families_with_completes) + dep=self.completes_for(fd,self.suite,families_with_completes) - -def to_rocoto(suite,fd): - tr=ToRocoto(suite,fd) - tr.validate_cycle() - tr.make_task_xml() + self.write_task_text(fd,' final="true"',indent,final,1,dep,timedelta.min) + +def to_rocoto(suite): + assert(isinstance(suite,Cycle)) + tr=ToRocoto(suite) + return tr.expand_workflow_xml() def test(): - from io import StringIO def to_string(action): sio=StringIO() action(sio) diff --git a/crow/tools.py b/crow/tools.py index 7024641..6158700 100644 --- a/crow/tools.py +++ b/crow/tools.py @@ -1,9 +1,10 @@ import subprocess import os, re +from datetime import timedelta from copy import deepcopy from collections.abc import Mapping -__all__=['panasas_gb','gpfs_gb'] +__all__=['panasas_gb','gpfs_gb','to_timedelta'] def panasas_gb(dir): rdir=os.path.realpath(dir) @@ -54,3 +55,38 @@ def __iter__(self): yield i + +######################################################################## + +DT_REGEX={ + u'(\d+):(\d+)':( + lambda m: timedelta(hours=m[0],minutes=m[1]) ), + u'(\d+):(\d+):(\d+)':( + lambda m: timedelta(hours=m[0],minutes=m[1],seconds=m[2]) ), + u'(\d+)d(\d+)h':( + lambda m: timedelta(days=m[0],hours=m[1])), + u'(\d+)d(\d+):(\d+)':( + lambda m: timedelta(days=m[0],hours=m[1],minutes=m[2])), + u'(\d+)d(\d+):(\d+):(\d+)':( + lambda m: timedelta(days=m[0],hours=m[1],minutes=m[2], + seconds=m[3])) + } + +def to_timedelta(s): + if isinstance(s,timedelta): return s + if not isinstance(s,str): + raise TypeError('Argument to to_timedelta must be a str not a %s'%( + type(s).__name__,)) + mult=1 + if s[0]=='-': + s=s[1:] + mult=-1 + elif s[0]=='+': + s=s[1:] + for regex,fun in DT_REGEX.items(): + m=re.match(regex,s) + if m: + ints=[ int(s,10) for s in m.groups() ] + return mult*fun(ints) + raise ValueError(s+': invalid timedelta specification (12:34, ' + '12:34:56, 9d12h, 9d12:34, 9d12:34:56)') diff --git a/examples/toy-yaml/example-config.py b/examples/toy-yaml/example-config.py index 235f486..5fa1561 100755 --- a/examples/toy-yaml/example-config.py +++ b/examples/toy-yaml/example-config.py @@ -53,5 +53,5 @@ print('config.fcst.expand_text(...namelist.nl...): \n'+ crow.config.expand_text(namelist_nl,config.fcst)) print() -crow.metascheduler.to_rocoto(config.my_fancy_workflow,sys.stdout) +print(crow.metascheduler.to_rocoto(config.my_fancy_workflow)) diff --git a/examples/toy-yaml/test.yml b/examples/toy-yaml/test.yml index 3b4725f..5e4db13 100644 --- a/examples/toy-yaml/test.yml +++ b/examples/toy-yaml/test.yml @@ -173,25 +173,29 @@ my_fancy_workflow: !Cycle physical_cores_per_node: 24 logical_cpus_per_core: 2 hyperthreading_allowed: true + + accounting: + queue: batch + account: fv3-cpu + indent_text: " " workflow_install: /tmp workflow_xml: !expand | + ]> &WORKFLOW_INSTALL;/logs/@Y@m@d@H.log - {make_time_xml(indent=2)} - {make_task_xml(indent=2)} + + {to_rocoto.make_time_xml(indent=2)} + {to_rocoto.make_task_xml(indent=2)} - accounting: - queue: batch - account: fv3-cpu mpi_task_template: &my_task_template !expand | + /bin/true {doc.platform.queue} {sched.rocoto_accounting(suite.Rocoto.accounting)} {sched.rocoto_resources(Perform.resources)} @@ -200,22 +204,45 @@ my_fancy_workflow: !Cycle start: 2017-02-14t18:00:00 end: 2017-02-19t12:00:00 step: !timedelta 06:00 + gdas: !Family + prep: !Task + Complete: !Depend ~ suite.has_cycle('-6:00') + Perform: *gfsprep + Rocoto: *my_task_template + anal: !Task + Perform: *gfsanl + Trigger: !Depend suite.has_cycle('-6:00') | ~ prep.at('-6:00') + Rocoto: *my_task_template + fcst: !Task + Perform: *gfsfcst + Trigger: !Depend anal + Time: !timedelta 3:15 + Rocoto: *my_task_template + post: !Task + Perform: *gfspost + Trigger: !Depend fcst.is_running() + Rocoto: *my_task_template gfs: !Family + Trigger: !Depend gdas prep: !Task Perform: *gfsprep - RocotoResources: *my_task_template + Rocoto: *my_task_template anal: !Task Perform: *gfsanl Trigger: !Depend suite.has_cycle('-6:00') | ~ prep.at('-6:00') - RocotoResources: *my_task_template + Rocoto: *my_task_template fcst: !Task Perform: *gfsfcst Trigger: !Depend anal Time: !timedelta 3:15 - RocotoResources: *my_task_template + Rocoto: *my_task_template post: !Task Perform: *gfspost Trigger: !Depend fcst.is_running() - RocotoResources: *my_task_template + Rocoto: *my_task_template final: !Task - RocotoResources: *my_task_template \ No newline at end of file + Rocoto: !expand | + /bin/true + {doc.platform.queue} + {sched.rocoto_accounting(suite.Rocoto.accounting)} + {sched.rocoto_resources( [{}] )} From 9fa3bdb7e492d7c8493a9e35d4a3055b4fc3881a Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 22 Aug 2017 20:10:57 +0000 Subject: [PATCH 016/487] Add tool to generate fortran-style output from python objects. Add more capabilities to Rocoto support. --- crow/config/tasks.py | 40 +++++ crow/config/template.py | 7 +- crow/config/tools.py | 23 +++ examples/toy-yaml/actions.yml | 73 ++++++++++ examples/toy-yaml/example-config.py | 25 ++-- examples/toy-yaml/namelist.nl | 5 +- examples/toy-yaml/platform.yml | 41 ++++++ examples/toy-yaml/templates.yml | 31 ++++ examples/toy-yaml/test.yml | 219 +--------------------------- examples/workflow/actions.yml | 73 ++++++++++ examples/workflow/example.py | 11 ++ examples/workflow/platform.yml | 41 ++++++ examples/workflow/templates.yml | 31 ++++ examples/workflow/workflow.yml | 97 ++++++++++++ 14 files changed, 480 insertions(+), 237 deletions(-) create mode 100644 examples/toy-yaml/actions.yml create mode 100644 examples/toy-yaml/platform.yml create mode 100644 examples/toy-yaml/templates.yml create mode 100644 examples/workflow/actions.yml create mode 100755 examples/workflow/example.py create mode 100644 examples/workflow/platform.yml create mode 100644 examples/workflow/templates.yml create mode 100644 examples/workflow/workflow.yml diff --git a/crow/config/tasks.py b/crow/config/tasks.py index bd8e7cf..33069a2 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -301,3 +301,43 @@ class Taskable(Dependable): pass class Task(Taskable): pass class Family(Taskable): pass class Cycle(dict_eval): pass + +class TaskArray(Taskable): + def __init__(self,*args,**kwargs): + super().init(*args,**kwargs) + Index=self['Index'] + varname=Index[0] + if not isinstance(varname,str): + raise TypeError('Index first argument should be a string variable ' + 'name not a %s'%(type(varname.__name__),)) + values=Index[1] + if not isinstance(values,Sequence): + raise TypeError('Index second argument should be a sequence ' + 'name not a %s'%(type(values.__name__),)) + self.__instances=[MISSING]*len(values) + @property + def index_name(self): + return self['Index'][0] + @property + def index_count(self): + return len(self['Index'][1]) + def index_keys(self): + keys=self['Index'][1] + for k in keys: yield k + def index_items(self): + varname=self.index_name + keys=self['Index'][1] + for i in len(keys): + yield keys[i],self.__for_index(i,varname,key) + def for_index(self,i): + if self.__instances[i] is not MISSING: + return self.__instances[i] + varname=self.index_name + keys=self['Index'][1] + return self.__for_index(i,varname,key) + def __for_index(self,i,varname,key): + the_copy=Family(self._raw_child()) + the_copy[varname]=key + + + diff --git a/crow/config/template.py b/crow/config/template.py index 8cb4776..a5387c2 100644 --- a/crow/config/template.py +++ b/crow/config/template.py @@ -85,7 +85,7 @@ def validate_list(types,val,allowed,tname): """!Valdiates that val is a list that contains the specified allowed values. Recurses into subobjects, which must be of type types[-1] """ if not len(types): return TYPE_MISMATCH - if str(type(val)) not in TYPES(tname): return UNKNOWN_TYPE + if type(val) not in TYPES[tname]: raise Exception('unknown type') for v in val: result=VALIDATORS[types[-1]](types[:-1],v,allowed,types[-1]) if not result: return result @@ -95,7 +95,7 @@ def validate_dict(types,val,allowed,typ): """!Valdiates that val is a map that contains the specified allowed values. Recurses into subobjects, which must be of type types[-1] """ if not len(types): return TYPE_MISMATCH - if str(type(val)) not in typ['list']: return UNKNOWN_TYPE + if str(type(val)) not in typ['list']: raise(Exception('unknown type')) for k,v in val.items(): result=VALIDATORS[types[-1]](types[:-1],v,allowed,types[-1]) if not result: return result @@ -105,12 +105,13 @@ def validate_dict(types,val,allowed,typ): # Mapping from YAML type to valid python types. TYPES={ 'int':[int], 'bool':[bool], 'string':[str,bytes], 'float':[float], 'list':[set,list,tuple,list_eval], - 'dict':[dict,dict_eval] } + 'dict':[dict,dict_eval], 'seq':[set,list,tuple,list_eval] } ## @var VALIDATORS # Mapping from YAML type to validation function. VALIDATORS={ 'map':validate_dict, 'seq':validate_list, + 'list':validate_list, 'set':validate_list, 'int':validate_scalar, 'bool':validate_scalar, diff --git a/crow/config/tools.py b/crow/config/tools.py index e74f484..fb5e736 100644 --- a/crow/config/tools.py +++ b/crow/config/tools.py @@ -2,6 +2,7 @@ import os.path import os import datetime +from collections import Sequence, Mapping from crow.config.exceptions import * class Environment(dict): @@ -15,9 +16,31 @@ def strftime(d,fmt): return d.strftime(fmt) def YMDH(d): return d.strftime('%Y%m%d%H') def YMD(d): return d.strftime('%Y%m%d') +def seq(start,end,step): + return [ r for r in range(start,end+1,step) ] + +def fort(value,scope='scope'): + """!Convenience function to convert a python object to a syntax valid + in fortran namelists. """ + if isinstance(value,Sequence): + # For sequences, convert to a namelist list. + return ", ".join([ str(s) for s in value]) + elif isinstance(value,Mapping): + # For mappings, assume a derived type. + subscope_keys=[ (f'{scope}%{key}',value) for key in value ] + return ', '.join([f'{k}={fort(v,k)}' for (k,v) in subscope_keys]) + elif isinstance(value,bool): + # Booleans get a "." around them: + return '.'+str(bool(value))+'.' + else: + # Anything else is converted to a string. + return str(value) + ## The CONFIG_TOOLS contains the tools available to configuration yaml ## "!calc" expressions in their "tools" variable. CONFIG_TOOLS=crow.tools.ImmutableMapping({ + 'fort':fort, + 'seq':seq, 'panasas_gb':crow.tools.panasas_gb, 'gpfs_gb':crow.tools.gpfs_gb, 'basename':os.path.basename, diff --git a/examples/toy-yaml/actions.yml b/examples/toy-yaml/actions.yml new file mode 100644 index 0000000..ba207f8 --- /dev/null +++ b/examples/toy-yaml/actions.yml @@ -0,0 +1,73 @@ + +fcst: &fcst !Action + Template: *fcst_vars + do_vort_damp: true + consv_te: 0. + fv_sg_adj: 900 + dspheat: false + shal_cnv: true + agrid_vel_rst: true + master_grid: "0p5deg" + TYPE: hydro + MONO: mono + hydro_mono: !expand '{TYPE}_{MONO}' + some_array: [ 1, 2, 3, 4, 5 ] + + some_namelist: !expand | + &some_namelist + some_array = {tools.fort(some_array)} + type = {TYPE} + mono = {MONO} + shal_cnv = {tools.fort(shal_cnv)} + agrid_vel_rst= {tools.fort(agrid_vel_rst)} + / + + a: !calc 5 + 5 + b: !calc a*2 + c: !calc fv_sg_adj+b + d: !FirstMax + - when: a + do: !calc 10*a + - when: b + do: !calc 10*b + - when: c + do: !calc 10*c + resources: + - mpi_ranks: 192 + threads: 4 + +gfsprep: &gfsprep !Action + Template: *fcst_vars + anothervar: anothervalue + resources: + - mpi_ranks: 2 + OMP_NUM_THREADS: 4 + +gfsanl: &gfsanl !Action + Template: *fcst_vars + anothervar: anothervalue + resources: + - mpi_ranks: 64 + OMP_NUM_THREADS: 4 + +gdasenkf: &gdasenkf !Action + Template: *fcst_vars + somevar: somevalue + resources: + - mpi_ranks: 12 + OMP_NUM_THREADS: 1 + +gfspost: &gfspost !Action + Template: *fcst_vars + somevar: somevalue + resources: + - mpi_ranks: 12 + OMP_NUM_THREADS: 1 + +gfsfcst: &gfsfcst !Action + <<: *fcst + stuff: [ !calc a+b , !calc 2*2 ] + DIAG_TABLE: !calc doc.platform.BASE_GFS + "/parm/parm_fv3diag/diag_table" + resources: + - mpi_ranks: 192 + OMP_NUM_THREADS: 4 diff --git a/examples/toy-yaml/example-config.py b/examples/toy-yaml/example-config.py index 5fa1561..7c1d58c 100755 --- a/examples/toy-yaml/example-config.py +++ b/examples/toy-yaml/example-config.py @@ -5,31 +5,26 @@ import sys from datetime import timedelta import crow.config -import crow.metascheduler -config=crow.config.from_file('test.yml') +config=crow.config.from_file('test.yml','platform.yml','templates.yml', + 'actions.yml') -print() -# suite=crow.config.Suite(config.my_fancy_workflow) -# for task in suite.walk_task_tree(): -# print(f"Task {task.path}") -# for var in [ 'Trigger', 'Complete', 'Time' ]: -# if var in task: -# print(f' + {var} = {task[var]}') print() print("test = expected value = actual value") print() print("gfsfcst.a = 10 = "+repr(config.gfsfcst.a)) print("gfsfcst.d = 9200 = "+repr(config.gfsfcst.d)) print("gfsfcst.stuff[0] = 30 = "+repr(config.gfsfcst.stuff[0])) +print("test.B = 'B' = "+repr(config.test.B)) +print("test.C = 'C' = "+repr(config.test.C)) +print("test.none = None = "+repr(config.test.none)) +print() +print('Find least utilized scrub area...') print("least utilized scrub area = "+repr(config.platform.scrub)) -print("config.platform.B = 'B' = "+repr(config.platform.B)) -print("config.platform.C = 'C' = "+repr(config.platform.C)) -print("config.platform.none = None = "+repr(config.platform.none)) print() for bad in ['lt','ft','xv','nv']: - print( "config.platform['bad%s'] = None = %s"%( - bad,config.platform['bad'+bad])) + print( "config.test['bad%s'] = None = %s"%( + bad,config.test['bad'+bad])) print() print("config.gfsfcst.cow = blue = "+repr(config.gfsfcst.cow)) print("config.gfsfcst.dog = brown = "+repr(config.gfsfcst.dog)) @@ -52,6 +47,4 @@ print('config.fcst.expand_text(...namelist.nl...): \n'+ crow.config.expand_text(namelist_nl,config.fcst)) -print() -print(crow.metascheduler.to_rocoto(config.my_fancy_workflow)) diff --git a/examples/toy-yaml/namelist.nl b/examples/toy-yaml/namelist.nl index 1ede513..b0bd755 100644 --- a/examples/toy-yaml/namelist.nl +++ b/examples/toy-yaml/namelist.nl @@ -1,6 +1,7 @@ &some_namelist + some_array = {tools.fort(some_array)} type = {TYPE} mono = {MONO} - shal_cnv = .{shal_cnv}. - agrid_vel_rst: .{agrid_vel_rst}. + shal_cnv = {tools.fort(shal_cnv)} + agrid_vel_rst= {tools.fort(agrid_vel_rst)} / diff --git a/examples/toy-yaml/platform.yml b/examples/toy-yaml/platform.yml new file mode 100644 index 0000000..78b0d00 --- /dev/null +++ b/examples/toy-yaml/platform.yml @@ -0,0 +1,41 @@ +theia: &theia !Platform + queue: batch + machine: THEIA + ACCOUNT: fv3-cpu + QUEUE: batch + QUEUE_ARCH: service + HPSS_PROJECT: emc-global + BASE_SVN: /scratch4/NCEPDEV/global/save/glopara/svn + BASE_GFS: /scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17/global_shared.v14.1.0 + detect: !calc tools.isdir('/scratch4') and tools.isdir('/scratch3') + scrub: !FirstMax + - do: /scratch3/NCEPDEV/stmp1 + when: !calc tools.panasas_gb(do) + - do: /scratch3/NCEPDEV/stmp2 + when: !calc tools.panasas_gb(do) + - do: /scratch4/NCEPDEV/stmp3 + when: !calc tools.panasas_gb(do) + - do: /scratch4/NCEPDEV/stmp4 + when: !calc tools.panasas_gb(do) + +wcoss_cray: &wcoss_cray !Platform + queue: dev + machine: THEIA + ACCOUNT: fv3-cpu + QUEUE: batch + QUEUE_ARCH: service + HPSS_PROJECT: emc-global + BASE_SVN: /scratch4/NCEPDEV/global/save/glopara/svn + BASE_GFS: /scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17/global_shared.v14.1.0 + scrub: !FirstMax + - do: /gpfs/hps2/ptmp + when: !calc tools.gpfs_gb(do,'hps2-ptmp','hps2') + - do: /gpfs/hps3/ptmp + when: !calc tools.gpfs_gb(do,'hps3-ptmp','hps3') + detect: !calc tools.isdir('/gpfs/hps') and tools.isfile('/etc/SuSE-release') + +platform: !FirstTrue + - do: *wcoss_cray + when: !calc do.detect + - do: *theia + when: !calc do.detect diff --git a/examples/toy-yaml/templates.yml b/examples/toy-yaml/templates.yml new file mode 100644 index 0000000..b243df2 --- /dev/null +++ b/examples/toy-yaml/templates.yml @@ -0,0 +1,31 @@ + +more_vars: &more_vars !Template + b: + type: int + description: 'Sample integer b' + dog: + type: string + default: 'brown' + +fcst_vars: &fcst_vars !Template + do_vort_damp: + type: bool + a: + type: int + allowed: [ 10, 20, 30 ] + description: "Sample integer a" +# if_present is not useful. We need to condition on the value. + if_present: !FirstTrue + - do: *more_vars + when: !calc a==10 + cow: + type: string + allowed: [ brown, black, white, red, blue ] + description: "Color of a cow" + default: blue + lencow: + type: int + description: "Length of the cow" + default: !calc len(cow) + some_array: + type: int list diff --git a/examples/toy-yaml/test.yml b/examples/toy-yaml/test.yml index 5e4db13..4ddb1dd 100644 --- a/examples/toy-yaml/test.yml +++ b/examples/toy-yaml/test.yml @@ -1,4 +1,4 @@ -test: &test +test_base: &test_base four: !calc 2*2 B: !FirstTrue - { do: A, when: false } @@ -31,218 +31,5 @@ test: &test - def - ghi -theia: &theia !Platform - <<: *test - queue: batch - machine: THEIA - ACCOUNT: fv3-cpu - QUEUE: batch - QUEUE_ARCH: service - HPSS_PROJECT: emc-global - BASE_SVN: /scratch4/NCEPDEV/global/save/glopara/svn - BASE_GFS: /scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17/global_shared.v14.1.0 - detect: !calc tools.isdir('/scratch4') and tools.isdir('/scratch3') - scrub: !FirstMax - - do: /scratch3/NCEPDEV/stmp1 - when: !calc tools.panasas_gb(do) - - do: /scratch3/NCEPDEV/stmp2 - when: !calc tools.panasas_gb(do) - - do: /scratch4/NCEPDEV/stmp3 - when: !calc tools.panasas_gb(do) - - do: /scratch4/NCEPDEV/stmp4 - when: !calc tools.panasas_gb(do) - -wcoss_cray: &wcoss_cray !Platform - <<: *test - queue: dev - machine: THEIA - ACCOUNT: fv3-cpu - QUEUE: batch - QUEUE_ARCH: service - HPSS_PROJECT: emc-global - BASE_SVN: /scratch4/NCEPDEV/global/save/glopara/svn - BASE_GFS: /scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17/global_shared.v14.1.0 - scrub: !FirstMax - - do: /gpfs/hps2/ptmp - when: !calc tools.gpfs_gb(do,'hps2-ptmp','hps2') - - do: /gpfs/hps3/ptmp - when: !calc tools.gpfs_gb(do,'hps3-ptmp','hps3') - detect: !calc tools.isdir('/gpfs/hps') and tools.isfile('/etc/SuSE-release') - -platform: !FirstTrue - - do: *wcoss_cray - when: !calc do.detect - - do: *theia - when: !calc do.detect - -more_vars: &more_vars !Template - b: - type: int - description: 'Sample integer b' - dog: - type: string - default: 'brown' - -fcst_vars: &fcst_vars !Template - do_vort_damp: - type: bool - a: - type: int - allowed: [ 10, 20, 30 ] - description: "Sample integer a" -# if_present is not useful. We need to condition on the value. - if_present: !FirstTrue - - do: *more_vars - when: !calc a==10 - cow: - type: string - allowed: [ brown, black, white, red, blue ] - description: "Color of a cow" - default: blue - lencow: - type: int - description: "Length of the cow" - default: !calc len(cow) - -fcst: &fcst !Action - Template: *fcst_vars - do_vort_damp: true - consv_te: 0. - fv_sg_adj: 900 - dspheat: false - shal_cnv: true - agrid_vel_rst: true - master_grid: "0p5deg" - TYPE: hydro - MONO: mono - hydro_mono: !expand '{TYPE}_{MONO}' - some_namelist: !expand | - &some_namelist - type = {TYPE} - mono = {MONO} - shal_cnv = .{shal_cnv}. - agrid_vel_rst: .{agrid_vel_rst}. - / - a: !calc 5 + 5 - b: !calc a*2 - c: !calc fv_sg_adj+b - d: !FirstMax - - when: a - do: !calc 10*a - - when: b - do: !calc 10*b - - when: c - do: !calc 10*c - resources: - - mpi_ranks: 192 - threads: 4 - -gfsprep: &gfsprep !Action - Template: *fcst_vars - anothervar: anothervalue - resources: - - mpi_ranks: 2 - OMP_NUM_THREADS: 4 - -gfsanl: &gfsanl !Action - Template: *fcst_vars - anothervar: anothervalue - resources: - - mpi_ranks: 64 - OMP_NUM_THREADS: 4 - -gfspost: &gfspost !Action - Template: *fcst_vars - somevar: somevalue - resources: - - mpi_ranks: 12 - OMP_NUM_THREADS: 1 - -gfsfcst: &gfsfcst !Action - <<: *fcst - stuff: [ !calc a+b , !calc 2*2 ] - DIAG_TABLE: !calc doc.platform.BASE_GFS + "/parm/parm_fv3diag/diag_table" - resources: - - mpi_ranks: 192 - OMP_NUM_THREADS: 4 - -my_fancy_workflow: !Cycle - Rocoto: - scheduler: - name: MoabTorque - physical_cores_per_node: 24 - logical_cpus_per_core: 2 - hyperthreading_allowed: true - - accounting: - queue: batch - account: fv3-cpu - - indent_text: " " - workflow_install: /tmp - workflow_xml: !expand | - - - ]> - - - &WORKFLOW_INSTALL;/logs/@Y@m@d@H.log - - {to_rocoto.make_time_xml(indent=2)} - {to_rocoto.make_task_xml(indent=2)} - - - mpi_task_template: &my_task_template !expand | - /bin/true - {doc.platform.queue} - {sched.rocoto_accounting(suite.Rocoto.accounting)} - {sched.rocoto_resources(Perform.resources)} - - Clock: - start: 2017-02-14t18:00:00 - end: 2017-02-19t12:00:00 - step: !timedelta 06:00 - gdas: !Family - prep: !Task - Complete: !Depend ~ suite.has_cycle('-6:00') - Perform: *gfsprep - Rocoto: *my_task_template - anal: !Task - Perform: *gfsanl - Trigger: !Depend suite.has_cycle('-6:00') | ~ prep.at('-6:00') - Rocoto: *my_task_template - fcst: !Task - Perform: *gfsfcst - Trigger: !Depend anal - Time: !timedelta 3:15 - Rocoto: *my_task_template - post: !Task - Perform: *gfspost - Trigger: !Depend fcst.is_running() - Rocoto: *my_task_template - gfs: !Family - Trigger: !Depend gdas - prep: !Task - Perform: *gfsprep - Rocoto: *my_task_template - anal: !Task - Perform: *gfsanl - Trigger: !Depend suite.has_cycle('-6:00') | ~ prep.at('-6:00') - Rocoto: *my_task_template - fcst: !Task - Perform: *gfsfcst - Trigger: !Depend anal - Time: !timedelta 3:15 - Rocoto: *my_task_template - post: !Task - Perform: *gfspost - Trigger: !Depend fcst.is_running() - Rocoto: *my_task_template - final: !Task - Rocoto: !expand | - /bin/true - {doc.platform.queue} - {sched.rocoto_accounting(suite.Rocoto.accounting)} - {sched.rocoto_resources( [{}] )} +test: + <<: *test_base \ No newline at end of file diff --git a/examples/workflow/actions.yml b/examples/workflow/actions.yml new file mode 100644 index 0000000..ba207f8 --- /dev/null +++ b/examples/workflow/actions.yml @@ -0,0 +1,73 @@ + +fcst: &fcst !Action + Template: *fcst_vars + do_vort_damp: true + consv_te: 0. + fv_sg_adj: 900 + dspheat: false + shal_cnv: true + agrid_vel_rst: true + master_grid: "0p5deg" + TYPE: hydro + MONO: mono + hydro_mono: !expand '{TYPE}_{MONO}' + some_array: [ 1, 2, 3, 4, 5 ] + + some_namelist: !expand | + &some_namelist + some_array = {tools.fort(some_array)} + type = {TYPE} + mono = {MONO} + shal_cnv = {tools.fort(shal_cnv)} + agrid_vel_rst= {tools.fort(agrid_vel_rst)} + / + + a: !calc 5 + 5 + b: !calc a*2 + c: !calc fv_sg_adj+b + d: !FirstMax + - when: a + do: !calc 10*a + - when: b + do: !calc 10*b + - when: c + do: !calc 10*c + resources: + - mpi_ranks: 192 + threads: 4 + +gfsprep: &gfsprep !Action + Template: *fcst_vars + anothervar: anothervalue + resources: + - mpi_ranks: 2 + OMP_NUM_THREADS: 4 + +gfsanl: &gfsanl !Action + Template: *fcst_vars + anothervar: anothervalue + resources: + - mpi_ranks: 64 + OMP_NUM_THREADS: 4 + +gdasenkf: &gdasenkf !Action + Template: *fcst_vars + somevar: somevalue + resources: + - mpi_ranks: 12 + OMP_NUM_THREADS: 1 + +gfspost: &gfspost !Action + Template: *fcst_vars + somevar: somevalue + resources: + - mpi_ranks: 12 + OMP_NUM_THREADS: 1 + +gfsfcst: &gfsfcst !Action + <<: *fcst + stuff: [ !calc a+b , !calc 2*2 ] + DIAG_TABLE: !calc doc.platform.BASE_GFS + "/parm/parm_fv3diag/diag_table" + resources: + - mpi_ranks: 192 + OMP_NUM_THREADS: 4 diff --git a/examples/workflow/example.py b/examples/workflow/example.py new file mode 100755 index 0000000..efdef54 --- /dev/null +++ b/examples/workflow/example.py @@ -0,0 +1,11 @@ +#! /usr/bin/env python3.6 + +import sys +from datetime import timedelta +import crow.config +import crow.metascheduler + +config=crow.config.from_file( + 'platform.yml','templates.yml','actions.yml','workflow.yml') + +print(crow.metascheduler.to_rocoto(config.my_fancy_workflow)) diff --git a/examples/workflow/platform.yml b/examples/workflow/platform.yml new file mode 100644 index 0000000..78b0d00 --- /dev/null +++ b/examples/workflow/platform.yml @@ -0,0 +1,41 @@ +theia: &theia !Platform + queue: batch + machine: THEIA + ACCOUNT: fv3-cpu + QUEUE: batch + QUEUE_ARCH: service + HPSS_PROJECT: emc-global + BASE_SVN: /scratch4/NCEPDEV/global/save/glopara/svn + BASE_GFS: /scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17/global_shared.v14.1.0 + detect: !calc tools.isdir('/scratch4') and tools.isdir('/scratch3') + scrub: !FirstMax + - do: /scratch3/NCEPDEV/stmp1 + when: !calc tools.panasas_gb(do) + - do: /scratch3/NCEPDEV/stmp2 + when: !calc tools.panasas_gb(do) + - do: /scratch4/NCEPDEV/stmp3 + when: !calc tools.panasas_gb(do) + - do: /scratch4/NCEPDEV/stmp4 + when: !calc tools.panasas_gb(do) + +wcoss_cray: &wcoss_cray !Platform + queue: dev + machine: THEIA + ACCOUNT: fv3-cpu + QUEUE: batch + QUEUE_ARCH: service + HPSS_PROJECT: emc-global + BASE_SVN: /scratch4/NCEPDEV/global/save/glopara/svn + BASE_GFS: /scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17/global_shared.v14.1.0 + scrub: !FirstMax + - do: /gpfs/hps2/ptmp + when: !calc tools.gpfs_gb(do,'hps2-ptmp','hps2') + - do: /gpfs/hps3/ptmp + when: !calc tools.gpfs_gb(do,'hps3-ptmp','hps3') + detect: !calc tools.isdir('/gpfs/hps') and tools.isfile('/etc/SuSE-release') + +platform: !FirstTrue + - do: *wcoss_cray + when: !calc do.detect + - do: *theia + when: !calc do.detect diff --git a/examples/workflow/templates.yml b/examples/workflow/templates.yml new file mode 100644 index 0000000..b243df2 --- /dev/null +++ b/examples/workflow/templates.yml @@ -0,0 +1,31 @@ + +more_vars: &more_vars !Template + b: + type: int + description: 'Sample integer b' + dog: + type: string + default: 'brown' + +fcst_vars: &fcst_vars !Template + do_vort_damp: + type: bool + a: + type: int + allowed: [ 10, 20, 30 ] + description: "Sample integer a" +# if_present is not useful. We need to condition on the value. + if_present: !FirstTrue + - do: *more_vars + when: !calc a==10 + cow: + type: string + allowed: [ brown, black, white, red, blue ] + description: "Color of a cow" + default: blue + lencow: + type: int + description: "Length of the cow" + default: !calc len(cow) + some_array: + type: int list diff --git a/examples/workflow/workflow.yml b/examples/workflow/workflow.yml new file mode 100644 index 0000000..b8cf8ca --- /dev/null +++ b/examples/workflow/workflow.yml @@ -0,0 +1,97 @@ + +my_fancy_workflow: !Cycle + Rocoto: + scheduler: + name: MoabTorque + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + + accounting: + queue: batch + account: fv3-cpu + + indent_text: " " + workflow_install: /tmp + workflow_xml: !expand | + + + ]> + + + &WORKFLOW_INSTALL;/logs/@Y@m@d@H.log + + {to_rocoto.make_time_xml(indent=2)} + {to_rocoto.make_task_xml(indent=2)} + + + mpi_task_template: &my_task_template !expand | + /bin/true + {doc.platform.queue} + {sched.rocoto_accounting(suite.Rocoto.accounting)} + {sched.rocoto_resources(Perform.resources)} + + mpi_enkf_template: &my_enkf_template !expand | + echo member {imem} + {doc.platform.queue} + {sched.rocoto_accounting(suite.Rocoto.accounting)} + {sched.rocoto_resources(Perform.resources)} + + Clock: + start: 2017-02-14t18:00:00 + end: 2017-02-19t12:00:00 + step: !timedelta 06:00 + + gdas: !Family + prep: !Task + Complete: !Depend ~ suite.has_cycle('-6:00') + Perform: *gfsprep + Rocoto: *my_task_template + # enkf: !TaskArray + # Index: imem + # Values: !calc tools.seq(1,80) ] + # Name: !expand mem{imem:03d} + # emem: !Task + # Perform: *gdasenkf + # Rocoto: *my_enkf_template + # Trigger: !anal + anal: !Task + Perform: *gfsanl + Trigger: !Depend suite.has_cycle('-6:00') | ~ prep.at('-6:00') + Rocoto: *my_task_template + fcst: !Task + Perform: *gfsfcst + Trigger: !Depend anal + Time: !timedelta 3:15 + Rocoto: *my_task_template + post: !Task + Perform: *gfspost + Trigger: !Depend fcst.is_running() + Rocoto: *my_task_template + + gfs: !Family + Trigger: !Depend gdas + prep: !Task + Perform: *gfsprep + Rocoto: *my_task_template + anal: !Task + Perform: *gfsanl + Trigger: !Depend suite.has_cycle('-6:00') | ~ prep.at('-6:00') + Rocoto: *my_task_template + fcst: !Task + Perform: *gfsfcst + Trigger: !Depend anal + Time: !timedelta 3:15 + Rocoto: *my_task_template + post: !Task + Perform: *gfspost + Trigger: !Depend fcst.is_running() + Rocoto: *my_task_template + final: !Task + Rocoto: !expand | + /bin/true + {doc.platform.queue} + {sched.rocoto_accounting(suite.Rocoto.accounting)} + {sched.rocoto_resources( [{}] )} From c9b42149214ecbf9f262bd4bc429e2c9ce9fe0a0 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 22 Aug 2017 20:34:12 +0000 Subject: [PATCH 017/487] Get the tools.fort() to work as designed --- crow/config/eval_tools.py | 7 ++++++ crow/config/tools.py | 11 ++++++-- examples/toy-yaml/actions.yml | 39 ++++++----------------------- examples/toy-yaml/example-config.py | 4 +++ examples/toy-yaml/namelist.nl | 8 +++--- examples/toy-yaml/templates.yml | 9 +++++++ 6 files changed, 41 insertions(+), 37 deletions(-) diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 80994ab..582adfe 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -111,6 +111,8 @@ def __repr__(self): return '%s(%s)'%( type(self).__name__, ','.join([repr(d) for d in self.__dicts])) + def __str__(self): + return '{'+', '.join([f'{k}:{v}' for k,v in self])+'}' ######################################################################## @@ -196,6 +198,8 @@ def _recursively_set_globals(self,globals): except AttributeError: pass def __repr__(self): return '%s(%s)'%(type(self).__name__,repr(self.__child),) + def __str__(self): + return '{'+', '.join([f'{k}:{v}' for k,v in self])+'}' ######################################################################## @@ -258,6 +262,7 @@ def __getitem__(self,index): if hasattr(val,'_result'): val=from_config(index,val,self.__globals,self.__locals) self.__cache[index]=val + assert(val is not self) return val def _to_py(self,recurse=True): """!Converts to a python core object; does not work for cyclic object trees""" @@ -270,6 +275,8 @@ def _recursively_set_globals(self,globals): v._recursively_set_globals(globals) def __repr__(self): return '%s(%s)'%(type(self).__name__,repr(self.__child),) + def __str__(self): + return '['+', '.join([str(v) for v in self])+']' ######################################################################## diff --git a/crow/config/tools.py b/crow/config/tools.py index fb5e736..a80ff64 100644 --- a/crow/config/tools.py +++ b/crow/config/tools.py @@ -22,9 +22,16 @@ def seq(start,end,step): def fort(value,scope='scope'): """!Convenience function to convert a python object to a syntax valid in fortran namelists. """ - if isinstance(value,Sequence): + if isinstance(value,str): + return repr(value) + elif isinstance(value,Sequence): # For sequences, convert to a namelist list. - return ", ".join([ str(s) for s in value]) + result=[] + for item in value: + assert(item is not value) + fortitem=fort(item,scope) + result.append(fortitem) + return ", ".join(result) elif isinstance(value,Mapping): # For mappings, assume a derived type. subscope_keys=[ (f'{scope}%{key}',value) for key in value ] diff --git a/examples/toy-yaml/actions.yml b/examples/toy-yaml/actions.yml index ba207f8..50240b7 100644 --- a/examples/toy-yaml/actions.yml +++ b/examples/toy-yaml/actions.yml @@ -11,13 +11,16 @@ fcst: &fcst !Action TYPE: hydro MONO: mono hydro_mono: !expand '{TYPE}_{MONO}' - some_array: [ 1, 2, 3, 4, 5 ] + int_array: [ 1, 2, 3, 4, 5 ] + string_array: [ a, b, c, d, e ] some_namelist: !expand | &some_namelist - some_array = {tools.fort(some_array)} - type = {TYPE} - mono = {MONO} + int_array = {tools.fort(int_array)} + bool_array = {tools.fort(bool_array)} + string_array = {tools.fort(string_array)} + type = {tools.fort(TYPE)} + mono = {tools.fort(MONO)} shal_cnv = {tools.fort(shal_cnv)} agrid_vel_rst= {tools.fort(agrid_vel_rst)} / @@ -36,34 +39,6 @@ fcst: &fcst !Action - mpi_ranks: 192 threads: 4 -gfsprep: &gfsprep !Action - Template: *fcst_vars - anothervar: anothervalue - resources: - - mpi_ranks: 2 - OMP_NUM_THREADS: 4 - -gfsanl: &gfsanl !Action - Template: *fcst_vars - anothervar: anothervalue - resources: - - mpi_ranks: 64 - OMP_NUM_THREADS: 4 - -gdasenkf: &gdasenkf !Action - Template: *fcst_vars - somevar: somevalue - resources: - - mpi_ranks: 12 - OMP_NUM_THREADS: 1 - -gfspost: &gfspost !Action - Template: *fcst_vars - somevar: somevalue - resources: - - mpi_ranks: 12 - OMP_NUM_THREADS: 1 - gfsfcst: &gfsfcst !Action <<: *fcst stuff: [ !calc a+b , !calc 2*2 ] diff --git a/examples/toy-yaml/example-config.py b/examples/toy-yaml/example-config.py index 7c1d58c..0ed3c7b 100755 --- a/examples/toy-yaml/example-config.py +++ b/examples/toy-yaml/example-config.py @@ -12,6 +12,10 @@ print() print("test = expected value = actual value") print() +print('fcst.bool_array = '+str(config.fcst.bool_array)) +print('fcst.int_array = '+str(config.fcst.int_array)) +print('fcst.string_array = '+str(config.fcst.string_array)) +print() print("gfsfcst.a = 10 = "+repr(config.gfsfcst.a)) print("gfsfcst.d = 9200 = "+repr(config.gfsfcst.d)) print("gfsfcst.stuff[0] = 30 = "+repr(config.gfsfcst.stuff[0])) diff --git a/examples/toy-yaml/namelist.nl b/examples/toy-yaml/namelist.nl index b0bd755..3c3dd16 100644 --- a/examples/toy-yaml/namelist.nl +++ b/examples/toy-yaml/namelist.nl @@ -1,7 +1,9 @@ &some_namelist - some_array = {tools.fort(some_array)} - type = {TYPE} - mono = {MONO} + int_array = {tools.fort(int_array)} + bool_array = {tools.fort(bool_array)} + string_array = {tools.fort(string_array)} + type = {tools.fort(TYPE)} + mono = {tools.fort(MONO)} shal_cnv = {tools.fort(shal_cnv)} agrid_vel_rst= {tools.fort(agrid_vel_rst)} / diff --git a/examples/toy-yaml/templates.yml b/examples/toy-yaml/templates.yml index b243df2..491322d 100644 --- a/examples/toy-yaml/templates.yml +++ b/examples/toy-yaml/templates.yml @@ -8,8 +8,17 @@ more_vars: &more_vars !Template default: 'brown' fcst_vars: &fcst_vars !Template + int_array: + type: int list + string_array: + type: string list + bool_array: + type: bool list + default: [ true, false, true ] do_vort_damp: type: bool + master_grid: + type: string a: type: int allowed: [ 10, 20, 30 ] From 164e3a734f72a24f69a71d4cba4198401b48628a Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 23 Aug 2017 14:06:56 +0000 Subject: [PATCH 018/487] Use subclasses of list_eval and dict_eval for generic lists, dicts, and !!omaps in from_yaml. Use that to add !!omap support --- crow/config/eval_tools.py | 6 +++--- crow/config/from_yaml.py | 18 +++++++++++++++--- crow/config/represent.py | 6 +++++- crow/config/template.py | 7 ++++--- examples/toy-yaml/example-config.py | 8 +++++--- examples/toy-yaml/test.yml | 7 +++++++ 6 files changed, 39 insertions(+), 13 deletions(-) diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 582adfe..a9bda53 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -153,13 +153,13 @@ def _deepcopy_child(self,memo): def _deepcopy_privates_from(self,memo,other): self.__globals=dict([ ( deepcopy(k,memo),deepcopy(v,memo) ) for k,v in other.__globals.items() ]) + self.__cache=deepcopy(other.__cache,memo) #self.__globals=deepcopy(other.__globals,memo) def __deepcopy__(self,memo): cls=type(self) r=cls({}) memo[id(self)]=r r.__child=self._deepcopy_child(memo) - r.__cache=copy(r.__child) r._deepcopy_privates_from(memo,self) return r def __setitem__(self,k,v): @@ -199,7 +199,7 @@ def _recursively_set_globals(self,globals): def __repr__(self): return '%s(%s)'%(type(self).__name__,repr(self.__child),) def __str__(self): - return '{'+', '.join([f'{k}:{v}' for k,v in self])+'}' + return '{'+', '.join([f'{k}={v}' for k,v in self.items()])+'}' ######################################################################## @@ -243,12 +243,12 @@ def __deepcopy__(self,memo): child,locals = self._deepcopy_child_and_locals(memo) r.__child=child r.__locals=locals - r.__cache=copy(child) memo[id(self)]=r r._deepcopy_privates_from(memo,self) return r def _deepcopy_privates_from(self,memo,other): self.__globals=deepcopy(other.__globals,memo) + self.__cache=deepcopy(other.__cache,memo) def __setitem__(self,k,v): self.__child[k]=v self.__cache[k]=v diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index 6a3c952..ddb0e68 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -191,6 +191,9 @@ def to_eval_impl(self,v,locals): elif cls is EvalYAML: return Eval(self.from_dict(v)) + elif isinstance(v,list) and v and isinstance(v[0],tuple) \ + or isinstance(v,OrderedDict): + return self.from_ordered_dict(v,GenericOrderedDict) # Generic containers: elif isinstance(v,YAMLObject): return self.from_yaml(v) elif isinstance(v,dict): return self.from_dict(v) @@ -212,7 +215,16 @@ def from_yaml(self,yobj): self.validatable[id(ret)]=ret return ret - def from_dict(self,tree,cls=dict_eval): + def from_ordered_dict(self,tree,cls=GenericOrderedDict): + top=self.result + ret=cls(OrderedDict()) + for k,v in tree: + if not valid_name(k): continue + ret[k]=self.to_eval(v,ret) + self.validatable[id(ret)]=ret + return ret + + def from_dict(self,tree,cls=GenericDict): """!Converts an object yobj of a YAML standard map type, and its elements, to internal implementation types. Elements with unsupported names are ignored. """ @@ -223,11 +235,11 @@ def from_dict(self,tree,cls=dict_eval): ret[k]=self.to_eval(v,ret) return ret - def from_list(self,sequence,locals): + def from_list(self,sequence,locals,cls=GenericList): """!Converts an object yobj of a YAML standard sequence type, and its elements, to internal implementation types. Elements with unsupported names are ignored. This is also used to handle other sequence-like types such as omap or set. """ - return list_eval( + return cls( [self.to_eval(s,locals) for s in sequence], locals) diff --git a/crow/config/represent.py b/crow/config/represent.py index 883059b..8aba851 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -10,7 +10,8 @@ from crow.tools import to_timedelta __all__=[ 'Action','Platform', 'Conditional', 'calc','max_index', - 'min_index', 'last_true', 'first_true' ] + 'min_index', 'last_true', 'first_true', 'GenericList', + 'GenericDict', 'GenericOrderedDict' ] ######################################################################## @@ -18,6 +19,9 @@ class Action(dict_eval): """!Represents an action that a workflow should take, such as running a batch job.""" +class GenericDict(dict_eval): pass +class GenericOrderedDict(dict_eval): pass +class GenericList(list_eval): pass class Platform(dict_eval): pass class Conditional(list_eval): diff --git a/crow/config/template.py b/crow/config/template.py index a5387c2..f94921f 100644 --- a/crow/config/template.py +++ b/crow/config/template.py @@ -13,7 +13,7 @@ from crow.config.exceptions import * from crow.config.eval_tools import list_eval, dict_eval, multidict, from_config - +from crow.config.represent import GenericList, GenericDict, GenericOrderedDict class Template(dict_eval): """!Internal implementation of the YAML Template type. Validates a @@ -104,8 +104,9 @@ def validate_dict(types,val,allowed,typ): ## @var TYPES # Mapping from YAML type to valid python types. TYPES={ 'int':[int], 'bool':[bool], 'string':[str,bytes], - 'float':[float], 'list':[set,list,tuple,list_eval], - 'dict':[dict,dict_eval], 'seq':[set,list,tuple,list_eval] } + 'float':[float], 'list':[set,list,tuple,list_eval,GenericList], + 'dict':[dict,dict_eval,GenericDict,GenericOrderedDict], + 'seq':[set,list,tuple,list_eval,GenericList] } ## @var VALIDATORS # Mapping from YAML type to validation function. diff --git a/examples/toy-yaml/example-config.py b/examples/toy-yaml/example-config.py index 0ed3c7b..6f5c867 100755 --- a/examples/toy-yaml/example-config.py +++ b/examples/toy-yaml/example-config.py @@ -12,9 +12,11 @@ print() print("test = expected value = actual value") print() -print('fcst.bool_array = '+str(config.fcst.bool_array)) -print('fcst.int_array = '+str(config.fcst.int_array)) -print('fcst.string_array = '+str(config.fcst.string_array)) +print('ordered_dict = {one=1, two=2, three=3, four=4, five=5} = '+str(config.ordered_dict)) +print() +print('fcst.bool_array = [True, False, True] = '+str(config.fcst.bool_array)) +print('fcst.int_array = [1, 2, 3, 4, 5] = '+str(config.fcst.int_array)) +print('fcst.string_array = [a, b, c, d, e] = '+str(config.fcst.string_array)) print() print("gfsfcst.a = 10 = "+repr(config.gfsfcst.a)) print("gfsfcst.d = 9200 = "+repr(config.gfsfcst.d)) diff --git a/examples/toy-yaml/test.yml b/examples/toy-yaml/test.yml index 4ddb1dd..aa14ad1 100644 --- a/examples/toy-yaml/test.yml +++ b/examples/toy-yaml/test.yml @@ -1,3 +1,10 @@ +ordered_dict: !!omap + - one: 1 + - two: 2 + - three: 3 + - four: 4 + - five: 5 + test_base: &test_base four: !calc 2*2 B: !FirstTrue From 144a198575bdb38885f67497e40ebb3665f71bbf Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 23 Aug 2017 14:15:31 +0000 Subject: [PATCH 019/487] add a test for correct functioning of sets --- examples/toy-yaml/example-config.py | 2 ++ examples/toy-yaml/test.yml | 5 +++++ 2 files changed, 7 insertions(+) diff --git a/examples/toy-yaml/example-config.py b/examples/toy-yaml/example-config.py index 6f5c867..33812ca 100755 --- a/examples/toy-yaml/example-config.py +++ b/examples/toy-yaml/example-config.py @@ -13,6 +13,8 @@ print("test = expected value = actual value") print() print('ordered_dict = {one=1, two=2, three=3, four=4, five=5} = '+str(config.ordered_dict)) +print("config.set = {2, datetime.date(2017, 8, 15), 'a'} = "+str(config.set)+ + ' (order does not matter)') print() print('fcst.bool_array = [True, False, True] = '+str(config.fcst.bool_array)) print('fcst.int_array = [1, 2, 3, 4, 5] = '+str(config.fcst.int_array)) diff --git a/examples/toy-yaml/test.yml b/examples/toy-yaml/test.yml index aa14ad1..dd6c3e9 100644 --- a/examples/toy-yaml/test.yml +++ b/examples/toy-yaml/test.yml @@ -5,6 +5,11 @@ ordered_dict: !!omap - four: 4 - five: 5 +set: !!set + ? !calc 1+1 + ? a + ? 2017-08-15 + test_base: &test_base four: !calc 2*2 B: !FirstTrue From 43ecb2dd7ffd567acddd21f713ace2296d57ecd6 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 23 Aug 2017 16:37:25 +0000 Subject: [PATCH 020/487] clarify documentation and clarify code in a few places --- crow/config/eval_tools.py | 2 ++ crow/config/from_yaml.py | 11 ++++++----- examples/toy-yaml/test.yml | 37 +++++++++++++++++++++++++++++++------ 3 files changed, 39 insertions(+), 11 deletions(-) diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index a9bda53..d492569 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -139,6 +139,7 @@ def __copy__(self): return dict_eval(self.__child) def _raw_child(self): return self.__child def _has_raw(self,key): return key in self.__child def _set_globals(self,g): self.__globals=g + def _raw_cache(self): return self.__cache def _raw(self,key): """!Returns the value for the given key, without calling eval() on it""" return self.__child[key] @@ -224,6 +225,7 @@ def __init__(self,child,locals): self.__cache=list(child) self.__locals=locals self.__globals={} + def _raw_cache(self): return self.__cache def __len__(self): return len(self.__child) def _set_globals(self,g): self.__globals=g def _raw(self,i): diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index ddb0e68..f525831 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -29,10 +29,11 @@ class PlatformYAML(YAMLObject): yaml_tag=u'!Platform' class ActionYAML(YAMLObject): yaml_tag=u'!Action' class TemplateYAML(YAMLObject): yaml_tag=u'!Template' -class FirstMaxYAML(list): pass -class FirstMinYAML(list): pass -class FirstTrueYAML(list): pass -class LastTrueYAML(list): pass + +class FirstMaxYAML(list): yaml_tag=u'!FirstMax' +class FirstMinYAML(list): yaml_tag=u'!FirstMin' +class FirstTrueYAML(list): yaml_tag=u'!FirstTrue' +class LastTrueYAML(list): yaml_tag=u'!LastTrue' class EvalYAML(dict): pass class TaskYAML(OrderedDict): pass @@ -76,7 +77,7 @@ def timedelta_representer(dumper,dt): if dt.days: rep=f'{dt.days}d' rep+=f'{hours:02d}:{minutes:02d}:{seconds:02d}' if dt.microseconds: rep+=f'.{dt.microseconds:06d}' - return dumper.represent_scalar(rep) + return dumper.represent_scalar('!timedelta',rep) yaml.add_representer(timedelta,timedelta_representer) yaml.add_constructor('!timedelta',timedelta_constructor) diff --git a/examples/toy-yaml/test.yml b/examples/toy-yaml/test.yml index dd6c3e9..ab3e43b 100644 --- a/examples/toy-yaml/test.yml +++ b/examples/toy-yaml/test.yml @@ -1,3 +1,7 @@ +# The YAML built-in !!omap type is mapping that remembers the order of +# keys. It acts exactly like a normal mapping ({a=b, c=d, ...}) in +# all other respects. + ordered_dict: !!omap - one: 1 - two: 2 @@ -5,17 +9,25 @@ ordered_dict: !!omap - four: 4 - five: 5 +# The YAML built-in !!set is for specifying sets. Sets must all be +# "hashable types," so a set cannot include sets, omaps, maps, +# sequences, or other compound datatypes. However, they CAN include +# calculations. + set: !!set ? !calc 1+1 ? a ? 2017-08-15 test_base: &test_base - four: !calc 2*2 + # !FirstTrue returns the first "do" value where the "when" value is true: B: !FirstTrue - { do: A, when: false } - { do: B, when: true } - { do: C, when: true } + + # !LastTrue is like !FirstTrue in reverse. The last "do" with a true + # "when" is returned: C: !LastTrue - { do: A, when: false } - { do: B, when: true } @@ -25,17 +37,30 @@ test_base: &test_base - { do: Y, when: false } - { do: Z, when: false } - dt: !timedelta 03:20 - anltime: 2017-09-19t18:00:00 - fcsttime: !calc anltime+dt - fYMDH: !calc tools.YMDH(fcsttime) - # Conditionals on an empty list always return null: badlt: !LastTrue [] badft: !FirstTrue [] badxv: !FirstMax [] badnv: !FirstMin [] + # The !timedelta is a time difference. See crow.tools.to_timedelta + # for details on syntax. + dt: !timedelta 03:20 + + anltime: 2017-09-19t18:00:00 + + # !calc inserts the result of a calculation. This uses lazy + # evaluation; that is, the calculation is not performed until the + # value is needed. + four: !calc 2*2 + + # The !calc expressions can refer to other variables: + fcsttime: !calc anltime+dt + + # They can also refer to the results of other !calc expressions. + # Infinite recursion is automatically detected by Python. + fYMDH: !calc tools.YMDH(fcsttime) + expandme: !Eval result: !calc "', '.join(lst)" lst: From 1c97eab591efaf8fccb6cffc4255b3911034a2b9 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 23 Aug 2017 16:37:50 +0000 Subject: [PATCH 021/487] add a "to do" list for config --- crow/config/todo.txt | 198 +++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 198 insertions(+) create mode 100644 crow/config/todo.txt diff --git a/crow/config/todo.txt b/crow/config/todo.txt new file mode 100644 index 0000000..4601119 --- /dev/null +++ b/crow/config/todo.txt @@ -0,0 +1,198 @@ +TO DO LIST: + +------------------------------------------------------------------------ +SET LAZY EVALUATION (set_eval) + +Lists, dicts, and most other types use lazy evaluation for embedded +calculations. Sets calculate at parse time, which is bad for a number +of reasons. This needs to be fixed. The fix is to add a set_eval +class in eval_tools that stores the pre-calculation data in an +internal list (in self.__child) and generates the actual set (in +self.__cache) only when it is needed. The set_eval would need to be +immutable, unless it invalidates the cache after any change to the +set. + + + +------------------------------------------------------------------------ +DELAYED VALIDATION + +when a mapping (dict_eval) validates itself via a !Template, any +calculation referred to by the !Template is done during validation. +That means, for example, if you want a date calculation to be done +inside the batch job, that calculation would need to be ommitted +entirely from the YAML that is sent to the setup_expt script. In +order to avoid that, we need to add a way to delay the validation of +such variables until runtime. There are two ways I can think of to +handle that: + +1. Have a two-stage validation process. The first stage, run just +after parsing, does not validate anything that requires a calculation. +The second stage can be requested by explicitly running some function, +like crow.config.validate(dict_eval) + +2. Allow the YAML to customize the validation for each mapping +somehow. For example, you could update the validation code so that a +"null" value for a key in the template means the variable should not +be validated. This would be more error-prone, but it is trivial to +implement. + + fcst: + fix_path: !calc ENV["FIX_PATH"] + crtm_fix_path: !expand {fix_path}/crtm-{crtm_version} + Template: + <<: *fcst_vars + # Do not validate crtm_fix_path + crtm_fix_path: null + + + +------------------------------------------------------------------------ +NAMELIST GENERATION + +Presently, you can easily do string expansion via !expand: + + block: + a: 5 + b: 6 + my_text: !expand | + a is {a} + b is {b} + +That will produce: + +a is 5 +b is 6 + +but it is not so easy to generate namelists because the default string +representation of everything is the Python representation. I added a +tools.fort() function to generate a fortran namelist syntax, but it +makes the text long: + + block: + list1: [ 5, 6, 7 ] + list2: [ a, b, c ] + my_namelist: !expand| + &my_namelist + list1: {tools.fort(list1)} + list2: {tools.fort(list2)} + / + +That will produce: + +&my_namelist + list1: 5, 6, 7 + list2: 'a', 'b', 'c' + +I see a few ways of resolving this: + +Option 1: + +Generate from YAML code. This is the easiest way, and +is reasonably powerful. If the user needs something more +sophisticated, they can use !expand or !calc to generate a fancy +namelist. + + block: + my_namelist: !Namelist + list1: [ 5, 6, 7 ] + list2: [ a, b, c ] + +Note that we cannot refer to list1 and list2 at the block level from +within my_namelist because my_namelist is in a different scope. This +reduces the power of the feature. + +Option 2: + +The issue in Option 1 can be resolved by using an omap and having the +!Namelist evaluate all expressions in the context of the parent scope. +This would be non-trivial to implement, but feasible. + + block: + list1: [ 5, 6, 7 ] + list2: [ a, b, c ] + my_namelist: !Namelist + # Note: the calculations refer to the parent scope; the + # block-level list1 and list2. + - list1: !calc list1 + - list2: !calc list2 + +However, that means that the namelist will be evaluated in the scope +in which it was originally declared. That is not a terminal problem +because the YAML can always copy the namelist instead of pointing to +it, if it is needed more than once. + +Option 3: + +Implicitly add tools.fort() around everything in a {} + + block: + list1: [ 5, 6, 7 ] + list2: [ a, b, c ] + my_namelist: !to_namelist | + list1 = {list1} + list2 = {list2} + +There are a few problems with that: + +1. This is very hard to implement. It will require complicated +manipulation of the text within the my_namelist. The {} contents are +Python expressions. Python expressions cannot be parsed with a single +regular expression (re) because they contain balanced, nested, +parenthesis. (It is provably impossible to parse nested parentheses +with a regular expression.) Alternatively, you could write a custom +parser of the string, which would be easier to implement but less +powerful. + +2. There is no standard fortran way of expressing datetimes or +timedeltas. Hence, they will be impossible to express directly in a +!to_namelist block. + +3. The user cannot specify numeric or date formatting information +because the result of tools.fort() is already converted to a string. + + + + +------------------------------------------------------------------------ + +TASK ARRAYS (TaskArray) + +Add the capability of having an array of nearly-identical tasks in a +suite. + + enkf: !TaskArray + Index: imem + Values: !calc tools.seq(1,80) ] + Name: !expand mem{imem:03d} + emem: !Task + Perform: *gdasenkf + Rocoto: *my_enkf_template + Trigger: !anal + +That would expand out to 80 "emem" tasks with imem set to a number +from 1 to 80 for each one. + +One way to implement this is: + +1. TaskArrayYAML in from_yaml.py, TaskArray in tasks.py and +to_yaml.py, associated reading/converting logic. + +2. When a SuiteView sees a TaskArray, it needs to automatically +replace it with a Family with one Family for each imem. + +3. During the replacement process (#2), the Task, Family, and +TaskArray objects underneath must be duplicated, with "imem" set in +each one. This must be a shallow copy, so we don't duplicate the +vast YAML object tree. + +4. As in #3, all direct children of a Task, Family, and TaskArray must +be copied (shallow) and imem set in each copy. + +That is computationally expensive and will use up lots of memory if +there is a large number of tasks. + +There may be some more clever way to implement this while avoiding +duplicating anything. I suspect a TaskArrayView subclass of SuiteView +may be able to do it, if it is implemented in a clever way. I don't +know what that clever way is though. From e32cc853c8dfddcc2fbf95c62aa9d03f0badd67a Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 23 Aug 2017 20:49:19 +0000 Subject: [PATCH 022/487] add the capability of dumping config back to YAML --- crow/config/__init__.py | 1 + crow/config/eval_tools.py | 19 ++-- crow/config/from_yaml.py | 15 ++- crow/config/represent.py | 67 ++++++++------ crow/config/to_yaml.py | 121 +++++++++++++++++++++++++ examples/yaml-read-write/original.yaml | 95 +++++++++++++++++++ examples/yaml-read-write/test.py | 18 ++++ 7 files changed, 291 insertions(+), 45 deletions(-) create mode 100644 crow/config/to_yaml.py create mode 100644 examples/yaml-read-write/original.yaml create mode 100755 examples/yaml-read-write/test.py diff --git a/crow/config/__init__.py b/crow/config/__init__.py index 2878bc8..c967d45 100644 --- a/crow/config/__init__.py +++ b/crow/config/__init__.py @@ -9,6 +9,7 @@ Taskable, Task, Family, Cycle, LogicalDependency, SuiteView, \ RUNNING, COMPLETED, FAILED, TRUE_DEPENDENCY, FALSE_DEPENDENCY, \ CycleExistsDependency +from crow.config.to_yaml import to_yaml __all__=["from_string","from_file","to_py", 'Action', 'Platform', 'Template', 'TaskStateAnd', 'TaskStateOr', 'TaskStateNot', 'TaskStateIs', diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index d492569..c1eafe8 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -30,7 +30,7 @@ """ -from collections.abc import MutableMapping, MutableSequence +from collections.abc import MutableMapping, MutableSequence, Sequence from copy import copy,deepcopy from crow.config.exceptions import * @@ -228,6 +228,7 @@ def __init__(self,child,locals): def _raw_cache(self): return self.__cache def __len__(self): return len(self.__child) def _set_globals(self,g): self.__globals=g + def _raw_child(self): return self.__child def _raw(self,i): """!Returns the value at index i without calling eval() on it""" return self.__child[i] @@ -235,20 +236,15 @@ def _has_raw(self,i): return i>=0 and len(self.__child)>i def __copy__(self): return list_eval(self.__child,self.__locals) - def _deepcopy_child_and_locals(self,memo): - return ( deepcopy(self.__child,memo), - deepcopy(self.__locals,memo) ) def __deepcopy__(self,memo): - if id(self) in memo: return memo[id(self)] cls=type(self) r=cls([],{}) - child,locals = self._deepcopy_child_and_locals(memo) - r.__child=child - r.__locals=locals memo[id(self)]=r r._deepcopy_privates_from(memo,self) return r def _deepcopy_privates_from(self,memo,other): + self.__child=deepcopy(other.__child,memo) + self.__cache=deepcopy(other.__cache,memo) self.__globals=deepcopy(other.__globals,memo) self.__cache=deepcopy(other.__cache,memo) def __setitem__(self,k,v): @@ -279,6 +275,13 @@ def __repr__(self): return '%s(%s)'%(type(self).__name__,repr(self.__child),) def __str__(self): return '['+', '.join([str(v) for v in self])+']' + def __eq__(self,other): + if not isinstance(other,Sequence): return False + my_len=len(self) + if my_len != len(other): return False + for i in range(my_len): + if self[i] != other[i]: return False + return True ######################################################################## diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index f525831..472f43c 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -72,7 +72,7 @@ def timedelta_representer(dumper,dt): pre='-' hours=dt.seconds//3600 minutes=(dt.seconds-hours*3600)//60 - seconds=dt.seconds-hours*3600-minute*60 + seconds=dt.seconds-hours*3600-minutes*60 rep='' if dt.days: rep=f'{dt.days}d' rep+=f'{hours:02d}:{minutes:02d}:{seconds:02d}' @@ -118,10 +118,10 @@ def constructor(loader,node): ## @var CONDITIONALS # Used to handle custom yaml conditional types. Maps from conditional type # to the function that performs the comparison. -CONDITIONALS={ FirstMaxYAML:max_index, - FirstMinYAML:min_index, - FirstTrueYAML:first_true, - LastTrueYAML:last_true } +CONDITIONALS={ FirstMaxYAML:FirstMax, + FirstMinYAML:FirstMin, + FirstTrueYAML:LastTrue, + LastTrueYAML:LastTrue } ######################################################################## @@ -132,7 +132,7 @@ def representer(dumper,data): return dumper.represent_ordered_dict(key,data) def constructor(loader,node): return cls(loader.construct_pairs(node)) - yaml.add_representer(cls,representer) + #yaml.add_representer(cls,representer) yaml.add_constructor(key,constructor) add_yaml_ordered_dict(u'!Eval',EvalYAML) @@ -185,8 +185,7 @@ def to_eval_impl(self,v,locals): # Specialized containers: cls=type(v) if cls in CONDITIONALS: - return Conditional(CONDITIONALS[cls], - self.from_list(v,locals),locals) + return self.from_list(v,locals,CONDITIONALS[cls]) elif cls in SUITE: return self.from_dict(v,SUITE[cls]) elif cls is EvalYAML: diff --git a/crow/config/represent.py b/crow/config/represent.py index 8aba851..4cd5278 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -2,15 +2,15 @@ embedded yaml calculations, as well as internal representations of all custom data types in the yaml files.""" -import re +import re, abc from datetime import timedelta from copy import deepcopy from crow.config.exceptions import * from crow.config.eval_tools import list_eval, dict_eval, multidict, from_config, strcalc from crow.tools import to_timedelta -__all__=[ 'Action','Platform', 'Conditional', 'calc','max_index', - 'min_index', 'last_true', 'first_true', 'GenericList', +__all__=[ 'Action','Platform', 'Conditional', 'calc','FirstMin', + 'FirstMax', 'LastTrue', 'FirstTrue', 'GenericList', 'GenericDict', 'GenericOrderedDict' ] ######################################################################## @@ -26,14 +26,13 @@ class Platform(dict_eval): pass class Conditional(list_eval): MISSING=object() - def __init__(self,_index,*args,**kwargs): - super().__init__(*args,**kwargs) - self.__cache=Conditional.MISSING - self.__index=_index + def __init__(self,*args): + super().__init__(*args) + self.__result=Conditional.MISSING def _result(self,globals,locals): assert('tools' in globals) assert('doc' in globals) - if self.__cache is Conditional.MISSING: + if self.__result is Conditional.MISSING: keys=list() values=list() for vk in self: @@ -46,35 +45,45 @@ def _result(self,globals,locals): 'Conditional list entries must have "do" and "when" ' 'elements (saw keys: %s)' %(', '.join(list(vk.keys())), )) - index=self.__index(keys) + index=self._index(keys) if index is None: - self.__cache=None + self.__result=None else: try: values=[ vk._raw('do') for vk in self ] except AttributeError: values=[ vk.value for vk in self ] scope[var]=tmpl['default'] - self.__cache=values[index] - return self.__cache - def __deepcopy__(self,memo): - cls=type(self) - index=deepcopy(self.__index) - child,locals=self._deepcopy_child_and_locals(memo) - r=cls(index,child,locals) - r._deepcopy_privates_from(memo,self) - return r + self.__result=values[index] + return self.__result + def _deepcopy_privates_from(self,memo,other): + super()._deepcopy_privates_from(memo,other) + if other.__result is Conditional.MISSING: + self.__result=Conditional.MISSING + else: + self.__result=deepcopy(other.__result,memo) -def max_index(lst): return lst.index(max(lst)) if lst else None -def min_index(lst): return lst.index(min(lst)) if lst else None + @abc.abstractmethod + def _index(lst): pass -def last_true(lst): - for i in range(len(lst)-1,-1,-1): - if lst[i]: return i - return None -def first_true(lst): - for i in range(len(lst)): - if lst[i]: return i - return None +class FirstMax(Conditional): + def _index(self,lst): + return lst.index(max(lst)) if lst else None + +class FirstMin(Conditional): + def _index(self,lst): + return lst.index(min(lst)) if lst else None + +class LastTrue(Conditional): + def _index(self,lst): + for i in range(len(lst)-1,-1,-1): + if lst[i]: return i + return None + +class FirstTrue(Conditional): + def _index(self,lst): + for i in range(len(lst)): + if lst[i]: return i + return None class calc(strcalc): pass diff --git a/crow/config/to_yaml.py b/crow/config/to_yaml.py new file mode 100644 index 0000000..aa6d496 --- /dev/null +++ b/crow/config/to_yaml.py @@ -0,0 +1,121 @@ +import yaml + +from yaml.nodes import MappingNode, ScalarNode, SequenceNode + +from collections import OrderedDict + +from crow.config.eval_tools import * +from crow.config.represent import * +from crow.config.tasks import * +from crow.config.template import Template +from crow.config.exceptions import * +from crow.tools import to_timedelta + +# We need to run the from_yaml module first, to initialize the yaml +# representers for some types. This module does not actually use any +# symbols from from_yaml; only execution of that module is needed. +import crow.config.from_yaml + +def to_yaml(yml): + simple=dict([ (k,v) for k,v in yml._raw_cache().items() ]) + #print('INPUT: '+repr(simple)) + result=yaml.dump(simple) + #print('OUTPUT: '+result) + return result + +######################################################################## + +def add_yaml_list_eval(key,cls): + def representer(dumper,data): + if key is None: + return dumper.represent_data(data._raw_cache()) + else: + return dumper.represent_sequence(key,data._raw_cache()) + yaml.add_representer(cls,representer) + +add_yaml_list_eval(u'!FirstMax',FirstMax) +add_yaml_list_eval(u'!FirstMin',FirstMin) +add_yaml_list_eval(u'!LastTrue',LastTrue) +add_yaml_list_eval(u'!FirstTrue',FirstTrue) +add_yaml_list_eval(None,GenericList) + +######################################################################## + +def add_yaml_dict_eval(key,cls): + """!Generates and registers a representer for a custom YAML mapping + type """ + def representer(dumper,data): + simple=data._raw_cache() + if not isinstance(simple,dict): + simple=dict([ (k,v) for k,v in simple.items() ]) + if key is None: + return dumper.represent_data(simple) + else: + return dumper.represent_mapping(key,simple) + yaml.add_representer(cls,representer) + +add_yaml_dict_eval(None,GenericDict) +add_yaml_dict_eval(u'!Platform',Platform) +add_yaml_dict_eval(u'!Action',Action) +add_yaml_dict_eval(u'!Template',Template) +add_yaml_dict_eval(u'!Eval',Eval) + +######################################################################## + +def represent_ordered_mapping(dumper, tag, mapping, flow_style=None): + value = [] + node = MappingNode(tag, value, flow_style=flow_style) + if dumper.alias_key is not None: + dumper.represented_objects[dumper.alias_key] = node + best_style = True + if hasattr(mapping, 'items'): + mapping = list(mapping.items()) + for item_key, item_value in mapping: + node_key = dumper.represent_data(item_key) + node_value = dumper.represent_data(item_value) + if not (isinstance(node_key, ScalarNode) and not node_key.style): + best_style = False + if not (isinstance(node_value, ScalarNode) and not node_value.style): + best_style = False + value.append((node_key, node_value)) + if flow_style is None: + if dumper.default_flow_style is not None: + node.flow_style = dumper.default_flow_style + else: + node.flow_style = best_style + return node + +def add_yaml_OrderedDict_eval(key,cls): + """!Generates and registers a representer for a custom YAML mapping + type """ + def representer(dumper,data): + simple=data._raw_cache() + if not isinstance(simple,OrderedDict): + simple=OrderedDict([ (k,v) for k,v in simple.items() ]) + return represent_ordered_mapping(dumper,key,simple) + yaml.add_representer(cls,representer) + +add_yaml_OrderedDict_eval(u'!Task',Task) +add_yaml_OrderedDict_eval(u'!Family',Family) +add_yaml_OrderedDict_eval(u'!Cycle',Cycle) + +######################################################################## + +def represent_omap(dumper, mapping, flow_style=None): + value = [] + tag = 'tag:yaml.org,2002:omap' + + node = SequenceNode(tag, value, flow_style=flow_style) + + if dumper.alias_key is not None: + dumper.represented_objects[dumper.alias_key] = node + best_style = True + for item_key, item_value in mapping.items(): + node_key = dumper.represent_data(item_key) + node_value = dumper.represent_data(item_value) + subnode = MappingNode('tag:yaml.org,2002:map', [ ( node_key,node_value ) ]) + value.append(subnode) + node.flow_style = True + return node + +yaml.add_representer(GenericOrderedDict,represent_omap) diff --git a/examples/yaml-read-write/original.yaml b/examples/yaml-read-write/original.yaml new file mode 100644 index 0000000..3cb8045 --- /dev/null +++ b/examples/yaml-read-write/original.yaml @@ -0,0 +1,95 @@ +int: 1 +str: hello +float: 2.5 +array: + - 1 + - 2 +set: !!set + ? item 1 + ? item 2 +map: + a: 1 + b: b + c: 2017-08-15 +action: &action !Action + var1: 1 + calc1: !calc var1 + var1 +timedelta: !timedelta 03:15 +wcoss_cray: &wcoss_cray !Platform + detect: false +theia: &theia !Platform + detect: true + scrub: !FirstMax + - do: /scratch3/NCEPDEV/stmp1 + when: !calc tools.panasas_gb(do) + - do: /scratch3/NCEPDEV/stmp2 + when: !calc tools.panasas_gb(do) + - do: /scratch4/NCEPDEV/stmp3 + when: !calc tools.panasas_gb(do) + - do: /scratch4/NCEPDEV/stmp4 + when: !calc tools.panasas_gb(do) +platform: !FirstTrue + - { do: *theia, when: !calc theia.detect } + - { do: *wcoss_cray, when: !calc wcoss_cray.detect } + +testmax: !FirstMax + - { do: a, when: 1 } + - { do: b, when: 2 } + +testmin: !FirstMin + - { do: a, when: 1 } + - { do: b, when: 2 } + +testfirst: !FirstTrue + - { do: a, when: true } + - { do: b, when: false } + +testlast: !LastTrue + - { do: a, when: true } + - { do: b, when: false } + +template: &template !Template + a: + type: int + b: + type: int + default: 5 + +data: !Action + Template: *template + a: 5 + + +workflow: !Cycle + Rocoto: + scheduler: + name: MoabTorque + + Clock: + start: 2017-02-14t18:00:00 + end: 2017-02-19t12:00:00 + step: !timedelta 06:00 + family1: !Family + task5: !Task {} + task6: !Task {} + task1: !Task + Perform: *action + Trigger: !Depend task2 & suite.has_cycle('-6:00') + Complete: !Depend family2 + task2: !Task {} + task3: !Task {} + task4: !Task {} + family2: !Family + othertask: !Task + Perform: *action + Trigger: task1.at('-6:00') + +omap: !!omap + - b: 2 + - a: 1 + - c: + - 5 + - 4 + - 3 + - 2 + - 1 diff --git a/examples/yaml-read-write/test.py b/examples/yaml-read-write/test.py new file mode 100755 index 0000000..6b09323 --- /dev/null +++ b/examples/yaml-read-write/test.py @@ -0,0 +1,18 @@ +#! /usr/bin/env python3.6 + +import sys +import crow.config + +toyml=crow.config.from_file('original.yaml') +toyml_back=crow.config.to_yaml(toyml) +print('converted back: \n'+toyml_back) +toyml_back_toyml=crow.config.from_string(toyml_back) +toyml_back_toyml_back=crow.config.to_yaml(toyml_back_toyml) + +print('Check for correct conversion by comparing YAML to YAML') +assert(toyml_back == toyml_back_toyml_back) + +print('Check again by comparing the objects read from YAML') +assert(toyml == toyml_back_toyml) + +print('Success') From 68b7f2f08bf835f077c389e075928b3f02e26ab1 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 23 Aug 2017 21:27:58 +0000 Subject: [PATCH 023/487] added note warning that TaskArray does not exist --- examples/workflow/workflow.yml | 1 + 1 file changed, 1 insertion(+) diff --git a/examples/workflow/workflow.yml b/examples/workflow/workflow.yml index b8cf8ca..d72facf 100644 --- a/examples/workflow/workflow.yml +++ b/examples/workflow/workflow.yml @@ -49,6 +49,7 @@ my_fancy_workflow: !Cycle Complete: !Depend ~ suite.has_cycle('-6:00') Perform: *gfsprep Rocoto: *my_task_template + # Note: TaskArray does not exist yet, so do not use it. # enkf: !TaskArray # Index: imem # Values: !calc tools.seq(1,80) ] From ac10de768373036af3367edb177ad91deb72a103 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 28 Aug 2017 15:30:00 +0000 Subject: [PATCH 024/487] add support for converting to shell variables via the to_sh.py script --- crow/sysenv/{ => schedulers}/MoabTorque.py | 0 .../{schedulers.py => schedulers/__init__.py} | 0 crow/tools.py | 32 ++++ examples/to_sh/test.sh | 57 ++++++ examples/to_sh/test.yaml | 25 +++ to_sh.py | 169 ++++++++++++++++++ 6 files changed, 283 insertions(+) rename crow/sysenv/{ => schedulers}/MoabTorque.py (100%) rename crow/sysenv/{schedulers.py => schedulers/__init__.py} (100%) create mode 100755 examples/to_sh/test.sh create mode 100644 examples/to_sh/test.yaml create mode 100755 to_sh.py diff --git a/crow/sysenv/MoabTorque.py b/crow/sysenv/schedulers/MoabTorque.py similarity index 100% rename from crow/sysenv/MoabTorque.py rename to crow/sysenv/schedulers/MoabTorque.py diff --git a/crow/sysenv/schedulers.py b/crow/sysenv/schedulers/__init__.py similarity index 100% rename from crow/sysenv/schedulers.py rename to crow/sysenv/schedulers/__init__.py diff --git a/crow/tools.py b/crow/tools.py index 6158700..06342bf 100644 --- a/crow/tools.py +++ b/crow/tools.py @@ -90,3 +90,35 @@ def to_timedelta(s): return mult*fun(ints) raise ValueError(s+': invalid timedelta specification (12:34, ' '12:34:56, 9d12h, 9d12:34, 9d12:34:56)') + +######################################################################## + +def to_printf_octal(match): + """!Intended to be sent to re.sub to replace a single byte match with + a printf-style octal representation of that byte""" + i=int.from_bytes(match[1],'big',signed=False) + return b'\\%03o'%i + +def str_to_posix_sh(s,encoding='ascii'): + """!Convert a string to a POSIX sh represesntation of that string. + Will produce undefined results if the string is not a valid ASCII + string. """ + + # Convert from unicode to ASCII: + if not isinstance(s,bytes): + s=bytes(s,'ascii') + + # For strins with no special characterrs, return unmodified + if re.match(br'(?ms)[a-zA-Z0-9_+:/.,-]+$',s): + return s + + # For characters that have a special meaning in sh "" strings, + # prepend a backslash (\): + s=re.sub(br'(?ms)(["\\])',br'\\\1',s) + + if re.search(br'(?ms)[^ -~]',s): + # String contains special characters. Use printf. + s=re.sub(b'(?ms)([^ -~])',to_printf_octal,s) + return b'"$( printf \'' + s + b'\' )"' + + return b'"'+s+b'"' diff --git a/examples/to_sh/test.sh b/examples/to_sh/test.sh new file mode 100755 index 0000000..d93ef6d --- /dev/null +++ b/examples/to_sh/test.sh @@ -0,0 +1,57 @@ +#! /bin/sh + +set -ue + +PYTHONPATH=$( pwd )/../../${PYTHONPATH:+${PYTHONPATH}:} +TO_SH=../../to_sh.py + +test -s $TO_SH +test -x $TO_SH + +if [[ "${1:-missing}" == -v ]] ; then + set -x + TO_SH() { + "$TO_SH" -v "$@" + } +else + TO_SH() { + echo 1>&2 + echo "> $TO_SH" "$@" 1>&2 + "$TO_SH" "$@" + } +fi + +eval $( TO_SH test.yaml ONE=one ) +echo " ONE = 1 = ${ONE}" +unset ONE + +eval $( TO_SH test.yaml FIVE=2**2+1 ) +echo " FIVE = 5 = ${FIVE}" +unset FIVE + +eval $( TO_SH test.yaml scope:vars VARS_CAT=CAT ) +echo " VARS_CAT = Apollo = ${VARS_CAT}" +unset VARS_CAT + +eval $( TO_SH test.yaml scope:array[2] I=item T=texture ) +echo " I = three = $I" +echo " T = fluffy = $T" +unset I T + +eval $( TO_SH test.yaml on=logical.TRUE_TEST scope:logical off=FALSE_TEST ) +echo " on = YES = $on" +echo " off = NO = $off" +eval $( TO_SH test.yaml bool:.true.,.false. scope:logical \ + on=TRUE_TEST off=FALSE_TEST ) +echo " on = .true. = $on" +echo " off = .false. = $off" +unset on off + +eval $( TO_SH test.yaml scope:float SHORT_PI=short_pi ROUNDOFF_PI=too_long \ + float:%.20f LONG_PI=too_long NOT_FLOAT=not_float ) +echo " SHORT_PI = 3.14159 = $SHORT_PI" +echo " floating point imprecision tests: of 3.141592653589793" +echo " default format: $ROUNDOFF_PI" +echo " %.20f format: $LONG_PI" +echo " NOT_FLOAT = 3 = $NOT_FLOAT" +unset SHORT_PI LONG_PI diff --git a/examples/to_sh/test.yaml b/examples/to_sh/test.yaml new file mode 100644 index 0000000..6677d34 --- /dev/null +++ b/examples/to_sh/test.yaml @@ -0,0 +1,25 @@ +abc: [ d, e, f ] +one: 1 + +vars: + DOG: Roscoe + CAT: Apollo + BIRD: Girdy-Girl + MOUSE: null + +array: + - item: one + texture: blobby + - item: two + texture: rough + - item: three + texture: fluffy + +logical: + TRUE_TEST: true + FALSE_TEST: false + +float: + short_pi: 3.14159 + too_long: 3.141592653589793 + not_float: 3 \ No newline at end of file diff --git a/to_sh.py b/to_sh.py new file mode 100755 index 0000000..5642b08 --- /dev/null +++ b/to_sh.py @@ -0,0 +1,169 @@ +#! /usr/bin/env python3.6 + +import getopt +import sys +import re +import os +import logging + +import crow.config + +from crow.exceptions import CROWException +from crow.tools import str_to_posix_sh +from collections import Mapping + +logger=logging.getLogger('CROW') +logging.basicConfig(level=logging.INFO,stream=sys.stderr) + +UNSET_VARIABLE=object() + +class EpicFail(Exception): pass + +class ProcessArgs(object): + def __init__(self,quiet,args): + self.quiet=bool(quiet) + self.args = args + self.config = None + self.scope = None + self.float_format = '%f' + self.int_format = '%d' + self.true_string = 'YES' + self.false_string = 'NO' + self.null_string=UNSET_VARIABLE + self.done_with_files=False + self.files=list() + self.fail=False + self.export_vars=False + + def set_bool_format(self,value): + yes_no = value.split(',') + if len(yes_no) != 2: + raise ValueError(f'{value}: bool format must be two ' + 'comma-separated values ("YES,NO")') + self.true_string=yes_no[0] + self.false_string=yes_no[1] + + def eval_expr(self,expr): + globals={} + if hasattr(self.scope,'_globals'): + globals=self.scope._globals() + elif hasattr(self.config,'_globals'): + globals=self.config._globals() + return eval(expr,globals,self.scope) + + def set_int_format(self,value): + test=value%3 + self.int_format=value + + def set_float_format(self,value): + test=value%1.1 + self.float_format=value + + def set_null_string(self,value): + self.null_string=value + + def set_scope(self,value): + self.scope=self.config + result=self.eval_expr(value) + if not isinstance(result,Mapping): + raise TypeError(f'{value}: not a mapping; not a valid scope ' + f'(is a {type(result).__name__})') + self.scope=result + + def set_export_vars(self,value): + if value.lower()[0] in [ 'y', 't' ]: + self.export_vars=True + elif value.lower()[0] in [ 'n', 'f' ]: + self.export_vars=False + else: + raise ValueError(f'{value}: not a logical (YES, NO)') + + def format_object(self,obj): + if obj is True: + return self.true_string + elif obj is False: + return self.false_string + elif obj is None: + return self.null_format + elif isinstance(obj,str): + return obj + elif isinstance(obj,float): + return self.float_format%obj + elif isinstance(obj,int): + return self.int_format%obj + + def read_files(self): + config=crow.config.from_file(*self.files) + self.config = config + self.scope = config + self.done_with_files=True + + def process_args(self): + results=list() + export='export ' if self.export_vars else '' + for arg in self.args: + try: + var, value = self.process_arg(arg) + if var is None: + continue # no variable to set + value=str(str_to_posix_sh(value),'ascii') + if value is UNSET_VARIABLE: + results.append(f'unset {var}') + else: + results.append(f'{export}{var}={value}') + except ( NameError, AttributeError, LookupError, NameError, + ReferenceError, ValueError, TypeError, CROWException ) \ + as ERR: + fail=True + logger.error(f'{arg}: {ERR!s}',exc_info=not self.quiet) + if self.fail: + raise EpicFail() + return results + + def process_arg(self,arg): + m=re.match('([a-zA-Z]+):(.*)',arg) + if m: + if not self.done_with_files: self.read_files() + command, value = m.groups() + if command=='bool': self.set_bool_format(value) + elif command=='int': self.set_int_format(value) + elif command=='float': self.set_float_format(value) + elif command=='scope': self.set_scope(value) + elif command=='null': self.set_null_string(value) + else: + raise ValueError(f'{command}: not a valid command ' + '(bool, int, float, scope, null)') + return None,None + + m=re.match('([A-Za-z_][a-zA-Z0-9_]*)=(.*)',arg) + if m: + if not self.done_with_files: self.read_files() + var,expr = m.groups() + result=self.eval_expr(expr) + formatted=self.format_object(result) + if formatted is UNSET_VARIABLE: + return 'unset '+var + return var, formatted + + if self.done_with_files: + raise ValueError('Do not understand arg: '+repr(arg)) + + if os.path.isfile(arg): + self.files.append(arg) + elif not os.path.exists(arg) and not os.path.islink(arg): + raise ValueError(f'{arg}: no such file') + else: + raise ValueError(f'{arg}: not a regular file') + return None,None + +######################################################################## + +if __name__ == '__main__': + try: + verbose=sys.argv[1]=='-v' + pa=ProcessArgs(not verbose,sys.argv[verbose+1:]) + writeme=' '.join(pa.process_args()) + sys.stdout.write(writeme) + except EpicFail: + sys.stderr.write('Failure; see prior errors.') + From 480bef405dbca5b806d0f9e8d3a84371476cd346 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 28 Aug 2017 17:05:31 +0000 Subject: [PATCH 025/487] missing from prior commit: add the crow.exceptiosn module --- crow/config/exceptions.py | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/crow/config/exceptions.py b/crow/config/exceptions.py index 0bcc9d3..4878279 100644 --- a/crow/config/exceptions.py +++ b/crow/config/exceptions.py @@ -1,10 +1,11 @@ +from crow.exceptions import CROWException __all__=['ConfigError', 'ConditionalMissingDoWhen', 'TemplateErrors', 'CalcRecursionTooDeep', 'ExpandMissingResult', 'CalcKeyError', 'TemplateError', 'InvalidConfigTemplate', 'InvalidConfigValue', 'InvalidConfigType' ] # module-specific exceptions: -class ConfigError(Exception): pass +class ConfigError(CROWException): pass class ConditionalMissingDoWhen(ConfigError): pass class CalcRecursionTooDeep(ConfigError): pass class ExpandMissingResult(ConfigError): pass From c34cefdee95f7a6d789c1e82d0a5be1b6b7edace Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 28 Aug 2017 17:06:18 +0000 Subject: [PATCH 026/487] missing from prior commit: add the crow.exceptiosn module --- crow/sysenv/exceptions.py | 8 +++++--- 1 file changed, 5 insertions(+), 3 deletions(-) diff --git a/crow/sysenv/exceptions.py b/crow/sysenv/exceptions.py index 2e622d0..f97525d 100644 --- a/crow/sysenv/exceptions.py +++ b/crow/sysenv/exceptions.py @@ -1,3 +1,5 @@ -class SchedulerConfigError(Exception): pass -class UnknownSchedulerError(SchedulerConfigError): pass -class MachineTooSmallError(Exception): pass +from crow.exceptions import CROWException +class SysEnvConfigError(CROWException): pass +class MachineTooSmallError(SysEnvConfigError): pass +class UnknownParallelismError(SysEnvConfigError): pass +class UnknownSchedulerError(SysEnvConfigError): pass From 24d0be980396a6384e7d288ba092f2dc80fb64e7 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 28 Aug 2017 17:08:14 +0000 Subject: [PATCH 027/487] add the missing crow.exceptions module (try 3) --- crow/exceptions.py | 1 + 1 file changed, 1 insertion(+) create mode 100644 crow/exceptions.py diff --git a/crow/exceptions.py b/crow/exceptions.py new file mode 100644 index 0000000..47499bd --- /dev/null +++ b/crow/exceptions.py @@ -0,0 +1 @@ +class CROWException(Exception): pass From 89c207006e97075c6540ee635ffecd688e6894a4 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 28 Aug 2017 20:53:32 +0000 Subject: [PATCH 028/487] Allow to_sh.py to output namelists based on some input file. --- crow/config/tools.py | 4 +++- crow/tools.py | 3 +++ examples/to_sh/test.nml | 5 +++++ examples/to_sh/test.sh | 2 ++ to_sh.py | 34 +++++++++++++++++++++++++++++----- 5 files changed, 42 insertions(+), 6 deletions(-) create mode 100644 examples/to_sh/test.nml diff --git a/crow/config/tools.py b/crow/config/tools.py index a80ff64..fddbc85 100644 --- a/crow/config/tools.py +++ b/crow/config/tools.py @@ -36,9 +36,11 @@ def fort(value,scope='scope'): # For mappings, assume a derived type. subscope_keys=[ (f'{scope}%{key}',value) for key in value ] return ', '.join([f'{k}={fort(v,k)}' for (k,v) in subscope_keys]) - elif isinstance(value,bool): + elif value is True or value is False: # Booleans get a "." around them: return '.'+str(bool(value))+'.' + elif isinstance(value,float): + return '%.12g'%value else: # Anything else is converted to a string. return str(value) diff --git a/crow/tools.py b/crow/tools.py index 06342bf..29ad6b5 100644 --- a/crow/tools.py +++ b/crow/tools.py @@ -106,6 +106,9 @@ def str_to_posix_sh(s,encoding='ascii'): # Convert from unicode to ASCII: if not isinstance(s,bytes): + if not isinstance(s,str): + raise TypeError('str_to_posix_sh: argument must be a str ' + f'or bytes, not a {type(s).__name__}') s=bytes(s,'ascii') # For strins with no special characterrs, return unmodified diff --git a/examples/to_sh/test.nml b/examples/to_sh/test.nml new file mode 100644 index 0000000..ea1545c --- /dev/null +++ b/examples/to_sh/test.nml @@ -0,0 +1,5 @@ +&namelist + abc_list = {tools.fort(abc)} + DOG = {tools.fort(vars.DOG)} + true_test = {tools.fort(logical.TRUE_TEST)} +/ \ No newline at end of file diff --git a/examples/to_sh/test.sh b/examples/to_sh/test.sh index d93ef6d..4acc0cd 100755 --- a/examples/to_sh/test.sh +++ b/examples/to_sh/test.sh @@ -55,3 +55,5 @@ echo " default format: $ROUNDOFF_PI" echo " %.20f format: $LONG_PI" echo " NOT_FLOAT = 3 = $NOT_FLOAT" unset SHORT_PI LONG_PI + +TO_SH test.yaml expand:./test.nml diff --git a/to_sh.py b/to_sh.py index 5642b08..dbff11b 100755 --- a/to_sh.py +++ b/to_sh.py @@ -34,6 +34,8 @@ def __init__(self,quiet,args): self.files=list() self.fail=False self.export_vars=False + self.have_expanded=False + self.have_handled_vars=False def set_bool_format(self,value): yes_no = value.split(',') @@ -91,6 +93,7 @@ def format_object(self,obj): return self.float_format%obj elif isinstance(obj,int): return self.int_format%obj + return NotImplemented def read_files(self): config=crow.config.from_file(*self.files) @@ -120,16 +123,29 @@ def process_args(self): raise EpicFail() return results + def expand_file(self,filename): + with open(filename,'rt') as fd: + contents=fd.read() + as_expr='f'+repr(contents) + print(self.eval_expr('f'+repr(contents))) + def process_arg(self,arg): m=re.match('([a-zA-Z]+):(.*)',arg) if m: if not self.done_with_files: self.read_files() command, value = m.groups() - if command=='bool': self.set_bool_format(value) - elif command=='int': self.set_int_format(value) - elif command=='float': self.set_float_format(value) - elif command=='scope': self.set_scope(value) - elif command=='null': self.set_null_string(value) + if command=='bool': self.set_bool_format(value) + elif command=='int': self.set_int_format(value) + elif command=='float': self.set_float_format(value) + elif command=='scope': self.set_scope(value) + elif command=='null': self.set_null_string(value) + elif command=='expand': + if self.have_handled_vars: + raise Exception(f'{arg}: cannot expand files and set ' + 'variables in the same call.') + self.expand_file(value) + self.have_expanded=True + return None,None else: raise ValueError(f'{command}: not a valid command ' '(bool, int, float, scope, null)') @@ -137,10 +153,18 @@ def process_arg(self,arg): m=re.match('([A-Za-z_][a-zA-Z0-9_]*)=(.*)',arg) if m: + if self.have_expanded: + raise Exception(f'{arg}: cannot expand files and set variables' + 'in the same call.') + self.have_handled_vars=True if not self.done_with_files: self.read_files() var,expr = m.groups() result=self.eval_expr(expr) formatted=self.format_object(result) + if formatted is NotImplemented: + raise TypeError( + f'cannot convert a {type(result).__name__} ' + 'to a shell expression.') if formatted is UNSET_VARIABLE: return 'unset '+var return var, formatted From 29c400f898327b19ca73bcd683a3c13790b37208 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 28 Aug 2017 20:55:41 +0000 Subject: [PATCH 029/487] Part of the way to implementing parallel command generation --- crow/sysenv/__init__.py | 1 + crow/sysenv/parallelism/HydraIMPI.py | 26 ++++ crow/sysenv/parallelism/HydraIMPI.py~ | 22 ++++ crow/sysenv/parallelism/__init__.py | 17 +++ crow/sysenv/parallelism/__init__.py~ | 15 +++ .../__pycache__/HydraIMPI.cpython-36.pyc | Bin 0 -> 1158 bytes .../__pycache__/__init__.cpython-36.pyc | Bin 0 -> 664 bytes crow/sysenv/schedulers/MoabTorque.py | 117 ++++++++---------- crow/sysenv/schedulers/__init__.py | 2 +- crow/sysenv/spec.py | 36 ++++++ examples/workflow/workflow.yml | 22 +++- 11 files changed, 189 insertions(+), 69 deletions(-) create mode 100644 crow/sysenv/parallelism/HydraIMPI.py create mode 100644 crow/sysenv/parallelism/HydraIMPI.py~ create mode 100644 crow/sysenv/parallelism/__init__.py create mode 100644 crow/sysenv/parallelism/__init__.py~ create mode 100644 crow/sysenv/parallelism/__pycache__/HydraIMPI.cpython-36.pyc create mode 100644 crow/sysenv/parallelism/__pycache__/__init__.cpython-36.pyc diff --git a/crow/sysenv/__init__.py b/crow/sysenv/__init__.py index f2cd087..1759a1b 100644 --- a/crow/sysenv/__init__.py +++ b/crow/sysenv/__init__.py @@ -1,3 +1,4 @@ from crow.sysenv.spec import JobResourceSpec, JobRankSpec from crow.sysenv.exceptions import UnknownSchedulerError from crow.sysenv.schedulers import get_scheduler, has_scheduler +from crow.sysenv.parallelism import get_parallelism, has_parallelism diff --git a/crow/sysenv/parallelism/HydraIMPI.py b/crow/sysenv/parallelism/HydraIMPI.py new file mode 100644 index 0000000..79d3577 --- /dev/null +++ b/crow/sysenv/parallelism/HydraIMPI.py @@ -0,0 +1,26 @@ +import itertools +from io import StringIO + +from crow.sysenv.exceptions import * +from crow.sysenv.util import ranks_to_nodes_ppn +from crow.sysenv.spec import JobResourceSpec + +#from crow.sysenv.parallelisms.base import Parallelism as BaseParallelism + +from collections import Sequence + +__all__=['Parallelism'] + +class Parallelism(object): # (BaseParallelism): + def __init__(self,settings): + self.settings=dict(settings) + self.cores_per_node=int(settings['physical_cores_per_node']) + self.cpus_per_core=int(settings.get('logical_cpus_per_core',1)) + self.hyperthreading_allowed=bool( + settings.get('hyperthreading_allowed',False)) + self.parallelism='HydraIMPI' + self.indent_text=str(settings.get('indent_text',' ')) + + def make_sh_command_to_launch(self,spec): + pass + diff --git a/crow/sysenv/parallelism/HydraIMPI.py~ b/crow/sysenv/parallelism/HydraIMPI.py~ new file mode 100644 index 0000000..6e45828 --- /dev/null +++ b/crow/sysenv/parallelism/HydraIMPI.py~ @@ -0,0 +1,22 @@ +import itertools +from io import StringIO + +from crow.sysenv.exceptions import * +from crow.sysenv.util import ranks_to_nodes_ppn +from crow.sysenv.spec import JobResourceSpec + +#from crow.sysenv.parallelisms.base import Parallelism as BaseParallelism + +from collections import Sequence + +__all__=['Parallelism'] + +class Parallelism(object): # (BaseParallelism): + def __init__(self,settings): + self.settings=dict(settings) + self.cores_per_node=int(settings['physical_cores_per_node']) + self.cpus_per_core=int(settings.get('logical_cpus_per_core',1)) + self.hyperthreading_allowed=bool( + settings.get('hyperthreading_allowed',False)) + self.parallelism='HydraIMPI' + self.indent_text=str(settings.get('indent_text',' ')) diff --git a/crow/sysenv/parallelism/__init__.py b/crow/sysenv/parallelism/__init__.py new file mode 100644 index 0000000..632782e --- /dev/null +++ b/crow/sysenv/parallelism/__init__.py @@ -0,0 +1,17 @@ +from crow.sysenv.exceptions import UnknownParallelismError +import crow.sysenv.parallelism.HydraIMPI +from crow.sysenv.parallelism.HydraIMPI \ + import Parallelism as HydraIMPIParallelism + +KNOWN_PARALLELISM={ + 'HydraIMPI': HydraIMPIParallelism + } + +def get_parallelism(name,settings): + if name not in KNOWN_PARALLELISM: + raise UnknownParallelismError(name) + cls=KNOWN_PARALLELISM[name] + return cls(settings) + +def has_parallelism(name): + return name in KNOWN_PARALLELISM diff --git a/crow/sysenv/parallelism/__init__.py~ b/crow/sysenv/parallelism/__init__.py~ new file mode 100644 index 0000000..34ad3fb --- /dev/null +++ b/crow/sysenv/parallelism/__init__.py~ @@ -0,0 +1,15 @@ +from crow.sysenv.exceptions import UnknownSchedulerError +from crow.sysenv.schedulers.MoabTorque import Scheduler as MoabTorqueScheduler + +KNOWN_SCHEDULERS={ + 'MoabTorque': MoabTorqueScheduler + } + +def get_scheduler(name,settings): + if name not in KNOWN_SCHEDULERS: + raise UnknownSchedulerError(name) + cls=KNOWN_SCHEDULERS[name] + return cls(settings) + +def has_scheduler(name): + return name in KNOWN_SCHEDULERS diff --git a/crow/sysenv/parallelism/__pycache__/HydraIMPI.cpython-36.pyc b/crow/sysenv/parallelism/__pycache__/HydraIMPI.cpython-36.pyc new file mode 100644 index 0000000000000000000000000000000000000000..ad46992558d4b037854a969f10053179971127df GIT binary patch literal 1158 zcmZWoOK;RL5VoCcHv1}2K??#TuFGL_03;3wRVoFF#8OejBNr>RO*i1!i|qhg_169m z&io}`IrT4aV(jIyfF;k2zs!3kw??D>o$Sk-y9q+y(8@_c-hyjOARKWlQ5iUqxFIFM zK~7}yBJfnEO{eTctRu6gTXv(I$-L>6J&?PHxa>bdJm=k0c!|MDQVu!ZN8{cZ_(#(* z_W8auwL08=1~R@0WZhKiy`@f5rMa+lK39OAd!i4X3#(hh#Qt2cXemClLNVb7dzGoA z6tcDr3yyys0>Tztdku&{CFZCk9CLDt%7iC81)lN_B$SyhsIXuVPT-*z+i>k`APybl z6MT#)cH|gydnIr?ghJqcjAwYd{e0<2AgF2|kZAd~|VTB__X= z{~B-TVjORna~uVp#-zu@Yybq+z@9~9NiGNQ0@F=XDIWGyR;^;Q0Nc1X_~`m-)c-W6 z<4#CiRgIw3_bF{OZ)K2&lzwO{x%^_TfJbvRkkG>w5<U>bfb7EMhSkS-0o21#f7 i;2nI439Tdh?vHP)oal2*>_Y4k=+0-dx;BcE`Nr?phjF5g-Xx9SENFdg;Tj-J4O}F0>Dz z_MOCP+g3KcAVvC_u aqCCy`v~Ji!@OMtBcG`EK4LeaB#@!cS`=CPr literal 0 HcmV?d00001 diff --git a/crow/sysenv/schedulers/MoabTorque.py b/crow/sysenv/schedulers/MoabTorque.py index 062d6e7..171b1ae 100644 --- a/crow/sysenv/schedulers/MoabTorque.py +++ b/crow/sysenv/schedulers/MoabTorque.py @@ -1,12 +1,17 @@ import itertools +from io import StringIO + from crow.sysenv.exceptions import * from crow.sysenv.util import ranks_to_nodes_ppn -from crow.sysenv.spec import JobResourceSpec +from crow.sysenv.spec import JobResourceSpec, node_ppn_pairs_for_mpi_spec + +from crow.sysenv.schedulers.base import Scheduler as BaseScheduler + from collections import Sequence __all__=['Scheduler'] -class Scheduler(object): +class Scheduler(BaseScheduler): def __init__(self,settings): self.settings=dict(settings) @@ -15,81 +20,69 @@ def __init__(self,settings): self.hyperthreading_allowed=bool( settings.get('hyperthreading_allowed',False)) self.rocoto_name='MoabTorque' - - def rocoto_accounting(self,spec,indent=''): - return '' - - def rocoto_resources(self,spec,indent=''): + self.indent_text=str(settings.get('indent_text',' ')) + + #################################################################### + + # Public methods + + def rocoto_accounting(self,spec,indent=0): + space=self.indent_text + sio=StringIO() + if 'queue' in spec: + sio.write(f'{indent*space}{spec.queue!s}\n') + if 'partition' in spec: + sio.write(f'{indent*space}-l partition=' + f'{spec.partition!s}\n') + if 'account' in spec: + sio.write(f'{indent*space}{spec.account!s}\n') + ret=sio.getvalue() + sio.close() + return ret + + def rocoto_resources(self,spec,indent=0): + space=self.indent_text if not isinstance(spec,JobResourceSpec): spec=JobResourceSpec(spec) if spec.is_pure_serial(): if spec[0].is_exclusive() in [True,None]: - return indent+'1:ppn=2\n' + return indent*space+'1:ppn=2\n' else: - return indent+'1\n' + return indent*space+'1\n' elif spec.is_pure_openmp(): # Pure threaded. Treat as exclusive serial. - return indent+'1:ppn=2\n' + return indent*space+'1:ppn=2\n' # MPI program. Split into (nodes,ranks_per_node) pairs. - nodes_ranks=self.node_ppn_pairs_for_mpi_spec(spec) + nodes_ranks=node_ppn_pairs_for_mpi_spec( + spec,self.max_ranks_per_node,self.can_merge_ranks) - return '' \ + return indent*space+'' \ + '+'.join([f'{n}:ppn={p}' for n,p in nodes_ranks ]) \ + '\n' - def node_ppn_pairs_for_mpi_spec(self,spec): - """!Given a JobResourceSpec that represents an MPI program, express - it in (nodes,ranks_per_node) pairs.""" - - def remove_exe(rank): - if 'exe' in rank: del rank['exe'] - - # Merge ranks with same specifications: - collapsed=spec.simplify(self.merge_similar_ranks,remove_exe) - - # Get the (nodes,ppn) pairs for all ranks: - nodes_ranks=list() + def max_ranks_per_node(rank_spec): can_hyper=self.hyperthreading_allowed - for block in collapsed: - max_per_node=self.cores_per_node - if can_hyper and block.get('hyperthreading',False): - max_per_node*=self.cpus_per_core - threads_per_node=max_per_node - max_per_node //= max(1,block.get('OMP_NUM_THREADS',1)) - if max_per_node<1: - raise MachineTooSmallError(f'Specification too large for node: max {threads_per_node} for {block!r}') - ranks=block['mpi_ranks'] - kj=ranks_to_nodes_ppn(max_per_node,ranks) - nodes_ranks.extend(kj) - assert(nodes_ranks) - return nodes_ranks - - def merge_similar_ranks(self,ranks): - if not isinstance(ranks,Sequence): - raise TypeError('ranks argument must be a Sequence not a %s'%( - type(ranks).__name__,)) - is_threaded=any([bool(rank.is_openmp()) for rank in ranks]) - i=0 - while i/bin/true - {doc.platform.queue} {sched.rocoto_accounting(suite.Rocoto.accounting)} {sched.rocoto_resources(Perform.resources)} mpi_enkf_template: &my_enkf_template !expand | echo member {imem} - {doc.platform.queue} - {sched.rocoto_accounting(suite.Rocoto.accounting)} + {sched.rocoto_accounting(suite.Rocoto.enkf_accounting)} {sched.rocoto_resources(Perform.resources)} Clock: @@ -93,6 +104,5 @@ my_fancy_workflow: !Cycle final: !Task Rocoto: !expand | /bin/true - {doc.platform.queue} - {sched.rocoto_accounting(suite.Rocoto.accounting)} + {sched.rocoto_accounting(suite.Rocoto.serial_accounting)} {sched.rocoto_resources( [{}] )} From 55c3191b1a1eb893106c82bb8b6eda3be4f9bf5b Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 28 Aug 2017 21:04:07 +0000 Subject: [PATCH 030/487] Changes missing from prior commits --- crow/sysenv/schedulers/MoabTorque.py | 4 ++-- crow/sysenv/schedulers/base.py | 11 +++++++++++ crow/sysenv/spec.py | 9 ++++++--- 3 files changed, 19 insertions(+), 5 deletions(-) create mode 100644 crow/sysenv/schedulers/base.py diff --git a/crow/sysenv/schedulers/MoabTorque.py b/crow/sysenv/schedulers/MoabTorque.py index 171b1ae..26aa835 100644 --- a/crow/sysenv/schedulers/MoabTorque.py +++ b/crow/sysenv/schedulers/MoabTorque.py @@ -62,7 +62,7 @@ def rocoto_resources(self,spec,indent=0): + '+'.join([f'{n}:ppn={p}' for n,p in nodes_ranks ]) \ + '\n' - def max_ranks_per_node(rank_spec): + def max_ranks_per_node(self,rank_spec): can_hyper=self.hyperthreading_allowed max_per_node=self.cores_per_node if can_hyper and rank_spec.get('hyperthreading',False): @@ -73,7 +73,7 @@ def max_ranks_per_node(rank_spec): raise MachineTooSmallError(f'Specification too large for node: max {threads_per_node} for {rank_spec!r}') return max_per_node - def can_merge_ranks(rank_set_1,rank_set_2): + def can_merge_ranks(self,rank_set_1,rank_set_2): R1, R2 = rank_set_1, rank_set_2 if R1['separate_node'] or R2['separate_node']: return False diff --git a/crow/sysenv/schedulers/base.py b/crow/sysenv/schedulers/base.py new file mode 100644 index 0000000..3ff2811 --- /dev/null +++ b/crow/sysenv/schedulers/base.py @@ -0,0 +1,11 @@ +from abc import abstractmethod + +class Scheduler(object): + @abstractmethod + def rocoto_accounting(self,spec,indent): pass + @abstractmethod + def rocoto_resources(self,spec,indent): pass + @abstractmethod + def max_ranks_per_node(rank_spec): pass + @abstractmethod + def can_merge_ranks(rank_set_1,rank_set_2): pass diff --git a/crow/sysenv/spec.py b/crow/sysenv/spec.py index fc7e24e..d3fee47 100644 --- a/crow/sysenv/spec.py +++ b/crow/sysenv/spec.py @@ -1,4 +1,5 @@ from collections import UserList, Mapping, Sequence +from crow.sysenv.util import ranks_to_nodes_ppn __all__=['JobRankSpec','JobResourceSpec'] @@ -94,14 +95,16 @@ def __repr__(self): ######################################################################## -def node_ppn_pairs_for_mpi_spec(self,spec,max_per_node_function, +def node_ppn_pairs_for_mpi_spec(spec,max_per_node_function, rank_comparison_function): """!Given a JobResourceSpec that represents an MPI program, express it in (nodes,ranks_per_node) pairs.""" def remove_exe(rank): if 'exe' in rank: del rank['exe'] + def local_merge_similar_ranks(ranks): + merge_similar_ranks(ranks,rank_comparison_function) # Merge ranks with same specifications: - collapsed=spec.simplify(self._merge_similar_ranks,remove_exe) + collapsed=spec.simplify(local_merge_similar_ranks,remove_exe) # Get the (nodes,ppn) pairs for all ranks: nodes_ranks=list() for block in collapsed: @@ -111,7 +114,7 @@ def remove_exe(rank): nodes_ranks.extend(kj) return nodes_ranks -def merge_similar_ranks(self,ranks,can_merge_ranks_function): +def merge_similar_ranks(ranks,can_merge_ranks_function): """!Given an array of JobRankSpec, merge any contiguous sequence of JobRankSpec objects where can_merge_ranks_function(rank1,rank2) returns true. """ From cd8be2bc88bbe93d5d0221810cc63b5052d312d1 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 29 Aug 2017 17:59:35 +0000 Subject: [PATCH 031/487] update to do list and move up to top directory --- crow/config/todo.txt => todo.txt | 102 ++++++++++++++++++++++++++++++- 1 file changed, 101 insertions(+), 1 deletion(-) rename crow/config/todo.txt => todo.txt (62%) diff --git a/crow/config/todo.txt b/todo.txt similarity index 62% rename from crow/config/todo.txt rename to todo.txt index 4601119..ccd9c29 100644 --- a/crow/config/todo.txt +++ b/todo.txt @@ -1,4 +1,104 @@ -TO DO LIST: +TO DO LIST + +For python scripting and python configuration. + +------------------------------------------------------------------------ +ACTUAL WORKFLOW IN YAML + +Modify examples/workflow to generate the actual Rocoto XML for the gfs +workflow, rather than a fake system. + +1. The dependencies need to be updated. +2. The resource requirements need to be updated. +3. The shell commands need to be replaced with the actual job script names. + +------------------------------------------------------------------------ +REPLACE FV3GFS WORKFLOW + +- Replace the config.* files with wrappers around the YAML system. + +- Update the jobs to use the to_sh.py to get the relevant variables. + +- Replace fv3gfs/ush/setup*py with new scripts that are wrapped around + YAML files. + +- Find a way to embed the CROW repository within the fv3gfs repository + OR create a modulefile and install tags of CROW in a standard area. + +Prerequisites: + ACTUAL WORKFLOW IN YAML + WORKFLOW ON CRAY + DELAYED VALIDATION (or suitable workaround in setup*py scripts) + +------------------------------------------------------------------------ +EVANT/DATA DEPENDENCIES IN WORKFLOW SUITE + +The GFS workflow has two types of event dependencies: + +1. The post job for hour X must wait to start until the forecast data +is available for hour X. + +2. The prep must wait for observations. These observations come from +outside the workflow. + +In Rocoto, such problems are dealt with via data dependencies. This +would require placing disk location awareness at the workflow suite +level. The ecFlow approach is to use events. This allows a clean +separation between the workflow and dataflow. In Rocoto, events can +be implemented via flag files. Either way, we need a dataflow system +or a temporary kludge to replace one. + +A solution must be implemented soon (~1 week) to support real-time +parallels and running the post in parallel with the forecast. + +------------------------------------------------------------------------ +GENERATION OF MPI/OPENMP LAUNCHERS + +This is ~50% done. Sam can probably finish the Theia implementation +in a day or so. + +The scripts need to be able to generate mpirun/srun/whatever commands. +For most MPI implementations, this is not as simple as generating one +command. You will also need files to be generated first, such as +command files or task geometry specifications. Also, you frequently +need some environment variables. To accomplish this, we need a type +that passes around the list of files to create (command files, etc.) +the environment, and the command to run. The YAML needs to have a way +to manually specify that. Something like this: + +launch_me: !ShellCommand + env: + LSB_PJL_TASK_GEOMETRY: "{(1,2,3,4)(5,6,7,8)}" + ANOTHER_VAR: another_value + files: + CMD_FILE: 'contents of the command file' + command: 'mpirun.lsf ... some arguments ...' + +From within Python, you need: + +1. A ShellCommand object in the config module along with the logic to +read and write it. + +2. The scheduler and metascheduler packages need to know how to +generate a ShellCommand. + +3. The sysenv package needs to know how to run one. (This is the +easiest part - probably <10 lines.) + +3. There needs to be a shell-side wrapper (like to_sh.py) that knows +how to run the shell command. It would use the config package ot get +the shell command and the sysenv package to run the command. This is +easy to implement, and would probably be best done within to_sh.py to +avoid duplicating code. If it is done that way, it will probably be +<10 lines of added code. + +------------------------------------------------------------------------ +WORKFLOW ON CRAY + +Extend the mpi/openmp launcher work to the WCOSS Cray. Extend the +Rocoto generation to WCOSS Cray. + +Prerequisite: GENERATION OF MPI/OPENMP LAUNCHERS ------------------------------------------------------------------------ SET LAZY EVALUATION (set_eval) From 3b346df47296e220ccd574bb051228e52feae0c8 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 29 Aug 2017 18:05:02 +0000 Subject: [PATCH 032/487] update to do list --- todo.txt | 15 +++++++++++++++ 1 file changed, 15 insertions(+) diff --git a/todo.txt b/todo.txt index ccd9c29..0a8f562 100644 --- a/todo.txt +++ b/todo.txt @@ -2,6 +2,21 @@ TO DO LIST For python scripting and python configuration. +------------------------------------------------------------------------ +CONNECT SHELL EXGLOBAL FORECAST SCRIPT TO CROW + +* Move all configurable variables to YAML level +* Generate namelists via to_sh.py expand:... +* Get all configurable variables from to_sh.py VAR=expr +* Execute NEMS.x via to_sh.py + +Prerequisites: + REPLACE FV3GFS WORKFLOW + GENERATION OF MPI/OPENMP LAUNCHERS (can rewrite other parts of + script while waiting for this) + WORKFLOW ON CRAY (can work on Theia while waiting for this) + NAMELIST GENERATION (or suitable workaround via tools.fort(...)) + ------------------------------------------------------------------------ ACTUAL WORKFLOW IN YAML From 7026fec9c8b0f72dae5c8b2a0f9ebdcfd016f4e5 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 29 Aug 2017 18:05:30 +0000 Subject: [PATCH 033/487] Rename todo.txt to TODO.txt to make tab completion easier --- todo.txt => TODO.txt | 0 1 file changed, 0 insertions(+), 0 deletions(-) rename todo.txt => TODO.txt (100%) diff --git a/todo.txt b/TODO.txt similarity index 100% rename from todo.txt rename to TODO.txt From 92465bb5d51849c6889bb33a2f5e8995981a7739 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 29 Aug 2017 18:19:48 +0000 Subject: [PATCH 034/487] add pythonified forecast script to TODO list --- TODO.txt | 14 ++++++++++++++ 1 file changed, 14 insertions(+) diff --git a/TODO.txt b/TODO.txt index 0a8f562..c7feea3 100644 --- a/TODO.txt +++ b/TODO.txt @@ -17,6 +17,20 @@ Prerequisites: WORKFLOW ON CRAY (can work on Theia while waiting for this) NAMELIST GENERATION (or suitable workaround via tools.fort(...)) +------------------------------------------------------------------------ +REPLACE SHELL FORECAST SCRIPT WITH PYTHON + +After the "CONNECT SHELL EXGLOBAL FORECAST SCRIPT TO CROW" is done, +the script should be very short. Mostly, it will be a few file +creations/modifications, executing a few programs, and calling +to_sh.py a bunch of times. Such actions can be expressed concisely +in Python. + +There may be more complicated problems, like a big grep/sed/awk +pipeline, which will require special care. + +Prerequisite: CONNECT SHELL EXGLOBAL FORECAST SCRIPT TO CROW + ------------------------------------------------------------------------ ACTUAL WORKFLOW IN YAML From a4b5116d3a02e137357988b211a96137e02506e3 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 30 Aug 2017 02:50:28 +0000 Subject: [PATCH 035/487] add capability of running programs --- crow/sysenv/__init__.py | 4 +- crow/sysenv/{spec.py => jobs.py} | 51 +++--------- crow/sysenv/nodes.py | 119 +++++++++++++++++++++++++++ crow/sysenv/parallelism/HydraIMPI.py | 56 +++++++++++-- crow/sysenv/schedulers/MoabTorque.py | 39 ++------- crow/sysenv/shell.py | 58 +++++++++++++ examples/run/test.py | 51 ++++++++++++ 7 files changed, 301 insertions(+), 77 deletions(-) rename crow/sysenv/{spec.py => jobs.py} (73%) create mode 100644 crow/sysenv/nodes.py create mode 100644 crow/sysenv/shell.py create mode 100755 examples/run/test.py diff --git a/crow/sysenv/__init__.py b/crow/sysenv/__init__.py index 1759a1b..e4c9064 100644 --- a/crow/sysenv/__init__.py +++ b/crow/sysenv/__init__.py @@ -1,4 +1,6 @@ -from crow.sysenv.spec import JobResourceSpec, JobRankSpec +from crow.sysenv.jobs import JobResourceSpec, JobRankSpec +from crow.sysenv.nodes import NodeSpec, GenericNodeSpec +from crow.sysenv.shell import ShellCommand from crow.sysenv.exceptions import UnknownSchedulerError from crow.sysenv.schedulers import get_scheduler, has_scheduler from crow.sysenv.parallelism import get_parallelism, has_parallelism diff --git a/crow/sysenv/spec.py b/crow/sysenv/jobs.py similarity index 73% rename from crow/sysenv/spec.py rename to crow/sysenv/jobs.py index d3fee47..6b5a026 100644 --- a/crow/sysenv/spec.py +++ b/crow/sysenv/jobs.py @@ -1,5 +1,6 @@ -from collections import UserList, Mapping, Sequence -from crow.sysenv.util import ranks_to_nodes_ppn +from abc import abstractmethod +from collections import UserList, Mapping, Sequence, OrderedDict +from subprocess import Popen, PIPE, CompletedProcess __all__=['JobRankSpec','JobResourceSpec'] @@ -10,17 +11,24 @@ MISSING=object() # special constant for missing arguments +######################################################################## + class JobRankSpec(Mapping): def __init__(self,*,OMP_NUM_THREADS=0,mpi_ranks=0, exe=MISSING,args=MISSING,exclusive=True, - separate_node=False): + separate_node=False,hyperthreads=1,**kwargs): self.__spec={ 'mpi_ranks':max(0,int(mpi_ranks)), 'exclusive':bool(exclusive), 'separate_node':separate_node, + 'hyperthreads':int(hyperthreads), 'OMP_NUM_THREADS':max(0,int(OMP_NUM_THREADS)), 'exe':( None if exe is MISSING else exe ), 'args':( [] if args is MISSING else list(args) ) } + for key,value in kwargs.items(): + if not key.endswith('_extra'): + raise TypeError(f'Unknown argument {key}') + self.__spec[key]=value if not isinstance(exe,str) and exe is not MISSING and \ exe is not None: raise TypeError('exe must be a string, not a %s'%( @@ -63,6 +71,8 @@ def __repr__(self): ','.join([f'{repr(k)}:{repr(v)}' for k,v in self.items()]) + \ '}' +######################################################################## + class JobResourceSpec(Sequence): def __init__(self,specs): self.__specs=[ JobRankSpec(**spec) for spec in specs ] @@ -95,41 +105,6 @@ def __repr__(self): ######################################################################## -def node_ppn_pairs_for_mpi_spec(spec,max_per_node_function, - rank_comparison_function): - """!Given a JobResourceSpec that represents an MPI program, express - it in (nodes,ranks_per_node) pairs.""" - def remove_exe(rank): - if 'exe' in rank: del rank['exe'] - def local_merge_similar_ranks(ranks): - merge_similar_ranks(ranks,rank_comparison_function) - # Merge ranks with same specifications: - collapsed=spec.simplify(local_merge_similar_ranks,remove_exe) - # Get the (nodes,ppn) pairs for all ranks: - nodes_ranks=list() - for block in collapsed: - max_per_node=max_per_node_function(block) - ranks=block['mpi_ranks'] - kj=ranks_to_nodes_ppn(max_per_node,ranks) - nodes_ranks.extend(kj) - return nodes_ranks - -def merge_similar_ranks(ranks,can_merge_ranks_function): - """!Given an array of JobRankSpec, merge any contiguous sequence of - JobRankSpec objects where can_merge_ranks_function(rank1,rank2) - returns true. """ - if not isinstance(ranks,Sequence): - raise TypeError('ranks argument must be a Sequence not a %s'%( - type(ranks).__name__,)) - is_threaded=any([bool(rank.is_openmp()) for rank in ranks]) - i=0 - while i1:ppn=2\n' - # MPI program. Split into (nodes,ranks_per_node) pairs. - nodes_ranks=node_ppn_pairs_for_mpi_spec( - spec,self.max_ranks_per_node,self.can_merge_ranks) + # This is an MPI program. + + # Split into (nodes,ranks_per_node) pairs. Ignore differeing + # executables between ranks while merging them (del_exe): + nodes_ranks=self.nodes.to_nodes_ppn( + spec,can_merge_ranks=self.nodes.same_except_exe) return indent*space+'' \ + '+'.join([f'{n}:ppn={p}' for n,p in nodes_ranks ]) \ + '\n' - def max_ranks_per_node(self,rank_spec): - can_hyper=self.hyperthreading_allowed - max_per_node=self.cores_per_node - if can_hyper and rank_spec.get('hyperthreading',False): - max_per_node*=self.cpus_per_core - threads_per_node=max_per_node - max_per_node //= max(1,rank_spec.get('OMP_NUM_THREADS',1)) - if max_per_node<1: - raise MachineTooSmallError(f'Specification too large for node: max {threads_per_node} for {rank_spec!r}') - return max_per_node - - def can_merge_ranks(self,rank_set_1,rank_set_2): - R1, R2 = rank_set_1, rank_set_2 - if R1['separate_node'] or R2['separate_node']: return False - - can = R1['OMP_NUM_THREADS']==R2['OMP_NUM_THREADS'] and \ - R1.get('max_ppn',0)==R2.get('max_ppn',0) - if self.hyperthreading_allowed: - same = same and R1.get('hyperthreads',1) == \ - R2.get('hyperthreads',1) - return same - def test(): settings={ 'physical_cores_per_node':24, 'logical_cpus_per_core':2, diff --git a/crow/sysenv/shell.py b/crow/sysenv/shell.py new file mode 100644 index 0000000..4aadbd1 --- /dev/null +++ b/crow/sysenv/shell.py @@ -0,0 +1,58 @@ +import logging +import os +from abc import abstractmethod +from collections import UserList, Mapping, Sequence, OrderedDict +from subprocess import Popen, PIPE, CompletedProcess + +__all__=['ShellCommand'] + +logger=logging.getLogger('crow') + +class ShellCommand(object): + def __init__(self,command,env=False,files=False,cwd=False): + if isinstance(command,str): + self.command=[ '/bin/sh', '-c', command ] + elif isinstance(command,Sequence) and not isinstance(command,bytes): + self.command=[ str(s) for s in command ] + else: + raise TypeError('command must be a string or list, not a '+ + type(s).__name__) + + self.command=command + self.env=None + if env: + self.env=dict(os.environ) + self.env.update(env) + self.files=OrderedDict() + self.cwd=cwd or None + + if not files: return # nothing more to do + + for f in files: + self.files[f['name']]=f + + def __str__(self): + return f'{type(self).__name__}(command={self.command}, ' + \ + f'env={self.env!r}, cwd={self.cwd!r}, files=[ ' + \ + ', '.join([ repr(v) for k,v in self.files.items() ]) + '])' + + def run(self,input=None,stdin=None,stdout=None,stderr=None,timeout=None, + check=False,encoding=None): + """!Runs this command via subprocess.Pipe. Returns a + CompletedProcess. Arguments have the same meaning as + subprocess.run. """ + for name,f in self.files.items(): + mode=f.get('mode','wt') + logger.info(f'{f["name"]}: write mode {mode}') + with open(f['name'],mode) as fd: + fd.write(str(f['content'])) + + logger.info(f'Popen {repr(self.command)}') + pipe=Popen(args=self.command,stdin=stdin,stdout=stdout, + stderr=stderr,encoding=encoding, + cwd=self.cwd,env=self.env) + (stdout, stderr) = pipe.communicate(input=input,timeout=timeout) + cp=CompletedProcess(self.command,pipe.returncode,stdout,stderr) + if check: + cp.check_returncode() + return cp diff --git a/examples/run/test.py b/examples/run/test.py new file mode 100755 index 0000000..f1dff75 --- /dev/null +++ b/examples/run/test.py @@ -0,0 +1,51 @@ +#! /usr/bin/env python3.6 + +import sys, os, logging, subprocess + +import crow +import crow.config +import crow.metascheduler +import crow.sysenv + +logging.basicConfig(stream=sys.stderr,level=logging.INFO) + +settings={ 'mpirun':'mpiexec', + 'physical_cores_per_node':24, + 'logical_cpus_per_core':2, + 'hyperthreading_allowed':True } + +par=crow.sysenv.get_parallelism('HydraIMPI',settings) + +ranks=[ + { 'mpi_ranks':12, 'hyperthreads':1, 'OMP_NUM_THREADS':4, 'exe':'exe1', + 'HydraIMPI_extra':[ '-gdb', '-envall' ] }, + { 'mpi_ranks':48, 'OMP_NUM_THREADS':1, 'exe':'exe2', + 'HydraIMPI_extra':'-envall' }, + { 'mpi_ranks':200,'hyperthreads':1, 'exe':'exe2' } + ] + +jr=crow.sysenv.JobResourceSpec(ranks) + +cmd=par.make_shell_command_to_launch(jr) + +print(str(cmd)) + +if os.path.exists('file1'): os.unlink('file1') +if os.path.exists('file2'): os.unlink('file2') + +cmd=crow.sysenv.ShellCommand(['/bin/sh','-c', 'cat $FILE1 $FILE2'], + files=[ { 'name':'file1', 'content':'hello ' }, + { 'name':'file2', 'content':'world\n' } ], + env={ 'FILE1':'file1', 'FILE2':'file2' }, + cwd='.' ) +result=cmd.run(stdout=subprocess.PIPE,encoding='ascii') +print(repr(result.stdout)) +assert(result.stdout=='hello world\n') + +if os.path.exists('file1'): os.unlink('file1') +if os.path.exists('file2'): os.unlink('file2') + +#config=crow.config.from_file( +# 'platform.yml','templates.yml','actions.yml','workflow.yml') + +#print(crow.met.Sascheduler.to_rocoto(config.my_fancy_workflow)) From c9370382330a46e949242ed3f7ae23e8e43a8079 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 30 Aug 2017 03:37:02 +0000 Subject: [PATCH 036/487] Allow execution of YAML-specified programs (!ShellCommand) through to_sh.py. --- crow/config/__init__.py | 2 +- crow/config/from_yaml.py | 28 ++++++++++++++++++++++------ crow/config/represent.py | 4 ++-- crow/sysenv/shell.py | 26 ++++++++++++++++++++------ examples/to_sh/test.sh | 14 ++++++++++++-- examples/to_sh/test.yaml | 16 +++++++++++++++- to_sh.py | 33 ++++++++++++++++++++++----------- 7 files changed, 94 insertions(+), 29 deletions(-) diff --git a/crow/config/__init__.py b/crow/config/__init__.py index c967d45..3cdcfdb 100644 --- a/crow/config/__init__.py +++ b/crow/config/__init__.py @@ -2,7 +2,7 @@ import crow.tools from crow.config.from_yaml import ConvertFromYAML from crow.config.template import Template -from crow.config.represent import Action, Platform +from crow.config.represent import Action, Platform, ShellCommand from crow.config.tools import CONFIG_TOOLS, ENV from crow.config.tasks import Suite, Depend, AndDependency, SuitePath, \ OrDependency, NotDependency, StateDependency, Dependable, \ diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index 472f43c..581ee52 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -36,6 +36,7 @@ class FirstTrueYAML(list): yaml_tag=u'!FirstTrue' class LastTrueYAML(list): yaml_tag=u'!LastTrue' class EvalYAML(dict): pass +class ShellCommandYAML(dict): pass class TaskYAML(OrderedDict): pass class FamilyYAML(OrderedDict): pass class CycleYAML(OrderedDict): pass @@ -43,12 +44,13 @@ class CycleYAML(OrderedDict): pass # Mapping from YAML representation class to a pair: # * internal representation class # * python core class for intermediate conversion -TYPE_MAP={ PlatformYAML: [ Platform, dict ], - TemplateYAML: [ Template, dict ], - ActionYAML: [ Action, dict ], - TaskYAML: [ Task, OrderedDict ], - CycleYAML: [ Cycle, OrderedDict ], - FamilyYAML: [ Family, OrderedDict ] +TYPE_MAP={ PlatformYAML: [ Platform, dict ], + TemplateYAML: [ Template, dict ], + ActionYAML: [ Action, dict ], + ShellCommandYAML: [ ShellCommand, OrderedDict ], + TaskYAML: [ Task, OrderedDict ], + CycleYAML: [ Cycle, OrderedDict ], + FamilyYAML: [ Family, OrderedDict ] } def type_for(t): @@ -100,6 +102,20 @@ def constructor(loader,node): ######################################################################## +def add_yaml_mapping(key,cls): + """!Generates and registers representers and constructors for custom + YAML sequence types """ + def representer(dumper,data): + return dumper.represent_mapping(key,data) + def constructor(loader,node): + return cls(loader.construct_mapping(node)) + yaml.add_representer(cls,representer) + yaml.add_constructor(key,constructor) + +add_yaml_mapping(u'!ShellCommand',ShellCommandYAML) + +######################################################################## + def add_yaml_sequence(key,cls): """!Generates and registers representers and constructors for custom YAML sequence types """ diff --git a/crow/config/represent.py b/crow/config/represent.py index 4cd5278..4020720 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -11,7 +11,7 @@ __all__=[ 'Action','Platform', 'Conditional', 'calc','FirstMin', 'FirstMax', 'LastTrue', 'FirstTrue', 'GenericList', - 'GenericDict', 'GenericOrderedDict' ] + 'GenericDict', 'GenericOrderedDict', 'ShellCommand' ] ######################################################################## @@ -23,7 +23,7 @@ class GenericDict(dict_eval): pass class GenericOrderedDict(dict_eval): pass class GenericList(list_eval): pass class Platform(dict_eval): pass - +class ShellCommand(dict_eval): pass class Conditional(list_eval): MISSING=object() def __init__(self,*args): diff --git a/crow/sysenv/shell.py b/crow/sysenv/shell.py index 4aadbd1..312bd10 100644 --- a/crow/sysenv/shell.py +++ b/crow/sysenv/shell.py @@ -18,11 +18,7 @@ def __init__(self,command,env=False,files=False,cwd=False): raise TypeError('command must be a string or list, not a '+ type(s).__name__) - self.command=command - self.env=None - if env: - self.env=dict(os.environ) - self.env.update(env) + self.env=dict(env) if env else None self.files=OrderedDict() self.cwd=cwd or None @@ -30,6 +26,19 @@ def __init__(self,command,env=False,files=False,cwd=False): for f in files: self.files[f['name']]=f + + @staticmethod + def from_object(obj): + if isinstance(obj,str): + return ShellCommand(obj) + elif isinstance(obj,ShellCommand): + return obj + elif isinstance(obj,Mapping): + return ShellCommand(**obj) + elif isinstance(obj,Sequence): + return ShellCommand(list(obj)) + raise TypeError(f'Cannot convert a {type(obj).__name__} to a ' + 'ShellCommand') def __str__(self): return f'{type(self).__name__}(command={self.command}, ' + \ @@ -47,10 +56,15 @@ def run(self,input=None,stdin=None,stdout=None,stderr=None,timeout=None, with open(f['name'],mode) as fd: fd.write(str(f['content'])) + env=None + if self.env: + env=dict(os.environ) + env.update(self.env) + logger.info(f'Popen {repr(self.command)}') pipe=Popen(args=self.command,stdin=stdin,stdout=stdout, stderr=stderr,encoding=encoding, - cwd=self.cwd,env=self.env) + cwd=self.cwd,env=env) (stdout, stderr) = pipe.communicate(input=input,timeout=timeout) cp=CompletedProcess(self.command,pipe.returncode,stdout,stderr) if check: diff --git a/examples/to_sh/test.sh b/examples/to_sh/test.sh index 4acc0cd..cbc3ec9 100755 --- a/examples/to_sh/test.sh +++ b/examples/to_sh/test.sh @@ -11,13 +11,17 @@ test -x $TO_SH if [[ "${1:-missing}" == -v ]] ; then set -x TO_SH() { - "$TO_SH" -v "$@" + if ( ! "$TO_SH" -v "$@" ) ; then + echo "Non-zero exit." 1>&2 + fi } else TO_SH() { echo 1>&2 echo "> $TO_SH" "$@" 1>&2 - "$TO_SH" "$@" + if ( ! "$TO_SH" "$@" ) ; then + echo "Non-zero exit." 1>&2 + fi } fi @@ -57,3 +61,9 @@ echo " NOT_FLOAT = 3 = $NOT_FLOAT" unset SHORT_PI LONG_PI TO_SH test.yaml expand:./test.nml + +TO_SH test.yaml run:success_test + +TO_SH test.yaml run_ignore:failure_test + +TO_SH test.yaml run:failure_test diff --git a/examples/to_sh/test.yaml b/examples/to_sh/test.yaml index 6677d34..a6c2c57 100644 --- a/examples/to_sh/test.yaml +++ b/examples/to_sh/test.yaml @@ -22,4 +22,18 @@ logical: float: short_pi: 3.14159 too_long: 3.141592653589793 - not_float: 3 \ No newline at end of file + not_float: 3 + +success_test: !ShellCommand + command: 'python < "$INPUT_PY" ; rm -f "$INPUT_PY"' + files: + - name: input.py + content: | + x=1+1 + print('success_test: x = '+str(x)) + exit(0 if x==0 else 1) + env: { INPUT_PY: input.py } + +failure_test: !ShellCommand + command: [ '/bin/false' ] + diff --git a/to_sh.py b/to_sh.py index dbff11b..7d14332 100755 --- a/to_sh.py +++ b/to_sh.py @@ -1,5 +1,6 @@ #! /usr/bin/env python3.6 +import subprocess import getopt import sys import re @@ -7,7 +8,7 @@ import logging import crow.config - +import crow.sysenv from crow.exceptions import CROWException from crow.tools import str_to_posix_sh from collections import Mapping @@ -32,7 +33,6 @@ def __init__(self,quiet,args): self.null_string=UNSET_VARIABLE self.done_with_files=False self.files=list() - self.fail=False self.export_vars=False self.have_expanded=False self.have_handled_vars=False @@ -45,6 +45,12 @@ def set_bool_format(self,value): self.true_string=yes_no[0] self.false_string=yes_no[1] + def run_expr(self,expr,check=False): + cmd=self.eval_expr(expr) + sh=crow.sysenv.ShellCommand.from_object(cmd) + print(sh) + sh.run(check=check) + def eval_expr(self,expr): globals={} if hasattr(self.scope,'_globals'): @@ -104,6 +110,7 @@ def read_files(self): def process_args(self): results=list() export='export ' if self.export_vars else '' + fail=False for arg in self.args: try: var, value = self.process_arg(arg) @@ -115,11 +122,12 @@ def process_args(self): else: results.append(f'{export}{var}={value}') except ( NameError, AttributeError, LookupError, NameError, - ReferenceError, ValueError, TypeError, CROWException ) \ + ReferenceError, ValueError, TypeError, CROWException, + subprocess.CalledProcessError ) \ as ERR: fail=True logger.error(f'{arg}: {ERR!s}',exc_info=not self.quiet) - if self.fail: + if fail: raise EpicFail() return results @@ -130,15 +138,17 @@ def expand_file(self,filename): print(self.eval_expr('f'+repr(contents))) def process_arg(self,arg): - m=re.match('([a-zA-Z]+):(.*)',arg) + m=re.match('([a-zA-Z][a-zA-Z0-9_]*):(.*)',arg) if m: if not self.done_with_files: self.read_files() command, value = m.groups() - if command=='bool': self.set_bool_format(value) - elif command=='int': self.set_int_format(value) - elif command=='float': self.set_float_format(value) - elif command=='scope': self.set_scope(value) - elif command=='null': self.set_null_string(value) + if command=='bool': self.set_bool_format(value) + elif command=='int': self.set_int_format(value) + elif command=='float': self.set_float_format(value) + elif command=='scope': self.set_scope(value) + elif command=='null': self.set_null_string(value) + elif command=='run_ignore': self.run_expr(value,False) + elif command=='run': self.run_expr(value,True) elif command=='expand': if self.have_handled_vars: raise Exception(f'{arg}: cannot expand files and set ' @@ -189,5 +199,6 @@ def process_arg(self,arg): writeme=' '.join(pa.process_args()) sys.stdout.write(writeme) except EpicFail: - sys.stderr.write('Failure; see prior errors.') + sys.stderr.write('Failure; see prior errors.\n') + exit(1) From 69c163ce99cb9ba299044f63d5b1b5f2b8521553 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 30 Aug 2017 03:40:32 +0000 Subject: [PATCH 037/487] update TO DO list --- TODO.txt | 47 +++++------------------------------------------ 1 file changed, 5 insertions(+), 42 deletions(-) diff --git a/TODO.txt b/TODO.txt index c7feea3..2f0f915 100644 --- a/TODO.txt +++ b/TODO.txt @@ -80,54 +80,17 @@ or a temporary kludge to replace one. A solution must be implemented soon (~1 week) to support real-time parallels and running the post in parallel with the forecast. ------------------------------------------------------------------------- -GENERATION OF MPI/OPENMP LAUNCHERS - -This is ~50% done. Sam can probably finish the Theia implementation -in a day or so. - -The scripts need to be able to generate mpirun/srun/whatever commands. -For most MPI implementations, this is not as simple as generating one -command. You will also need files to be generated first, such as -command files or task geometry specifications. Also, you frequently -need some environment variables. To accomplish this, we need a type -that passes around the list of files to create (command files, etc.) -the environment, and the command to run. The YAML needs to have a way -to manually specify that. Something like this: - -launch_me: !ShellCommand - env: - LSB_PJL_TASK_GEOMETRY: "{(1,2,3,4)(5,6,7,8)}" - ANOTHER_VAR: another_value - files: - CMD_FILE: 'contents of the command file' - command: 'mpirun.lsf ... some arguments ...' - -From within Python, you need: - -1. A ShellCommand object in the config module along with the logic to -read and write it. - -2. The scheduler and metascheduler packages need to know how to -generate a ShellCommand. - -3. The sysenv package needs to know how to run one. (This is the -easiest part - probably <10 lines.) - -3. There needs to be a shell-side wrapper (like to_sh.py) that knows -how to run the shell command. It would use the config package ot get -the shell command and the sysenv package to run the command. This is -easy to implement, and would probably be best done within to_sh.py to -avoid duplicating code. If it is done that way, it will probably be -<10 lines of added code. - ------------------------------------------------------------------------ WORKFLOW ON CRAY Extend the mpi/openmp launcher work to the WCOSS Cray. Extend the Rocoto generation to WCOSS Cray. -Prerequisite: GENERATION OF MPI/OPENMP LAUNCHERS +------------------------------------------------------------------------ +SUPPORT FOR THEIA MPICH + +Add something in crow.sysenv.parallelize to support MPICH on Theia. +Only IMPI is supported right now. ------------------------------------------------------------------------ SET LAZY EVALUATION (set_eval) From 1ac7b0f149523b01dca141b018ddcfef33cf2d2d Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 30 Aug 2017 19:42:23 +0000 Subject: [PATCH 038/487] delete some intermediate files that were accidentally committed to the repo --- .../__pycache__/HydraIMPI.cpython-36.pyc | Bin 1158 -> 0 bytes .../__pycache__/__init__.cpython-36.pyc | Bin 664 -> 0 bytes 2 files changed, 0 insertions(+), 0 deletions(-) delete mode 100644 crow/sysenv/parallelism/__pycache__/HydraIMPI.cpython-36.pyc delete mode 100644 crow/sysenv/parallelism/__pycache__/__init__.cpython-36.pyc diff --git a/crow/sysenv/parallelism/__pycache__/HydraIMPI.cpython-36.pyc b/crow/sysenv/parallelism/__pycache__/HydraIMPI.cpython-36.pyc deleted file mode 100644 index ad46992558d4b037854a969f10053179971127df..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1158 zcmZWoOK;RL5VoCcHv1}2K??#TuFGL_03;3wRVoFF#8OejBNr>RO*i1!i|qhg_169m z&io}`IrT4aV(jIyfF;k2zs!3kw??D>o$Sk-y9q+y(8@_c-hyjOARKWlQ5iUqxFIFM zK~7}yBJfnEO{eTctRu6gTXv(I$-L>6J&?PHxa>bdJm=k0c!|MDQVu!ZN8{cZ_(#(* z_W8auwL08=1~R@0WZhKiy`@f5rMa+lK39OAd!i4X3#(hh#Qt2cXemClLNVb7dzGoA z6tcDr3yyys0>Tztdku&{CFZCk9CLDt%7iC81)lN_B$SyhsIXuVPT-*z+i>k`APybl z6MT#)cH|gydnIr?ghJqcjAwYd{e0<2AgF2|kZAd~|VTB__X= z{~B-TVjORna~uVp#-zu@Yybq+z@9~9NiGNQ0@F=XDIWGyR;^;Q0Nc1X_~`m-)c-W6 z<4#CiRgIw3_bF{OZ)K2&lzwO{x%^_TfJbvRkkG>w5<U>bfb7EMhSkS-0o21#f7 i;2nI439Tdh?vHP)oal2*>_Y4k=+0-dx;BcE`Nr?phjF5g-Xx9SENFdg;Tj-J4O}F0>Dz z_MOCP+g3KcAVvC_u aqCCy`v~Ji!@OMtBcG`EK4LeaB#@!cS`=CPr From 2ffb6195b6cadeab1b41addc425f951f90f7f9ff Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 31 Aug 2017 21:49:23 +0000 Subject: [PATCH 039/487] add a to_timedelta to the config tools --- crow/config/tools.py | 1 + 1 file changed, 1 insertion(+) diff --git a/crow/config/tools.py b/crow/config/tools.py index fddbc85..5612be4 100644 --- a/crow/config/tools.py +++ b/crow/config/tools.py @@ -61,6 +61,7 @@ def fort(value,scope='scope'): 'islink':os.path.islink, 'exists':os.path.exists, 'strftime':strftime, + 'to_timedelta':crow.tools.to_timedelta 'YMDH':YMDH, 'YMD':YMD, }) From e4eb2ce8be5611722d52e16a15536af2164f271c Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 31 Aug 2017 21:49:35 +0000 Subject: [PATCH 040/487] add a readme explaining the configuration --- README | 326 +++++++++++++++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 326 insertions(+) create mode 100644 README diff --git a/README b/README new file mode 100644 index 0000000..e7bd72b --- /dev/null +++ b/README @@ -0,0 +1,326 @@ +Basic aspects of front-end: + + # Read YAML files: + config=crow.config.from_files('file1.yaml','file2.yaml',...) + + # Convert back to YAML + text=crow.config.to_yaml(config) + + # Read YAML from a string: + config2=crow.config.from_string(text) + + # Should match + assert(config=config2) + +Calculations: + + !calc a+b+c + Perform a calculation in local scope + eval('a+b+c',...) + + !expand {tools.realpath(dir1)}/file + Literal string extrapolation in local scope + + eval( f'{tools.realpath(dir1)}/file' ,...) + + + Perl: "${VARNAME}${VARNAME2}" + Shell: "${VARNAME}${VARNAME2}" + Shell: "$( echo $VARNAME$VARNAME2 )" + Python 3.6: f'{VARNAME + VARNAME2}' + Ruby: "#{VARNAME + VARNAME2}" + + Python 3.6 and Ruby can embed arbitrary code + + The !expand is a simple wrapper around this. + +Globals within !calc and !expand: + + doc = top-level object read from YAML + tools = crow.config.tools.CONFIG_TOOLS. A set of utilities for simple calculations. + +Conditionals: + + Choose the first item that is the maximum + scrub: !FirstMax + - when: !calc tools.panasas_gb(do) + do: /scratch3/NCEPDEV/stmp1 + - when: !calc tools.panasas_gb(do) + do: /scratch3/NCEPDEV/stmp2 + - do: /scratch4/NCEPDEV/stmp3 + when: !calc tools.panasas_gb(do) + - do: /scratch4/NCEPDEV/stmp4 + when: !calc tools.panasas_gb(do) + + Also existing: + !FirstMax + !FirstMin + !LastTrue + !FirstTrue - suitable for if/elif/else + +!Platform: represents a computing environment + + theia: &theia !Platform + ... + detect: !calc tools.isdir('/scratch4') and tools.isdir('/scratch3') + + wcoss_cray: &wcoss_cray !Platform + ... + detect: !calc tools.isdir('/gpfs/hps') and tools.isfile('/etc/SuSE-release') + + platform: !FirstTrue + - do: *wcoss_cray + when: !calc do.detect + - do: *theia + when: !calc do.detect + +!Action: represents an action to perform, such as the post.sh + + fcst: &fcst !Action + Template: *fcst_vars + do_vort_damp: true + consv_te: 0. + int_array: [ 1, 2, 3, 4, 5 ] + string_array: [ a, b, c, d, e ] + mp_phys: !calc 5+5 + +!Template: validation logic for dictionary (mapping) types + Checks if variables match requirements. Substitutes defaults if missing. + crow/config/template.py + + fcst_vars: &fcst_vars !Template + int_array: + type: int list + string_array: + type: string list + bool_array: + type: bool list + default: [ true, false, true ] + mp_phys: + # validation information for mp_phys variable + type: int + allowed: [ 10, 20, 30 ] + description: "MIcrophysics scheme selection" + if_present: !FirstTrue + - when: !calc mp_phys==10 + do: + b: + type: int + description: 'Sample integer b' + dog: + type: string + default: 'brown' + +Special if_present: is executed if the variable is present. If a==10, +then the more_vars are added to the validation. + +------------------------------------------------------------------------ + +!Task, !Family, !Cycle - for defining workflows + +Terminology and functionality is based on ecFlow + +A !Task is a batch job. +A !Family is a group of families and tasks +A !Cycle is the top level. It must contain a !Clock, and at least one !Task or !Family + +sim_length: !timedelta 12d18:00:00 + +my_fancy_workflow: !Cycle + Clock: + start: 2017-02-14t18:00:00 + end: !calc start+doc.sim_length + step: !timedelta 06:00 + + gdas: !Family + Trigger: !depend gdas.at('-6:00') + prep: !Task + Perform: *gfsprep + fcst: !Task + Perform: null + Trigger: !Depend anal + Time: !timedelta 3:15 + Complete: !Depend suite.has_cycle('-6:00') | ~ prep.at('-6:00') + other_fcst: !Task + Perform: 5 + Trigger: !Depend anal + Time: !timedelta 3:15 + Complete: !Depend suite.has_cycle('-6:00') | ~ prep.at('-6:00') + +!Clock - defines cycles to run. Every task and family in the !Cycle +exists at every time defined by the !Clock. Has a start datetime, an +end datetime, and a step timedelta. + + start: 2017-02-14t18:00:00 + end: !calc start+doc.sim_length + step: !timedelta 06:00 + +Contents of a !Task or !Family: + + Perform - can be any object. Meaning is user-defined. + Trigger: !Depend - do not start task or family until this dependency is met + Complete: !Depend - task or family is considered complete (never run) + if this condition is met + Time: !timedelta - task or family is not met until this time, relative to the + clock time for this cycle + +Dependencies for a !Task include dependencies for all ancestor families. + +------------------------------------------------------------------------ + +Rocoto workflows + +Bare minimum required. Will generate an empty workflow: + +Rocotp XML comes from suite.Rocoto.workflow_xml which can be any +string, or any expression that generates a string. This is the +simplest possible workflow. It will generate an empty workflow (no + tags) + +my_fancy_workflow: !Cycle + Rocoto: + scheduler: + name: MoabTorque + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + workflow_install: /tmp + workflow_xml: !expand | + + + ]> + + + &WORKFLOW_INSTALL;/logs/@Y@m@d@H.log + + + Clock: + start: 2017-02-14t18:00:00 + end: 2017-02-19t12:00:00 + step: !timedelta 06:00 + +To generate a useful workflow (one with tasks), you need to include +logic for that in your workflow_xml: + + indent_text: " " + workflow_xml: !expand | + + + ]> + + + &WORKFLOW_INSTALL;/logs/@Y@m@d@H.log + + {to_rocoto.make_time_xml(indent=2)} + {to_rocoto.make_task_xml(indent=2)} + + +The to_rocoto is a global variable that exists only within a suite +definition that is being converted to Rocoto. It corresponds to an +instance of this class: + + crow.metascheduler.rocoto.ToRocoto + +These two functions know how to walk the YAML object tree to generate +the cycledefs and tasks: + + {to_rocoto.make_time_xml(indent=2)} + {to_rocoto.make_task_xml(indent=2)} + +The indent=2 just tells the indentation level (spaces) of each line. +The indentation is indent*indent_text + +The make_time_xml uses the !Clock declaration + +The make_task_xml uses the Rocoto: blocks inside each task + +my_fancy_workflow: !Cycle + Rocoto: + scheduler: + name: MoabTorque + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + ... + gdas: !Family + prep: !Task + Complete: !Depend ~ suite.has_cycle('-6:00') + Perform: *gfsprep + Rocoto: !expand | + /bin/true + {sched.rocoto_accounting(suite.Rocoto.accounting)} + {sched.rocoto_resources(Perform.resources)} + +The contents of the becomes: + + + ... contents generated by Task's Rocoto variable ... + + ... contents generated from Trigger, Complete, Time + dependencies within !Families and !Tasks ... + + + +Families are generated via s because that is the only +straightforward way in Rocoto. Each !Family corresponds to one + + +------------------------------------------------------------------------ + +Notice this bit. It generates the , , etc. for each task. + + {sched.rocoto_accounting(suite.Rocoto.accounting)} + {sched.rocoto_resources(Perform.resources)} + +The sched is a special global variable referring to the scheduler. For example, + + crow.sysenv.schedulers.MoabTorque.Scheduler + +This is specified in the suite definition here: + +my_fancy_workflow: !Cycle + Rocoto: + scheduler: + name: MoabTorque + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + +Notice "Perform.resources" is passed to rocoto_resources. That is a +JobResourceSpec from here: + +fcst: &fcst !Action + resources: # Definition of a serial, openmp, or MPI program + # Each list item is a block of identical MPI ranks. + # FOr a serial or pure openmp program, use one list item + - mpi_ranks: 12 # number of MPI ranks in this block + OMP_NUM_THREADS: 1 # number of threads per rank + exe: atmos # executable to run + HydraIMPI_extra: '-envall' # special value specific to IMPI in Hydra + - mpi_ranks: 48 # number of ranks for this second block + exe: ocean # executable for second block + +Note that the system is designed for MPMD by default. SPMD is a +special case: provide the same exe for every block of ranks. + +The contents of "resources:" is turned into a +crow.sysenv.jobs.JobResourceSpec, sent into +crow.sysenv.schedulers.MoabTorque.Scheduler.rocoto_resources, and +dumped into the XML for that task. + +------------------------------------------------------------------------ + +Suggested way to handle top-level options for users who don't want to +look at the contents. + +options: + Template: *options_verification + fcst_length: !timedelta 12d18:00:00 + ensemble_members: 80 + project: fv3-cpu \ No newline at end of file From a5e24d29437d0b35201d262f13bfed28d54309a7 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 1 Sep 2017 19:44:31 +0000 Subject: [PATCH 041/487] human-friendly status update wrapper --- feedback.py | 102 +++++ parm/hippie.i | 1171 +++++++++++++++++++++++++++++++++++++++++++++++++ 2 files changed, 1273 insertions(+) create mode 100755 feedback.py create mode 100644 parm/hippie.i diff --git a/feedback.py b/feedback.py new file mode 100755 index 0000000..1d7d528 --- /dev/null +++ b/feedback.py @@ -0,0 +1,102 @@ +#! /usr/bin/env python3.6 + +from collections import defaultdict +from io import StringIO +import re +import random +import sys +import logging + +logger=logging.getLogger('feedback') + +class Feedback(object): + def __init__(self): + self.__terminals=defaultdict(list) + def read_rules(self,text,filename,lineno): + iline=lineno-1 + terminal=None + for line in text.splitlines(): + iline+=1 + if not line: continue + m=re.match('''(?x) + ^ (?P \s* ) $ + | ^ \s* (?P \# ) + | ^ -- \s+ (?P .*? ) \s* $ + | ^ \s* (?P .*? ) \s* $ ''',line) + if not m: + logger.error(f'{filename}:{iline}: syntax error: {line}') + elif m.group('terminal'): + terminal=m.group('terminal') + logger.debug(f'{filename}:{iline}: define {terminal}') + elif m.group('rule'): + if not terminal: + logger.error(f'{filename}:{iline}: rule without a terminal') + else: + rule=m.group('rule') + logger.debug(f'{filename}:{iline}: {terminal} => {rule}') + self.__terminals[terminal].append(rule) + elif m.group('white') or m.group('comment'): + pass + else: + logger.debug(f'{filename}:{iline}: ignore line: {line}') + + def expand_terminal(self,terminal,args,fd): + if terminal not in self.__terminals: + logger.warning(f'{terminal}: no such terminal') + return '' + rules=self.__terminals[terminal] + if not rules: return '' + rule=random.choice(rules) + return self.expand_text(rule,args,fd) + + def expand_text(self,text,args,fd): + for match in re.finditer('''(?x) + \( (?P [^()\[\]] + ) \) + | % (?P [^0-9] ) + | % (?P \d+ ) + | (?P [^()\[\]%] + ) + | \[ (?P [^\]]+ ) \] + | (?P . ) ''',text): + if not match: return + if match.group('terminal'): + self.expand_terminal(match.group('terminal'),args,fd) + if match.group('box') and random.choice([True,False]): + self.expand_text(match.group('box'),args,fd) + if match.group('text'): + fd.write(match.group('text')) + if match.group('pct'): + p=match.group('pct') + PCT={ '%':'%', '<':'(', '>':')', 'n':'\n', 't':'\t', '_':' ' } + if p in PCT: + fd.write(PCT[p]) + else: + logger.warning(f"%{p}: don't know what to do with this") + if match.group('arg'): + arg=match.group('arg') + try: + fd.write(args[int(arg,10)]) + except(LookupError,ValueError) as s: + logger.warning(f'%{arg}: {str(s)}') +def main(): + logging.basicConfig(stream=sys.stderr,level=logging.INFO) + if len(sys.argv)<2: + logger.info(''' + Generates human-friendly messages about workflow status. +Syntax: + feedback terminal [arg1 [arg2 [...] ]] < input +Example: + +./feedback.py 'FAILED INTRO AND SIG' FV3 "Forecast-Only Summer 2016 Baseline" \ + STATUS_REPORT_GOES_HERE Surge VERBOSE_STATUS_REPORT_GOES_HERE \ + < parm/hippie.i + +./feedback.py 'INTRO AND SIG' FV3 "Forecast-Only Summer 2016 Baseline" \ + STATUS_REPORT_GOES_HERE Surge VERBOSE_STATUS_REPORT_GOES_HERE \ + < parm/hippie.i +''') + exit(0) + fb=Feedback() + fb.read_rules(sys.stdin.read(),'stdin',1) + fb.expand_terminal(sys.argv[1],sys.argv[2:],sys.stdout) + +if __name__ == '__main__': main() diff --git a/parm/hippie.i b/parm/hippie.i new file mode 100644 index 0000000..87781d9 --- /dev/null +++ b/parm/hippie.i @@ -0,0 +1,1171 @@ +# This is a grammar file used by the textgen.pl program, based on Sam +# Trahan's insult engine. That program is essentially a recursive +# madlib program: it takes a list of rules and uses them to generate +# human-readable text, as explained below. + +# Lines beginning with a double dash and a space ("-- ") declare a new +# "terminal" whose name is immediately after the space. Each terminal +# definition is followed by a list of rules that explain how the +# terminal can be expanded into multiple other terminals, or into raw +# text. Text in parenthases indicates that the terminal whose name is +# in the parenthases should be expanded. The parser (textgen.pl) +# starts from one particular terminal, and expands that terminal until +# there are no more terminal expansions to do. So, with a starting +# terminal of CAT, this: +# +# -- CAT +# (C) are (T) +# +# -- C +# cows +# +# -- T +# tasty +# +# would expand to "cows are tasty". You can have more than one +# rule for a terminal: +# +# -- CAT +# (C) are (T) +# +# -- C +# cows +# bovine animals +# calves +# +# -- T +# tasty +# delicious +# +# That would end up being expanded at random into any of these: +# +# - calves are tasty +# - calves are delicious +# - cows are tasty +# - cows are delicious +# - bovine animals are tasty +# - bovine animals are delicious +# +# You can instruct the parser to never use a rule more than once using this command: +# +# @single C +# +# With that command, any time the rule for the terminal "C" is expanded, the rule is +# discarded, so that it won't be used again. That feature is intended to be used +# to prevent the parser from using a word more than once, hence preventing output +# like "the sky is very blue, clear, windy, blue and blue today". +# +# There are other strings that have special meanings to the parser: +# +# %n = end of line +# %_ = space (otherwise, duplicate spaces are removed) +# %0 = first argument to script after the grammar file, %1 = second, etc. +# %< = insert a begin parethases ( +# %> = insert an end parenthases ) +# %% = insert a percent sign +# +# Also, recursive rules are okay: +# +# -- you are ugly +# you are very(, very) ugly +# +# -- , very +# (, very), very +# , very +# +# Starting from the "you are ugly" terminal, that will expand into "you are very" +# followed by the text ", very" repeated one or more times, followed by "ugly". +# +# Also, you can use this syntax: +# +# -- you smell +# you smell[ very] bad +# +# To indicate that the string " very" can optionally (with 50% chance) be inserted +# between "smell" and "bad". You cannot nest those braces though, so no [ very[, very]] +# However, you can place a terminal expansion in the braces like this: +# +# -- you are ugly +# you are very[(, very)] ugly +# +# -- , very +# (, very), very +# , very +# +# Which differs from our previous "you are ugly" example in that the +# ", very" is repeated *zero* or more times since it is now nested in [] in the +# "you are ugly" rule. + +######################################################################## +######################################################################## + +-- * +(INTRO AND SIG) + +-- INTRO AND SIG +(INTRO)%n%n%2%n%n(SIGNATURE)%n%n%4%n + +-- FAILED INTRO AND SIG +(FAIL INTRO)%n%n%2%n%n(FAILED SIG)%n%n%4%n + +-- RECHECK INTRO AND SIG +(RECHECK INTRO)%n%n%2%n%n(RECHECK SIG)%n%n%4%n + +-- ME +CROW's Bird-Sitter + +-- INTRO +(GREETING,)%n%n(HELLO! I AM CROW) (COLOR) (EXCUSES AND APOLOGY FOR ISSUES)%n%n(HERE IS THE STATUS) + +-- SIGNATURE +(PEACE OUT, MAN)%n%_-(ME) for %0%n%n(DON'T SUE ME: NO FAILURES) + +-- FAIL INTRO +(GREETING,)%n%n(PANIC!PANIC!)(I AM A SAD CROW)(I AM REALLY SORRY, BUT A SIMULATION FAILED)(I CANNOT FIX IT)(PLEASE DO NOT HATE ME)%n%n(HERE IS THE STATUS, NO JOKES) + +-- RECHECK INTRO +(GREETING,)%n%n(I AM AN CROW THAT RECHECKED STUFF)%n%n(HERE IS THE RECHECKED STATUS) + +-- FAILED SIG +(APOLOGETIC PEACE OUT, MAN)%n%_-(ME) for %0%n%n(DON'T SUE ME: FAILURES) + +-- RECHECK SIG +(APOLOGETIC PEACE OUT, MAN)%n%_-(ME) for %0%n%n(DON'T SUE ME: RECHECK) + +-- DON'T SUE ME: FAILURES +This is an automatically-generated 1960s hippie-themed email about ERRORS from (ME) on %3 for configuration %0 running %1. + +-- DON'T SUE ME: RECHECK +This is an automatically-generated 1960s hippie-themed email about A STATUS RECHECK from (ME) on %3 for configuration %0 running %1. + +-- DON'T SUE ME: NO FAILURES +This is an automatically-generated 1960s hippie-themed status email from (ME) on %3 for configuration %0 running %1. + +-- I AM AN CROW THAT RECHECKED STUFF +(Rechecked)!!%_[ (Wow!)%_] (I am CROW running hwrf.) (It is) (working correctly)[ now](.!)[ (Wow!)%_] +(Rechecked)!!%_ (I am CROW running hwrf.) +(I am CROW running hwrf.) (Dude), I have (soooo) (rechecked) these (simulations)(.!)%_ Nothing (broken) yet...%_ +(I am CROW running hwrf.) I have (rechecked) your (simulations), (dude).%_ Nothing (broken) yet...%_ + +-- OKAY BUT I WARNED YOU +(Y'know) (dude), (I'll do it), but (I did warn you)... + +-- I'll do it +I'll do it +I'll mark it +I will + +-- I did warn you +I did warn you +I warned you +don't blame me when this breaks (something) + +-- BYE +(Peace out)[, man](.!)%_ +(Peace out)[, chick](.!)%_ +Later, (dude)(.!)%_ + +-- RECHECK INSTEAD +(Dude), (something probably broke).%_ (You should really run recheck-cycles.bash instead).%_ (Are you sure you want to) mark this FAILURE_OKAY %?%_ + +-- Are you sure you want to +Are y'sure you want me to +Are y'sure you want me to +Are you sure you want me to +Are you sure you want me to +Are you sure you want me to +Sure you wanna +Y'sure you wanna + +-- Sorry about breaking this +(I am)[ (soooo)] sorry about [(scapegoat) ][(flaking out) and ](breaking) your[ (complimented)] (simulations) +(I am)[ (soooo)] sorry about [(scapegoat) ][(flaking out) and ](breaking) this +(I am)[ (soooo)] sorry about [(scapegoat) ](breaking) this +(I am)[ (soooo)] sorry that (I or scapegoat) [(flaked out) and ](broke) your[ (complimented)] (simulations) +(I am)[ (soooo)] sorry that (I or scapegoat) [(flaked out) and ](broke) this +(I am)[ (soooo)] sorry that (I or scapegoat) (broke) this + +-- I or scapegoat +(scapegoat) +I +I + +-- something probably broke +either the (simulations) failed or I'm (configured wrong) + +-- You should really run recheck-cycles.bash instead +You should (fix the problem) and (run) recheck-cycles.bash instead + +-- fix the problem +fix the problem +correct it +fix it +correct the problem + +-- configured wrong +configured wrong +configured incorrectly +misconfigured + +-- HELLO! I AM CROW +[(Dude babbling.) ](I am CROW running hwrf.) + +-- I AM A SAD CROW +(I am badly running) %0(, okay?) +(Uhhhh... I am) (ME)(, and stuff.) (I am badly running) %0(, okay?) +(Uhhhh... I am) (ME), (badly running) %0(, okay?) + +-- PANIC!PANIC! +Help! HELP!!!%_ +HELP!!%_ +ERRORS!!%_ +Please help!!%_ +You are SO going to kill me!!%_ +OHNO!!%_ + +-- I AM REALLY SORRY, BUT A SIMULATION FAILED +I (flaked out) and (broke) (your simulations).%_ +I (broke) (your simulations).%_ +(I know I said I would not) (flake out), (but I did.)%_ I (broke) (your simulations).%_ +(So sorry, but), I [(kinda) ](broke) (your simulations).%_ + +-- HERE IS THE RECHECKED STATUS +(Dude), (here it is): +(Here it is): +(Wow!) +I didn't (flake out) this time: +I didn't (break) the (simulations) this time: +(I won't) (flake out) again: +(I won't) (break) these again: + +-- PLEASE DO NOT HATE ME +(Blame Sam.) +(Blame Sam.) +(Blame Sam.) +(Blame Sam.) +(This sucks.) +(Don't have a cow.) +(Don't have a cow.) (Killing me won't fix it.) +Please don't tell (an authority).%_ (I am still in trouble from) (past crimes).%_ +Please don't tell (an authority).%_ (I am still in trouble from) (past crimes).%_ +Please don't tell (an authority).%_ (I am still in trouble from) (past crimes).%_ +Please don't tell (an authority).%_ (I am still in trouble from) (past crimes).%_ + +-- part of NOAA +GFDL +ESRL +NOAA HQ +EMC +the NCEP Director + +-- an authority +(the cops) +(the cops) +(the cops) +(the government) +(part of NOAA) +(part of NOAA) + +-- I CANNOT FIX IT +(I am)[ like], (way too much of an idiot) (to fix this).%_ + +-- EXCUSES AND APOLOGY FOR ISSUES +(I'll try not to) (flake out later)[, (but you know how I can be)](.!)%_ + +-- GREETING, +Dear (dude)(,,:) +(Dude)(,,:) + +-- PEACE OUT, MAN +(Peace out)[, man](.!)%_ +(Peace out)[, chick](.!)%_ +Later, (dude)(.!)%_ +(Wow!) + +-- Peace out +Peace out +Peace out +Fight the power +Keep fightin' the power +Peace +Peace + +-- APOLOGETIC PEACE OUT, MAN +(Peace out)[, man](.!)%_ +(Peace out)[, chick](.!)%_ +Sorry, (dude)(.!)%_ +Sorry, (dude)(.!)%_ +Sorry, (dude)(.!)%_ + +-- COLOR +(I am) (high and/or distracted)(.!) +(Dude), these are (complimented) (simulations)(.!) +(Dude), your (simulations) are (complimented)(.!) +This is[, like], [(soooo) ](fun)[, (dude)](.!) +(I am) (having a blast)! +(Wow!) + +-- HERE IS THE STATUS, NO JOKES +(Soooo) sorry, but, here is what I (broke): +(Soooo) sorry, but, here is what I (broke): +(Soooo) sorry, but, here is what I (broke): +(Soooo) sorry, but, here is what I (broke): +Yup. I'm sure (scapegoat) caused this: +This is probably (scapegoat)'s fault somehow, not mine: +(Get angry at user:) + +-- HERE IS THE STATUS +(Okay), (right)(.!)%_ You want (the real stuff), (and I got that): +(Okay), (right)(.!)%_ You want (this stuff): +(Okay), (right)(.!)%_ You want (this stuff): +(Okay), (right)(.!)%_ You want (this stuff): +(Okay), (right)(.!)%_ (You want the dig, I got the dig): +(Bizarre nonsense...) + +-- here it is +here it is +here it be +here +lookit this +look here + +-- Here it is +Here it is +Here it be +Here +Lookit this +Look here + +-- I won't +I won't +I won't +I wont +I'm not gonna + + +-- It is +It's +It's +It's +It's, like +It's, y'know +It's, like +It's, y'know +It is +It is, like +It is, y'know + +-- Rechecked +Rechecked +Rechecked +Rechecked +Recheck'd +Recheck'd +Recheckened +Rechickened +Recheckered + +-- rechecked +rechecked +rechecked +rechecked +recheck'd +recheck'd +rechickened +recheckened +recheckered + +-- working correctly +a gas +having a ball +working +drawin[g] designs +fab +far out +outta sight +on the make +at the pad +righteous +a real gone cat + + +-- You want the dig, I got the dig +You want the dig, I got the dig +You want the good stuff, I got the good stuff +You want the church key, I got the church key +You want the real stuff, I got the real stuff +You want the brew, I got the brew + +-- Bizarre nonsense... +(Jinx)!%_ You owe me (a coke)!%_ (Just kidding.) Anyway... +Meanwhile, back at the ranch... + +-- the real stuff +the good stuff +the real stuff +the blitz +the real brew +the church key +the crash + +-- and I got that +and I got that +so here it is[ (complimented) (dude)] +and here it is + +-- Okay +Okay +So +Yea[h] + +-- right +right +okay + +-- kinda +[like, ]kinda[, y'know,%_] +[like, ]sorta[, y'know,%_] +like, +kinda +sorta +sort of +kind of + +-- your simulations +your (simulations) +the (simulations) +some (simulations) + +-- broke +skuzzed up +screwed up +crashed +blew the doors off +jacked up +jammed +jinxed +kiboshed +put the kibosh on +pantsed +raked +pounded +scarfed +scratched up +shorted +broke + +-- broke +skuzzed up +screwed up +crashed +blown +jacked up +jammed +jinxed +kiboshed +kiboshed +pantsed +raked +pounded +scarfed +scratched up +shorted +broken + +-- but I did. +but I did. +but I did. +but, you know... +but you know me[ better than that].[..] + +-- this stuff +this stuff +these things +stuff and things +the dig +the dig +the good stuff + +-- So anyway +Right, so anyway +So anyway +And, uh, right + +-- fun +fun +# FIXME: need more here + +-- having a blast +having a ball +burning rubber +like, choice right now +like, decked out +[(soooo) ](digging) this +(soooo) (happy) + +-- digging +digging +scarfing +ruling + +-- happy +hip +hep +jazzed +outta sight + +-- I am +I'm +I'm +I'm +I am +I am, like +I'm, like + +-- I know I said I would not +I know said I (would not) +I (kinda) said I (would not) + +-- This sucks. +What a bummer.%_ +I am so bummed out now.%_ +I am such a (complimented) (dude), so it must be (someone else's) fault. +It was probably cosmic rays hitting %3 again.%_ +(I am) sure it was my bad karma.%_ +(I am) sure it was %3's bad karma.%_ +I'm gonna lay rubber now... + +-- someone else's +someone else's +Sam's +Sam's +Terry's +Kate's +Jian's +Lin's +Bin's +Rich's +Vijay's +your +(the police's) +(the government's) + +-- the police's +the pigs' +the heat's +the police's +heat's + +-- the government's +the gov'ment's +the gov'ment's +the gov'ment's +the government's + +-- the government +the gov'ment +the gov'ment +the gov'ment +the government + +-- I am still in trouble from +(I am) still in trouble from +They're still after me from +They still want me for + +-- past crimes +(simulation issues) +breaking your other (simulations) +breaking (other person)'s (simulations) +(bringing down) (resource) +(bringing down) (resource) + +-- bringing down +bringing down +breaking +crashing +screwing up + +-- breaks +breaks +crashes +screws up + +-- resource +(a cluster) +(a cluster) +(a filesystem) +(a filesystem) +(another resource) + +-- a cluster +Jet +Surge +Luna +Theia +Gyre +Tide +Cheyenne +Yellowstone +GAEA + +-- a filesystem +GPFS +HPSS +MSS +the filesystems +ptmp +hps2 +hps3 +hps +stmp +scratch3 +scratch4 +lfs3 +lfs1 +lfs2 +pan2 +SSS + +-- another resource +LoadLeveler +the network +the Infiniband switches +the queue manager +Rocoto +ecFlow +(sun grid engine) +weather.gov +AWIPS + +-- sun grid engine +SGE +SGE +Oracle Grid Engine +Sun Grid Engine + +-- specific scapegoat +Vijay +Terry +Rich +Kate +Jian +Bin +Lin +Sam +Rahul +Fanglin + +-- generic scapegoat +someone else +some other jerk + +-- scapegoat +(specific scapegoat) +(specific scapegoat) +(specific scapegoat) +(specific scapegoat) +(generic scapegoat) + +-- Get angry at user: +(No, wait, if) you are (going to) blame me, then (fix it yourself!) + +-- No, wait, if +No, (wait), if +(Wait)(.!) If + +-- Wait +Wait +Wait a minute +Hey +Hay + +-- wait +wait +wait a minute +hey +hay + +-- fix it yourself! +[ you can] climb it, Tarzan!%_ Fix it yourself: +fix it yourself[, jerk]! +maybe I won't email you any more! + +-- Person's +(other person)'s + +-- other person +Kate +Rich +Terry +Lin +Bin +Vijay +Sam +Fanglin +Rahul +Moorthi + +-- simulation issues +the warm stratospheric temperatures +the weak stratospheric jets +the high RMSE for winds in the tropics +land surface bias trouble +diffusion-induced widening of TCs +the overabundance of high clouds + +-- Don't have a cow. +But don't have a cow[, (dude)](.!)%_ +But don't flip your wig[, (dude)](.!)%_ +Please don't hurt me.%_ +Hang loose and fix it, (dude).%_ +Just hang loose and fix it, (dude).%_ + +-- Killing me won't fix it. +Killing me won't fix it.%_ +Killing me won't get these running[ again].%_ +Killing me won't get you anywhere.%_ + +-- way too much of an idiot +too much of (an idiot) +too (stupid) + +-- an idiot +a spaz +a nerd +an idiot +a winnie +a sweat hog +a skuzz +a panty-waist + +-- stupid +blitzed +loaded +stupid +useless +skuzz + +-- going to +going to +gonna +gonna + +-- the cops +the (cops) + +-- cops +cops +pigs +heat +police + +-- to fix this +to fix this +to do anything now +to bag this + +-- Blame Sam. +(It was probably) (Person's) fault.%_ +(It was probably) (Person's) fault.%_ +(other person) made me do it.%_ +I wanted it to work but (Sam made me break it).%_ + +-- Sam made me break it +(specific scapegoat) made me break it +(specific scapegoat) broke it +(specific scapegoat) screwed it up + +-- It was probably +Probabaly was +M' sure it was +It was + +-- would not +would not +would, like, not +wouldn't +wouldn't +wouldn't + +-- So sorry, but +(I am)[ (soooo)] sorry, but +(Soooo) sorry, but +Sorry, but + +-- I'll try not to +I'll try not to +I'll really try not to +I'll, like, try not to + +-- but you know how I can be +but you know how I am +but you know I'm a (naughty) (loser) +but you know I'm a (bad person) +but you know I'm a (bad person) + +-- naughty +blitzed +ditzy +heavy +old +panty-waist +flaky +pigged-out +stoned +zitty + +-- loser +dork +drag +spaz[z] +square +chrome dome +dip stick + +-- bad person +sweat hog +panty-waist +flake +dork +drag +spaz[z] +dork +square +chrome dome +dip stick +ditz + +-- flake out later +(break) these +(flake out) later +(flake out) when running these + +-- flaking out +beating feet +blowing the doors off +bugging out +flaking[ out] +flaking[ off] +chickening out +being a drag +wigging out +wiping out + +-- flake out +beat feet +blow the doors off +bug out +flake[ out] +flake[ off] +chicken out +be a drag +wig out +wipe out + +-- flaked out +beat feet +blew the doors off +bugged out +flaked[ out] +flaked[ off] +chickened out +dragged +wigged out +wiped out + +-- break +blow the doors off +skuzz[ up] +ape +boogie +brody +freak out +jam +pound +split + +-- breaking +blowing the doors off +skuzzing[ up] +aping +boogying +brodying +freaking out +jamming +pounding +splitting + +-- broken +blew the doors off +skuzzed[ up] +aped +boogied +brodied +freaked out +jammed +pounded +split + +-- simulations +simulations +simulations +sim'lations +simulations +sim'lations +FV3s +runs +forecasts +cycles + +-- I am CROW running hwrf. +(I am, like,) (ME)(, and stuff.)(I am running) %0(, okay?) +(I am, like,) (ME)(, okay?)(I am running) %0(, and stuff.) +(I am, like,) (ME), (running) %0(, okay?) +(I am, like,) (ME), (running) %0(, and stuff.) +(I am) (ME)(, and stuff.) (I am running) %0(, okay?) +(I am) (ME), (like, you know, running) %0(, okay?) +(I am) (ME), (like, you know, running) %0(, and stuff.) + +-- Dude babbling. +(Dude)(.!?) +(Complimented) (dude)(.!?) +(So,) [(complimented) ](dude)(.?) +(You are)[, like,] (amazing), (dude)!%_ +(You are)[, like,] (amazing), (dude).%_ + +-- So, +So, +Hey there, +Hey, you + +-- Uhhhh... I am +(Uhhhh...) I am +(Y'know), so, + +-- I am, like, +I am +I am, like, +I am, (y'know), +(Y'know), I am +(Y'know) I am, like, + +-- I am running +I am (running) +I'm (running) + +-- I am badly running +I am (badly running) +I'm (badly running) + +-- like, you know, running +(running) +like, (running) +(y'know), (running) +like, (y'know), (running) +(y'know), like, (running) + +-- something +something +something +somethin' +somethin' + +-- , or something +, or (something) + +-- Jinx +Jinx +Jinx +Pawdiddle +Pawdunkle + +-- a coke +a coke +a pepsi +a beer +a dollar + +-- Just kidding. +Just kidding.%_ +Just kidding.%_ +Just joking.%_ +Kidding!%_ Kidding!%_ + +-- Wow! +Pawdiddle![!]%_ +Pawdunkle![!]%_ +Wow![!]%_ +Wow![!]%_ +Wooooooo![!!]%_ +Wooooooo![!!]%_ +Yeaaah!![!!!]%_ +Yeaaah!![!!!]%_ + +-- high and/or distracted +having a good time +copasetic +cruising +digging this +funky + +-- , okay? +.%_ +.%_ +!%_ +, (y'know)?%_ +, okay?%_ +, `kay?%_ + +-- amazing +(complimented) +(complimented) +(soooo) (complimented) + +-- soooo +so +soooo +soooooo +like, really +really + +-- Soooo +So +Soooo +Soooooo +Like, really +Really + +-- , and stuff. +, and (stuff).%_ +, (dude).%_ +(, or something).%_ +[(, or something)], (y'know)?%_ + +-- y'know +right +okay +`kay +y'know + +-- Y'know +Right +Okay +Y'know + +-- stuff +things +stuff +stuff + +-- run +run +use + +-- running +running +runin' +doing, like +doin' some +spinnin' some cycles of +doin' some fine + +-- badly running +(badly) running +(badly) runin' +doin' a (bad) job of running +doin' a (bad) job of runnin' + +-- Uhhhh... +Uhhhh... +Um... +So... +Right... +Right, so... +Y'know... +Okay, so... + +-- .! +.%_ +!%_ + +-- .? +.%_ +?%_ + +-- .!? +.%_ +!%_ +?%_ + +-- badly +badly +scuzzingly +raunchily +suckily + +-- bad +bad +scuzzed-up +scuzzy +drag +raunchy +sucky + +-- You are +You are +You're +You are +You're +Y'know, you are +Y'know, you're +You're, like +Y'know, you're, like +You're, like, y'know + +-- Complimented +Cool +Ginchy +Groovy +Gnarly +Gone +Smokin' +Stacked +Wicked + +-- complimented +cool +ginchy +groovy +gnarly +gone +smokin' +stacked + +-- dude +dude +man +daddy-o +cat +cool head +fox +hunk +stud + +-- Dude +Dude +Man +Mamma +Daddy-o +Cat +Cool head +Fox +Hunk +Stud +Chick + +-- ,,: +, +, +: + From 0d6af52a40834d4d43da0f9c26e2d0df87b06643 Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Fri, 1 Sep 2017 21:32:48 +0000 Subject: [PATCH 042/487] NOtes from sam on, "Node Mapping for Dummies" --- crow/sysenv/notes.txt | 71 +++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 71 insertions(+) create mode 100644 crow/sysenv/notes.txt diff --git a/crow/sysenv/notes.txt b/crow/sysenv/notes.txt new file mode 100644 index 0000000..4fbef96 --- /dev/null +++ b/crow/sysenv/notes.txt @@ -0,0 +1,71 @@ +program1 40 ranks +program1 20 ranks program1 60 ranks +program2 30 ranks program2 30 ranks + +node = 24 ranks + + + + + +8 * atmos.exe +8 * ocean.exe +8 * wave.exe + +t1204 [ 8*atmos + 8*ocean + 8*wave ] + +8 * atmos.exe (1) +8 * ocean.exe (1) +8 * wave.exe (1) + + => same_except_exe => + +24 * (unknown) + +#PBS -l nodes=1:ppn=24 + + +8 * atmos.exe (1) +8 * ocean.exe (1) +8 * wave.exe (1) + + => can_merge_ranks => + +8 * atmos.exe (1) +8 * ocean.exe (1) +8 * wave.exe (1) + +mpiexec -np 8 atmos.exe : -np 8 ocean.exe : -np 8 wave.exe + + + +s134 [ 8*atmos + 16*nothing ] +s135 [ 8*ocean + 16*nothing ] +s136 [ 8*wave + 16*nothing ] + + + + +2 * atmos.exe (4) +4 * atmos.exe (2) +8 * atmos.exe (1) + + => same_except_exe => + +2 * (unknown) (4) +4 * (unknown) (2) +8 * (unknown) (1) + +#PBS -l nodes=1:ppn=8+1:pnp=8+1:ppn=8 + + => can_merge_ranks => + +2 * atmos.exe (4) +4 * atmos.exe (2) +8 * atmos.exe (1) + +mpirun -np 2 /usr/bin/env OMP_NUM_THREADS=4 atmos.exe : \ + -np 4 /usr/bin/env OMP_NUM_THREADS=2 atmos.exe : \ + -np 8 /usr/bin/env OMP_NUM_THREADS=1 atmos.exe + + From 44768221add754f12128dc275208c72408bf403d Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Fri, 1 Sep 2017 21:33:14 +0000 Subject: [PATCH 043/487] Corrections to Hippie message generation. --- parm/hippie.i | 32 +++++++++++++++++++++++++------- 1 file changed, 25 insertions(+), 7 deletions(-) diff --git a/parm/hippie.i b/parm/hippie.i index 87781d9..5139c53 100644 --- a/parm/hippie.i +++ b/parm/hippie.i @@ -142,10 +142,10 @@ This is an automatically-generated 1960s hippie-themed email about A STATUS RECH This is an automatically-generated 1960s hippie-themed status email from (ME) on %3 for configuration %0 running %1. -- I AM AN CROW THAT RECHECKED STUFF -(Rechecked)!!%_[ (Wow!)%_] (I am CROW running hwrf.) (It is) (working correctly)[ now](.!)[ (Wow!)%_] -(Rechecked)!!%_ (I am CROW running hwrf.) -(I am CROW running hwrf.) (Dude), I have (soooo) (rechecked) these (simulations)(.!)%_ Nothing (broken) yet...%_ -(I am CROW running hwrf.) I have (rechecked) your (simulations), (dude).%_ Nothing (broken) yet...%_ +(Rechecked)!!%_[ (Wow!)%_] (I am CROW running fv3.) (It is) (working correctly)[ now](.!)[ (Wow!)%_] +(Rechecked)!!%_ (I am CROW running fv3.) +(I am CROW running fv3.) (Dude), I have (soooo) (rechecked) these (simulations)(.!)%_ Nothing (broken) yet...%_ +(I am CROW running fv3.) I have (rechecked) your (simulations), (dude).%_ Nothing (broken) yet...%_ -- OKAY BUT I WARNED YOU (Y'know) (dude), (I'll do it), but (I did warn you)... @@ -208,7 +208,7 @@ configured incorrectly misconfigured -- HELLO! I AM CROW -[(Dude babbling.) ](I am CROW running hwrf.) +[(Dude babbling.) ](I am CROW running fv3.) -- I AM A SAD CROW (I am badly running) %0(, okay?) @@ -555,18 +555,21 @@ the pigs' the heat's the police's heat's +fuzz's -- the government's the gov'ment's the gov'ment's the gov'ment's the government's +Uncle Sam's -- the government the gov'ment the gov'ment the gov'ment the government +Uncle Sam -- I am still in trouble from (I am) still in trouble from @@ -645,6 +648,12 @@ Oracle Grid Engine Sun Grid Engine -- specific scapegoat +Moorthi +Moorthi +Moorthi +Moorthi +Moorthi +Moorthi Vijay Terry Rich @@ -759,6 +768,7 @@ cops pigs heat police +fuzz -- to fix this to fix this @@ -770,6 +780,14 @@ to bag this (It was probably) (Person's) fault.%_ (other person) made me do it.%_ I wanted it to work but (Sam made me break it).%_ +I wanted it to work but (Sam made it too complicated).%_ + +-- Sam made it too complicated +(specific scapegoat) made it too complicated +(specific scapegoat) made it so hard all I could do was cry +(specific scapegoat) didn't document it at all +(specific scapegoat) only documented it in Klingon +(specific scapegoat) never answers emails -- Sam made me break it (specific scapegoat) made me break it @@ -779,7 +797,7 @@ I wanted it to work but (Sam made me break it).%_ -- It was probably Probabaly was M' sure it was -It was +It was -- would not would not @@ -918,7 +936,7 @@ runs forecasts cycles --- I am CROW running hwrf. +-- I am CROW running fv3. (I am, like,) (ME)(, and stuff.)(I am running) %0(, okay?) (I am, like,) (ME)(, okay?)(I am running) %0(, and stuff.) (I am, like,) (ME), (running) %0(, okay?) From d70f5f7a50e8d82548e0b29eb3d005b4cea08ff8 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 6 Sep 2017 16:56:13 +0000 Subject: [PATCH 044/487] hand-coded example Rocoto XML file --- examples/rocoto/example.xml | 245 ++++++++++++++++++++++++++++++++++++ 1 file changed, 245 insertions(+) create mode 100644 examples/rocoto/example.xml diff --git a/examples/rocoto/example.xml b/examples/rocoto/example.xml new file mode 100644 index 0000000..44680c9 --- /dev/null +++ b/examples/rocoto/example.xml @@ -0,0 +1,245 @@ + + + + + + + + + + + + + + + + +]> + + + + + 201708150000 201708201800 &CYCLE_INTERVAL; + + + &LOG_DIR;/rocoto_@Y@m@d@H.log + + + set -xue ; mkdir -p $COM_DIR + start_cycle_@Y@m@d@H/ + &ACCOUNT; + 00:05:00 + + + &SERIAL_QUEUE; + 100M + 1 + + + COM_DIR + &COM_DIR;/@Y@m@d@H + + &LOG_DIR;/@Y@m@d@H/start_cycle.log + + + + + + set -xue ; echo Would do something to prepare for ensemble here + ens_prep_@Y@m@d@H/ + &ACCOUNT; + 00:05:00 + + + &SERIAL_QUEUE; + + + + 2 + + + COM_DIR + &COM_DIR;/@Y@m@d@H + + &LOG_DIR;/@Y@m@d@H/ens_prep.log + + + + + + + + + + + + + + + + + + 001 002 003 004 005 006 007 008 009 010 + 011 012 013 014 015 016 017 018 019 020 + 021 022 023 024 025 026 027 028 029 030 + 031 032 033 034 035 036 037 038 039 040 + 041 042 043 044 045 046 047 048 049 050 + 051 052 053 054 055 056 057 058 059 060 + 061 062 063 064 065 066 067 068 069 070 + 071 072 073 074 075 076 077 078 079 080 + 081 082 083 084 085 086 087 088 089 090 + 091 092 093 094 095 096 097 098 099 100 + + + + + set -xue ; echo Run ensemble forecast #MEMBER# > $COM_DIR/ensfcst#MEMBER#.out + &LOG_DIR;/@Y@m@d@H/ens_fcst_#MEMBER#.log + ens_fcst_#MEMBER#_@Y@m@d@H/ + &ACCOUNT; + 00:05:00 + + &PARALLEL_QUEUE; + + + + 2:ppn=24+4:ppn=8 + + + COM_DIR + &COM_DIR;/@Y@m@d@H + + + + + + + + + + + set -xue ; cat $COM_DIR/ensfcst*.out > $COM_DIR/gsi.out + &LOG_DIR;/@Y@m@d@H/gsi.log + gsi + &ACCOUNT; + 00:05:00 + + + &PARALLEL_QUEUE; + + 48 + + + COM_DIR + &COM_DIR;/@Y@m@d@H + + + + + + + + + + + set -xue ; sort $COM_DIR/gsi.out > $COM_DIR/fcst.out ; sleep 180 ; echo done + &LOG_DIR;/@Y@m@d@H/fcst.log + fcst_@Y@m@d@H/ + &ACCOUNT; + 00:05:00 + + &PARALLEL_QUEUE; + + 3:ppn=12 + + + COM_DIR + &COM_DIR;/@Y@m@d@H + + + + + + + + + + + set -xue ; echo would run post job > $COM_DIR/post.out + &LOG_DIR;/@Y@m@d@H/post.log + post + &ACCOUNT; + 00:05:00 + + &PARALLEL_QUEUE; + + 2:ppn=12 + + + COM_DIR + &COM_DIR;/@Y@m@d@H + + + + + + + + + + + + set -xue ; echo would archive results > $COM_DIR/archive.out + &LOG_DIR;/@Y@m@d@H/archive.log + archive_@Y@m@d@H/ + &ACCOUNT; + 00:05:00 + + &TRANSFER_QUEUE; + 100M + 1 + + + COM_DIR + &COM_DIR;/@Y@m@d@H + + + + + + + + + + + + + + + From d82989c39b3c17d9bae8718393cb82c12803e7cc Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 6 Sep 2017 16:58:43 +0000 Subject: [PATCH 045/487] finishing touches on example rocoto xml --- examples/rocoto/example.xml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/examples/rocoto/example.xml b/examples/rocoto/example.xml index 44680c9..70bbfc6 100644 --- a/examples/rocoto/example.xml +++ b/examples/rocoto/example.xml @@ -190,7 +190,7 @@ - set -xue ; echo would run post job > $COM_DIR/post.out + set -xue ; echo would run post job > $COM_DIR/post.out ; sleep 180 ; echo done &LOG_DIR;/@Y@m@d@H/post.log post &ACCOUNT; From ea4497e1566fb3c1247738b5fb9f811f8d1260e7 Mon Sep 17 00:00:00 2001 From: Rich Hammett Date: Mon, 11 Sep 2017 09:06:54 -0600 Subject: [PATCH 046/487] Added missing comma to dictionary --- crow/config/tools.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/crow/config/tools.py b/crow/config/tools.py index 5612be4..72ae762 100644 --- a/crow/config/tools.py +++ b/crow/config/tools.py @@ -61,7 +61,7 @@ def fort(value,scope='scope'): 'islink':os.path.islink, 'exists':os.path.exists, 'strftime':strftime, - 'to_timedelta':crow.tools.to_timedelta + 'to_timedelta':crow.tools.to_timedelta, 'YMDH':YMDH, 'YMD':YMD, }) From 30fbe2a5719a6f186efd35605c843416deefeac2 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 11 Sep 2017 17:34:33 +0000 Subject: [PATCH 047/487] bug fix: catch and report TypeError in from_config --- crow/config/eval_tools.py | 33 ++++++++++++++++++++++++++++++--- 1 file changed, 30 insertions(+), 3 deletions(-) diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index c1eafe8..46795ce 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -58,7 +58,7 @@ def from_config(key,val,globals,locals): return from_config(key,val._result(globals,locals), globals,locals) return val - except(KeyError,NameError,IndexError,AttributeError) as ke: + except(TypeError,KeyError,NameError,IndexError,AttributeError) as ke: raise CalcKeyError('%s: !%s %s -- %s %s'%( str(key),type(val).__name__,repr(val),type(ke).__name__,str(ke))) except RecursionError as re: @@ -135,7 +135,15 @@ def __init__(self,child): self.__globals={} def __contains__(self,k): return k in self.__child def __len__(self): return len(self.__child) - def __copy__(self): return dict_eval(self.__child) + def __copy__(self): + d=dict_eval(copy(self.__child)) + d.__globals=self.__globals + return d + def _invalidate_cache(self,key=None): + if key is None: + self.__cache=copy(self.__child) + else: + self.__cache[key]=self.__child[key] def _raw_child(self): return self.__child def _has_raw(self,key): return key in self.__child def _set_globals(self,g): self.__globals=g @@ -182,6 +190,13 @@ def __getitem__(self,key): def __getattr__(self,name): if name in self: return self[name] raise AttributeError(name) + def __setattr__(self,name,value): + if name.startswith('_'): + object.__setattr__(self,name,value) + else: + self[name]=value + def __delattr__(self,name): + del self[name] def _to_py(self,recurse=True): """!Converts to a python core object; does not work for cyclic object trees""" cls=type(self.__child) @@ -235,7 +250,9 @@ def _raw(self,i): def _has_raw(self,i): return i>=0 and len(self.__child)>i def __copy__(self): - return list_eval(self.__child,self.__locals) + L=list_eval(copy(self.__child),self.__locals) + L.__globals=self.__globals + return L def __deepcopy__(self,memo): cls=type(self) r=cls([],{}) @@ -247,6 +264,11 @@ def _deepcopy_privates_from(self,memo,other): self.__cache=deepcopy(other.__cache,memo) self.__globals=deepcopy(other.__globals,memo) self.__cache=deepcopy(other.__cache,memo) + def _invalidate_cache(self,index=None): + if index is None: + self.__cache=copy(self.__child) + else: + self.__cache[key]=self.__child[key] def __setitem__(self,k,v): self.__child[k]=v self.__cache[k]=v @@ -290,3 +312,8 @@ def _result(self,globals,locals): if 'result' not in self: raise EvalMissingCalc('"!Eval" block lacks a "result: !calc"') return self.result + + +def invalidate_cache(obj,key=None): + if hasattr(obj,'_invalidate_cache'): + obj._invalidate_cache(key) From 199613bd547d4da5c2d59de2dacb4b49152bb8e6 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 11 Sep 2017 17:38:31 +0000 Subject: [PATCH 048/487] Preparation for adding real workflow test: 1. Clock class to keep track of date+times within some set of cycles 2. Ability to invalidate cache of a config-originated object (from crow.config.eval_tools) 3. Add a crow.config.tools.as_seconds for timedelta conversion 4. Bug fix: add a missing comma (",") in crow.config.tools.CONFIG_TOOLS 5. Rename "mpirun" to "mpi_runner" for clarity in HydraIMPI. This also makes it generic enough to be used in other parallelisms 6. Rename make_shell_command_to_launch to make_ShellCommand to clarify that it makes a ShellCommand object --- crow/config/__init__.py | 1 + crow/config/from_yaml.py | 19 +++++++++- crow/config/tools.py | 8 ++++- crow/metascheduler/rocoto.py | 17 ++++++--- crow/sysenv/exceptions.py | 1 + crow/sysenv/jobs.py | 7 +++- crow/sysenv/parallelism/HydraIMPI.py | 6 ++-- crow/tools.py | 53 ++++++++++++++++++++++++++++ examples/run/test.py | 4 +-- examples/workflow/workflow.yml | 7 +++- 10 files changed, 109 insertions(+), 14 deletions(-) diff --git a/crow/config/__init__.py b/crow/config/__init__.py index 3cdcfdb..8f5add0 100644 --- a/crow/config/__init__.py +++ b/crow/config/__init__.py @@ -10,6 +10,7 @@ RUNNING, COMPLETED, FAILED, TRUE_DEPENDENCY, FALSE_DEPENDENCY, \ CycleExistsDependency from crow.config.to_yaml import to_yaml +from crow.config.eval_tools import invalidate_cache __all__=["from_string","from_file","to_py", 'Action', 'Platform', 'Template', 'TaskStateAnd', 'TaskStateOr', 'TaskStateNot', 'TaskStateIs', diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index 581ee52..61bdf2b 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -21,7 +21,7 @@ from crow.config.tasks import * from crow.config.template import Template from crow.config.exceptions import * -from crow.tools import to_timedelta +from crow.tools import to_timedelta, Clock __all__=['ConvertFromYAML'] @@ -116,6 +116,23 @@ def constructor(loader,node): ######################################################################## +def construct_Clock(loader,node): + mapping=loader.construct_mapping(node) + clock=Clock(mapping['start'],to_timedelta(mapping['step']), + mapping.get('end',None)) + if 'now' in mapping: + clock.now=mapping['now'] + return clock +yaml.add_constructor('!Clock',construct_Clock) + +def represent_Clock(dumper,data): + mapping={ 'start':data.start, 'step':data.step } + if data.end is not None: mapping['end']=data.end + if data.now!=data.start: mapping['now']=data.now +yaml.add_representer(Clock,represent_Clock) + +######################################################################## + def add_yaml_sequence(key,cls): """!Generates and registers representers and constructors for custom YAML sequence types """ diff --git a/crow/config/tools.py b/crow/config/tools.py index 5612be4..dd03082 100644 --- a/crow/config/tools.py +++ b/crow/config/tools.py @@ -45,6 +45,11 @@ def fort(value,scope='scope'): # Anything else is converted to a string. return str(value) +def seconds(dt): + if not isinstance(dt,datetime.timedelta): + raise TypeError(f'dt must be a timedelta not a {type(dt).__name__}') + return dt.total_seconds() + ## The CONFIG_TOOLS contains the tools available to configuration yaml ## "!calc" expressions in their "tools" variable. CONFIG_TOOLS=crow.tools.ImmutableMapping({ @@ -61,7 +66,8 @@ def fort(value,scope='scope'): 'islink':os.path.islink, 'exists':os.path.exists, 'strftime':strftime, - 'to_timedelta':crow.tools.to_timedelta + 'as_seconds':seconds, + 'to_timedelta':crow.tools.to_timedelta, 'YMDH':YMDH, 'YMD':YMD, }) diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index 57a69e5..2afd524 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -83,11 +83,18 @@ def __init__(self,suite): raise TypeError('The suite argument must be a Cycle, ' 'not a '+type(suite).__name__) - scheduler_settings=suite.Rocoto.scheduler - scheduler_name=suite.Rocoto.scheduler.name - sched=crow.sysenv.get_scheduler(scheduler_name,scheduler_settings) - - self.suite=Suite(suite,{'sched':sched,'to_rocoto':self}) + try: + settings=suite.Rocoto.scheduler + scheduler_name=suite.Rocoto.scheduler.name + parallelism_name=suite.Rocoto.parallelism.name + sched=crow.sysenv.get_scheduler(scheduler_name,settings) + runner=crow.sysenv.get_parallelism(parallelism_name,settings) + except(AttributeError,IndexError,TypeError,ValueError) as e: + raise ValueError('A Suite must define a Rocoto section containing ' + 'the "parallelism" and "scheduler" settings.') + + self.suite=Suite(suite,{'sched':sched,'to_rocoto':self, + 'runner':runner}) self.settings=self.suite.Rocoto self.sched=sched self.__completes=dict() diff --git a/crow/sysenv/exceptions.py b/crow/sysenv/exceptions.py index f97525d..10fd728 100644 --- a/crow/sysenv/exceptions.py +++ b/crow/sysenv/exceptions.py @@ -3,3 +3,4 @@ class SysEnvConfigError(CROWException): pass class MachineTooSmallError(SysEnvConfigError): pass class UnknownParallelismError(SysEnvConfigError): pass class UnknownSchedulerError(SysEnvConfigError): pass +class InvalidJobResourceSpec(SysEnvConfigError): pass diff --git a/crow/sysenv/jobs.py b/crow/sysenv/jobs.py index 6b5a026..f810a30 100644 --- a/crow/sysenv/jobs.py +++ b/crow/sysenv/jobs.py @@ -1,6 +1,7 @@ from abc import abstractmethod from collections import UserList, Mapping, Sequence, OrderedDict from subprocess import Popen, PIPE, CompletedProcess +from crow.sysenv.exceptions import InvalidJobResourceSpec __all__=['JobRankSpec','JobResourceSpec'] @@ -75,7 +76,11 @@ def __repr__(self): class JobResourceSpec(Sequence): def __init__(self,specs): - self.__specs=[ JobRankSpec(**spec) for spec in specs ] + try: + self.__specs=[ JobRankSpec(**spec) for spec in specs ] + except(ValueError,TypeError,IndexError) as e: + raise InvalidJobResourceSpec("Invalid resource specification:"+ + repr(specs)) # Implement Sequence abstract methods: def __getitem__(self,index): return self.__specs[index] diff --git a/crow/sysenv/parallelism/HydraIMPI.py b/crow/sysenv/parallelism/HydraIMPI.py index 454b152..1ccd820 100644 --- a/crow/sysenv/parallelism/HydraIMPI.py +++ b/crow/sysenv/parallelism/HydraIMPI.py @@ -18,10 +18,10 @@ def __init__(self,settings): self.settings=dict(settings) self.nodes=GenericNodeSpec(settings) self.parallelism='HydraIMPI' - self.mpirun=str(settings['mpirun']) + self.mpi_runner=str(settings.get('mpi_runner','mpirun')) self.rank_sep=str(settings.get('rank_sep',':')) - def make_shell_command_to_launch(self,spec): + def make_ShellCommand(self,spec): if spec.is_pure_serial(): return ShellCommand(spec['exe']) elif spec.is_pure_openmp(): @@ -34,7 +34,7 @@ def make_shell_command_to_launch(self,spec): merged=self.nodes.with_similar_ranks_merged( spec,can_merge_ranks=self.nodes.same_except_exe) - cmd=[ self.mpirun ] + cmd=[ self.mpi_runner ] first=True for rank in merged: diff --git a/crow/tools.py b/crow/tools.py index 29ad6b5..81c2b6d 100644 --- a/crow/tools.py +++ b/crow/tools.py @@ -1,5 +1,6 @@ import subprocess import os, re +import datetime from datetime import timedelta from copy import deepcopy from collections.abc import Mapping @@ -125,3 +126,55 @@ def str_to_posix_sh(s,encoding='ascii'): return b'"$( printf \'' + s + b'\' )"' return b'"'+s+b'"' + +def typecheck(name,obj,type): + if not isinstance(obj,type): + raise TypeError( + f'{name} must be a {type.__name__} not a {type(obj).__name__}') + +######################################################################## + +ZERO_DT=timedelta() + +class Clock(object): + def __init__(self,start,step,end=None): + typecheck('start',start,datetime.datetime) + typecheck('step',step,datetime.timedelta) + if end is not None: + typecheck('end',end,datetime.datetime) + self.start=start + self.end=end + self.step=step + self.__now=start + if self.step<=ZERO_DT: + raise ValueError('Time step must be positive and non-zero.') + if self.endself.end: + raise ValueError( + f'{time} is after clock end time {self.end}') + if time Date: Mon, 11 Sep 2017 17:42:44 +0000 Subject: [PATCH 049/487] Bug fix: replace a "start" with an "end" so that the rocoto end time in the cycledef will be correct --- crow/metascheduler/rocoto.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index 2afd524..2ec54d8 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -194,7 +194,7 @@ def write_task_text(self,fd,attr,indent,view,dep_count,trigger,time): def make_time_xml(self,indent=1): clock=self.suite.Clock start_time=clock.start.strftime('%Y%m%d%H%M') - end_time=clock.start.strftime('%Y%m%d%H%M') + end_time=clock.end.strftime('%Y%m%d%H%M') step=to_timedelta(clock.step) # convert to python timedelta step=cycle_offset(step) # convert to rocoto time delta space=self.__spacing From b53018d019663c927a8c7c59983dae6e4982783f Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 11 Sep 2017 17:43:01 +0000 Subject: [PATCH 050/487] In the workflow example, change the Clock to a !Clock type --- examples/workflow/workflow.yml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/examples/workflow/workflow.yml b/examples/workflow/workflow.yml index 4596dde..11e2d91 100644 --- a/examples/workflow/workflow.yml +++ b/examples/workflow/workflow.yml @@ -55,7 +55,7 @@ my_fancy_workflow: !Cycle {sched.rocoto_accounting(suite.Rocoto.enkf_accounting)} {sched.rocoto_resources(Perform.resources)} - Clock: + Clock: !Clock start: 2017-02-14t18:00:00 end: 2017-02-19t12:00:00 step: !timedelta 06:00 From 5b2393b64cd41c80243120d00a0005c97e5da987 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 11 Sep 2017 19:12:14 +0000 Subject: [PATCH 051/487] improvements to status report text --- parm/hippie.i | 170 ++++++++++++++++++++++++++++++++++++++++++++++---- 1 file changed, 159 insertions(+), 11 deletions(-) diff --git a/parm/hippie.i b/parm/hippie.i index 5139c53..6b59f02 100644 --- a/parm/hippie.i +++ b/parm/hippie.i @@ -133,13 +133,13 @@ CROW's Bird-Sitter (APOLOGETIC PEACE OUT, MAN)%n%_-(ME) for %0%n%n(DON'T SUE ME: RECHECK) -- DON'T SUE ME: FAILURES -This is an automatically-generated 1960s hippie-themed email about ERRORS from (ME) on %3 for configuration %0 running %1. +This is an automatically-generated 1960s hippie-themed email about ERRORS from (ME) on %3 for configuration "%0" running "%1." -- DON'T SUE ME: RECHECK -This is an automatically-generated 1960s hippie-themed email about A STATUS RECHECK from (ME) on %3 for configuration %0 running %1. +This is an automatically-generated 1960s hippie-themed email about A STATUS RECHECK from (ME) on %3 for configuration "%0" running "%1." -- DON'T SUE ME: NO FAILURES -This is an automatically-generated 1960s hippie-themed status email from (ME) on %3 for configuration %0 running %1. +This is an automatically-generated 1960s hippie-themed status email from (ME) on %3 for configuration "%0" running "%1." -- I AM AN CROW THAT RECHECKED STUFF (Rechecked)!!%_[ (Wow!)%_] (I am CROW running fv3.) (It is) (working correctly)[ now](.!)[ (Wow!)%_] @@ -161,10 +161,21 @@ I warned you don't blame me when this breaks (something) -- BYE +(ASCII ART) (Peace out)[, man](.!)%_ (Peace out)[, chick](.!)%_ Later, (dude)(.!)%_ +-- ASCII ART +%<-: +8-P +0-: +:-%> +%<^_^%> +% +% +% + -- RECHECK INSTEAD (Dude), (something probably broke).%_ (You should really run recheck-cycles.bash instead).%_ (Are you sure you want to) mark this FAILURE_OKAY %?%_ @@ -222,8 +233,11 @@ ERRORS!!%_ Please help!!%_ You are SO going to kill me!!%_ OHNO!!%_ +Wipe out!%_ +Zilch, man.%_ -- I AM REALLY SORRY, BUT A SIMULATION FAILED +I was (trying to beat) (competitor model) but I (broke) (your simulations),%_ I (flaked out) and (broke) (your simulations).%_ I (broke) (your simulations).%_ (I know I said I would not) (flake out), (but I did.)%_ I (broke) (your simulations).%_ @@ -257,6 +271,7 @@ ESRL NOAA HQ EMC the NCEP Director +Security -- an authority (the cops) @@ -281,6 +296,7 @@ Dear (dude)(,,:) (Peace out)[, chick](.!)%_ Later, (dude)(.!)%_ (Wow!) +(ASCII ART) -- Peace out Peace out @@ -289,6 +305,11 @@ Fight the power Keep fightin' the power Peace Peace +Down with the establishment +Fight The Man +Flower power +Deuce +Be (good) -- APOLOGETIC PEACE OUT, MAN (Peace out)[, man](.!)%_ @@ -322,6 +343,24 @@ This is probably (scapegoat)'s fault somehow, not mine: (Okay), (right)(.!)%_ (You want the dig, I got the dig): (Bizarre nonsense...) +-- competitor model +ECMWF +ECMWF +ECMWF +the official forecast +HWRF +climatology + +-- trying to beat +trying to beat +trying to beat +racing them +bookin' to +burnin' rubber like +peelin' out to +toolin' to +truckin' to + -- here it is here it is here it be @@ -388,17 +427,36 @@ at the pad righteous a real gone cat - -- You want the dig, I got the dig You want the dig, I got the dig You want the good stuff, I got the good stuff You want the church key, I got the church key You want the real stuff, I got the real stuff You want the brew, I got the brew +Here's your midnight auto supply +Here's your five-finger discount +Peel out to this +Kings X -- Bizarre nonsense... (Jinx)!%_ You owe me (a coke)!%_ (Just kidding.) Anyway... Meanwhile, back at the ranch... +(Dibs) on (the property). +Chickabiddy. + +-- Dibs +Dibs + +-- the property +the cobs +the brody knob +the brew +the scratch +the shades +the threads +the peggers +the pawdiddle +the pad -- the real stuff the good stuff @@ -407,6 +465,7 @@ the blitz the real brew the church key the crash +the cherry stuff -- and I got that and I got that @@ -430,6 +489,7 @@ kinda sorta sort of kind of +kinda-sorta, -- your simulations your (simulations) @@ -539,6 +599,7 @@ I'm gonna lay rubber now... someone else's Sam's Sam's +Sam's Terry's Kate's Jian's @@ -618,6 +679,7 @@ HPSS MSS the filesystems ptmp +glade hps2 hps3 hps @@ -648,9 +710,10 @@ Oracle Grid Engine Sun Grid Engine -- specific scapegoat -Moorthi -Moorthi -Moorthi +Sam +Sam +Sam +Sam Moorthi Moorthi Moorthi @@ -661,7 +724,6 @@ Kate Jian Bin Lin -Sam Rahul Fanglin @@ -695,8 +757,15 @@ wait a minute hey hay +-- climb it, Tarzan +climb it, Tarzan! +climb it, Tarzan! +have a gas with a bass! +bench race yourself! +brody to a pot hole! + -- fix it yourself! -[ you can] climb it, Tarzan!%_ Fix it yourself: +[ you can] [climb it, Tarzan!]%_ Fix it yourself: fix it yourself[, jerk]! maybe I won't email you any more! @@ -704,13 +773,15 @@ maybe I won't email you any more! (other person)'s -- other person +Sam +Sam +Sam Kate Rich Terry Lin Bin Vijay -Sam Fanglin Rahul Moorthi @@ -782,11 +853,25 @@ to bag this I wanted it to work but (Sam made me break it).%_ I wanted it to work but (Sam made it too complicated).%_ +-- Klingon +Klingon +Klingon +Klingon +Goa'uld +Goa'uld +Poliespo +Sindarin +Newspeak +Newspeak +Furbish +Bidjara +Sanskrit + -- Sam made it too complicated (specific scapegoat) made it too complicated (specific scapegoat) made it so hard all I could do was cry (specific scapegoat) didn't document it at all -(specific scapegoat) only documented it in Klingon +(specific scapegoat) only documented it in (Klingon) (specific scapegoat) never answers emails -- Sam made me break it @@ -838,6 +923,7 @@ dork drag spaz[z] square +hodad chrome dome dip stick @@ -869,6 +955,13 @@ chickening out being a drag wigging out wiping out +all show and no go +bench racing +catching some rays +deucing with a goose +racing for pinks +peeling outta my pad +at the submarine races -- flake out beat feet @@ -880,6 +973,12 @@ chicken out be a drag wig out wipe out +bench race +catch some rays +deuce with a goose +race for pinks +peel outta my pad +be at the submarine races -- flaked out beat feet @@ -934,6 +1033,7 @@ sim'lations FV3s runs forecasts +`casts cycles -- I am CROW running fv3. @@ -1011,6 +1111,35 @@ Just joking.%_ Kidding!%_ Kidding!%_ -- Wow! +(Nonsensical exclamation!) +(Nonsensical exclamation!) +(Nonsensical exclamation!) +(Good!) +(Good!) +(Good!) +What (a party)[!]!%_ +What (a party)[!]!%_ +What (a party)[!]!%_ +What (a party)[!]!%_ + +-- Good! +Deuce![!]%_ +Fab![!]%_ +Far out![!]%_ +Twitchin'%_ +Kings X![!]%_ +Boss![!]!%_ +Way out![!]%_ + +-- good +deuce +fab +far out +twitchin' +boss +way out + +-- Nonsensical exclamation! Pawdiddle![!]%_ Pawdunkle![!]%_ Wow![!]%_ @@ -1020,6 +1149,12 @@ Wooooooo![!!]%_ Yeaaah!![!!!]%_ Yeaaah!![!!!]%_ +-- a party +a gas +a blast +a jam +a party + -- high and/or distracted having a good time copasetic @@ -1034,6 +1169,7 @@ funky , (y'know)?%_ , okay?%_ , `kay?%_ +, chickabiddy?%_ -- amazing (complimented) @@ -1150,6 +1286,12 @@ Gone Smokin' Stacked Wicked +Twitchin' +Unreal +Fab +Far out +Boss +Glasspacked -- complimented cool @@ -1159,6 +1301,12 @@ gnarly gone smokin' stacked +twitchin' +unreal +fab +far out +boss +glasspacked -- dude dude From 396c4a11dff8fe8b245464e46e490d27494e7f26 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 12 Sep 2017 09:59:01 +0000 Subject: [PATCH 052/487] add an incomplete test of the full workflow capabilities. Some coding still needed. --- crow/config/eval_tools.py | 6 +- crow/config/tasks.py | 5 +- crow/config/tools.py | 6 + crow/metascheduler/__init__.py | 1 - crow/metascheduler/rocoto.py | 3 +- crow/trace.py | 11 + examples/real-workflow/flat-py/flat.yaml | 123 ++++++ examples/real-workflow/flat-py/test.py | 95 +++++ examples/real-workflow/flat-sh/test.sh | 178 ++++++++ examples/real-workflow/jobs/JANALYSIS | 20 + examples/real-workflow/jobs/JCLIM_FCST | 20 + examples/real-workflow/jobs/JCLIM_INIT | 20 + examples/real-workflow/jobs/JENS_FCST | 20 + examples/real-workflow/jobs/JFCST | 19 + examples/real-workflow/jobs/JPOST | 22 + examples/real-workflow/jobs/JSTART_CYCLE | 9 + examples/real-workflow/scripts/exanalysis.sh | 10 + examples/real-workflow/scripts/exclim_init.py | 18 + examples/real-workflow/scripts/exfcst.py | 38 ++ examples/real-workflow/scripts/expost.sh | 32 ++ examples/real-workflow/sorc/Makefile | 90 +++++ examples/real-workflow/sorc/assimilate.F90 | 69 ++++ examples/real-workflow/sorc/bobrand.c | 179 +++++++++ .../real-workflow/sorc/climatology_init.F90 | 46 +++ examples/real-workflow/sorc/forecast.F90 | 63 +++ examples/real-workflow/sorc/post.F90 | 54 +++ examples/real-workflow/sorc/tools.F90 | 379 ++++++++++++++++++ examples/real-workflow/ush/wait_for_file.sh | 24 ++ examples/real-workflow/workflow/actions.yaml | 137 +++++++ examples/real-workflow/workflow/example.xml | 245 +++++++++++ examples/real-workflow/workflow/options.yaml | 42 ++ examples/real-workflow/workflow/runtime.yaml | 3 + examples/real-workflow/workflow/workflow.yaml | 158 ++++++++ to_sh.py | 9 + 34 files changed, 2149 insertions(+), 5 deletions(-) create mode 100644 crow/trace.py create mode 100644 examples/real-workflow/flat-py/flat.yaml create mode 100755 examples/real-workflow/flat-py/test.py create mode 100755 examples/real-workflow/flat-sh/test.sh create mode 100644 examples/real-workflow/jobs/JANALYSIS create mode 100644 examples/real-workflow/jobs/JCLIM_FCST create mode 100644 examples/real-workflow/jobs/JCLIM_INIT create mode 100644 examples/real-workflow/jobs/JENS_FCST create mode 100644 examples/real-workflow/jobs/JFCST create mode 100644 examples/real-workflow/jobs/JPOST create mode 100644 examples/real-workflow/jobs/JSTART_CYCLE create mode 100644 examples/real-workflow/scripts/exanalysis.sh create mode 100644 examples/real-workflow/scripts/exclim_init.py create mode 100644 examples/real-workflow/scripts/exfcst.py create mode 100644 examples/real-workflow/scripts/expost.sh create mode 100644 examples/real-workflow/sorc/Makefile create mode 100644 examples/real-workflow/sorc/assimilate.F90 create mode 100644 examples/real-workflow/sorc/bobrand.c create mode 100644 examples/real-workflow/sorc/climatology_init.F90 create mode 100644 examples/real-workflow/sorc/forecast.F90 create mode 100644 examples/real-workflow/sorc/post.F90 create mode 100644 examples/real-workflow/sorc/tools.F90 create mode 100644 examples/real-workflow/ush/wait_for_file.sh create mode 100644 examples/real-workflow/workflow/actions.yaml create mode 100644 examples/real-workflow/workflow/example.xml create mode 100644 examples/real-workflow/workflow/options.yaml create mode 100644 examples/real-workflow/workflow/runtime.yaml create mode 100644 examples/real-workflow/workflow/workflow.yaml diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 46795ce..4fab4d9 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -136,7 +136,8 @@ def __init__(self,child): def __contains__(self,k): return k in self.__child def __len__(self): return len(self.__child) def __copy__(self): - d=dict_eval(copy(self.__child)) + cls=type(self) + d=cls(copy(self.__child)) d.__globals=self.__globals return d def _invalidate_cache(self,key=None): @@ -250,7 +251,8 @@ def _raw(self,i): def _has_raw(self,i): return i>=0 and len(self.__child)>i def __copy__(self): - L=list_eval(copy(self.__child),self.__locals) + cls=type(self) + L=cls(copy(self.__child),self.__locals) L.__globals=self.__globals return L def __deepcopy__(self,memo): diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 33069a2..b81068c 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -54,7 +54,9 @@ def __init__(self,suite,viewed,path,parent): # assert(isinstance(viewed,dict_eval)) # assert(isinstance(parent,SuiteView)) self.suite=suite - self.viewed=viewed + self.viewed=copy(viewed) + self.viewed.task_path_list=path[1:] + self.viewed.task_path_str='/'+'/'.join(path[1:]) self.path=SuitePath(path) self.parent=parent self.__cache={} @@ -119,6 +121,7 @@ def __getitem__(self,key): assert(isinstance(key,str)) if key in self.__cache: return self.__cache[key] if key not in self.viewed: raise KeyError(key) + if key == 'up': return parent val=self.viewed[key] if isinstance(val,Task) or isinstance(val,Family): diff --git a/crow/config/tools.py b/crow/config/tools.py index 353424c..75af003 100644 --- a/crow/config/tools.py +++ b/crow/config/tools.py @@ -50,11 +50,17 @@ def seconds(dt): raise TypeError(f'dt must be a timedelta not a {type(dt).__name__}') return dt.total_seconds() +def crow_install_dir(rel=None): + path=os.path.join(__file__,'..') + path=os.path.join(path,rel) + return os.path.abspath(path) + ## The CONFIG_TOOLS contains the tools available to configuration yaml ## "!calc" expressions in their "tools" variable. CONFIG_TOOLS=crow.tools.ImmutableMapping({ 'fort':fort, 'seq':seq, + 'crow_install_dir':crow_install_dir, 'panasas_gb':crow.tools.panasas_gb, 'gpfs_gb':crow.tools.gpfs_gb, 'basename':os.path.basename, diff --git a/crow/metascheduler/__init__.py b/crow/metascheduler/__init__.py index c0c0723..d66d2aa 100644 --- a/crow/metascheduler/__init__.py +++ b/crow/metascheduler/__init__.py @@ -1,4 +1,3 @@ from crow.metascheduler.rocoto import to_rocoto __all__=[ 'to_rocoto' ] - diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index 2ec54d8..fcad937 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -141,7 +141,8 @@ def convert_family(self,fd,indent,view,trigger,complete,time): if child.path[1:] == ['final']: if not child.is_task(): raise RocotoConfigError( - 'The "final" task must be a Task, not a Family.') + 'The "final" task must be a Task, not a ' + +type(child.viewed).__name__) self.__final_task=child elif child.is_task(): self.convert_task(fd,indent+1,child,trigger,complete,time) diff --git a/crow/trace.py b/crow/trace.py new file mode 100644 index 0000000..596eff7 --- /dev/null +++ b/crow/trace.py @@ -0,0 +1,11 @@ +import trace +import os + +import crow.config +import crow.config.eval_tools +import crow.config.template +import crow.config.tasks +import yaml + +trace_ignore = [ crow.config.eval_tools, crow.config.template, + crow.config.tasks, yaml ] diff --git a/examples/real-workflow/flat-py/flat.yaml b/examples/real-workflow/flat-py/flat.yaml new file mode 100644 index 0000000..46d1346 --- /dev/null +++ b/examples/real-workflow/flat-py/flat.yaml @@ -0,0 +1,123 @@ +parallelism: + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + +options: &options + seed: 381 + nx: 200 + ny: 200 + ens_members: 20 + + start_time: !timedelta 0:00 + cycle_len: !timedelta 6:00 + fcst_len: !timedelta 24:00 + + dt_write_fcst: !timedelta 3:00 + + dt_rand_fcst: 500 + dt_rand_ens_fcst: 100 + + com: !expand ./com/{doc.clock.now:%Y%m%d%H} + prior_com: !expand ./com/{doc.clock.prior(1):%Y%m%d%H} + +clock: &clock !Clock + start: 2017-10-03t12:00:00 # NOTE: first cycle is climatology + end: 2017-10-04t06:00:00 + step: !timedelta 6:00:00 + +######################################################################## + +# Actions + +clim_init: &clim_init !Action + <<: *options + namelist: !expand | + &settings + nx={nx} + ny={ny} + global_seed={seed} + outfile={outfile} + / + resources: + - exe: "./climatology_init" + mpi_ranks: 24 + outfile: "clim-init.grid" + +generic_fcst: &generic_fcst !Action + <<: *options + dt_rand: !calc dt_rand_fcst + dt_write: !calc dt_write_fcst + namelist: !expand | + &settings + nx={nx} + ny={ny} + infile={tools.fort(infile)} + outfile_format={tools.fort(outfile_format)} + dt_write={round(tools.as_seconds(dt_write)/3600)} + start_time={round(tools.as_seconds(start_time)/3600)} + end_time={round(tools.as_seconds(end_time)/3600)} + global_seed={seed} + dt_rand={dt_rand} + / + resources: + - exe: "./forecast" + mpi_ranks: 24 + +assimilate: &assimilate !Action + <<: *options + member_input: member_%06d.grid + fcst_len_int: !calc round(tools.as_seconds(fcst_len)/3600) + guess_in: !expand "{prior_com}/fcst_{fcst_len_int:06d}.grid" + namelist: !expand | + &settings + nx = {nx} + ny = {ny} + members = {ens_members} + analysis_out = "analysis.grid" + ensemble_format = "member_######.grid" + guess_in = {tools.fort(guess_in)} + / + resources: + - exe: "./assimilate" + mpi_ranks: 24 + +post: &post !Action + <<: *options + namelist: !expand | + &settings + nx = {nx} + ny = {ny} + infile = {tools.fort(infile)} + / + resources: + - exe: "./post" + mpi_ranks: 24 + infile: null + +# ---------------------------------------------------------------------- + +# Specific types of forecast + +# Ensemble forecast +ens_fcst: &ens_fcst !Action + <<: *generic_fcst + member_id: null + dt_write: !calc doc.options.cycle_len + start_time: !calc doc.clock.now-doc.clock.start + end_time: !calc doc.clock.next(1)-doc.clock.start + infile: !expand "{prior_com}/analysis.grid" + seed: !calc doc.options.seed + member_id + cyc_len_int: !calc round(tools.as_seconds(doc.options.cycle_len)/3600) + ens_result: !expand "output_{cyc_len_int:06d}.grid" + outfile_format: "output_######.grid" + +# Deterministic forecast +fcst: &fcst !Action + <<: *generic_fcst + start_time: !calc doc.clock.now-doc.clock.start + end_time: !calc start_time+fcst_len + outfile_format: "fcst_######.grid" + infile: !expand "{com}/analysis.grid" + + diff --git a/examples/real-workflow/flat-py/test.py b/examples/real-workflow/flat-py/test.py new file mode 100755 index 0000000..fca937e --- /dev/null +++ b/examples/real-workflow/flat-py/test.py @@ -0,0 +1,95 @@ +#! /usr/bin/env python3.6 + +import subprocess +import shutil +import os +import logging +import sys +import glob +from copy import copy +import crow.config +import crow.sysenv + +logging.basicConfig(stream=sys.stderr,level=logging.INFO) + +logger=logging.getLogger('test') +conf=crow.config.from_file("flat.yaml") +par=crow.sysenv.get_parallelism('HydraIMPI',conf.parallelism) + +assert(conf.clock is not None) + +def rm(glob_me): + for rm_me in glob.glob(glob_me): + os.unlink(rm_me) + +def namelist(content,filename): + print(f'{filename} namelist:\n{content}\n') + with open(filename,'wt') as fd: + fd.write(content) + +def run(what,check=True,**kwargs): + j=crow.sysenv.JobResourceSpec(what) + s=par.make_ShellCommand(j) + return s.run(check=check,**kwargs) + +for cycle in conf.clock: + ymdh=cycle.strftime('%Y%m%d%H') + logger.info(f'{ymdh}: start cycle') + conf.clock.now=cycle + + crow.config.invalidate_cache(conf.options) + com=conf.options.com + logger.info(f'{ymdh} COM: {com}') + assert(com) + + if os.path.exists(com): shutil.rmtree(com) + os.makedirs(com) + + if cycle == conf.clock.start: + logger.info(f'{ymdh}: first cycle: climatology initialization') + namelist(conf.clim_init.namelist,'climatology_init.nl') + run(conf.clim_init.resources) + shutil.move(conf.clim_init.outfile, + os.path.join(com,"analysis.grid")) + else: + logger.info(f'{ymdh}: Run the ensemble, member-by-member.') + for member_id in range(conf.options.ens_members+1): # 0..ens_members + logger.info(f'{ymdh}: Run member {member_id}.') + rm("output_*.grid") + member=copy(conf.ens_fcst) + member.member_id=member_id + namelist(member.namelist,'forecast.nl') + run(member.resources) + shutil.move(member.ens_result, + conf.assimilate.member_input % member_id) + rm("output_*.grid") + + logger.info(f'{ymdh}: assimilate data') + namelist(conf.assimilate.namelist,'assimilate.nl') + run(conf.assimilate.resources) + shutil.move('analysis.grid',os.path.join(com,"analysis.grid")) + + logger.info(f'{ymdh}: Run the forecast for this cycle') + rm("fcst_*.grid") + namelist(conf.fcst.namelist,'forecast.nl') + run(conf.fcst.resources) + fcst_files = [ outfile for outfile in glob.glob("fcst_*.grid") ] + for outfile in fcst_files: + shutil.move(outfile,os.path.join(com,outfile)) + + logger.info(f'{ymdh}: run the post for each output time.') + for infile_base in fcst_files: + outfile_base=infile_base.replace('fcst_','post_').replace('grid','txt') + outfile=os.path.join(com,outfile_base) + + logger.info(f'{ymdh}: post {infile_base} => {outfile_base}') + + post=copy(conf.post) + conf.post.infile=os.path.join(com,infile_base) + + namelist(conf.post.namelist,"post.nl") + completed=run(conf.post.resources, + stdout=subprocess.PIPE,encoding='ascii') + + logger.info(f'{ymdh}: cycle is complete.') + diff --git a/examples/real-workflow/flat-sh/test.sh b/examples/real-workflow/flat-sh/test.sh new file mode 100755 index 0000000..3c77e40 --- /dev/null +++ b/examples/real-workflow/flat-sh/test.sh @@ -0,0 +1,178 @@ +#! /bin/sh + +set -xue + +seed=381 +nx=400 +ny=400 +ens_members=20 +start_time=0 +cycle_len=6 +fcst_len=24 +dt_write_fcst=3 + +dt_rand_fcst=500 +dt_rand_ens_fcst=100 + +rm -rf com +mkdir com + +exec=../exec/mpi +run="mpirun -np 48" +export KMP_AFFINITY=scatter +export KMP_NUM_THREADS=4 +export MKL_NUM_THREADS=1 + +######################################################################## + +# Climatology initialization before first cycle + +cat< climatology_init.nl +&settings + nx=$nx + ny=$ny + global_seed=$seed + outfile="clim-init.grid" +/ +EOF + +$run $exec/climatology_init + +# ---------------------------------------------------------------------- + +# Climatology forecast + +cat< forecast.nl +&settings + nx=$nx + ny=$ny + infile="clim-init.grid" + outfile_format="output_######.grid" + dt_write=$cycle_len + start_time=0 + end_time=$cycle_len + global_seed=$seed + dt_rand=$dt_rand_fcst +/ +EOF +$run $exec/forecast +outfile=$( printf output_%06d.grid $cycle_len ) +if [[ ! -s $outfile ]] ; then + echo climatology: $outfile missing 1>&2 + exit 1 +fi +mv $outfile clim-fcst.grid +rm -f output*grid + +prior_analysis=clim-init.grid +prior_forecast=clim-fcst.grid +cycle_start_time=0 + +######################################################################## + +# Forecast cycling loop + +for cycle in 2017100318 2017100400 2017100406 ; do + cycle_start_time=$(( cycle_start_time + cycle_len )) + cycle_end_time=$(( cycle_start_time + fcst_len )) + + rm -rf com/$cycle/ + mkdir -p com/$cycle/ + + test -s $prior_forecast + test -s $prior_analysis + + # ---------------------------------------------------------------- + + # Ensemble and control + + for member in $( seq 0 $ens_members ) ; do + cat< forecast.nl +&settings + nx=$nx + ny=$ny + infile="$prior_analysis" + outfile_format="output_######.grid" + dt_write=$cycle_len + start_time=$cycle_start_time + end_time=$(( cycle_start_time + cycle_len )) + global_seed=$(( seed + member )) + dt_rand=$dt_rand_ens_fcst +/ +EOF + $run $exec/forecast + outfile=$( printf output_%06d.grid $cycle_len ) + if [[ ! -s $outfile ]] ; then + echo $member: $outfile missing 1>&2 + exit 1 + fi + mv $outfile $( printf member_%06d.grid $member ) + rm -f output*grid + done + rm -f forecast.nl + + # ----------------------------------------------------------------- + + # Data assimilation + + cat< assimilate.nl +&settings + nx=$nx + ny=$ny + members=$ens_members + analysis_out="analysis.grid" + ensemble_format="member_######.grid" + guess_in="$prior_forecast" +/ +EOF + $run $exec/assimilate + + cp -fp analysis.grid com/$cycle/analysis.grid + + # ---------------------------------------------------------------- + + # Forecast + + cat< forecast.nl +&settings + nx=$nx + ny=$ny + infile="analysis.grid" + outfile_format="fcst_######.grid" + dt_write=$dt_write_fcst + start_time=$cycle_start_time + end_time=$cycle_end_time + global_seed=$seed + dt_rand=$dt_rand_fcst +/ +EOF + + $run $exec/forecast + + cp -fp fcst_*.grid com/$cycle/. + + # ---------------------------------------------------------------- + + # Post + + for infile in fcst_*.grid ; do + postfile=$( echo $infile | sed s,fcst_,post_,g | sed s,grid,txt,g ) + cat< post.nl +&settings + nx=$nx + ny=$ny + infile="$infile" +/ +EOF + $run $exec/post > com/$cycle/$postfile + done + + # ---------------------------------------------------------------- + + # Finalize cycle, prepare for next cycle + + prior_analysis=com/$cycle/analysis.grid + prior_forecast=$( printf com/$cycle/fcst_%06d.grid $cycle_len ) + + echo Cycle $cycle complete. +done diff --git a/examples/real-workflow/jobs/JANALYSIS b/examples/real-workflow/jobs/JANALYSIS new file mode 100644 index 0000000..bc6d6c4 --- /dev/null +++ b/examples/real-workflow/jobs/JANALYSIS @@ -0,0 +1,20 @@ +#! /bin/sh + +set -xue +export DATAtest="${DATAtest:-${SCRUB_DIR}}" +export COMOUTtest="${COMOUTtest:-${COM_DIR}}" +export COMINtest="${COMINtest:-${COM_DIR}}" +export COMINtest="${COMOLDtest:-${OLD_COM_DIR}}" +export HOMEtest="${HOMEtest}" +export EXtest="${EXtest:-$HOMEtest/scripts}" +export USHtest="${USHtest:-$HOMEtest/ush}" + +export PYTHONPATH="${HOMEcrow}/crow/${PYTHONPATH:+:$PYTHONPATH}" + +export DATA="$DATAtest"/$( basename "$TASK_PATH" )."$RANDOM.$$" +rm -rf "$DATA" +mkdir -p "$DATA" +cd "$DATA" + +python3.6 "$EXtest/exanalysis.sh" + diff --git a/examples/real-workflow/jobs/JCLIM_FCST b/examples/real-workflow/jobs/JCLIM_FCST new file mode 100644 index 0000000..2834f4f --- /dev/null +++ b/examples/real-workflow/jobs/JCLIM_FCST @@ -0,0 +1,20 @@ +#! /bin/sh + +set -xue +export DATAtest="${DATAtest:-${SCRUB_DIR}}" +export COMOUTtest="${COMOUTtest:-${COM_DIR}}" +export COMINtest="${COMINtest:-${COM_DIR}}" +export COMINtest="${COMOLDtest:-${OLD_COM_DIR}}" +export HOMEtest="${HOMEtest}" +export EXtest="${EXtest:-$HOMEtest/scripts}" +export USHtest="${USHtest:-$HOMEtest/ush}" + +export PYTHONPATH="${HOMEcrow}/crow/${PYTHONPATH:+:$PYTHONPATH}" + +export DATA="$DATAtest"/$( basename "$TASK_PATH" )."$RANDOM.$$" +rm -rf "$DATA" +mkdir -p "$DATA" +cd "$DATA" + +python3.6 "$EXtest/exfcst.py" clim_fcst + diff --git a/examples/real-workflow/jobs/JCLIM_INIT b/examples/real-workflow/jobs/JCLIM_INIT new file mode 100644 index 0000000..22df274 --- /dev/null +++ b/examples/real-workflow/jobs/JCLIM_INIT @@ -0,0 +1,20 @@ +#! /bin/sh + +set -xue +export DATAtest="${DATAtest:-${SCRUB_DIR}}" +export COMOUTtest="${COMOUTtest:-${COM_DIR}}" +export COMINtest="${COMINtest:-${COM_DIR}}" +export COMINtest="${COMOLDtest:-${OLD_COM_DIR}}" +export HOMEtest="${HOMEtest}" +export EXtest="${EXtest:-$HOMEtest/scripts}" +export USHtest="${USHtest:-$HOMEtest/ush}" + +export PYTHONPATH="${HOMEtest}${PYTHONPATH:+:$PYTHONPATH}" + +export DATA="$DATAtest"/$( basename "$TASK_PATH" )."$RANDOM.$$" +rm -rf "$DATA" +mkdir -p "$DATA" +cd "$DATA" + +python3.6 "$EXtest/exclim_init.py" + diff --git a/examples/real-workflow/jobs/JENS_FCST b/examples/real-workflow/jobs/JENS_FCST new file mode 100644 index 0000000..4f2efc6 --- /dev/null +++ b/examples/real-workflow/jobs/JENS_FCST @@ -0,0 +1,20 @@ +#! /bin/sh + +set -xue +export DATAtest="${DATAtest:-${SCRUB_DIR}}" +export COMOUTtest="${COMOUTtest:-${COM_DIR}}" +export COMINtest="${COMINtest:-${COM_DIR}}" +export COMINtest="${COMOLDtest:-${OLD_COM_DIR}}" +export HOMEtest="${HOMEtest}" +export EXtest="${EXtest:-$HOMEtest/scripts}" +export USHtest="${USHtest:-$HOMEtest/ush}" + +export PYTHONPATH="${HOMEcrow}/crow/${PYTHONPATH:+:$PYTHONPATH}" + +export DATA="$DATAtest"/$( basename "$TASK_PATH" )".$RANDOM.$$" +mkdir -p "$DATA" +cd "$DATA" + +python3.6 "$EXtest/exfcst.py" ens_fcst \ + "$ENS_START_MEMBER" "$ENS_STOP_MEMBER" + diff --git a/examples/real-workflow/jobs/JFCST b/examples/real-workflow/jobs/JFCST new file mode 100644 index 0000000..f26d407 --- /dev/null +++ b/examples/real-workflow/jobs/JFCST @@ -0,0 +1,19 @@ +#! /bin/sh + +set -xue +export DATAtest="${DATAtest:-${SCRUB_DIR}}" +export COMOUTtest="${COMOUTtest:-${COM_DIR}}" +export COMINtest="${COMINtest:-${COM_DIR}}" +export COMINtest="${COMOLDtest:-${OLD_COM_DIR}}" +export HOMEtest="${HOMEtest}" +export EXtest="${EXtest:-$HOMEtest/scripts}" +export USHtest="${USHtest:-$HOMEtest/ush}" + +export PYTHONPATH="${HOMEtest}${PYTHONPATH:+:$PYTHONPATH}" + +export DATA="$DATAtest"/$( basename "$TASK_PATH" )."$RANDOM.$$" +mkdir -p "$DATA" +cd "$DATA" + +python3.6 "$EXtest/exfcst.py" fcst + diff --git a/examples/real-workflow/jobs/JPOST b/examples/real-workflow/jobs/JPOST new file mode 100644 index 0000000..824082c --- /dev/null +++ b/examples/real-workflow/jobs/JPOST @@ -0,0 +1,22 @@ +#! /bin/sh + +set -xue +export DATAtest="${DATAtest:-${SCRUB_DIR}}" +export COMOUTtest="${COMOUTtest:-${COM_DIR}}" +export COMINtest="${COMINtest:-${COM_DIR}}" +export COMINtest="${COMOLDtest:-${OLD_COM_DIR}}" +export HOMEtest="${HOMEtest}" +export EXtest="${EXtest:-$HOMEtest/scripts}" +export USHtest="${USHtest:-$HOMEtest/ush}" + +export PYTHONPATH="${HOMEtest}${PYTHONPATH:+:$PYTHONPATH}" + +export DATA="$DATAtest/"$( basename "$TASK_PATH" )."$RANDOM.$$" +rm -rf "$DATA" +mkdir -p "$DATA" +cd "$DATA" + +export CROW_TO_SH="$HOMEcrow/to_sh.py $CONFIG_YAML" + +python3.6 "$EXtest/expost.sh" + diff --git a/examples/real-workflow/jobs/JSTART_CYCLE b/examples/real-workflow/jobs/JSTART_CYCLE new file mode 100644 index 0000000..bbf33a9 --- /dev/null +++ b/examples/real-workflow/jobs/JSTART_CYCLE @@ -0,0 +1,9 @@ +#! /bin/sh + +set -xue +export DATAtest="${DATA:-${SCRUB_DIR}}" +export COMOUTtest="${COMOUT:-${COM_DIR}}" +export LOG_DIR="${LOG_DIR}" + +mkdir -p "$DATAtest" "$COMOUTtest" "$LOG_DIR" +cp -fp "$CONFIG_YAML_TEMPLATE" "$CONFIG_YAML" diff --git a/examples/real-workflow/scripts/exanalysis.sh b/examples/real-workflow/scripts/exanalysis.sh new file mode 100644 index 0000000..674a158 --- /dev/null +++ b/examples/real-workflow/scripts/exanalysis.sh @@ -0,0 +1,10 @@ +#! /bin/sh + +set -xue + +cp -fp "$COMINtest"/member*grid . + +$CROW_TO_SH namelist:analysis.namelist > post.nl +$CROW_TO_SH run:analysis.command + +cp -fp analysis.grid "$COMOUTtest/." diff --git a/examples/real-workflow/scripts/exclim_init.py b/examples/real-workflow/scripts/exclim_init.py new file mode 100644 index 0000000..e221f09 --- /dev/null +++ b/examples/real-workflow/scripts/exclim_init.py @@ -0,0 +1,18 @@ +#! /usr/bin/env python3.6 + +import os +import crow.config +import crow.trace + +def main(): + conf=crow.config.from_file(os.environ['CONFIG_YAML']) + namelist=conf.clim_init.namelist + with open('climatology_init.nl','wt') as fd: + fd.write(namelist) + conf.clim_init.command.run() + +if __name__=='__main__': + import trace + tracer=trace.Trace(ignoredirs=[sys.prefix,sys.exec_prefix], + ignoremods=crow.trace.trace_ignore,timing=1) + tracer.trace('main()') diff --git a/examples/real-workflow/scripts/exfcst.py b/examples/real-workflow/scripts/exfcst.py new file mode 100644 index 0000000..18a7eb3 --- /dev/null +++ b/examples/real-workflow/scripts/exfcst.py @@ -0,0 +1,38 @@ +#! /usr/bin/env python3.6 + +import os +import sys +import shutil +import crow.config +import crow.trace + +def main(): + conf=crow.config.from_file(os.environ['CONFIG_YAML']) + scope_name=sys.argv[1] + scope=conf[scope_name] + + def run_fcst(action): + namelist=action.namelist + with open('forecast.nl','wt') as fd: + fd.write(namelist) + action.command.run() + + if len(sys.argv)>=3: + start_member=int(sys.argv[2],10) + stop_member=int(sys.argv[3],10) + member_id=start_member + while member_id<=stop_member: + fcst=copy(scope) + fcst.member_id=member_id + run_fcst(fcst) + result=fcst.end_result + shutil.copy2(result,os.path.join(fcst.com,result)) + member_id+=1 + else: + run_fcst(scope) + +if __name__=='__main__': + import trace + tracer=trace.Trace(ignoredirs=[sys.prefix,sys.exec_prefix], + ignoremods=crow.trace.trace_ignore,timing=1) + tracer.trace('main()') diff --git a/examples/real-workflow/scripts/expost.sh b/examples/real-workflow/scripts/expost.sh new file mode 100644 index 0000000..4461190 --- /dev/null +++ b/examples/real-workflow/scripts/expost.sh @@ -0,0 +1,32 @@ +#! /bin/sh + +set -xue + +eval $( $CROW_TO_SH scope:post \ + FCST_LEN=fcst_len_hrs \ + DT_WRITE=dt_write_fcst_hrs \ + SLEEP_WAIT=sleep_wait \ + MIN_SIZE=min_size \ + MIN_AGE=min_age \ + MAX_WAIT_STEPS=(max_wait+sleep_wait-1)//sleep_wait \ + ) + +FHR=0 +while [[ "$FHR" -le "$FCST_LEN" ]] ; do + TO_SH_FHR="$CROW_TO_SH scope:post apply:fhr=$FHR" + + eval $( $TO_SH_FHR INFILE_BASE=infile ) + OUTFILE_BASE=$( echo $INFILE_BASE \ + | sed 's,fcst,post,g' | sed 's,grid,txt,g' ) + + INFILE="$COMINtest/$INFILE_BASE" + OUTFILE="$COMOUTtest/$OUTFILE_BASE" + + $USHtest/wait_for_file.sh "$INFILE" "$MIN_SIZE" "$MIN_AGE" \ + "$SLEEP_WAIT" "$MAX_WAIT_STEPS" + + $TO_SH_FHR namelist:post.namelist > post.nl + $TO_SH_FHR run:post.command > outfile + + cp -fp outfile "$OUTFILE" +done diff --git a/examples/real-workflow/sorc/Makefile b/examples/real-workflow/sorc/Makefile new file mode 100644 index 0000000..f7ed866 --- /dev/null +++ b/examples/real-workflow/sorc/Makefile @@ -0,0 +1,90 @@ +EXES=$(DIR)/forecast $(DIR)/climatology_init $(DIR)/assimilate \ + $(DIR)/post + +.PHONY: default theia-impi all + +default: + @echo Run: + @echo make theia-impi + +theia-impi: + module purge ; \ + module load intel impi ; \ + module list ; \ + make SM_CC=icc SM_FC=ifort DM_CC=mpiicc DM_FC=mpiifort \ + SM_CFLAGS="-O3" \ + DM_CFLAGS="-O3" \ + DM_FFLAGS="-O3" \ + OPENMP="-openmp" NO_OPENMP="-diag-disable 3180" \ + MPI="-DUSE_MPI" NO_MPI="" \ + all + +######################################################################## + +all: build_serial build_mpi build_openmp build_mpi_openmp + +clean: + rm -f */*.o */*.mod */*~ *~ + +bare: clean + rm -f ../exec/*/* + +exes: $(EXES) + +######################################################################## + +build_serial: + cd serial && \ + make -f ../Makefile \ + SM_CC="$(SM_CC)" DM_CC="$(SM_CC)" DM_FC="$(SM_FC)" \ + SM_CFLAGS="$(SM_CFLAGS) $(NO_MPI) $(NO_OPENMP)" \ + DM_CFLAGS="$(DM_CFLAGS) $(NO_MPI) $(NO_OPENMP)" \ + DM_FFLAGS="$(DM_FFLAGS) $(NO_MPI) $(NO_OPENMP)" \ + DIR=../../exec/serial exes + +build_openmp: + cd openmp && \ + make -f ../Makefile \ + SM_CC="$(SM_CC)" DM_CC="$(SM_CC)" DM_FC="$(SM_FC)" \ + SM_CFLAGS="$(SM_CFLAGS) $(NO_MPI) $(OPENMP)" \ + DM_CFLAGS="$(DM_CFLAGS) $(NO_MPI) $(OPENMP)" \ + DM_FFLAGS="$(DM_FFLAGS) $(NO_MPI) $(OPENMP)" \ + DIR=../../exec/openmp exes + +build_mpi: + cd mpi && \ + make -f ../Makefile \ + SM_CC="$(SM_CC)" DM_CC="$(DM_CC)" DM_FC="$(DM_FC)" \ + SM_CFLAGS="$(SM_CFLAGS) $(NO_MPI) $(NO_OPENMP)" \ + DM_CFLAGS="$(DM_CFLAGS) $(MPI) $(NO_OPENMP)" \ + DM_FFLAGS="$(DM_FFLAGS) $(MPI) $(NO_OPENMP)" \ + DIR=../../exec/mpi exes + +build_mpi_openmp: + cd mpi-openmp && \ + make -f ../Makefile \ + SM_CC="$(SM_CC)" DM_CC="$(DM_CC)" DM_FC="$(DM_FC)" \ + SM_CFLAGS="$(SM_CFLAGS) $(NO_MPI) $(OPENMP)" \ + DM_CFLAGS="$(DM_CFLAGS) $(MPI) $(OPENMP)" \ + DM_FFLAGS="$(DM_FFLAGS) $(MPI) $(OPENMP)" \ + DIR=../../exec/mpi-openmp exes + +######################################################################## + +$(DIR)/climatology_init: ../climatology_init.F90 tools.o bobrand.o + $(DM_FC) $(DM_FFLAGS) -o $@ $^ + +$(DIR)/forecast: ../forecast.F90 tools.o bobrand.o + $(DM_FC) $(DM_FFLAGS) -o $@ $^ + +$(DIR)/assimilate: ../assimilate.F90 tools.o bobrand.o + $(DM_FC) $(DM_FFLAGS) -o $@ $^ + +$(DIR)/post: ../post.F90 tools.o bobrand.o + $(DM_FC) $(DM_FFLAGS) -o $@ $^ + +bobrand.o: ../bobrand.c + $(SM_CC) $(SM_CFLAGS) -c -o $@ $^ + +tools.o: ../tools.F90 + $(DM_FC) $(DM_FFLAGS) -c -o $@ $^ diff --git a/examples/real-workflow/sorc/assimilate.F90 b/examples/real-workflow/sorc/assimilate.F90 new file mode 100644 index 0000000..a97c381 --- /dev/null +++ b/examples/real-workflow/sorc/assimilate.F90 @@ -0,0 +1,69 @@ +program assimilate +#ifdef USE_MPI + use mpi +#endif + use tools + implicit none + integer :: ierr, unit, members, member, j, i + real(kind=4), allocatable :: work(:,:), output(:,:) + character(len=300) :: ensemble_format,guess_in,analysis_out,filename + namelist/settings/ nx,ny,members,ensemble_format,guess_in,& + analysis_out + +#ifdef USE_MPI + call MPI_Init(ierr) +#endif + + nx=0 + ny=0 + members=20 + analysis_out='analysis.grid' + ensemble_format='member_######.grid' + guess_in='guess.grid' + open(file='assimilate.nl',status='old',newunit=unit) + read(unit,settings) + close(unit) + + call decompose + if(nj<=0) then + if(rank0) write(0,'(A,I0,A)') & + 'ERROR: Some ranks have no data. Use no more than ',& + ny,'ranks.' + call abort + endif + + allocate(work(i1:i2,j1:j2)) + allocate(output(i1:i2,j1:j2)) + + if(rank0) write(0,'(A,A)') trim(guess_in),': read...' + call read(guess_in,output) + + member_loop: do member=1,members + call format_filename(filename,ensemble_format,member) + if(rank0) write(0,'(A,A)') trim(filename),': read...' + call read(filename,work) + + do j=j1,j2 + !$OMP PARALLEL DO PRIVATE(I) + do i=i1,i2 + output(i,j)=output(i,j)+work(i,j) + enddo + enddo + enddo member_loop + + do j=j1,j2 + !$OMP PARALLEL DO PRIVATE(I) + do i=i1,i2 + output(i,j)=output(i,j)/sqrt(real(members+1)) + enddo + enddo + + if(rank0) write(0,'(A,": ",A)') trim(analysis_out),'Write...' + call write(analysis_out,output) + + if(rank0) write(0,'(A)') 'Exit...' +#ifdef USE_MPI + call MPI_Finalize(ierr) +#endif +end program assimilate + diff --git a/examples/real-workflow/sorc/bobrand.c b/examples/real-workflow/sorc/bobrand.c new file mode 100644 index 0000000..0e3cbf7 --- /dev/null +++ b/examples/real-workflow/sorc/bobrand.c @@ -0,0 +1,179 @@ +/* Author: Sam Trahan, October 2011 + + This is the implementation of a good but simple random number + generator designed by Bob Jenkins, who placed it in the public + domain. His website described the algorithm and its public domain + status on 2:23 AM EDT October 8, 2011 at this location: + + http://burtleburtle.net/bob/rand/smallprng.html + + And at that time, it said, "I wrote this PRNG. I place it in the + public domain." (PNRG is an acronym for "psuedo-random number + generator" as defined elsewhere on his website.) + + I modified his code to work as an array of random number generators + and generate four output types (float, double, int32, int64). This + code is tested on the Intel, IBM and GNU C compilers, and will + successfully produce identical floating-point numbers in [0,1) on + all three compilers. This code is not sensitive to optimization + since all calculations are integer calculations, and hence are + exact. + + This algorithm, unlike the common Mersenne Twister, is not + cryptographically secure, so don't use it to encrypt your banking + information. However, it does pass the entire suite of DIEHARD + tests, so it is sufficiently random for meterological purposes. + Its advantage over cryptographically secure algorithms is that it + only needs 16 bytes to store its state, and is very fast, allowing + us to have an independent random number generator for each + gridpoint. That avoids domain decomposition issues and allows us + to generate random numbers in parallel across all processes, + producing the same results regardless of which process or thread + has which gridpoint. + + Don't change any of the constants in this file without rerunning + the full suite of randomness tests as described on Bob's website. + Also, don't change the floating-point conversion unless you first + test that it correctly produces 0, never produces 1.0, is uniformly + distributed, and produces identical results on at least the Intel, + GNU and IBM C compilers. +*/ +#include +#include + +typedef uint32_t u4; +typedef uint64_t u8; + +#define rot(x,k) (((x)<<(k))|((x)>>(32-(k)))) + +void bobranval_impl( u4 *a, u4 *b, u4 *c, u4 *d, u4 *n ) { + u4 e,i,nd=*n; + +#pragma omp parallel for private(i,e) shared(a,b,c,d) + for(i=0;i0) then + allocate(grid(i1:i2,j1:j2)) + else + if(rank0) then + write(0,'(A,I0,": ",A)') & + 'ERROR: Some ranks have no data. Use no more than ',& + ny,'ranks.' + end if + call abort() + allocate(grid(1,1)) + endif + if(rank0) write(0,'(A)') 'Fill field...' + call fill_field(grid) + if(rank0) write(0,'(A)') 'Write...' + call write(outfile,grid) + if(rank0) write(0,'(A)') 'Exit...' +#ifdef USE_MPI + call MPI_Finalize(ierr) +#endif +end program climatology_init + diff --git a/examples/real-workflow/sorc/forecast.F90 b/examples/real-workflow/sorc/forecast.F90 new file mode 100644 index 0000000..ccadbe6 --- /dev/null +++ b/examples/real-workflow/sorc/forecast.F90 @@ -0,0 +1,63 @@ +program forecast +#ifdef USE_MPI + use mpi +#endif + use tools + implicit none + integer :: ierr, unit, global_seed, dt_rand, dt_write + integer :: start_time, end_time, t + real(kind=4), allocatable :: grid(:,:) + character(len=300) :: infile,outfile_format, filename + namelist/settings/ nx,ny,infile,outfile_format, & + dt_rand,dt_write,start_time,end_time,global_seed + +#ifdef USE_MPI + call MPI_Init(ierr) +#endif + + global_seed=0 + nx=0 + ny=0 + infile='in.grid' + outfile_format='output_######.grid' + dt_rand=10000 + dt_write=10 + start_time=0 + end_time=10*dt_write + open(file='forecast.nl',status='old',newunit=unit) + read(unit,settings) + close(unit) + + call decompose + if(nj<=0) then + if(rank0) write(0,'(A,I0,": ",A)') & + 'ERROR: Some ranks have no data. Use no more than ',& + ny,'ranks.' + call abort + endif + + allocate(grid(i1:i2,j1:j2)) + + if(rank0) write(0,'(A,A)') trim(infile),': read...' + call read(infile,grid) + + time_loop: do t=start_time,end_time + if(rank0) write(0,'(A)') 'Init generator...' + call init_generator(global_seed+t) + + if(rank0) write(0,'(A)') 'Step time...' + call timestep(grid,dt_rand) + + if(mod(t,dt_write)/=0) cycle + + call format_filename(filename,outfile_format,t-start_time) + if(rank0) write(0,'(A,A)') trim(filename),': write...' + call write(filename,grid) + enddo time_loop + + if(rank0) write(0,'(A)') 'Exit...' +#ifdef USE_MPI + call MPI_Finalize(ierr) +#endif +end program forecast + diff --git a/examples/real-workflow/sorc/post.F90 b/examples/real-workflow/sorc/post.F90 new file mode 100644 index 0000000..7e95122 --- /dev/null +++ b/examples/real-workflow/sorc/post.F90 @@ -0,0 +1,54 @@ +program post +#ifdef USE_MPI + use mpi +#endif + use tools + implicit none + integer :: ierr, unit + real(kind=4), allocatable :: grid(:,:) + character(len=300) :: infile + double precision :: n + real(kind=4) :: min, max, mean, stdev + + namelist/settings/ nx,ny,infile +#ifdef USE_MPI + call MPI_Init(ierr) +#endif + + nx=0 + ny=0 + infile='in.grid' + open(file='post.nl',status='old',newunit=unit) + read(unit,settings) + close(unit) + + call decompose + if(nj<=0) then + if(rank0) write(0,'(A,I0,A)') & + 'ERROR: Some ranks have no data. Use no more than ',& + ny,'ranks.' + call abort + endif + + allocate(grid(i1:i2,j1:j2)) + + if(rank0) write(0,'(A,A)') trim(infile),': read...' + call read(infile,grid) + + call global_stats(grid,n,min,max,mean,stdev) + + if(rank0) then + print *,'points:',idint(n) + print *,'max:',max + print *,'min:',min + print *,'mean:',mean + print *,'stdev:',stdev + end if + +#ifdef USE_MPI + call MPI_Barrier(MPI_COMM_WORLD,ierr) + if(rank0) write(0,'(A)') 'Finalize...' + call MPI_Finalize(ierr) +#endif +end program post + diff --git a/examples/real-workflow/sorc/tools.F90 b/examples/real-workflow/sorc/tools.F90 new file mode 100644 index 0000000..8da4ab5 --- /dev/null +++ b/examples/real-workflow/sorc/tools.F90 @@ -0,0 +1,379 @@ +module tools + implicit none + integer :: nx, ny + integer :: j1, j2, nj + integer :: i1, i2, ni + integer, allocatable :: starts(:), ends(:), extents(:) + integer, allocatable :: state(:,:) ! random number generator state + logical :: rank0=.true. + +contains + + ! ---------------------------------------------------------------- + + subroutine decompose +#ifdef USE_MPI + use mpi + implicit none + integer :: i, rank, size, ierr + + call MPI_Comm_rank(MPI_COMM_WORLD,rank,ierr) + call MPI_Comm_size(MPI_COMM_WORLD,size,ierr) + + rank0 = rank==0 + + allocate(starts(size)) + allocate(ends(size)) + allocate(extents(size)) + + !$OMP PARALLEL DO PRIVATE(I) + do i=1,size + starts(i)=floor(ny/real(size)*(i-1))+1 + ends(i)=floor(ny/real(size)*i) + extents(i)=ends(i)-starts(i)+1 +! if(rank==0) then +! print 10,i-1,starts(i),ends(i),extents(i),extents(i)*nx +! end if + enddo + + j1=starts(rank+1) + j2=ends(rank+1) + nj=j2-j1+1 + + !$OMP PARALLEL DO PRIVATE(I) + do i=1,size + starts(i)=(starts(i)-1)*nx + ends(i)=(ends(i)-1)*nx + extents(i)=extents(i)*nx +! if(rank==0) then +! print 20,i-1,starts(i),extents(i) +! end if + end do + + i1=1 + i2=nx + ni=nx + +10 format('Rank ',I3,': j=',I0,'..',I0,' (count=',I0,' element=',I0,')') +20 format('Rank ',I3,': start=',I0,' extent=',I0) +#else + i1=1 + i2=nx + ni=nx + j1=1 + j2=ny + nj=ny +#endif + +! print *, i1,i2,ni,nx +! print *, j1,j2,nj,ny + end subroutine decompose + + ! ---------------------------------------------------------------- + + subroutine abort +#ifdef USE_MPI + use mpi +#endif + implicit none + integer :: ierr + write(0,*) 'Abort.' +#ifdef USE_MPI + call MPI_Abort(MPI_COMM_WORLD,1,ierr) +#endif + stop 1 + end subroutine abort + + ! ---------------------------------------------------------------- + + subroutine format_filename(filename,pattern,itime) + implicit none + character(len=*), intent(in) :: pattern + character(len=*), intent(out) :: filename + character(len=20) :: fmt + integer :: itime + + integer :: n, ihash, inot, hashlen, i + + n=len_trim(pattern) + do ihash=1,n + if(pattern(ihash:ihash) == '#') exit + enddo + do inot=ihash+1,n + if(pattern(inot:inot) /= '#') exit + enddo + + hashlen=inot-ihash + if(hashlen<1 .or. ihash==1 .or. inot>n) then + write(0,20) trim(pattern) + call abort() + end if + write(fmt,10) hashlen + write(filename,fmt) pattern(1:ihash-1),itime,pattern(inot:n) + + do i=1,len_trim(filename) + if(filename(i:i) == ' ') filename(i:i)='0' + enddo + +10 format("(A,I",I0,",A)") +20 format(A,": invalid pattern. Must be prefix###suffix ; ### will be replaced with time.") + end subroutine format_filename + + ! ---------------------------------------------------------------- + + subroutine init_generator(global_seed) +#ifdef USE_MPI + use mpi +#endif + implicit none + integer, intent(in) :: global_seed + integer :: seeds(i1:i2) + integer i + + if(nj<0) return ! this rank is inactive + + if(.not. allocated(state)) then + allocate(state(i1:i2,4)) + endif + + !$OMP PARALLEL DO PRIVATE(I) + do i=i1,i2 + seeds(i)=i + enddo + call bobraninit(state(i1,1),state(i1,2),state(i1,3),state(i1,4), & + seeds,global_seed,ni) + end subroutine init_generator + + ! ---------------------------------------------------------------- + + subroutine fill_field(f) + implicit none + real(kind=4), intent(inout) :: f(i1:i2,j1:j2) + real(kind=4) :: uniform(i1:i2), mid + integer :: j,i + + do j=j1,j2 + call bobranval_r4(state(i1,1),state(i1,2),state(i1,3),state(i1,4), & + uniform(i1), ni) + !$OMP PARALLEL DO PRIVATE(I,mid) + do i=i1,i2 + mid=(uniform(i)-0.5)*2. ! convert to uniform [-1..1) + f(i,j)=sqrt(-2*log(abs(mid))) ! to gaussian + if(mid<0) f(i,j)=-f(i,j) ! recover sign + enddo + enddo + end subroutine fill_field + + ! ---------------------------------------------------------------- + + subroutine timestep(f,n) + implicit none + real(kind=4), intent(inout) :: f(i1:i2,j1:j2) + integer, intent(in) :: n + real(kind=4) :: uniform(i1:i2), mid,normal + integer :: j,i + integer :: t + + j_loop: do j=j1,j2 + + ! Calculate and sum gaussians + iter_loop: do t=1,n + call bobranval_r4(state(i1,1),state(i1,2),state(i1,3),state(i1,4), & + uniform(i1), ni) + !$OMP PARALLEL DO PRIVATE(I,mid,normal) + normal_loop: do i=i1,i2 + mid=(uniform(i)-0.5)*2. ! convert to uniform [-1..1) + normal=sqrt(-2*log(abs(mid))) ! to gaussian + if(mid<0) normal=-normal ! recover sign + f(i,j)=f(i,j)+normal + enddo normal_loop + enddo iter_loop + + ! Divide by count to get original stdev + average_loop: do i=i1,i2 + f(i,j)=f(i,j)/sqrt(real(n+1)) + enddo average_loop + + enddo j_loop + call sanity_check(f,'timestep') + end subroutine timestep + + ! ---------------------------------------------------------------- + + subroutine sanity_check(grid,why) + implicit none + real(kind=4), intent(in) :: grid(i1:i2,j1:j2) + character(len=*), intent(in) :: why + real(kind=4) :: stdev, mean, min, max + double precision :: n + + call global_stats(grid,n,min,max,mean,stdev) + if( ( stdev<1 .or. stdev>2 .or. & + max>20 .or. min<-20 .or. & + mean>1 .or. mean<-1 ) & + .and. rank0) then + write(0,'(A, A)') why,': suspicious data:' + write(0,'(A, I0)') 'points:',idint(n) + write(0,'(A, G22.14)') 'max:',max + write(0,'(A, G22.14)') 'min:',min + write(0,'(A, G22.14)') 'mean:',mean + write(0,'(A, G22.14)') 'stdev:',stdev + call abort() + endif + end subroutine sanity_check + + ! ---------------------------------------------------------------- + + subroutine global_stats(grid,n,minval,maxval,mean,stdev) +#ifdef USE_MPI + use mpi +#endif + implicit none + real(kind=4), intent(in) :: grid(i1:i2,j1:j2) + real(kind=4), intent(inout) :: stdev, mean, minval, maxval + double precision, intent(inout) :: n + + integer i, j, ierr + + double precision :: local_sum, local_sumsq, global_sum, global_sumsq + real(kind=4) :: local_min, local_max, global_max, global_min + + local_sum=0 + local_sumsq=0 + local_min=grid(i1,j1) + local_max=grid(i1,j1) + do j=j1,j2 + !$OMP PARALLEL DO private(i) reduction(+:local_sum) & + !$OMP reduction(+:local_sumsq) reduction(max:local_max) & + !$OMP reduction(min:local_min) + do i=i1,i2 + local_sum=local_sum+grid(i,j) + local_sumsq=local_sumsq+grid(i,j)*grid(i,j) + if(grid(i,j)local_max) local_max=grid(i,j) + enddo + enddo + +#ifdef USE_MPI + call MPI_Allreduce(local_min,global_min,1,MPI_REAL4,MPI_MIN,MPI_COMM_WORLD,ierr) + call MPI_Allreduce(local_max,global_max,1,MPI_REAL4,MPI_MAX,MPI_COMM_WORLD,ierr) + call MPI_Allreduce(local_sum,global_sum,1,MPI_DOUBLE_PRECISION,MPI_SUM,MPI_COMM_WORLD,ierr) + call MPI_Allreduce(local_sumsq,global_sumsq,1,MPI_DOUBLE_PRECISION,MPI_SUM,MPI_COMM_WORLD,ierr) +#else + global_min=local_min + global_max=local_max + global_sum=local_sum + global_sumsq=local_sumsq +#endif + + n=nx + n=n*ny + mean=global_sum/n + stdev=sqrt((global_sumsq-mean*global_sum)/(n-1)) + maxval=global_max + minval=global_min + + end subroutine global_stats + + ! ---------------------------------------------------------------- + + subroutine write(file,local) +#ifdef USE_MPI + use mpi +#endif + implicit none + real(kind=4), intent(in) :: local(i1:i2,j1:j2) + real(kind=4), allocatable :: global(:,:) + integer :: ierr, unit + character(len=*) ,intent(in) :: file + + call sanity_check(local,'write') + +#ifdef USE_MPI + if(rank0) then + allocate(global(nx,ny)) + else + allocate(global(1,1)) + end if + + call MPI_Gatherv(local(i1,j1),ni*nj,MPI_REAL4, & + global,extents,starts,MPI_REAL4, & + 0,MPI_COMM_WORLD,ierr) +#endif + + if(rank0) then + OPEN(newunit=unit, FILE=trim(file), STATUS="REPLACE", ACCESS="STREAM") + WRITE(unit) nx + WRITE(unit) ny +#ifdef USE_MPI + write(unit) global + deallocate(global) +#else + write(unit) local +#endif + close(unit) + end if +#ifdef USE_MPI + call MPI_Barrier(MPI_COMM_WORLD,ierr) +#endif + + end subroutine write + + ! ---------------------------------------------------------------- + + subroutine read(file,local) +#ifdef USE_MPI + use mpi +#endif + implicit none + real(kind=4), intent(out) :: local(i1:i2,j1:j2) + real(kind=4), allocatable :: global(:,:) + integer :: ierr, unit, nx_file, ny_file, buf(2), i, j + character(len=*), intent(in) :: file + + !omp parallel do private(i,j) + do j=j1,j2 + do i=i1,i2 + local(i,j)=0 + enddo + enddo + if(rank0) then + OPEN(newunit=unit, FILE=trim(file), STATUS="OLD", ACCESS="STREAM") + read(unit) nx_file + read(unit) ny_file + + if(nx_file /= nx .or. ny_file /= ny) then + !write(0,10) nx_file,ny_file,nx,ny + close(unit) + call abort() + end if + + buf = (/ nx_file, ny_file /) + endif +#ifdef USE_MPI + call MPI_Bcast(buf,2,MPI_INTEGER,0,MPI_COMM_WORLD,ierr) + nx_file=buf(1) + ny_file=buf(2) + + if(rank0) then + allocate(global(nx,ny)) + else + allocate(global(1,1)) + end if + + if(rank0) then + read(unit) global + close(unit) + endif + call MPI_Scatterv(global,extents,starts,MPI_REAL4, & + local,ni*nj,MPI_REAL4, & + 0,MPI_COMM_WORLD,ierr) + deallocate(global) +#else + read(unit) local +#endif + + call sanity_check(local,'read') +10 format('File size does not match namelist. File: ',I0,'x',I0,' /= namelist ',I0,'x',I0) + end subroutine read +end module tools diff --git a/examples/real-workflow/ush/wait_for_file.sh b/examples/real-workflow/ush/wait_for_file.sh new file mode 100644 index 0000000..7031560 --- /dev/null +++ b/examples/real-workflow/ush/wait_for_file.sh @@ -0,0 +1,24 @@ +#! /bin/sh + +set -xue + +INFILE="$1" +MIN_SIZE="$2" +MIN_AGE="$3" +SLEEP_WAIT="$4" +MAX_WAIT_STEPS="$5" + +while [[ "$waits" -lt "$MAX_WAIT_STEPS" ]] ; do + mtime=$( stat -c %Y "$INFILE" ) + now=$( date +%s ) + age=$(( mtime - now )) + size=$( stat -c %s "$INFILE" ) + if [[ "$size" -gt "$MIN_SIZE" && "$age" -gt "$MIN_AGE" ]] ; then + echo "$INFILE: found." + fi + echo "$INFILE: still waiting..." + sleep "$SLEEP_WAIT" +done + +echo "$INFILE: timeout." +exit 1 diff --git a/examples/real-workflow/workflow/actions.yaml b/examples/real-workflow/workflow/actions.yaml new file mode 100644 index 0000000..a9c1814 --- /dev/null +++ b/examples/real-workflow/workflow/actions.yaml @@ -0,0 +1,137 @@ +######################################################################## + +# Convenient blocks to include in actions: + +shared_serial_action: &shared_serial_action + <<: [ runtime, options ] + com: !calc {doc.runtime.com} + prior_com: !calc {doc.runtime.prior_com} + rocoto_memory: "2G" + accounting: + queue: !calc platform.serial_queue + resources: [ { exe: placeholder } ] + command: !calc runner.make_ShellCommand(resources) + +parallel_unlimited_memory_action: ¶llel_unlimited_memory_action + <<: [ runtime, options ] + command: !calc runner.make_ShellCommand(resources) + accounting: + queue: !calc platform.parallel_queue + +generic_fcst: &generic_fcst_action + <<: *¶llel_unlimited_memory_action + dt_rand: !calc dt_rand_fcst + dt_write: !calc dt_write_fcst + namelist: !expand | + &settings + nx={nx} + ny={ny} + infile={tools.fort(infile)} + outfile_format={tools.fort(outfile_format)} + dt_write={round(tools.as_seconds(dt_write)/3600)} + start_time={round(tools.as_seconds(start_time)/3600)} + end_time={round(tools.as_seconds(end_time)/3600)} + global_seed={seed} + dt_rand={dt_rand} + / + +######################################################################## + +# Actual actions: + +start_cycle: &start_cycle_action !Action + <<: *shared_serial_action + J_JOB: JSTART_CYCLE + command: !calc runner.make_ShellCommand(resources) + +clim_init: &start_cycle_action !Action + <<: *parallel_ulimited_memory_action + J_JOB: JCLIM_INIT + resources: + - exe: !calc doc.executables.climatology_init + ranks: !calc doc.options.init_ranks + namelist: !expand | + &settings + nx={nx} + ny={ny} + global_seed={seed} + outfile={outfile} + / + outfile: "clim-init.grid" + +clim_fcst: &clim_fcst_action !Action + <<: *parallel_ulimited_memory_action + J_JOB: JCLIM_FCST + resources: + - exe: !calc doc.executables.fcst + ranks: !calc doc.options.fcst_ranks + +ens_fcst: &ens_fcst_action !Action + <<: *parallel_ulimited_memory_action + J_JOB: JENS_FCST + resources: + - exe: !calc doc.executables.fcst + ranks: !calc doc.options.ens_ranks + + member_id: null + dt_write: !calc doc.options.cycle_len + start_time: !calc doc.clock.now-doc.clock.start + end_time: !calc doc.clock.next(1)-doc.clock.start + infile: !expand "{prior_com}/analysis.grid" + seed: !calc doc.options.seed + member_id + cyc_len_int: !calc round(tools.as_seconds(doc.options.cycle_len)/3600) + ens_result: !expand "output_{cyc_len_int:06d}.grid" + outfile_format: "output_######.grid" + +fcst: &fcst_action !Action + <<: *parallel_ulimited_memory_action + J_JOB: JFCST + resources: + - exe: !calc doc.executables.fcst + ranks: !calc doc.options.fcst_ranks + +post: &post_action !Action + <<: *parallel_ulimited_memory_action + J_JOB: JPOST + resources: + - exe: !calc doc.executables.post + ranks: !calc doc.options.fcst_ranks + + namelist: !expand | + &settings + nx = {nx} + ny = {ny} + infile = {tools.fort(infile)} + / + + # fhr will be replaced at runtime + fhr: null + + # Input file to the post job. Note that it is calculated from the + # fhr, so it will change at runtime. + infile: !expand fcst_{fhr:06d}.grid + + # File wait settings for expost.sh. Units are bytes and seconds: + min_size: !calc "nx*ny*4 + 8" + min_age: 20 + sleep_wait: 30 + max_wait: 300 + +analysis: &analysis_action !Action + <<: *parallel_ulimited_memory_action + J_JOB: JANALYSIS + resources: + - exe: !calc doc.executables.analysis + ranks: !calc doc.options.fcst_ranks + member_input: member_%06d.grid + fcst_len_int: !calc round(tools.as_seconds(fcst_len)/3600) + guess_in: !expand "{prior_com}/fcst_{fcst_len_int:06d}.grid" + namelist: !expand | + &settings + nx = {nx} + ny = {ny} + members = {ens_members} + analysis_out = "analysis.grid" + ensemble_format = "member_######.grid" + guess_in = {tools.fort(guess_in)} + / diff --git a/examples/real-workflow/workflow/example.xml b/examples/real-workflow/workflow/example.xml new file mode 100644 index 0000000..70bbfc6 --- /dev/null +++ b/examples/real-workflow/workflow/example.xml @@ -0,0 +1,245 @@ + + + + + + + + + + + + + + + + +]> + + + + + 201708150000 201708201800 &CYCLE_INTERVAL; + + + &LOG_DIR;/rocoto_@Y@m@d@H.log + + + set -xue ; mkdir -p $COM_DIR + start_cycle_@Y@m@d@H/ + &ACCOUNT; + 00:05:00 + + + &SERIAL_QUEUE; + 100M + 1 + + + COM_DIR + &COM_DIR;/@Y@m@d@H + + &LOG_DIR;/@Y@m@d@H/start_cycle.log + + + + + + set -xue ; echo Would do something to prepare for ensemble here + ens_prep_@Y@m@d@H/ + &ACCOUNT; + 00:05:00 + + + &SERIAL_QUEUE; + + + + 2 + + + COM_DIR + &COM_DIR;/@Y@m@d@H + + &LOG_DIR;/@Y@m@d@H/ens_prep.log + + + + + + + + + + + + + + + + + + 001 002 003 004 005 006 007 008 009 010 + 011 012 013 014 015 016 017 018 019 020 + 021 022 023 024 025 026 027 028 029 030 + 031 032 033 034 035 036 037 038 039 040 + 041 042 043 044 045 046 047 048 049 050 + 051 052 053 054 055 056 057 058 059 060 + 061 062 063 064 065 066 067 068 069 070 + 071 072 073 074 075 076 077 078 079 080 + 081 082 083 084 085 086 087 088 089 090 + 091 092 093 094 095 096 097 098 099 100 + + + + + set -xue ; echo Run ensemble forecast #MEMBER# > $COM_DIR/ensfcst#MEMBER#.out + &LOG_DIR;/@Y@m@d@H/ens_fcst_#MEMBER#.log + ens_fcst_#MEMBER#_@Y@m@d@H/ + &ACCOUNT; + 00:05:00 + + &PARALLEL_QUEUE; + + + + 2:ppn=24+4:ppn=8 + + + COM_DIR + &COM_DIR;/@Y@m@d@H + + + + + + + + + + + set -xue ; cat $COM_DIR/ensfcst*.out > $COM_DIR/gsi.out + &LOG_DIR;/@Y@m@d@H/gsi.log + gsi + &ACCOUNT; + 00:05:00 + + + &PARALLEL_QUEUE; + + 48 + + + COM_DIR + &COM_DIR;/@Y@m@d@H + + + + + + + + + + + set -xue ; sort $COM_DIR/gsi.out > $COM_DIR/fcst.out ; sleep 180 ; echo done + &LOG_DIR;/@Y@m@d@H/fcst.log + fcst_@Y@m@d@H/ + &ACCOUNT; + 00:05:00 + + &PARALLEL_QUEUE; + + 3:ppn=12 + + + COM_DIR + &COM_DIR;/@Y@m@d@H + + + + + + + + + + + set -xue ; echo would run post job > $COM_DIR/post.out ; sleep 180 ; echo done + &LOG_DIR;/@Y@m@d@H/post.log + post + &ACCOUNT; + 00:05:00 + + &PARALLEL_QUEUE; + + 2:ppn=12 + + + COM_DIR + &COM_DIR;/@Y@m@d@H + + + + + + + + + + + + set -xue ; echo would archive results > $COM_DIR/archive.out + &LOG_DIR;/@Y@m@d@H/archive.log + archive_@Y@m@d@H/ + &ACCOUNT; + 00:05:00 + + &TRANSFER_QUEUE; + 100M + 1 + + + COM_DIR + &COM_DIR;/@Y@m@d@H + + + + + + + + + + + + + + + diff --git a/examples/real-workflow/workflow/options.yaml b/examples/real-workflow/workflow/options.yaml new file mode 100644 index 0000000..f0164a1 --- /dev/null +++ b/examples/real-workflow/workflow/options.yaml @@ -0,0 +1,42 @@ +options: + # Grid size: + nx: 400 + ny: 400 + + # Random number seed: + seed: 381 + + # Hours between cycles: + cycle_len: !timedelta 6:00 + + # Number of hours to forecast: + fcst_len: !timedelta 24:00 + + # Time between writes of output files + dt_write_fcst: !timedelta 3:00 + + # Number of random number generator iterations for forecast & + # ensemble forecast, respectively: + dt_rand_fcst: 500 + dt_rand_ens_fcst: 100 + + # Resource requirements for various jobs. Keep as + # platform.varname to use platform-specific defaults from + # platforms.yaml. + fcst_ranks: !calc platform.fcst_ranks + init_ranks: !calc platform.init_ranks + post_ranks: !calc platform.post_ranks + ens_ranks: !calc platform.ens_ranks + + # Locations of CROW and this test suite. + HOMEcrow: !calc tools.crow_install_dir() + HOMEtest: !calc tools.crow_install_dir('examples/real-workflow/workflow') + +# Executable locations. Defaults are the exec/ directory within the +# real-workflow example. +executables: + TEST_EXEC: !expand {doc.options.HOMEtest}/exec + fcst: !expand {TEST_EXEC}/mpi/forecast + analysis: !expand {TEST_EXEC}/mpi/assimilate + post: !expand {TEST_EXEC}/mpi/post + climatology_init: !expand {TEST_EXEC}/mpi/climatology_init diff --git a/examples/real-workflow/workflow/runtime.yaml b/examples/real-workflow/workflow/runtime.yaml new file mode 100644 index 0000000..b9f5063 --- /dev/null +++ b/examples/real-workflow/workflow/runtime.yaml @@ -0,0 +1,3 @@ +runtime: + com: !calc tools.env('COMINtest') + prior_com: !calc tools.env('COMOLDtest') diff --git a/examples/real-workflow/workflow/workflow.yaml b/examples/real-workflow/workflow/workflow.yaml new file mode 100644 index 0000000..e451548 --- /dev/null +++ b/examples/real-workflow/workflow/workflow.yaml @@ -0,0 +1,158 @@ +workflow: !Cycle + Rocoto: + scheduler: !calc doc.platform.scheduler + accounting: !calc doc.platform.accounting + + run_dir: doc.options.run_dir + workflow_xml: !expand | + + + + + + + + + ]> + + + &LOG_DIR;/rocoto_@Y@m@d@H.log + + {to_rocoto.make_time_xml(indent=2)} + {to_rocoto.make_task_xml(indent=2)} + + + task_template: &task_template !expand | + $HOMEtest/workflow/job_wrapper.sh {Perform.J_JOB} + {Perform.rocoto_memory} + {sched.rocoto_accounting(Perform.accounting)} + {sched.rocoto_resources(Perform.resources)} + + COM_DIR + &COM_DIR;/@Y@m@d@H + + + OLD_COM_DIR + &COM_DIR;/@Y@m@d@H + + + SCRUB_DIR + &SCRUB_DIR;/@Y@m@d@H + + + TASK_PATH + {task_path} + + + CONFIG_YAML_TEMPLATE + {run_dir}/config.yaml + + + CONFIG_YAML + &COM_DIR;/@Y@m@d@H/config.yaml + + + HOMEcrow + {doc.options.HOMEcrow} + + + HOMEtest + {doc.options.HOMEtest} + + + ens_task_template: !expand + {suite.Rocoto.task_template} + + ENS_START_MEMBER + {Perform.start_mem} + + + ENS_STOP_MEMBER + {Perform.stop_mem} + + + Clock: !Clock + start: 2017-08-15t00:00:00 + end: 2017-08-20t18:00:00 + step: !timedelta 06:00 + + start_cycle: !Task + Perform: *start_cycle + Rocoto: *task_template + + clim_init: !Task + Perform: *clim_init + Rocoto: *task_template + Complete: !Depend suite.has_cycle('-6:00:00') + Trigger: !Depend start_cycle + + cycled_init: !Family + # Skip cycled initialization for first cycle. + Complete: !Depend ~ suite.has_cycle('-6:00:00') + + ensemble: !Family + Trigger: !Depend up.start_cycle + + ens_fcst_000_020: !Task + Perform: + <<: *ens_fcst_action + start_mem: 0 + stop_mem: 20 + Rocoto: *task_template + + ens_fcst_021_040: !Task + Perform: + <<: *ens_fcst_action + start_mem: 21 + stop_mem: 40 + Rocoto: *task_template + + ens_fcst_041_060: !Task + Perform: + <<: *ens_fcst_action + start_mem: 41 + stop_mem: 60 + Rocoto: *task_template + + ens_fcst_061_080: !Task + Perform: + <<: *ens_fcst_action + start_mem: 61 + stop_mem: 80 + Rocoto: *task_template + + ens_fcst_081_100: !Task + Perform: + <<: *ens_fcst_action + start_mem: 81 + stop_mem: 100 + Rocoto: *task_template + + analysis: !Task + Trigger: !Depend ensemble + Perform: *analysis_action + Rocoto: *task_template + + fcst: !Family + # Special case for first cycle: forecast off of climatology: + clim_fcst: !Task + Perform: *clim_fcst + Rocoto: *task_template + Complete: !Depend suite.has_cycle('-6:00:00') + Trigger: !Depend up.clim_init + + # All other cycles use the cycled initialization as input: + fcst: !Task + Perform: *fcst + Rocoto: *task_template + Complete: !Depend ~ suite.has_cycle('-6:00:00') + Trigger: !Depend up.init + + post: !Task + Perform: *post_action + Rocoto: *task_template + Trigger: !Depend fcst.is_running() diff --git a/to_sh.py b/to_sh.py index 7d14332..91ad2e3 100755 --- a/to_sh.py +++ b/to_sh.py @@ -59,6 +59,14 @@ def eval_expr(self,expr): globals=self.config._globals() return eval(expr,globals,self.scope) + def exec_str(self,expr): + globals={} + if hasattr(self.scope,'_globals'): + globals=self.scope._globals() + elif hasattr(self.config,'_globals'): + globals=self.config._globals() + exec(expr,globals,self.scope) + def set_int_format(self,value): test=value%3 self.int_format=value @@ -149,6 +157,7 @@ def process_arg(self,arg): elif command=='null': self.set_null_string(value) elif command=='run_ignore': self.run_expr(value,False) elif command=='run': self.run_expr(value,True) + elif command=='apply': self.exec_str(value) elif command=='expand': if self.have_handled_vars: raise Exception(f'{arg}: cannot expand files and set ' From c581c7b61c3042ea95a3736aa6b5df062295ad59 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 12 Sep 2017 11:38:44 +0000 Subject: [PATCH 053/487] get slightly closer to having the real workflow test working --- crow/config/__init__.py | 16 ++++ crow/config/from_yaml.py | 1 + crow/config/tasks.py | 10 ++- crow/config/to_yaml.py | 11 +-- crow/config/tools.py | 14 +++- crow/metascheduler/rocoto.py | 11 ++- crow/tools.py | 8 +- examples/real-workflow/jobs/JFINAL | 8 ++ examples/real-workflow/workflow/actions.yaml | 47 ++++++------ examples/real-workflow/workflow/options.yaml | 33 +++++--- examples/real-workflow/workflow/platform.yaml | 76 +++++++++++++++++++ examples/real-workflow/workflow/runtime.yaml | 2 +- examples/real-workflow/workflow/setup_expt.py | 41 ++++++++++ .../real-workflow/workflow/setup_workflow.py | 17 +++++ examples/real-workflow/workflow/workflow.yaml | 22 +++--- 15 files changed, 255 insertions(+), 62 deletions(-) create mode 100644 examples/real-workflow/jobs/JFINAL create mode 100644 examples/real-workflow/workflow/platform.yaml create mode 100755 examples/real-workflow/workflow/setup_expt.py create mode 100755 examples/real-workflow/workflow/setup_workflow.py diff --git a/crow/config/__init__.py b/crow/config/__init__.py index 8f5add0..4e24558 100644 --- a/crow/config/__init__.py +++ b/crow/config/__init__.py @@ -1,4 +1,5 @@ import yaml +from collections import Sequence, Mapping import crow.tools from crow.config.from_yaml import ConvertFromYAML from crow.config.template import Template @@ -40,3 +41,18 @@ def from_file(*args): with open(file,'rt') as fopen: data.append(fopen.read()) return from_string(u'\n\n\n'.join(data)) + +def evaluate(obj,memo=None): + if memo is None: memo=set() + if id(obj) in memo: return + memo.add(id(obj)) + if isinstance(obj,str) or isinstance(obj,bytes): + return + elif isinstance(obj,Sequence): + for i in range(len(obj)): + obj[i]=obj[i] + evaluate(obj[i],memo) + elif isinstance(obj,Mapping): + for k in list(obj.keys()): + obj[k]=obj[k] + evaluate(obj[k],memo) diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index 61bdf2b..e9c14df 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -129,6 +129,7 @@ def represent_Clock(dumper,data): mapping={ 'start':data.start, 'step':data.step } if data.end is not None: mapping['end']=data.end if data.now!=data.start: mapping['now']=data.now + return dumper.represent_mapping('!Clock',mapping) yaml.add_representer(Clock,represent_Clock) ######################################################################## diff --git a/crow/config/tasks.py b/crow/config/tasks.py index b81068c..2cbd36f 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -52,11 +52,12 @@ class SuiteView(Mapping): def __init__(self,suite,viewed,path,parent): # assert(isinstance(suite,Suite)) # assert(isinstance(viewed,dict_eval)) - # assert(isinstance(parent,SuiteView)) + assert(isinstance(parent,SuiteView)) self.suite=suite self.viewed=copy(viewed) self.viewed.task_path_list=path[1:] self.viewed.task_path_str='/'+'/'.join(path[1:]) + self.viewed.up=parent self.path=SuitePath(path) self.parent=parent self.__cache={} @@ -89,6 +90,7 @@ def child_iter(self): """!Iterates over all tasks and families that are direct children of this family, yielding a SuiteView of each.""" for var,val in self.items(): + if var=='up': continue if isinstance(val,SuiteView): yield val @@ -96,6 +98,7 @@ def walk_task_tree(self): """!Iterates over the entire tree of descendants below this SuiteView, yielding a SuiteView of each.""" for var,val in self.items(): + if var=='up': continue if isinstance(val,SuiteView): yield val for t in val.walk_task_tree(): @@ -121,10 +124,11 @@ def __getitem__(self,key): assert(isinstance(key,str)) if key in self.__cache: return self.__cache[key] if key not in self.viewed: raise KeyError(key) - if key == 'up': return parent val=self.viewed[key] - if isinstance(val,Task) or isinstance(val,Family): + if isinstance(val,SuiteView): + return val + elif isinstance(val,Task) or isinstance(val,Family): val=self.__wrap(key,val) elif hasattr(val,'_as_dependency'): val=self.__wrap(key,val._as_dependency( diff --git a/crow/config/to_yaml.py b/crow/config/to_yaml.py index aa6d496..f9c66b7 100644 --- a/crow/config/to_yaml.py +++ b/crow/config/to_yaml.py @@ -28,9 +28,9 @@ def to_yaml(yml): def add_yaml_list_eval(key,cls): def representer(dumper,data): if key is None: - return dumper.represent_data(data._raw_cache()) + return dumper.represent_data(data._raw_child()) else: - return dumper.represent_sequence(key,data._raw_cache()) + return dumper.represent_sequence(key,data._raw_child()) yaml.add_representer(cls,representer) add_yaml_list_eval(u'!FirstMax',FirstMax) @@ -45,13 +45,10 @@ def add_yaml_dict_eval(key,cls): """!Generates and registers a representer for a custom YAML mapping type """ def representer(dumper,data): - simple=data._raw_cache() - if not isinstance(simple,dict): - simple=dict([ (k,v) for k,v in simple.items() ]) if key is None: - return dumper.represent_data(simple) + return dumper.represent_data(data._raw_child()) else: - return dumper.represent_mapping(key,simple) + return dumper.represent_mapping(key,data._raw_child()) yaml.add_representer(cls,representer) add_yaml_dict_eval(None,GenericDict) diff --git a/crow/config/tools.py b/crow/config/tools.py index 75af003..45ef33a 100644 --- a/crow/config/tools.py +++ b/crow/config/tools.py @@ -51,10 +51,19 @@ def seconds(dt): return dt.total_seconds() def crow_install_dir(rel=None): - path=os.path.join(__file__,'..') - path=os.path.join(path,rel) + path=os.path.dirname(__file__) + path=os.path.join(path,'..') + if rel: + path=os.path.join(path,rel) return os.path.abspath(path) +MISSING=object() +def env(var,default=MISSING): + if default is MISSING: + return os.environ[var] + else: + return os.environ.get(var,default) + ## The CONFIG_TOOLS contains the tools available to configuration yaml ## "!calc" expressions in their "tools" variable. CONFIG_TOOLS=crow.tools.ImmutableMapping({ @@ -69,6 +78,7 @@ def crow_install_dir(rel=None): 'realpath':os.path.realpath, 'isdir':os.path.isdir, 'isfile':os.path.isfile, + 'env':env, 'islink':os.path.islink, 'exists':os.path.exists, 'strftime':strftime, diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index fcad937..79dc4d4 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -85,8 +85,10 @@ def __init__(self,suite): try: settings=suite.Rocoto.scheduler + print(list(suite.Rocoto.keys())) scheduler_name=suite.Rocoto.scheduler.name - parallelism_name=suite.Rocoto.parallelism.name + parallelism=suite.Rocoto.parallelism + parallelism_name=parallelism.name sched=crow.sysenv.get_scheduler(scheduler_name,settings) runner=crow.sysenv.get_parallelism(parallelism_name,settings) except(AttributeError,IndexError,TypeError,ValueError) as e: @@ -136,6 +138,7 @@ def convert_family(self,fd,indent,view,trigger,complete,time): self.__families.add(SuitePath(view.path[1:-1])) for key,child in view.items(): + if key=='up': continue if not isinstance(child,SuiteView): continue if child.path[1:] == ['final']: @@ -259,12 +262,12 @@ def handle_final_task(self,fd,indent): if not final.is_task(): raise RocotoConfigError( 'For a workflow suite to be expressed in Rocoto, it ' - 'must have a "final" task with no dependencies') - for elem in [ 'Trigger', 'Complete', 'Time', 'Perform' ]: + 'must have a "final" task') + for elem in [ 'Trigger', 'Complete', 'Time' ]: if elem in final: raise RocotoConfigError( f'{elem}: In a Rocoto workflow, the "final" task ' - 'must have no dependencies and no performed actions.') + 'must have no dependencies.') if self.__completes and final is None: raise RocotoConfigError( diff --git a/crow/tools.py b/crow/tools.py index 81c2b6d..6641f20 100644 --- a/crow/tools.py +++ b/crow/tools.py @@ -7,9 +7,9 @@ __all__=['panasas_gb','gpfs_gb','to_timedelta'] -def panasas_gb(dir): +def panasas_gb(dir,pan_df='pan_df'): rdir=os.path.realpath(dir) - stdout=subprocess.check_output(['pan_df','-B','1G','-P',rdir]) + stdout=subprocess.check_output([pan_df,'-B','1G','-P',rdir]) for line in stdout.splitlines(): if rdir in str(line): return int(line.split()[3],10) @@ -18,9 +18,9 @@ def panasas_gb(dir): #Filesystem 1073741824-blocks Used Available Capacity Mounted on #panfs://10.181.12.11/ 94530 76432 18098 81% /scratch4/NCEPDEV/stmp3/ -def gpfs_gb(dir,fileset,device): +def gpfs_gb(dir,fileset,device,mmlsquota='mmlsquota'): mmlsquota=subprocess.check_output([ - 'mmlsquota', '--block-size', '1T']) + mmlsquota, '--block-size', '1T']) for m in re.finditer(b'''(?isx) (?: \S+ \s+ FILESET diff --git a/examples/real-workflow/jobs/JFINAL b/examples/real-workflow/jobs/JFINAL new file mode 100644 index 0000000..d9fce55 --- /dev/null +++ b/examples/real-workflow/jobs/JFINAL @@ -0,0 +1,8 @@ +#! /bin/sh + +set -xue +export DATAtest="${DATA:-${SCRUB_DIR}}" +export COMOUTtest="${COMOUT:-${COM_DIR}}" +export LOG_DIR="${LOG_DIR}" + +echo "Final job is complete." diff --git a/examples/real-workflow/workflow/actions.yaml b/examples/real-workflow/workflow/actions.yaml index a9c1814..41b856b 100644 --- a/examples/real-workflow/workflow/actions.yaml +++ b/examples/real-workflow/workflow/actions.yaml @@ -3,23 +3,22 @@ # Convenient blocks to include in actions: shared_serial_action: &shared_serial_action - <<: [ runtime, options ] - com: !calc {doc.runtime.com} - prior_com: !calc {doc.runtime.prior_com} + <<: [ *runtime, *options ] + com: !calc "{doc.runtime.com}" + prior_com: !calc "{doc.runtime.prior_com}" rocoto_memory: "2G" - accounting: - queue: !calc platform.serial_queue + accounting: !calc doc.platform.serial_accounting resources: [ { exe: placeholder } ] command: !calc runner.make_ShellCommand(resources) parallel_unlimited_memory_action: ¶llel_unlimited_memory_action - <<: [ runtime, options ] + <<: [ *runtime, *options ] + rocoto_memory: '' command: !calc runner.make_ShellCommand(resources) - accounting: - queue: !calc platform.parallel_queue + accounting: !calc doc.platform.parallel_accounting generic_fcst: &generic_fcst_action - <<: *¶llel_unlimited_memory_action + <<: *parallel_unlimited_memory_action dt_rand: !calc dt_rand_fcst dt_write: !calc dt_write_fcst namelist: !expand | @@ -39,17 +38,21 @@ generic_fcst: &generic_fcst_action # Actual actions: +final: &final_action !Action + <<: *shared_serial_action + J_JOB: JFINAL + start_cycle: &start_cycle_action !Action <<: *shared_serial_action J_JOB: JSTART_CYCLE command: !calc runner.make_ShellCommand(resources) -clim_init: &start_cycle_action !Action - <<: *parallel_ulimited_memory_action +clim_init: &clim_init_action !Action + <<: *parallel_unlimited_memory_action J_JOB: JCLIM_INIT resources: - exe: !calc doc.executables.climatology_init - ranks: !calc doc.options.init_ranks + mpi_ranks: !calc doc.options.init_ranks namelist: !expand | &settings nx={nx} @@ -60,18 +63,18 @@ clim_init: &start_cycle_action !Action outfile: "clim-init.grid" clim_fcst: &clim_fcst_action !Action - <<: *parallel_ulimited_memory_action + <<: *parallel_unlimited_memory_action J_JOB: JCLIM_FCST resources: - exe: !calc doc.executables.fcst - ranks: !calc doc.options.fcst_ranks + mpi_ranks: !calc doc.options.fcst_ranks ens_fcst: &ens_fcst_action !Action - <<: *parallel_ulimited_memory_action + <<: *parallel_unlimited_memory_action J_JOB: JENS_FCST resources: - exe: !calc doc.executables.fcst - ranks: !calc doc.options.ens_ranks + mpi_ranks: !calc doc.options.ens_ranks member_id: null dt_write: !calc doc.options.cycle_len @@ -84,18 +87,18 @@ ens_fcst: &ens_fcst_action !Action outfile_format: "output_######.grid" fcst: &fcst_action !Action - <<: *parallel_ulimited_memory_action + <<: *parallel_unlimited_memory_action J_JOB: JFCST resources: - exe: !calc doc.executables.fcst - ranks: !calc doc.options.fcst_ranks + mpi_ranks: !calc doc.options.fcst_ranks post: &post_action !Action - <<: *parallel_ulimited_memory_action + <<: *parallel_unlimited_memory_action J_JOB: JPOST resources: - exe: !calc doc.executables.post - ranks: !calc doc.options.fcst_ranks + mpi_ranks: !calc doc.options.fcst_ranks namelist: !expand | &settings @@ -118,11 +121,11 @@ post: &post_action !Action max_wait: 300 analysis: &analysis_action !Action - <<: *parallel_ulimited_memory_action + <<: *parallel_unlimited_memory_action J_JOB: JANALYSIS resources: - exe: !calc doc.executables.analysis - ranks: !calc doc.options.fcst_ranks + mpi_ranks: !calc doc.options.fcst_ranks member_input: member_%06d.grid fcst_len_int: !calc round(tools.as_seconds(fcst_len)/3600) guess_in: !expand "{prior_com}/fcst_{fcst_len_int:06d}.grid" diff --git a/examples/real-workflow/workflow/options.yaml b/examples/real-workflow/workflow/options.yaml index f0164a1..82fb0f5 100644 --- a/examples/real-workflow/workflow/options.yaml +++ b/examples/real-workflow/workflow/options.yaml @@ -1,8 +1,21 @@ -options: +options: &options # Grid size: nx: 400 ny: 400 + # User-defined experiment name; should be a-z followed by alphanumeric: + experiment_name: test + + # Scrub area. Default: auto-detect least used scrub space. + scrub_space: !calc doc.platform.least_used_scrub + run_dir: !expand "{scrub_space}/{tools.env('USER')}/{experiment_name}" + + # Project for CPU accounting. + cpu_project: !calc doc.platform.default_cpu_project + + # Project for storing HPSS data. + hpss_project: emc-global + # Random number seed: seed: 381 @@ -23,10 +36,10 @@ options: # Resource requirements for various jobs. Keep as # platform.varname to use platform-specific defaults from # platforms.yaml. - fcst_ranks: !calc platform.fcst_ranks - init_ranks: !calc platform.init_ranks - post_ranks: !calc platform.post_ranks - ens_ranks: !calc platform.ens_ranks + fcst_ranks: !calc doc.platform.default_fcst_ranks + init_ranks: !calc doc.platform.default_init_ranks + post_ranks: !calc doc.platform.default_post_ranks + ens_ranks: !calc doc.platform.default_ens_ranks # Locations of CROW and this test suite. HOMEcrow: !calc tools.crow_install_dir() @@ -35,8 +48,8 @@ options: # Executable locations. Defaults are the exec/ directory within the # real-workflow example. executables: - TEST_EXEC: !expand {doc.options.HOMEtest}/exec - fcst: !expand {TEST_EXEC}/mpi/forecast - analysis: !expand {TEST_EXEC}/mpi/assimilate - post: !expand {TEST_EXEC}/mpi/post - climatology_init: !expand {TEST_EXEC}/mpi/climatology_init + TEST_EXEC: !expand "{doc.options.HOMEtest}/exec" + fcst: !expand "{TEST_EXEC}/mpi/forecast" + analysis: !expand "{TEST_EXEC}/mpi/assimilate" + post: !expand "{TEST_EXEC}/mpi/post" + climatology_init: !expand "{TEST_EXEC}/mpi/climatology_init" diff --git a/examples/real-workflow/workflow/platform.yaml b/examples/real-workflow/workflow/platform.yaml new file mode 100644 index 0000000..89b20a6 --- /dev/null +++ b/examples/real-workflow/workflow/platform.yaml @@ -0,0 +1,76 @@ +resource_defaults: &resource_defaults + default_fcst_ranks: 96 + default_init_ranks: 24 + default_ens_ranks: 24 + default_post_ranks: 2 + +theia: &theia !Platform + <<: *resource_defaults + detect: !calc tools.isdir('/scratch4') and tools.isdir('/scratch3') + default_cpu_project: fv3-cpu + # Path to pan_df, the program used to get Panasas disk usage information: + pan_df: pan_df + serial_accounting: + queue: batch + project: !calc doc.options.cpu_project + transfer_accounting: + queue: service + project: !calc doc.options.cpu_project + parallel_accounting: + queue: batch + project: !calc doc.options.cpu_project + scheduler: &theia_scheduler + name: MoabTorque + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + parallelism: + <<: *theia_scheduler + name: HydraIMPI + least_used_scrub: !FirstMax + - do: /scratch3/NCEPDEV/stmp1 + when: !calc tools.panasas_gb(do) + - do: /scratch3/NCEPDEV/stmp2 + when: !calc tools.panasas_gb(do) + - do: /scratch4/NCEPDEV/stmp3 + when: !calc tools.panasas_gb(do) + - do: /scratch4/NCEPDEV/stmp4 + when: !calc tools.panasas_gb(do) + +wcoss_cray: &wcoss_cray !Platform + <<: *resource_defaults + detect: !calc tools.isdir('/scratch4') and tools.isdir('/scratch3') + default_cpu_project: GFS-T2O + # Path to mmlsquota, the program used to get GPFS disk usage information: + mmlsquota: '/usr/lpp/mmfs/bin/mmlsquota' + serial_accounting: + queue: dev + project: !calc doc.options.cpu_project + transfer_accounting: + queue: transfer + project: !calc doc.options.cpu_project + parallel_accounting: + queue: dev + project: !calc doc.options.cpu_project + scheduler: &wcoss_cray_scheduler + name: LSFAlps + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + parallelism: + <<: *wcoss_cray_scheduler + name: LSFAlps + least_used_scrub: !FirstMax + - do: /gpfs/hps2/ptmp + when: !calc tools.gpfs_gb(do,'hps2-ptmp','hps2',mmlsquota) + - do: /gpfs/hps3/ptmp + when: !calc tools.gpfs_gb(do,'hps3-ptmp','hps3',mmlsquota) + detect: !calc tools.isdir('/gpfs/hps') and tools.isfile('/etc/SuSE-release') + +platform: !FirstTrue + - do: *wcoss_cray + when: !calc do.detect + - do: *theia + when: !calc do.detect diff --git a/examples/real-workflow/workflow/runtime.yaml b/examples/real-workflow/workflow/runtime.yaml index b9f5063..900c121 100644 --- a/examples/real-workflow/workflow/runtime.yaml +++ b/examples/real-workflow/workflow/runtime.yaml @@ -1,3 +1,3 @@ -runtime: +runtime: &runtime com: !calc tools.env('COMINtest') prior_com: !calc tools.env('COMOLDtest') diff --git a/examples/real-workflow/workflow/setup_expt.py b/examples/real-workflow/workflow/setup_expt.py new file mode 100755 index 0000000..57dfb50 --- /dev/null +++ b/examples/real-workflow/workflow/setup_expt.py @@ -0,0 +1,41 @@ +#! /usr/bin/env python3.6 + +import os, sys, logging +import crow.config + +logging.basicConfig(stream=sys.stderr,level=logging.INFO, + format='%(module)s:%(lineno)d: %(levelname)8s: %(message)s') +logger=logging.getLogger('setup_expt') + +conf=crow.config.from_file( + 'platform.yaml','options.yaml','runtime.yaml', + 'actions.yaml','workflow.yaml' ) + +force = len(sys.argv)>1 and sys.argv[1] == '--force' + +# Store evaluated versions of options and platform instead of storing +# the original !expand, !calc, !FirstTrue, etc.: +logger.info('Evaluate options and platform.') +crow.config.evaluate(conf.options) +crow.config.evaluate(conf.platform) + +run_dir=conf.options.run_dir +logger.info(f'Run directory: {run_dir}') +config_yaml=os.path.join(run_dir,'config.yaml') +logger.info(f'Config file: {config_yaml}') +yaml=crow.config.to_yaml(conf) + +try: + os.makedirs(run_dir) +except FileExistsError: + logger.warning(f'{run_dir}: exists') + if not force: + logger.error(f'{run_dir}: already exists. Delete or use --force.') + sys.exit(1) + logger.warning(f'--force given; will replace config.yaml without ' + 'deleting directory') + +with open(config_yaml,'wt') as fd: + fd.write(yaml) + +logger.info(f'Experiment is set up. Run setup_workflow.py {run_dir}') diff --git a/examples/real-workflow/workflow/setup_workflow.py b/examples/real-workflow/workflow/setup_workflow.py new file mode 100755 index 0000000..c479f58 --- /dev/null +++ b/examples/real-workflow/workflow/setup_workflow.py @@ -0,0 +1,17 @@ +#! /usr/bin/env python3.6 + +import sys, os +import crow.config +import crow.metascheduler + +run_dir=sys.argv[1] +config_yaml=os.path.join(run_dir,'config.yaml') +conf=crow.config.from_file(config_yaml) +suite=conf.workflow + + +print(repr(suite.Rocoto.scheduler)) + +rocoto_xml=crow.metascheduler.to_rocoto(suite) + +print(rocoto_xml) diff --git a/examples/real-workflow/workflow/workflow.yaml b/examples/real-workflow/workflow/workflow.yaml index e451548..c2a81b1 100644 --- a/examples/real-workflow/workflow/workflow.yaml +++ b/examples/real-workflow/workflow/workflow.yaml @@ -1,7 +1,7 @@ workflow: !Cycle Rocoto: scheduler: !calc doc.platform.scheduler - accounting: !calc doc.platform.accounting + parallelism: !calc doc.platform.parallelism run_dir: doc.options.run_dir workflow_xml: !expand | @@ -45,11 +45,11 @@ workflow: !Cycle TASK_PATH - {task_path} + {task_path_str} CONFIG_YAML_TEMPLATE - {run_dir}/config.yaml + {doc.options.run_dir}/config.yaml CONFIG_YAML @@ -64,7 +64,7 @@ workflow: !Cycle {doc.options.HOMEtest} - ens_task_template: !expand + ens_task_template: !expand | {suite.Rocoto.task_template} ENS_START_MEMBER @@ -81,11 +81,11 @@ workflow: !Cycle step: !timedelta 06:00 start_cycle: !Task - Perform: *start_cycle + Perform: *start_cycle_action Rocoto: *task_template clim_init: !Task - Perform: *clim_init + Perform: *clim_init_action Rocoto: *task_template Complete: !Depend suite.has_cycle('-6:00:00') Trigger: !Depend start_cycle @@ -140,19 +140,23 @@ workflow: !Cycle fcst: !Family # Special case for first cycle: forecast off of climatology: clim_fcst: !Task - Perform: *clim_fcst + Perform: *clim_fcst_action Rocoto: *task_template Complete: !Depend suite.has_cycle('-6:00:00') Trigger: !Depend up.clim_init # All other cycles use the cycled initialization as input: fcst: !Task - Perform: *fcst + Perform: *fcst_action Rocoto: *task_template Complete: !Depend ~ suite.has_cycle('-6:00:00') - Trigger: !Depend up.init + Trigger: !Depend up.cycled_init post: !Task Perform: *post_action Rocoto: *task_template Trigger: !Depend fcst.is_running() + + final: !Task + Perform: *final_action + Rocoto: *task_template From 87b79f9069e2d7f037ff89582429c2cb5d756694 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 12 Sep 2017 11:43:03 +0000 Subject: [PATCH 054/487] delete a print that was for debugging --- crow/metascheduler/rocoto.py | 1 - 1 file changed, 1 deletion(-) diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index 79dc4d4..4a3c481 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -85,7 +85,6 @@ def __init__(self,suite): try: settings=suite.Rocoto.scheduler - print(list(suite.Rocoto.keys())) scheduler_name=suite.Rocoto.scheduler.name parallelism=suite.Rocoto.parallelism parallelism_name=parallelism.name From 4b34c12959b77734f9d946fb7319783e80d1b175 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 12 Sep 2017 11:43:17 +0000 Subject: [PATCH 055/487] setup_workflow.py writes the Rocoot xml file --- .../real-workflow/workflow/setup_workflow.py | 20 ++++++++++++++----- 1 file changed, 15 insertions(+), 5 deletions(-) diff --git a/examples/real-workflow/workflow/setup_workflow.py b/examples/real-workflow/workflow/setup_workflow.py index c479f58..05351e2 100755 --- a/examples/real-workflow/workflow/setup_workflow.py +++ b/examples/real-workflow/workflow/setup_workflow.py @@ -1,17 +1,27 @@ #! /usr/bin/env python3.6 -import sys, os +import sys, os, logging import crow.config import crow.metascheduler +logging.basicConfig(stream=sys.stderr,level=logging.INFO, + format='%(module)s:%(lineno)d: %(levelname)8s: %(message)s') +logger=logging.getLogger('setup_workflow') + run_dir=sys.argv[1] +logger.info(f'Run directory: {run_dir}') config_yaml=os.path.join(run_dir,'config.yaml') +logger.info(f'Config file: {config_yaml}') conf=crow.config.from_file(config_yaml) suite=conf.workflow - -print(repr(suite.Rocoto.scheduler)) +expname=conf.options.experiment_name +logger.info(f'Experiment name: {expname}') rocoto_xml=crow.metascheduler.to_rocoto(suite) - -print(rocoto_xml) +rocoto_xml_file=os.path.join(run_dir,f'{expname}.xml') +logger.info(f'Rocoto XML file: {rocoto_xml_file}') +with open(rocoto_xml_file,'wt') as fd: + fd.write(rocoto_xml) +logger.info('Workflow XML file is generated.') +logger.info('Use Rocoto to execute this workflow.') From c738c8962e0685768a0c8e7741b59db6f58b3d6d Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 12 Sep 2017 11:45:42 +0000 Subject: [PATCH 056/487] add the job wrapper script for the real workflow example --- examples/real-workflow/workflow/job_wrapper.sh | 12 ++++++++++++ 1 file changed, 12 insertions(+) create mode 100755 examples/real-workflow/workflow/job_wrapper.sh diff --git a/examples/real-workflow/workflow/job_wrapper.sh b/examples/real-workflow/workflow/job_wrapper.sh new file mode 100755 index 0000000..dd34986 --- /dev/null +++ b/examples/real-workflow/workflow/job_wrapper.sh @@ -0,0 +1,12 @@ +#! /bin/sh + +module purge +module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/python/modulefiles/ +module load python/3.6.1-emc +module load intel +module load impi + +set -xue + +cd "$SCRUB_DIR" +"$HOMEtest/jobs/$1" From 59e3355c98338bfc920454614511294d5fe55233 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 12 Sep 2017 11:56:17 +0000 Subject: [PATCH 057/487] slightly closer to getting real workflow working --- examples/real-workflow/workflow/actions.yaml | 2 ++ examples/real-workflow/workflow/workflow.yaml | 9 +++++---- 2 files changed, 7 insertions(+), 4 deletions(-) diff --git a/examples/real-workflow/workflow/actions.yaml b/examples/real-workflow/workflow/actions.yaml index 41b856b..3750ada 100644 --- a/examples/real-workflow/workflow/actions.yaml +++ b/examples/real-workflow/workflow/actions.yaml @@ -10,12 +10,14 @@ shared_serial_action: &shared_serial_action accounting: !calc doc.platform.serial_accounting resources: [ { exe: placeholder } ] command: !calc runner.make_ShellCommand(resources) + walltime: !timedelta 00:10:00 parallel_unlimited_memory_action: ¶llel_unlimited_memory_action <<: [ *runtime, *options ] rocoto_memory: '' command: !calc runner.make_ShellCommand(resources) accounting: !calc doc.platform.parallel_accounting + walltime: !timedelta 00:10:00 generic_fcst: &generic_fcst_action <<: *parallel_unlimited_memory_action diff --git a/examples/real-workflow/workflow/workflow.yaml b/examples/real-workflow/workflow/workflow.yaml index c2a81b1..fbf6ee7 100644 --- a/examples/real-workflow/workflow/workflow.yaml +++ b/examples/real-workflow/workflow/workflow.yaml @@ -17,7 +17,7 @@ workflow: !Cycle ]> &LOG_DIR;/rocoto_@Y@m@d@H.log @@ -28,9 +28,8 @@ workflow: !Cycle task_template: &task_template !expand | $HOMEtest/workflow/job_wrapper.sh {Perform.J_JOB} + {Perform.walltime} {Perform.rocoto_memory} - {sched.rocoto_accounting(Perform.accounting)} - {sched.rocoto_resources(Perform.resources)} COM_DIR &COM_DIR;/@Y@m@d@H @@ -49,7 +48,7 @@ workflow: !Cycle CONFIG_YAML_TEMPLATE - {doc.options.run_dir}/config.yaml + {doc.options.run_dir}/config.yaml CONFIG_YAML @@ -63,6 +62,8 @@ workflow: !Cycle HOMEtest {doc.options.HOMEtest} + {sched.rocoto_accounting(Perform.accounting)} + {sched.rocoto_resources(Perform.resources)} ens_task_template: !expand | {suite.Rocoto.task_template} From 867fb21fd816e1b070436cbc409adc8f9c7cb851 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 12 Sep 2017 12:02:27 +0000 Subject: [PATCH 058/487] bug fix: offset not cycle_offset --- examples/real-workflow/workflow/workflow.yaml | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/examples/real-workflow/workflow/workflow.yaml b/examples/real-workflow/workflow/workflow.yaml index fbf6ee7..4f0d3e7 100644 --- a/examples/real-workflow/workflow/workflow.yaml +++ b/examples/real-workflow/workflow/workflow.yaml @@ -30,13 +30,15 @@ workflow: !Cycle $HOMEtest/workflow/job_wrapper.sh {Perform.J_JOB} {Perform.walltime} {Perform.rocoto_memory} + {sched.rocoto_accounting(Perform.accounting)} + {sched.rocoto_resources(Perform.resources)} COM_DIR &COM_DIR;/@Y@m@d@H OLD_COM_DIR - &COM_DIR;/@Y@m@d@H + &COM_DIR;/@Y@m@d@H SCRUB_DIR @@ -62,8 +64,6 @@ workflow: !Cycle HOMEtest {doc.options.HOMEtest} - {sched.rocoto_accounting(Perform.accounting)} - {sched.rocoto_resources(Perform.resources)} ens_task_template: !expand | {suite.Rocoto.task_template} From 081e289d24d6f78a297775d0b862cb12846c8706 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 12 Sep 2017 12:06:33 +0000 Subject: [PATCH 059/487] rocoto xml now passes rocotorun --- crow/metascheduler/rocoto.py | 5 +++-- examples/real-workflow/workflow/workflow.yaml | 2 +- 2 files changed, 4 insertions(+), 3 deletions(-) diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index 4a3c481..e30b096 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -54,11 +54,12 @@ def to_rocoto_dep(dep,fd,indent): elif isinstance(dep,StateDependency): path='-'.join(dep.path[1:]) tag='taskdep' if dep.is_task() else 'metataskdep' + attr='task' if dep.is_task() else 'metatask' if dep.state is COMPLETED: - fd.write(f'{" "*indent}<{tag} task="{path}"/>\n') + fd.write(f'{" "*indent}<{tag} {attr}="{path}"/>\n') else: state=ROCOTO_STATE_MAP[dep.state] - fd.write(f'{" "*indent}<{tag} task="{path}" state="{state}"/>\n') + fd.write(f'{" "*indent}<{tag} {attr}="{path}" state="{state}"/>\n') elif isinstance(dep,CycleExistsDependency): dt=cycle_offset(dep.dt) fd.write(f'{" "*indent}\n') diff --git a/examples/real-workflow/workflow/workflow.yaml b/examples/real-workflow/workflow/workflow.yaml index 4f0d3e7..3bf1f6e 100644 --- a/examples/real-workflow/workflow/workflow.yaml +++ b/examples/real-workflow/workflow/workflow.yaml @@ -156,7 +156,7 @@ workflow: !Cycle post: !Task Perform: *post_action Rocoto: *task_template - Trigger: !Depend fcst.is_running() + Trigger: !Depend fcst.clim_fcst.is_running() | fcst.fcst.is_running() final: !Task Perform: *final_action From 6bbadc10db6913812224dd579e259391bc63dc19 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 12 Sep 2017 12:10:19 +0000 Subject: [PATCH 060/487] rocoto xml now passes rocotorun --- crow/sysenv/schedulers/MoabTorque.py | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/crow/sysenv/schedulers/MoabTorque.py b/crow/sysenv/schedulers/MoabTorque.py index c03cbda..be4ed80 100644 --- a/crow/sysenv/schedulers/MoabTorque.py +++ b/crow/sysenv/schedulers/MoabTorque.py @@ -29,6 +29,10 @@ def rocoto_accounting(self,spec,indent=0): sio=StringIO() if 'queue' in spec: sio.write(f'{indent*space}{spec.queue!s}\n') + if 'account' in spec: + sio.write(f'{indent*space}{spec.account!s}\n') + if 'project' in spec: + sio.write(f'{indent*space}{spec.project!s}\n') if 'partition' in spec: sio.write(f'{indent*space}-l partition=' f'{spec.partition!s}\n') From 9d0923db71644bde7e5248adf4b591a4afa5e20e Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 13 Sep 2017 19:12:20 +0000 Subject: [PATCH 061/487] move notes to a different directory and add aprun examples --- notes/aprun-examples.txt | 85 +++++++++++++++++++ .../notes.txt => notes/terry-explanation.txt | 0 2 files changed, 85 insertions(+) create mode 100644 notes/aprun-examples.txt rename crow/sysenv/notes.txt => notes/terry-explanation.txt (100%) diff --git a/notes/aprun-examples.txt b/notes/aprun-examples.txt new file mode 100644 index 0000000..297dbe1 --- /dev/null +++ b/notes/aprun-examples.txt @@ -0,0 +1,85 @@ +Assuming: + * 24 physical cores per node + * 2 logical cpus per core when hyperthreading is enabled + * hyperthreading is disabled by default + +There are two aspects to this: + +1. Write a sysenv.parallelism.(module).Parallelism class for aprun +2. Write a sysenv.scheduler.(module).Scheduler class for LSF + ALPS + +Aprun documentation: + + https://bluewaters.ncsa.illinois.edu/using-aprun + +Produtil's equivalent to sysenv.parallelism.(module).Parallelism: + + /scratch3/NCEPDEV/hwrf/save/Samuel.Trahan/H216O-quasitag/ush/produtil/mpi_impl/lsf_cray_intel.py + + +Examples: + + +[ { exe='yup' } ] +aprun -n 1 -N 1 -d 1 -j 1 -cc depth yup +1 + + +[ { exe='blah', mpi_ranks=24 } ] +becomes: +aprun -n 24 -N 24 -d 1 -j 1 -cc depth blah +1 + + +[ { exe="flur", mpi_ranks=12 }, + { exe='zhod', mpi_ranks=12 } ] +becomes: +aprun -n 12 -N 12 -d 1 -j 1 -cc depth flur \ + : -n 12 -N 12 -d 1 -j 1 -cc depth zhod +2 + + + +[ { exe="flur", mpi_ranks=12, max_ppn=4 }, + { exe="flur", mpi_ranks=48 } ] +becomes: +aprun -n 12 -N 4 -d 1 -j 1 -cc depth flur \ + : -n 48 -N 24 -d 1 -j 1 -cc depth flur +5 + + + +[ { exe="bleh", OMP_NUM_THREADS=48, hyperthreads=2 } ] +becomes: +aprun -n 1 -N 1 -d 48 -j 2 -cc depth /usr/bin/env OMP_NUM_THREADS=48 bleh +1 + + + +[ { exe="bleh", OMP_NUM_THREADS=24, mpi_ranks=12 }, + { exe="bleh", OMP_NUM_THREADS=4, mpi_ranks=12 }, + { exe="bleh", OMP_NUM_THREADS=48, mpi_ranks=48, hyperthreads=2 } ] +becomes: +aprun -n 12 -N 1 -d 24 -j 1 -cc depth /usr/bin/env OMP_NUM_THREADS=24 bleh \ + : -n 12 -N 6 -d 4 -j 1 -cc depth /usr/bin/env OMP_NUM_THREADS=4 bleh \ + : -n 48 -N 1 -d 48 -j 2 -cc depth /usr/bin/env OMP_NUM_THREADS=48 bleh +62 + + + +Special cases needed for aprun: + +[ { exe="thing", CrayAprun_cc_extra='numa_node', mpi_ranks=48 } ] +becomes: +aprun -n 48 -N 24 -d 1 -j 1 -cc numa_node thing +2 + +[ { exe="thing", CrayAprun_p_state_extra=2601000, mpi_ranks=12 } ] +becomes: +aprun -n 12 -N 12 -d 1 -j 1 -cc depth --p-state 2601000\ +1 +NOTE: We will want to add a turbo mode enabling option. That requires +parsing this file: + /sys/devices/system/cpu/cpu0/cpufreq/scaling_available_frequencies +and getting the highest available frequency, which is the magic number +for turbo mode. That can wait until later. diff --git a/crow/sysenv/notes.txt b/notes/terry-explanation.txt similarity index 100% rename from crow/sysenv/notes.txt rename to notes/terry-explanation.txt From 77aba18467dc00a20f836a2674a1483620ca1123 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 13 Sep 2017 19:17:37 +0000 Subject: [PATCH 062/487] bug fix to nodes=X:ppn=N+Y:ppn=M+... generator --- crow/sysenv/util.py | 18 +++++++++++++----- 1 file changed, 13 insertions(+), 5 deletions(-) diff --git a/crow/sysenv/util.py b/crow/sysenv/util.py index d3e207e..759f91f 100644 --- a/crow/sysenv/util.py +++ b/crow/sysenv/util.py @@ -13,15 +13,23 @@ def ranks_to_nodes_ppn(max_per_node,ranks): return [ ( 1, ranks ) ] nodes=(ranks+max_per_node-1)//max_per_node - extra_ranks=nodes*max_per_node-ranks + min_ranks_per_node=ranks//nodes + extra_ranks=ranks-min_ranks_per_node*nodes if extra_ranks: - return [ ( nodes-extra_ranks, max_per_node ), - ( extra_ranks, max_per_node-1 ) ] + return [ ( extra_ranks, min_ranks_per_node+1 ), + ( nodes-extra_ranks, min_ranks_per_node ) ] else : - return [ ( nodes, max_per_node ) ] + return [ ( nodes, min_ranks_per_node ) ] def test(): + print(ranks_to_nodes_ppn(10,109)) assert([(10, 10), (1, 9)] == ranks_to_nodes_ppn(10,109)) + print(ranks_to_nodes_ppn(3,10)) assert([(2,3),(2,2)] == ranks_to_nodes_ppn(3,10)) - assert([(3,1)] == ranks_to_nodes_ppn(10,3)) + print(ranks_to_nodes_ppn(10,3)) + assert([(1,3)] == ranks_to_nodes_ppn(10,3)) + print(ranks_to_nodes_ppn(24,31)) + assert([(1, 16), (1, 15)]==ranks_to_nodes_ppn(24,31)) + print(ranks_to_nodes_ppn(24,62)) + assert([(2, 21), (1, 20)]==ranks_to_nodes_ppn(24,62)) From e5a4184c44375bc37204d962a20ed0eaa70530d5 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 13 Sep 2017 19:32:39 +0000 Subject: [PATCH 063/487] better test in examples/run --- crow/sysenv/jobs.py | 7 ++++++- crow/sysenv/nodes.py | 3 +++ examples/run/test.py | 28 ++++++++++++++++++++++++++-- 3 files changed, 35 insertions(+), 3 deletions(-) diff --git a/crow/sysenv/jobs.py b/crow/sysenv/jobs.py index f810a30..27b0b75 100644 --- a/crow/sysenv/jobs.py +++ b/crow/sysenv/jobs.py @@ -17,7 +17,8 @@ class JobRankSpec(Mapping): def __init__(self,*,OMP_NUM_THREADS=0,mpi_ranks=0, exe=MISSING,args=MISSING,exclusive=True, - separate_node=False,hyperthreads=1,**kwargs): + separate_node=False,hyperthreads=1,max_ppn=MISSING, + **kwargs): self.__spec={ 'mpi_ranks':max(0,int(mpi_ranks)), 'exclusive':bool(exclusive), @@ -26,6 +27,10 @@ def __init__(self,*,OMP_NUM_THREADS=0,mpi_ranks=0, 'OMP_NUM_THREADS':max(0,int(OMP_NUM_THREADS)), 'exe':( None if exe is MISSING else exe ), 'args':( [] if args is MISSING else list(args) ) } + + if max_ppn is not MISSING: + self.__spec['max_ppn']=int(max_ppn) + for key,value in kwargs.items(): if not key.endswith('_extra'): raise TypeError(f'Unknown argument {key}') diff --git a/crow/sysenv/nodes.py b/crow/sysenv/nodes.py index 7952ca5..d2f969f 100644 --- a/crow/sysenv/nodes.py +++ b/crow/sysenv/nodes.py @@ -101,6 +101,9 @@ def max_ranks_per_node(self,rank_spec): max_per_node //= max(1,rank_spec.get('OMP_NUM_THREADS',1)) if max_per_node<1: raise MachineTooSmallError(f'Specification too large for node: max {threads_per_node} for {rank_spec!r}') + max_ppn=rank_spec.get('max_ppn',0) + if max_ppn: + max_per_node=min(max_ppn,max_per_node) return max_per_node def can_merge_ranks(self,R1,R2): diff --git a/examples/run/test.py b/examples/run/test.py index df8d008..76da174 100755 --- a/examples/run/test.py +++ b/examples/run/test.py @@ -15,7 +15,10 @@ 'hyperthreading_allowed':True } par=crow.sysenv.get_parallelism('HydraIMPI',settings) +sch=crow.sysenv.get_scheduler('MoabTorque',settings) +######################################################################## +# Test 1: big, fancy command: ranks=[ { 'mpi_ranks':12, 'hyperthreads':1, 'OMP_NUM_THREADS':4, 'exe':'exe1', 'HydraIMPI_extra':[ '-gdb', '-envall' ] }, @@ -23,12 +26,33 @@ 'HydraIMPI_extra':'-envall' }, { 'mpi_ranks':200,'hyperthreads':1, 'exe':'exe2' } ] - jr=crow.sysenv.JobResourceSpec(ranks) - cmd=par.make_ShellCommand(jr) +res=sch.rocoto_resources(jr) +print(str(ranks)) +print('becomes') +print(str(cmd)) +print(str(res)) +assert(str(cmd)=="ShellCommand(command=['mpiexec', '-gdb', '-envall', '-np', '12', '/usr/bin/env', 'OMP_NUM_THREADS=4', 'exe1', ':', '-envall', '-np', '48', '/usr/bin/env', 'OMP_NUM_THREADS=1', 'exe2', ':', '-np', '200', 'exe2'], env=None, cwd=None, files=[ ])") +assert(str(res)=='2:ppn=6+2:ppn=24+2:ppn=23+7:ppn=22\n') + +######################################################################## +# Test 2: hard-coded max_ppn: +ranks=[ { 'mpi_ranks':12, 'max_ppn':2, 'exe':'doit' }, + { 'mpi_ranks':12, 'max_ppn':4, 'exe':'doit' } ] + +jr=crow.sysenv.JobResourceSpec(ranks) +cmd=par.make_ShellCommand(jr) +res=sch.rocoto_resources(jr) +print(str(ranks)) +print('becomes') print(str(cmd)) +print(str(res)) +assert(str(cmd)=="ShellCommand(command=['mpiexec', '-np', '12', 'doit', ':', '-np', '12', 'doit'], env=None, cwd=None, files=[ ])") +assert(str(res)=='6:ppn=2+3:ppn=4\n') + +######################################################################## if os.path.exists('file1'): os.unlink('file1') if os.path.exists('file2'): os.unlink('file2') From b570177501214b6e9712a64e611cc67286bc674d Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 13 Sep 2017 20:36:14 +0000 Subject: [PATCH 064/487] Add an !Immediate clause that causes its contents to be evaluated before writing out the workflow. This is triggered by calling crow.config.evaluate_immediates --- crow/config/__init__.py | 17 +------- crow/config/eval_tools.py | 39 ++++++++++++++++++ crow/config/from_yaml.py | 4 ++ crow/config/represent.py | 10 ++++- crow/config/to_yaml.py | 1 + examples/real-workflow/workflow/options.yaml | 2 +- examples/real-workflow/workflow/platform.yaml | 41 ++++++++++--------- examples/real-workflow/workflow/setup_expt.py | 10 +++-- 8 files changed, 83 insertions(+), 41 deletions(-) diff --git a/crow/config/__init__.py b/crow/config/__init__.py index 4e24558..e1aa31d 100644 --- a/crow/config/__init__.py +++ b/crow/config/__init__.py @@ -11,7 +11,7 @@ RUNNING, COMPLETED, FAILED, TRUE_DEPENDENCY, FALSE_DEPENDENCY, \ CycleExistsDependency from crow.config.to_yaml import to_yaml -from crow.config.eval_tools import invalidate_cache +from crow.config.eval_tools import invalidate_cache, evaluate_immediates __all__=["from_string","from_file","to_py", 'Action', 'Platform', 'Template', 'TaskStateAnd', 'TaskStateOr', 'TaskStateNot', 'TaskStateIs', @@ -41,18 +41,3 @@ def from_file(*args): with open(file,'rt') as fopen: data.append(fopen.read()) return from_string(u'\n\n\n'.join(data)) - -def evaluate(obj,memo=None): - if memo is None: memo=set() - if id(obj) in memo: return - memo.add(id(obj)) - if isinstance(obj,str) or isinstance(obj,bytes): - return - elif isinstance(obj,Sequence): - for i in range(len(obj)): - obj[i]=obj[i] - evaluate(obj[i],memo) - elif isinstance(obj,Mapping): - for k in list(obj.keys()): - obj[k]=obj[k] - evaluate(obj[k],memo) diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 4fab4d9..07f4cec 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -185,8 +185,11 @@ def _validate(self): def __getitem__(self,key): val=self.__cache[key] if hasattr(val,'_result'): + immediate=hasattr(val,'_is_immediate') val=from_config(key,val,self.__globals,self) self.__cache[key]=val + if immediate: + self.__child[key]=val return val def __getattr__(self,name): if name in self: return self[name] @@ -282,8 +285,11 @@ def insert(self,i,o): def __getitem__(self,index): val=self.__cache[index] if hasattr(val,'_result'): + immediate=hasattr(val,'_is_immediate') val=from_config(index,val,self.__globals,self.__locals) self.__cache[index]=val + if immediate: + self.__child[index]=val assert(val is not self) return val def _to_py(self,recurse=True): @@ -319,3 +325,36 @@ def _result(self,globals,locals): def invalidate_cache(obj,key=None): if hasattr(obj,'_invalidate_cache'): obj._invalidate_cache(key) + + +def evaluate_one(obj,key,val,memo): + if hasattr(val,'_is_immediate'): + if memo is not None: + evaluate_immediates_impl(obj[key],memo) + else: + _ = obj[key] + elif not hasattr(val,'_result') and memo is not None: + evaluate_immediates_impl(obj[key],memo) + +def evaluate_immediates_impl(obj,memo=None): + if memo is not None: + if id(obj) in memo: return + memo.add(id(obj)) + + if hasattr(obj,'_raw_child'): + child=obj._raw_child() + else: + child=obj + + if hasattr(child,'items'): # Assume mapping. + for k,v in child.items(): + evaluate_one(obj,k,v,memo) + elif hasattr(child,'index'): # Assume sequence. + for i in range(len(child)): + evaluate_one(obj,i,child[i],memo) + +def evaluate_immediates(obj,recurse=False): + if hasattr(obj,'_result'): + return + memo=set() if recurse else None + evaluate_immediates_impl(obj,memo) diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index e9c14df..761d634 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -34,6 +34,7 @@ class FirstMaxYAML(list): yaml_tag=u'!FirstMax' class FirstMinYAML(list): yaml_tag=u'!FirstMin' class FirstTrueYAML(list): yaml_tag=u'!FirstTrue' class LastTrueYAML(list): yaml_tag=u'!LastTrue' +class ImmediateYAML(list): yaml_tag=u'!Immediate' class EvalYAML(dict): pass class ShellCommandYAML(dict): pass @@ -148,6 +149,7 @@ def constructor(loader,node): add_yaml_sequence(u'!FirstMin',FirstMinYAML) add_yaml_sequence(u'!LastTrue',LastTrueYAML) add_yaml_sequence(u'!FirstTrue',FirstTrueYAML) +add_yaml_sequence(u'!Immediate',ImmediateYAML) ## @var CONDITIONALS # Used to handle custom yaml conditional types. Maps from conditional type @@ -224,6 +226,8 @@ def to_eval_impl(self,v,locals): return self.from_dict(v,SUITE[cls]) elif cls is EvalYAML: return Eval(self.from_dict(v)) + elif cls is ImmediateYAML: + return self.from_list(v,locals,Immediate) elif isinstance(v,list) and v and isinstance(v[0],tuple) \ or isinstance(v,OrderedDict): diff --git a/crow/config/represent.py b/crow/config/represent.py index 4020720..07d860f 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -11,19 +11,25 @@ __all__=[ 'Action','Platform', 'Conditional', 'calc','FirstMin', 'FirstMax', 'LastTrue', 'FirstTrue', 'GenericList', - 'GenericDict', 'GenericOrderedDict', 'ShellCommand' ] + 'GenericDict', 'GenericOrderedDict', 'ShellCommand', + 'Immediate' ] ######################################################################## class Action(dict_eval): """!Represents an action that a workflow should take, such as running a batch job.""" - class GenericDict(dict_eval): pass class GenericOrderedDict(dict_eval): pass class GenericList(list_eval): pass class Platform(dict_eval): pass class ShellCommand(dict_eval): pass + +class Immediate(list_eval): + def _result(self,globals,locals): + return self[0] + def _is_immediate(self): pass + class Conditional(list_eval): MISSING=object() def __init__(self,*args): diff --git a/crow/config/to_yaml.py b/crow/config/to_yaml.py index f9c66b7..2239d95 100644 --- a/crow/config/to_yaml.py +++ b/crow/config/to_yaml.py @@ -37,6 +37,7 @@ def representer(dumper,data): add_yaml_list_eval(u'!FirstMin',FirstMin) add_yaml_list_eval(u'!LastTrue',LastTrue) add_yaml_list_eval(u'!FirstTrue',FirstTrue) +add_yaml_list_eval(u'!Immediate',Immediate) add_yaml_list_eval(None,GenericList) ######################################################################## diff --git a/examples/real-workflow/workflow/options.yaml b/examples/real-workflow/workflow/options.yaml index 82fb0f5..09ebe33 100644 --- a/examples/real-workflow/workflow/options.yaml +++ b/examples/real-workflow/workflow/options.yaml @@ -8,7 +8,7 @@ options: &options # Scrub area. Default: auto-detect least used scrub space. scrub_space: !calc doc.platform.least_used_scrub - run_dir: !expand "{scrub_space}/{tools.env('USER')}/{experiment_name}" + run_dir: !Immediate [ !expand "{scrub_space}/{tools.env('USER')}/{experiment_name}" ] # Project for CPU accounting. cpu_project: !calc doc.platform.default_cpu_project diff --git a/examples/real-workflow/workflow/platform.yaml b/examples/real-workflow/workflow/platform.yaml index 89b20a6..8f580c8 100644 --- a/examples/real-workflow/workflow/platform.yaml +++ b/examples/real-workflow/workflow/platform.yaml @@ -28,15 +28,16 @@ theia: &theia !Platform parallelism: <<: *theia_scheduler name: HydraIMPI - least_used_scrub: !FirstMax - - do: /scratch3/NCEPDEV/stmp1 - when: !calc tools.panasas_gb(do) - - do: /scratch3/NCEPDEV/stmp2 - when: !calc tools.panasas_gb(do) - - do: /scratch4/NCEPDEV/stmp3 - when: !calc tools.panasas_gb(do) - - do: /scratch4/NCEPDEV/stmp4 - when: !calc tools.panasas_gb(do) + least_used_scrub: !Immediate + - !FirstMax + - do: /scratch3/NCEPDEV/stmp1 + when: !calc tools.panasas_gb(do) + - do: /scratch3/NCEPDEV/stmp2 + when: !calc tools.panasas_gb(do) + - do: /scratch4/NCEPDEV/stmp3 + when: !calc tools.panasas_gb(do) + - do: /scratch4/NCEPDEV/stmp4 + when: !calc tools.panasas_gb(do) wcoss_cray: &wcoss_cray !Platform <<: *resource_defaults @@ -62,15 +63,17 @@ wcoss_cray: &wcoss_cray !Platform parallelism: <<: *wcoss_cray_scheduler name: LSFAlps - least_used_scrub: !FirstMax - - do: /gpfs/hps2/ptmp - when: !calc tools.gpfs_gb(do,'hps2-ptmp','hps2',mmlsquota) - - do: /gpfs/hps3/ptmp - when: !calc tools.gpfs_gb(do,'hps3-ptmp','hps3',mmlsquota) + least_used_scrub: !Immediate + - !FirstMax + - do: /gpfs/hps2/ptmp + when: !calc tools.gpfs_gb(do,'hps2-ptmp','hps2',mmlsquota) + - do: /gpfs/hps3/ptmp + when: !calc tools.gpfs_gb(do,'hps3-ptmp','hps3',mmlsquota) detect: !calc tools.isdir('/gpfs/hps') and tools.isfile('/etc/SuSE-release') -platform: !FirstTrue - - do: *wcoss_cray - when: !calc do.detect - - do: *theia - when: !calc do.detect +platform: !Immediate + - !FirstTrue + - do: *wcoss_cray + when: !calc do.detect + - do: *theia + when: !calc do.detect diff --git a/examples/real-workflow/workflow/setup_expt.py b/examples/real-workflow/workflow/setup_expt.py index 57dfb50..bbf76d4 100755 --- a/examples/real-workflow/workflow/setup_expt.py +++ b/examples/real-workflow/workflow/setup_expt.py @@ -2,6 +2,7 @@ import os, sys, logging import crow.config +from crow.config import Platform logging.basicConfig(stream=sys.stderr,level=logging.INFO, format='%(module)s:%(lineno)d: %(levelname)8s: %(message)s') @@ -14,10 +15,13 @@ force = len(sys.argv)>1 and sys.argv[1] == '--force' # Store evaluated versions of options and platform instead of storing -# the original !expand, !calc, !FirstTrue, etc.: +# the original !expand, !calc, !FirstTrue, etc. Skip all platforms +# except the one enabled. logger.info('Evaluate options and platform.') -crow.config.evaluate(conf.options) -crow.config.evaluate(conf.platform) +crow.config.evaluate_immediates(conf,recurse=False) +for key,val in conf.items(): + if isinstance(val,Platform) and key!='platform': continue + crow.config.evaluate_immediates(val,recurse=True) run_dir=conf.options.run_dir logger.info(f'Run directory: {run_dir}') From 06d6f7c9180b49fb8f8d2c6593fb957d18c255b0 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 13 Sep 2017 21:39:02 +0000 Subject: [PATCH 065/487] Real workflow example now generates config.yaml correctly. Removed redundant setup_workflow.py. Added execute permissions to scripts --- crow/config/__init__.py | 15 +++++++---- crow/config/eval_tools.py | 8 +++--- crow/config/tools.py | 5 ++-- examples/real-workflow/jobs/JANALYSIS | 0 examples/real-workflow/jobs/JCLIM_FCST | 0 examples/real-workflow/jobs/JCLIM_INIT | 0 examples/real-workflow/jobs/JENS_FCST | 0 examples/real-workflow/jobs/JFCST | 0 examples/real-workflow/jobs/JFINAL | 0 examples/real-workflow/jobs/JPOST | 0 examples/real-workflow/jobs/JSTART_CYCLE | 0 examples/real-workflow/scripts/exanalysis.sh | 0 examples/real-workflow/scripts/exclim_init.py | 0 examples/real-workflow/scripts/exfcst.py | 0 examples/real-workflow/scripts/expost.sh | 0 examples/real-workflow/ush/wait_for_file.sh | 0 .../real-workflow/workflow/job_wrapper.sh | 11 +++++++- examples/real-workflow/workflow/options.yaml | 2 +- examples/real-workflow/workflow/platform.yaml | 10 +++++-- examples/real-workflow/workflow/setup_expt.py | 27 ++++++++++++------- .../real-workflow/workflow/setup_workflow.py | 27 ------------------- examples/real-workflow/workflow/workflow.yaml | 4 ++- 22 files changed, 58 insertions(+), 51 deletions(-) mode change 100644 => 100755 examples/real-workflow/jobs/JANALYSIS mode change 100644 => 100755 examples/real-workflow/jobs/JCLIM_FCST mode change 100644 => 100755 examples/real-workflow/jobs/JCLIM_INIT mode change 100644 => 100755 examples/real-workflow/jobs/JENS_FCST mode change 100644 => 100755 examples/real-workflow/jobs/JFCST mode change 100644 => 100755 examples/real-workflow/jobs/JFINAL mode change 100644 => 100755 examples/real-workflow/jobs/JPOST mode change 100644 => 100755 examples/real-workflow/jobs/JSTART_CYCLE mode change 100644 => 100755 examples/real-workflow/scripts/exanalysis.sh mode change 100644 => 100755 examples/real-workflow/scripts/exclim_init.py mode change 100644 => 100755 examples/real-workflow/scripts/exfcst.py mode change 100644 => 100755 examples/real-workflow/scripts/expost.sh mode change 100644 => 100755 examples/real-workflow/ush/wait_for_file.sh delete mode 100755 examples/real-workflow/workflow/setup_workflow.py diff --git a/crow/config/__init__.py b/crow/config/__init__.py index e1aa31d..163ab9c 100644 --- a/crow/config/__init__.py +++ b/crow/config/__init__.py @@ -11,7 +11,8 @@ RUNNING, COMPLETED, FAILED, TRUE_DEPENDENCY, FALSE_DEPENDENCY, \ CycleExistsDependency from crow.config.to_yaml import to_yaml -from crow.config.eval_tools import invalidate_cache, evaluate_immediates +from crow.config.eval_tools import invalidate_cache +from crow.config.eval_tools import evaluate_immediates as _evaluate_immediates __all__=["from_string","from_file","to_py", 'Action', 'Platform', 'Template', 'TaskStateAnd', 'TaskStateOr', 'TaskStateNot', 'TaskStateIs', @@ -29,15 +30,19 @@ def expand_text(text,scope): 'object with the _expand_text argument. You sent a ' '%s.'%(type(scope).__name__)) -def from_string(s): +evaluate_immediates=_evaluate_immediates + +def from_string(s,evaluate_immediates=True): c=ConvertFromYAML(yaml.load(s),CONFIG_TOOLS,ENV) result=c.convert() - #c.close() + if evaluate_immediates: + _evaluate_immediates(result,recurse=True) return result -def from_file(*args): +def from_file(*args,evaluate_immediates=True): data=list() for file in args: with open(file,'rt') as fopen: data.append(fopen.read()) - return from_string(u'\n\n\n'.join(data)) + return from_string(u'\n\n\n'.join(data), + evaluate_immediates=evaluate_immediates) diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 07f4cec..7584879 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -40,7 +40,7 @@ class expand(str): """!Represents a literal format string.""" def _result(self,globals,locals): - return eval('f'+repr(self),globals,locals) + return eval("f'''"+self+"'''",globals,locals) class strcalc(str): """Represents a string that should be run through eval()""" @@ -58,7 +58,7 @@ def from_config(key,val,globals,locals): return from_config(key,val._result(globals,locals), globals,locals) return val - except(TypeError,KeyError,NameError,IndexError,AttributeError) as ke: + except(SyntaxError,TypeError,KeyError,NameError,IndexError,AttributeError) as ke: raise CalcKeyError('%s: !%s %s -- %s %s'%( str(key),type(val).__name__,repr(val),type(ke).__name__,str(ke))) except RecursionError as re: @@ -106,7 +106,7 @@ def _has_raw(self,key): return True except KeyError: return False def _expand_text(self,text): - eval('f'+repr(text),self._globals(),self) + eval("f'''"+text+"'''",self._globals(),self) def __repr__(self): return '%s(%s)'%( type(self).__name__, @@ -347,6 +347,8 @@ def evaluate_immediates_impl(obj,memo=None): child=obj if hasattr(child,'items'): # Assume mapping. + if 'Evaluate' in child and not child['Evaluate']: + return # Scope requested no evaluation. for k,v in child.items(): evaluate_one(obj,k,v,memo) elif hasattr(child,'index'): # Assume sequence. diff --git a/crow/config/tools.py b/crow/config/tools.py index 45ef33a..c90f59a 100644 --- a/crow/config/tools.py +++ b/crow/config/tools.py @@ -15,7 +15,7 @@ def __getattr__(self,key): def strftime(d,fmt): return d.strftime(fmt) def YMDH(d): return d.strftime('%Y%m%d%H') def YMD(d): return d.strftime('%Y%m%d') - +def join(L,J): return J.join(L) def seq(start,end,step): return [ r for r in range(start,end+1,step) ] @@ -52,7 +52,7 @@ def seconds(dt): def crow_install_dir(rel=None): path=os.path.dirname(__file__) - path=os.path.join(path,'..') + path=os.path.join(path,'../..') if rel: path=os.path.join(path,rel) return os.path.abspath(path) @@ -86,4 +86,5 @@ def env(var,default=MISSING): 'as_seconds':seconds, 'YMDH':YMDH, 'YMD':YMD, + 'join':join, }) diff --git a/examples/real-workflow/jobs/JANALYSIS b/examples/real-workflow/jobs/JANALYSIS old mode 100644 new mode 100755 diff --git a/examples/real-workflow/jobs/JCLIM_FCST b/examples/real-workflow/jobs/JCLIM_FCST old mode 100644 new mode 100755 diff --git a/examples/real-workflow/jobs/JCLIM_INIT b/examples/real-workflow/jobs/JCLIM_INIT old mode 100644 new mode 100755 diff --git a/examples/real-workflow/jobs/JENS_FCST b/examples/real-workflow/jobs/JENS_FCST old mode 100644 new mode 100755 diff --git a/examples/real-workflow/jobs/JFCST b/examples/real-workflow/jobs/JFCST old mode 100644 new mode 100755 diff --git a/examples/real-workflow/jobs/JFINAL b/examples/real-workflow/jobs/JFINAL old mode 100644 new mode 100755 diff --git a/examples/real-workflow/jobs/JPOST b/examples/real-workflow/jobs/JPOST old mode 100644 new mode 100755 diff --git a/examples/real-workflow/jobs/JSTART_CYCLE b/examples/real-workflow/jobs/JSTART_CYCLE old mode 100644 new mode 100755 diff --git a/examples/real-workflow/scripts/exanalysis.sh b/examples/real-workflow/scripts/exanalysis.sh old mode 100644 new mode 100755 diff --git a/examples/real-workflow/scripts/exclim_init.py b/examples/real-workflow/scripts/exclim_init.py old mode 100644 new mode 100755 diff --git a/examples/real-workflow/scripts/exfcst.py b/examples/real-workflow/scripts/exfcst.py old mode 100644 new mode 100755 diff --git a/examples/real-workflow/scripts/expost.sh b/examples/real-workflow/scripts/expost.sh old mode 100644 new mode 100755 diff --git a/examples/real-workflow/ush/wait_for_file.sh b/examples/real-workflow/ush/wait_for_file.sh old mode 100644 new mode 100755 diff --git a/examples/real-workflow/workflow/job_wrapper.sh b/examples/real-workflow/workflow/job_wrapper.sh index dd34986..1fa24fe 100755 --- a/examples/real-workflow/workflow/job_wrapper.sh +++ b/examples/real-workflow/workflow/job_wrapper.sh @@ -1,12 +1,21 @@ #! /bin/sh +# Theia workaround. Reduce stack soft limit while running "module" to +# avoid runaway memory allocation: +ulimit_s=$( ulimit -S -s ) +ulimit -S -s 10000 + +# FIXME: Remove hard-coded modules. module purge module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/python/modulefiles/ module load python/3.6.1-emc module load intel module load impi +# Restore stack soft limit: +ulimit -S -s "$ulimit_s" +unset ulimit_s + set -xue -cd "$SCRUB_DIR" "$HOMEtest/jobs/$1" diff --git a/examples/real-workflow/workflow/options.yaml b/examples/real-workflow/workflow/options.yaml index 09ebe33..e986d42 100644 --- a/examples/real-workflow/workflow/options.yaml +++ b/examples/real-workflow/workflow/options.yaml @@ -43,7 +43,7 @@ options: &options # Locations of CROW and this test suite. HOMEcrow: !calc tools.crow_install_dir() - HOMEtest: !calc tools.crow_install_dir('examples/real-workflow/workflow') + HOMEtest: !calc tools.crow_install_dir('examples/real-workflow') # Executable locations. Defaults are the exec/ directory within the # real-workflow example. diff --git a/examples/real-workflow/workflow/platform.yaml b/examples/real-workflow/workflow/platform.yaml index 8f580c8..f34c834 100644 --- a/examples/real-workflow/workflow/platform.yaml +++ b/examples/real-workflow/workflow/platform.yaml @@ -6,6 +6,7 @@ resource_defaults: &resource_defaults theia: &theia !Platform <<: *resource_defaults + Evaluate: false detect: !calc tools.isdir('/scratch4') and tools.isdir('/scratch3') default_cpu_project: fv3-cpu # Path to pan_df, the program used to get Panasas disk usage information: @@ -41,6 +42,7 @@ theia: &theia !Platform wcoss_cray: &wcoss_cray !Platform <<: *resource_defaults + Evaluate: false detect: !calc tools.isdir('/scratch4') and tools.isdir('/scratch3') default_cpu_project: GFS-T2O # Path to mmlsquota, the program used to get GPFS disk usage information: @@ -73,7 +75,11 @@ wcoss_cray: &wcoss_cray !Platform platform: !Immediate - !FirstTrue - - do: *wcoss_cray + - do: + <<: *wcoss_cray + Evaluate: true when: !calc do.detect - - do: *theia + - do: + <<: *theia + Evaluate: true when: !calc do.detect diff --git a/examples/real-workflow/workflow/setup_expt.py b/examples/real-workflow/workflow/setup_expt.py index bbf76d4..72acd89 100755 --- a/examples/real-workflow/workflow/setup_expt.py +++ b/examples/real-workflow/workflow/setup_expt.py @@ -3,6 +3,7 @@ import os, sys, logging import crow.config from crow.config import Platform +import crow.metascheduler logging.basicConfig(stream=sys.stderr,level=logging.INFO, format='%(module)s:%(lineno)d: %(levelname)8s: %(message)s') @@ -14,14 +15,10 @@ force = len(sys.argv)>1 and sys.argv[1] == '--force' -# Store evaluated versions of options and platform instead of storing -# the original !expand, !calc, !FirstTrue, etc. Skip all platforms -# except the one enabled. -logger.info('Evaluate options and platform.') -crow.config.evaluate_immediates(conf,recurse=False) -for key,val in conf.items(): - if isinstance(val,Platform) and key!='platform': continue - crow.config.evaluate_immediates(val,recurse=True) +logger.info('Remove platforms from configuration.') +for key in list(conf.keys()): + if isinstance(conf[key],Platform) and key!='platform': + del conf[key] run_dir=conf.options.run_dir logger.info(f'Run directory: {run_dir}') @@ -42,4 +39,16 @@ with open(config_yaml,'wt') as fd: fd.write(yaml) -logger.info(f'Experiment is set up. Run setup_workflow.py {run_dir}') +suite=conf.workflow + +expname=conf.options.experiment_name +logger.info(f'Experiment name: {expname}') + +rocoto_xml=crow.metascheduler.to_rocoto(suite) +rocoto_xml_file=os.path.join(run_dir,f'{expname}.xml') +logger.info(f'Rocoto XML file: {rocoto_xml_file}') +with open(rocoto_xml_file,'wt') as fd: + fd.write(rocoto_xml) +logger.info('Workflow XML file is generated.') +logger.info('Use Rocoto to execute this workflow.') + diff --git a/examples/real-workflow/workflow/setup_workflow.py b/examples/real-workflow/workflow/setup_workflow.py deleted file mode 100755 index 05351e2..0000000 --- a/examples/real-workflow/workflow/setup_workflow.py +++ /dev/null @@ -1,27 +0,0 @@ -#! /usr/bin/env python3.6 - -import sys, os, logging -import crow.config -import crow.metascheduler - -logging.basicConfig(stream=sys.stderr,level=logging.INFO, - format='%(module)s:%(lineno)d: %(levelname)8s: %(message)s') -logger=logging.getLogger('setup_workflow') - -run_dir=sys.argv[1] -logger.info(f'Run directory: {run_dir}') -config_yaml=os.path.join(run_dir,'config.yaml') -logger.info(f'Config file: {config_yaml}') -conf=crow.config.from_file(config_yaml) -suite=conf.workflow - -expname=conf.options.experiment_name -logger.info(f'Experiment name: {expname}') - -rocoto_xml=crow.metascheduler.to_rocoto(suite) -rocoto_xml_file=os.path.join(run_dir,f'{expname}.xml') -logger.info(f'Rocoto XML file: {rocoto_xml_file}') -with open(rocoto_xml_file,'wt') as fd: - fd.write(rocoto_xml) -logger.info('Workflow XML file is generated.') -logger.info('Use Rocoto to execute this workflow.') diff --git a/examples/real-workflow/workflow/workflow.yaml b/examples/real-workflow/workflow/workflow.yaml index 3bf1f6e..3d8cd37 100644 --- a/examples/real-workflow/workflow/workflow.yaml +++ b/examples/real-workflow/workflow/workflow.yaml @@ -3,7 +3,7 @@ workflow: !Cycle scheduler: !calc doc.platform.scheduler parallelism: !calc doc.platform.parallelism - run_dir: doc.options.run_dir + run_dir: !calc doc.options.run_dir workflow_xml: !expand | $HOMEtest/workflow/job_wrapper.sh {Perform.J_JOB} + {task_path_str}@@Y@m@d@H + &LOG_DIR;/@Y@m@d@H/{tools.join(task_path_list,"-")} {Perform.walltime} {Perform.rocoto_memory} {sched.rocoto_accounting(Perform.accounting)} From 1b7d72e57ad447c94f60a7597c4033dd1422e7fe Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 15 Sep 2017 12:49:58 +0000 Subject: [PATCH 066/487] a fewbug fixes away from getting real-workflow working --- crow/__init__.py | 2 +- crow/config/__init__.py | 16 +- crow/config/exceptions.py | 3 +- crow/config/from_yaml.py | 53 ++--- crow/config/represent.py | 10 +- crow/config/tasks.py | 13 +- crow/config/to_yaml.py | 15 +- crow/config/tools.py | 18 +- crow/metascheduler/__init__.py | 2 +- crow/metascheduler/rocoto.py | 31 +-- crow/sysenv/__init__.py | 12 +- crow/sysenv/jobs.py | 2 +- crow/sysenv/parallelism/HydraIMPI.py | 4 +- crow/sysenv/parallelism/base.py | 12 ++ crow/tools.py | 15 +- crow/trace.py | 11 - examples/real-workflow/jobs/JANALYSIS | 6 +- examples/real-workflow/jobs/JCLIM_FCST | 2 +- examples/real-workflow/jobs/JCLIM_INIT | 2 +- examples/real-workflow/jobs/JENS_FCST | 2 +- examples/real-workflow/jobs/JFCST | 3 +- examples/real-workflow/jobs/JPOST | 4 +- examples/real-workflow/jobs/JSTART_CYCLE | 2 +- examples/real-workflow/scripts/exanalysis.sh | 4 +- examples/real-workflow/scripts/exclim_init.py | 22 +- examples/real-workflow/scripts/exfcst.py | 51 +++-- examples/real-workflow/scripts/expost.sh | 13 +- examples/real-workflow/ush/wait_for_file.sh | 15 +- examples/real-workflow/workflow/actions.yaml | 44 ++-- .../real-workflow/workflow/job_wrapper.sh | 4 + examples/real-workflow/workflow/options.yaml | 11 +- examples/real-workflow/workflow/platform.yaml | 12 +- examples/real-workflow/workflow/runtime.yaml | 10 + examples/real-workflow/workflow/setup_expt.py | 8 +- examples/real-workflow/workflow/workflow.yaml | 191 +++++++++--------- examples/to_sh/test.sh | 7 +- examples/to_sh/test.yaml | 6 + to_sh.py | 114 +++++++---- 38 files changed, 449 insertions(+), 303 deletions(-) create mode 100644 crow/sysenv/parallelism/base.py delete mode 100644 crow/trace.py diff --git a/crow/__init__.py b/crow/__init__.py index 8b13789..c1c9bdd 100644 --- a/crow/__init__.py +++ b/crow/__init__.py @@ -1 +1 @@ - +version="0.01" diff --git a/crow/config/__init__.py b/crow/config/__init__.py index 163ab9c..7b9babb 100644 --- a/crow/config/__init__.py +++ b/crow/config/__init__.py @@ -1,18 +1,18 @@ import yaml from collections import Sequence, Mapping import crow.tools -from crow.config.from_yaml import ConvertFromYAML -from crow.config.template import Template -from crow.config.represent import Action, Platform, ShellCommand -from crow.config.tools import CONFIG_TOOLS, ENV -from crow.config.tasks import Suite, Depend, AndDependency, SuitePath, \ +from .from_yaml import ConvertFromYAML +from .template import Template +from .represent import Action, Platform, ShellCommand +from .tools import CONFIG_TOOLS, ENV +from .tasks import Suite, Depend, AndDependency, SuitePath, \ OrDependency, NotDependency, StateDependency, Dependable, \ Taskable, Task, Family, Cycle, LogicalDependency, SuiteView, \ RUNNING, COMPLETED, FAILED, TRUE_DEPENDENCY, FALSE_DEPENDENCY, \ CycleExistsDependency -from crow.config.to_yaml import to_yaml -from crow.config.eval_tools import invalidate_cache -from crow.config.eval_tools import evaluate_immediates as _evaluate_immediates +from .to_yaml import to_yaml +from .eval_tools import invalidate_cache +from .eval_tools import evaluate_immediates as _evaluate_immediates __all__=["from_string","from_file","to_py", 'Action', 'Platform', 'Template', 'TaskStateAnd', 'TaskStateOr', 'TaskStateNot', 'TaskStateIs', diff --git a/crow/config/exceptions.py b/crow/config/exceptions.py index 4878279..b5ba144 100644 --- a/crow/config/exceptions.py +++ b/crow/config/exceptions.py @@ -1,6 +1,6 @@ from crow.exceptions import CROWException __all__=['ConfigError', 'ConditionalMissingDoWhen', 'TemplateErrors', - 'CalcRecursionTooDeep', 'ExpandMissingResult', + 'CalcRecursionTooDeep', 'ExpandMissingResult', 'DependError', 'CalcKeyError', 'TemplateError', 'InvalidConfigTemplate', 'InvalidConfigValue', 'InvalidConfigType' ] @@ -18,3 +18,4 @@ class TemplateErrors(ConfigError): def __init__(self,errors): super().__init__(self,'\n'.join([ str(e) for e in errors ])) self.template_errors=list(errors) +class DependError(ConfigError): pass diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index 761d634..3a10181 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -21,7 +21,7 @@ from crow.config.tasks import * from crow.config.template import Template from crow.config.exceptions import * -from crow.tools import to_timedelta, Clock +from crow.tools import to_timedelta __all__=['ConvertFromYAML'] @@ -36,6 +36,7 @@ class FirstTrueYAML(list): yaml_tag=u'!FirstTrue' class LastTrueYAML(list): yaml_tag=u'!LastTrue' class ImmediateYAML(list): yaml_tag=u'!Immediate' +class ClockYAML(dict): yaml_tag=u'!Clock' class EvalYAML(dict): pass class ShellCommandYAML(dict): pass class TaskYAML(OrderedDict): pass @@ -45,20 +46,20 @@ class CycleYAML(OrderedDict): pass # Mapping from YAML representation class to a pair: # * internal representation class # * python core class for intermediate conversion -TYPE_MAP={ PlatformYAML: [ Platform, dict ], - TemplateYAML: [ Template, dict ], - ActionYAML: [ Action, dict ], - ShellCommandYAML: [ ShellCommand, OrderedDict ], - TaskYAML: [ Task, OrderedDict ], - CycleYAML: [ Cycle, OrderedDict ], - FamilyYAML: [ Family, OrderedDict ] +TYPE_MAP={ PlatformYAML: [ Platform, dict, None ], + TemplateYAML: [ Template, dict, None ], + ActionYAML: [ Action, dict, None ], + ShellCommandYAML: [ ShellCommand, OrderedDict, None ], + TaskYAML: [ Task, OrderedDict, None ], + CycleYAML: [ Cycle, OrderedDict, None ], + FamilyYAML: [ Family, OrderedDict, None ] } def type_for(t): """!Returns an empty, internal representation, class for the given YAML type. This is simply a wrapper around TYPE_MAP""" - (internal_class,python_class)=TYPE_MAP[type(t)] - return internal_class(python_class()) + (internal_class,python_class,convert_class)=TYPE_MAP[type(t)] + return ( internal_class(python_class()), convert_class ) ######################################################################## @@ -117,24 +118,6 @@ def constructor(loader,node): ######################################################################## -def construct_Clock(loader,node): - mapping=loader.construct_mapping(node) - clock=Clock(mapping['start'],to_timedelta(mapping['step']), - mapping.get('end',None)) - if 'now' in mapping: - clock.now=mapping['now'] - return clock -yaml.add_constructor('!Clock',construct_Clock) - -def represent_Clock(dumper,data): - mapping={ 'start':data.start, 'step':data.step } - if data.end is not None: mapping['end']=data.end - if data.now!=data.start: mapping['now']=data.now - return dumper.represent_mapping('!Clock',mapping) -yaml.add_representer(Clock,represent_Clock) - -######################################################################## - def add_yaml_sequence(key,cls): """!Generates and registers representers and constructors for custom YAML sequence types """ @@ -157,7 +140,7 @@ def constructor(loader,node): CONDITIONALS={ FirstMaxYAML:FirstMax, FirstMinYAML:FirstMin, FirstTrueYAML:LastTrue, - LastTrueYAML:LastTrue } + LastTrueYAML:LastTrue} ######################################################################## @@ -172,6 +155,7 @@ def constructor(loader,node): yaml.add_constructor(key,constructor) add_yaml_ordered_dict(u'!Eval',EvalYAML) +add_yaml_ordered_dict(u'!Clock',ClockYAML) add_yaml_ordered_dict(u'!Cycle',CycleYAML) add_yaml_ordered_dict(u'!Task',TaskYAML) add_yaml_ordered_dict(u'!Family',FamilyYAML) @@ -179,7 +163,8 @@ def constructor(loader,node): SUITE={ EvalYAML: Eval, CycleYAML: Cycle, TaskYAML: Task, - FamilyYAML: Family } + FamilyYAML: Family, + ClockYAML:ClockMaker } ######################################################################## @@ -226,9 +211,10 @@ def to_eval_impl(self,v,locals): return self.from_dict(v,SUITE[cls]) elif cls is EvalYAML: return Eval(self.from_dict(v)) + elif cls is ClockYAML: + return ClockMaker(self.from_dict(v)) elif cls is ImmediateYAML: return self.from_list(v,locals,Immediate) - elif isinstance(v,list) and v and isinstance(v[0],tuple) \ or isinstance(v,OrderedDict): return self.from_ordered_dict(v,GenericOrderedDict) @@ -246,10 +232,13 @@ def from_yaml(self,yobj): """!Converts a YAMLObject instance yobj of a YAML, and its elements, to internal implementation types. Elements with unsupported names are ignored. """ - ret=type_for(yobj) + ret, cnv = type_for(yobj) for k in dir(yobj): if not valid_name(k): continue ret[k]=self.to_eval(getattr(yobj,k),ret) + if cnv: + kwargs=dict(ret) + return cnv(**kwargs) self.validatable[id(ret)]=ret return ret diff --git a/crow/config/represent.py b/crow/config/represent.py index 07d860f..76bb091 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -7,12 +7,12 @@ from copy import deepcopy from crow.config.exceptions import * from crow.config.eval_tools import list_eval, dict_eval, multidict, from_config, strcalc -from crow.tools import to_timedelta +from crow.tools import to_timedelta, Clock __all__=[ 'Action','Platform', 'Conditional', 'calc','FirstMin', 'FirstMax', 'LastTrue', 'FirstTrue', 'GenericList', 'GenericDict', 'GenericOrderedDict', 'ShellCommand', - 'Immediate' ] + 'Immediate', 'ClockMaker' ] ######################################################################## @@ -25,6 +25,12 @@ class GenericList(list_eval): pass class Platform(dict_eval): pass class ShellCommand(dict_eval): pass +class ClockMaker(dict_eval): + def _result(self,globals,locals): + return Clock(start=self.start,step=self.step, + end=self.get('end',None), + now=self.get('now',None)) + class Immediate(list_eval): def _result(self,globals,locals): return self[0] diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 2cbd36f..8ba7258 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -151,11 +151,11 @@ def __wrap(self,key,obj): def __and__(self,other): dep=as_dependency(other) if dep is NotImplemented: return dep - return AndDependency(as_dependency(self.viewed),dep) + return AndDependency(as_dependency(self),dep) def __or__(self,other): dep=as_dependency(other) if dep is NotImplemented: return dep - return OrDependency(as_dependency(self.viewed),dep) + return OrDependency(as_dependency(self),dep) def __invert__(self): return NotDependency(StateDependency(self,COMPLETED)) def is_running(self): @@ -191,9 +191,12 @@ def make_empty_copy(self,more_globals=EMPTY_DICT): class Depend(str): def _as_dependency(self,globals,locals,path): - result=eval(self,globals,locals) - result=as_dependency(result,path) - return result + try: + result=eval(self,globals,locals) + result=as_dependency(result,path) + return result + except(SyntaxError,TypeError,KeyError,NameError,IndexError,AttributeError) as ke: + raise DependError(f'!Depend {self}: {ke}') def as_dependency(obj,path=MISSING,state=COMPLETED): """!Converts the containing object to a State. Action objects are diff --git a/crow/config/to_yaml.py b/crow/config/to_yaml.py index 2239d95..cd729c3 100644 --- a/crow/config/to_yaml.py +++ b/crow/config/to_yaml.py @@ -3,7 +3,7 @@ from yaml.nodes import MappingNode, ScalarNode, SequenceNode from collections import OrderedDict - +from crow.tools import Clock from crow.config.eval_tools import * from crow.config.represent import * from crow.config.tasks import * @@ -117,3 +117,16 @@ def represent_omap(dumper, mapping, flow_style=None): return node yaml.add_representer(GenericOrderedDict,represent_omap) + +######################################################################## + +def represent_Clock(dumper,data): + mapping={ 'start':data.start, 'step':data.step } + if data.end is not None: mapping['end']=data.end + if data.now!=data.start: mapping['now']=data.now + return dumper.represent_mapping('!Clock',mapping) +yaml.add_representer(Clock,represent_Clock) + +def represent_ClockMaker(dumper,data): + return dumper.represent_mapping('!Clock',data._raw_child()) +yaml.add_representer(ClockMaker,represent_ClockMaker) diff --git a/crow/config/tools.py b/crow/config/tools.py index c90f59a..e2f382c 100644 --- a/crow/config/tools.py +++ b/crow/config/tools.py @@ -13,8 +13,11 @@ def __getattr__(self,key): ENV=Environment(os.environ) def strftime(d,fmt): return d.strftime(fmt) -def YMDH(d): return d.strftime('%Y%m%d%H') -def YMD(d): return d.strftime('%Y%m%d') +def strptime(d,fmt): return datetime.datetime.strptime(d,fmt) +def to_YMDH(d): return d.strftime('%Y%m%d%H') +def to_YMD(d): return d.strftime('%Y%m%d') +def from_YMDH(d): return datetime.datetime.strptime(d,'%Y%m%d%H') +def from_YMD(d): return datetime.datetime.strptime(d,'%Y%m%d') def join(L,J): return J.join(L) def seq(start,end,step): return [ r for r in range(start,end+1,step) ] @@ -61,8 +64,9 @@ def crow_install_dir(rel=None): def env(var,default=MISSING): if default is MISSING: return os.environ[var] - else: - return os.environ.get(var,default) + return os.environ.get(var,default) + +def have_env(var): return var in os.environ ## The CONFIG_TOOLS contains the tools available to configuration yaml ## "!calc" expressions in their "tools" variable. @@ -79,12 +83,14 @@ def env(var,default=MISSING): 'isdir':os.path.isdir, 'isfile':os.path.isfile, 'env':env, + 'have_env':have_env, 'islink':os.path.islink, 'exists':os.path.exists, 'strftime':strftime, + 'strptime':strptime, 'to_timedelta':crow.tools.to_timedelta, 'as_seconds':seconds, - 'YMDH':YMDH, - 'YMD':YMD, + 'to_YMDH':to_YMDH, 'from_YMDH':from_YMDH, + 'to_YMD':to_YMD, 'from_YMD':from_YMD, 'join':join, }) diff --git a/crow/metascheduler/__init__.py b/crow/metascheduler/__init__.py index d66d2aa..b738263 100644 --- a/crow/metascheduler/__init__.py +++ b/crow/metascheduler/__init__.py @@ -1,3 +1,3 @@ -from crow.metascheduler.rocoto import to_rocoto +from .rocoto import to_rocoto __all__=[ 'to_rocoto' ] diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index e30b096..c4c7957 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -35,15 +35,15 @@ class RocotoConfigError(Exception): pass ZERO_DT=timedelta() def cycle_offset(dt): - sign=1 + sign='' if dt\n') elif isinstance(dep,StateDependency): path='-'.join(dep.path[1:]) + more='' + if dep.path[0]!=ZERO_DT: + more=f' cycle_offset="{cycle_offset(dep.path[0])}"' tag='taskdep' if dep.is_task() else 'metataskdep' attr='task' if dep.is_task() else 'metatask' if dep.state is COMPLETED: - fd.write(f'{" "*indent}<{tag} {attr}="{path}"/>\n') + fd.write(f'{" "*indent}<{tag} {attr}="{path}"{more}/>\n') else: state=ROCOTO_STATE_MAP[dep.state] fd.write(f'{" "*indent}<{tag} {attr}="{path}" state="{state}"/>\n') @@ -160,12 +163,14 @@ def convert_task(self,fd,indent,view,trigger,complete,time): complete=complete | view.get_complete_dep() time=max(time,view.get_time_dep()) + dep=trigger if complete is not FALSE_DEPENDENCY: - self.__completes[view]=complete + self.__completes[view.path]=[view, complete] + dep = dep & ~ complete dep_count = int(trigger is not TRUE_DEPENDENCY) + \ int(time>timedelta.min) - self.write_task_text(fd,'',indent,view,dep_count,trigger,time) + self.write_task_text(fd,'',indent,view,dep_count,dep,time) def write_task_text(self,fd,attr,indent,view,dep_count,trigger,time): path='-'.join(view.path[1:]) @@ -218,8 +223,8 @@ def completes_for(self,fd,item,with_completes): if item.is_task(): dep = item.is_completed() - if item in self.__completes: - dep = dep | self.__completes[item] + if item.path in self.__completes: + dep = dep | self.__completes[item.path][1] return dep # Initial completion dependency is the task or family @@ -250,7 +255,7 @@ def completes_for(self,fd,item,with_completes): if dep is FALSE_DEPENDENCY: dep=subdep else: - dep=subdep | dep + dep=dep | subdep return dep @@ -276,9 +281,11 @@ def handle_final_task(self,fd,indent): # Find all families that have tasks with completes: families_with_completes=set() - for task in self.__completes: - for i in range(1,len(task.path)): - families_with_completes.add(SuitePath(task.path[1:i])) + for path,view_condition in self.__completes.items(): + (view,condition) = view_condition + for i in range(1,len(path)): + family_path=SuitePath(path[1:i]) + families_with_completes.add(family_path) # Generate dependency for the final task: dep=self.completes_for(fd,self.suite,families_with_completes) diff --git a/crow/sysenv/__init__.py b/crow/sysenv/__init__.py index e4c9064..62de74f 100644 --- a/crow/sysenv/__init__.py +++ b/crow/sysenv/__init__.py @@ -1,6 +1,6 @@ -from crow.sysenv.jobs import JobResourceSpec, JobRankSpec -from crow.sysenv.nodes import NodeSpec, GenericNodeSpec -from crow.sysenv.shell import ShellCommand -from crow.sysenv.exceptions import UnknownSchedulerError -from crow.sysenv.schedulers import get_scheduler, has_scheduler -from crow.sysenv.parallelism import get_parallelism, has_parallelism +from .jobs import JobResourceSpec, JobRankSpec +from .nodes import NodeSpec, GenericNodeSpec +from .shell import ShellCommand +from .exceptions import UnknownSchedulerError +from .schedulers import get_scheduler, has_scheduler +from .parallelism import get_parallelism, has_parallelism diff --git a/crow/sysenv/jobs.py b/crow/sysenv/jobs.py index 27b0b75..e76c0c1 100644 --- a/crow/sysenv/jobs.py +++ b/crow/sysenv/jobs.py @@ -15,7 +15,7 @@ ######################################################################## class JobRankSpec(Mapping): - def __init__(self,*,OMP_NUM_THREADS=0,mpi_ranks=0, + def __init__(self,OMP_NUM_THREADS=0,mpi_ranks=0, exe=MISSING,args=MISSING,exclusive=True, separate_node=False,hyperthreads=1,max_ppn=MISSING, **kwargs): diff --git a/crow/sysenv/parallelism/HydraIMPI.py b/crow/sysenv/parallelism/HydraIMPI.py index 1ccd820..01622ed 100644 --- a/crow/sysenv/parallelism/HydraIMPI.py +++ b/crow/sysenv/parallelism/HydraIMPI.py @@ -7,13 +7,13 @@ from crow.sysenv.shell import ShellCommand from crow.sysenv.nodes import GenericNodeSpec -#from crow.sysenv.parallelisms.base import Parallelism as BaseParallelism +from crow.sysenv.parallelism.base import Parallelism as BaseParallelism from collections import Sequence __all__=['Parallelism'] -class Parallelism(object): # (BaseParallelism): +class Parallelism(BaseParallelism): # (BaseParallelism): def __init__(self,settings): self.settings=dict(settings) self.nodes=GenericNodeSpec(settings) diff --git a/crow/sysenv/parallelism/base.py b/crow/sysenv/parallelism/base.py new file mode 100644 index 0000000..c27839e --- /dev/null +++ b/crow/sysenv/parallelism/base.py @@ -0,0 +1,12 @@ +from abc import abstractmethod +from ..jobs import JobResourceSpec + +class Parallelism(object): + @abstractmethod + def make_ShellCommand(self,spec): pass + + def run(self,spec,*args,**kwargs): + if not isinstance(spec,JobResourceSpec): + spec=JobResourceSpec(spec) + cmd=self.make_ShellCommand(spec) + return cmd.run(*args,**kwargs) diff --git a/crow/tools.py b/crow/tools.py index 6641f20..100f104 100644 --- a/crow/tools.py +++ b/crow/tools.py @@ -127,17 +127,16 @@ def str_to_posix_sh(s,encoding='ascii'): return b'"'+s+b'"' -def typecheck(name,obj,type): - if not isinstance(obj,type): - raise TypeError( - f'{name} must be a {type.__name__} not a {type(obj).__name__}') +def typecheck(name,obj,cls): + if not isinstance(obj,cls): + msg=f'{name!s} must be a {cls.__name__!s} not a {type(obj).__name__!s}' + raise TypeError(msg) ######################################################################## ZERO_DT=timedelta() - class Clock(object): - def __init__(self,start,step,end=None): + def __init__(self,start,step,end=None,now=None): typecheck('start',start,datetime.datetime) typecheck('step',step,datetime.timedelta) if end is not None: @@ -150,6 +149,7 @@ def __init__(self,start,step,end=None): raise ValueError('Time step must be positive and non-zero.') if self.end post.nl -$CROW_TO_SH run:analysis.command +$CROW_TO_SH expand:analysis.namelist > assimilate.nl +$CROW_TO_SH run:analysis.resources cp -fp analysis.grid "$COMOUTtest/." diff --git a/examples/real-workflow/scripts/exclim_init.py b/examples/real-workflow/scripts/exclim_init.py index e221f09..9bec827 100755 --- a/examples/real-workflow/scripts/exclim_init.py +++ b/examples/real-workflow/scripts/exclim_init.py @@ -1,18 +1,24 @@ #! /usr/bin/env python3.6 -import os -import crow.config -import crow.trace - def main(): + + import os, datetime, shutil + import crow.config + import crow.sysenv + conf=crow.config.from_file(os.environ['CONFIG_YAML']) + conf.clock.now=datetime.datetime.strptime(os.environ['YMDH'],'%Y%m%d%H') + runner=crow.sysenv.get_parallelism( + conf.platform.parallelism.name,conf.platform.parallelism) namelist=conf.clim_init.namelist with open('climatology_init.nl','wt') as fd: fd.write(namelist) - conf.clim_init.command.run() + cmd=runner.run(conf.clim_init.resources,check=True) + shutil.copy2(conf.clim_init.outfile,conf.runtime.com) if __name__=='__main__': - import trace + import trace, sys tracer=trace.Trace(ignoredirs=[sys.prefix,sys.exec_prefix], - ignoremods=crow.trace.trace_ignore,timing=1) - tracer.trace('main()') + ignoremods=['yaml','eval_tools','from_yaml','to_yaml'], + timing=1) + tracer.run('main()') diff --git a/examples/real-workflow/scripts/exfcst.py b/examples/real-workflow/scripts/exfcst.py index 18a7eb3..d30396d 100755 --- a/examples/real-workflow/scripts/exfcst.py +++ b/examples/real-workflow/scripts/exfcst.py @@ -1,38 +1,65 @@ #! /usr/bin/env python3.6 -import os -import sys -import shutil -import crow.config -import crow.trace +from trace import Trace def main(): + import os + import sys + import logging + import shutil + import glob + import datetime + import crow.config + import crow.sysenv + from copy import copy + + logging.basicConfig(stream=sys.stderr,level=logging.INFO, + format='%(module)s:%(lineno)d: %(levelname)8s: %(message)s') + logger=logging.getLogger('exfcst') + + logger.info(f"{os.environ['CONFIG_YAML']}: read") conf=crow.config.from_file(os.environ['CONFIG_YAML']) + conf.clock.now=datetime.datetime.strptime(os.environ['YMDH'],'%Y%m%d%H') + runner=crow.sysenv.get_parallelism( + conf.platform.parallelism.name,conf.platform.parallelism) scope_name=sys.argv[1] + logger.info(f'{scope_name}: forecast in this scope') scope=conf[scope_name] def run_fcst(action): + print(list(action.keys())) namelist=action.namelist with open('forecast.nl','wt') as fd: fd.write(namelist) - action.command.run() + runner.run(action.resources,check=True) if len(sys.argv)>=3: start_member=int(sys.argv[2],10) stop_member=int(sys.argv[3],10) + logger.info(f'Run ensemble members {start_member} to {stop_member}') member_id=start_member while member_id<=stop_member: + assert('ens_com_filename' in scope) fcst=copy(scope) + assert('ens_com_filename' in fcst) fcst.member_id=member_id + logger.info(f'Member {fcst.member_id}') + print(list(fcst.keys())) run_fcst(fcst) - result=fcst.end_result - shutil.copy2(result,os.path.join(fcst.com,result)) + assert('ens_com_filename' in fcst) + result=fcst.ens_output + comfile=os.path.join(fcst.com,fcst.ens_com_filename) + shutil.copy2(result,comfile) member_id+=1 else: run_fcst(scope) + for filename in glob.glob(scope.copy_glob): + shutil.copy2(filename,conf.runtime.com) if __name__=='__main__': - import trace - tracer=trace.Trace(ignoredirs=[sys.prefix,sys.exec_prefix], - ignoremods=crow.trace.trace_ignore,timing=1) - tracer.trace('main()') + import sys + import yaml + import crow + main() +# Trace(ignoredirs=[sys.prefix,sys.exec_prefix], +# ignoremods=('crow','yaml','crow.config','crow.config.eval_tools'),timing=1).run("main()") diff --git a/examples/real-workflow/scripts/expost.sh b/examples/real-workflow/scripts/expost.sh index 4461190..b0e3cee 100755 --- a/examples/real-workflow/scripts/expost.sh +++ b/examples/real-workflow/scripts/expost.sh @@ -2,14 +2,7 @@ set -xue -eval $( $CROW_TO_SH scope:post \ - FCST_LEN=fcst_len_hrs \ - DT_WRITE=dt_write_fcst_hrs \ - SLEEP_WAIT=sleep_wait \ - MIN_SIZE=min_size \ - MIN_AGE=min_age \ - MAX_WAIT_STEPS=(max_wait+sleep_wait-1)//sleep_wait \ - ) +eval $( $CROW_TO_SH scope:post import:"[A-Z][A-Z_]+" ) FHR=0 while [[ "$FHR" -le "$FCST_LEN" ]] ; do @@ -25,8 +18,8 @@ while [[ "$FHR" -le "$FCST_LEN" ]] ; do $USHtest/wait_for_file.sh "$INFILE" "$MIN_SIZE" "$MIN_AGE" \ "$SLEEP_WAIT" "$MAX_WAIT_STEPS" - $TO_SH_FHR namelist:post.namelist > post.nl - $TO_SH_FHR run:post.command > outfile + $TO_SH_FHR expand:namelist > post.nl + $TO_SH_FHR run:resources > outfile cp -fp outfile "$OUTFILE" done diff --git a/examples/real-workflow/ush/wait_for_file.sh b/examples/real-workflow/ush/wait_for_file.sh index 7031560..a03edfd 100755 --- a/examples/real-workflow/ush/wait_for_file.sh +++ b/examples/real-workflow/ush/wait_for_file.sh @@ -8,13 +8,20 @@ MIN_AGE="$3" SLEEP_WAIT="$4" MAX_WAIT_STEPS="$5" +waits=0 while [[ "$waits" -lt "$MAX_WAIT_STEPS" ]] ; do + waits=$(( waits + 1 )) mtime=$( stat -c %Y "$INFILE" ) now=$( date +%s ) - age=$(( mtime - now )) - size=$( stat -c %s "$INFILE" ) - if [[ "$size" -gt "$MIN_SIZE" && "$age" -gt "$MIN_AGE" ]] ; then - echo "$INFILE: found." + age=$(( now - mtime )) + size=$( stat -c %s "$INFILE" || echo 0 ) + if [[ ! ( "$size" -ge "$MIN_SIZE" ) ]] ; then + echo "$INFILE: too small" + elif [[ ! ( "$age" -ge "$MIN_AGE" ) ]] ; then + echo "$INFILE: too young." + else + echo "$INFILE: ready." + exit 0 fi echo "$INFILE: still waiting..." sleep "$SLEEP_WAIT" diff --git a/examples/real-workflow/workflow/actions.yaml b/examples/real-workflow/workflow/actions.yaml index 3750ada..fd9ef3d 100644 --- a/examples/real-workflow/workflow/actions.yaml +++ b/examples/real-workflow/workflow/actions.yaml @@ -9,13 +9,11 @@ shared_serial_action: &shared_serial_action rocoto_memory: "2G" accounting: !calc doc.platform.serial_accounting resources: [ { exe: placeholder } ] - command: !calc runner.make_ShellCommand(resources) walltime: !timedelta 00:10:00 parallel_unlimited_memory_action: ¶llel_unlimited_memory_action <<: [ *runtime, *options ] rocoto_memory: '' - command: !calc runner.make_ShellCommand(resources) accounting: !calc doc.platform.parallel_accounting walltime: !timedelta 00:10:00 @@ -23,6 +21,9 @@ generic_fcst: &generic_fcst_action <<: *parallel_unlimited_memory_action dt_rand: !calc dt_rand_fcst dt_write: !calc dt_write_fcst + infile: !expand "{com}/analysis.grid" + outfile_format: "fcst_######.grid" + start_time: !calc doc.clock.now-doc.clock.start namelist: !expand | &settings nx={nx} @@ -47,14 +48,13 @@ final: &final_action !Action start_cycle: &start_cycle_action !Action <<: *shared_serial_action J_JOB: JSTART_CYCLE - command: !calc runner.make_ShellCommand(resources) clim_init: &clim_init_action !Action <<: *parallel_unlimited_memory_action J_JOB: JCLIM_INIT resources: - exe: !calc doc.executables.climatology_init - mpi_ranks: !calc doc.options.init_ranks + mpi_ranks: !calc doc.platform.init_ranks namelist: !expand | &settings nx={nx} @@ -62,45 +62,50 @@ clim_init: &clim_init_action !Action global_seed={seed} outfile={outfile} / - outfile: "clim-init.grid" + outfile: "analysis.grid" clim_fcst: &clim_fcst_action !Action - <<: *parallel_unlimited_memory_action + <<: *generic_fcst_action J_JOB: JCLIM_FCST resources: - exe: !calc doc.executables.fcst - mpi_ranks: !calc doc.options.fcst_ranks + mpi_ranks: !calc doc.platform.fcst_ranks + copy_glob: 'fcst*grid' + end_time: !calc fcst_len ens_fcst: &ens_fcst_action !Action - <<: *parallel_unlimited_memory_action + <<: *generic_fcst_action J_JOB: JENS_FCST resources: - exe: !calc doc.executables.fcst - mpi_ranks: !calc doc.options.ens_ranks + mpi_ranks: !calc doc.platform.ens_ranks member_id: null dt_write: !calc doc.options.cycle_len - start_time: !calc doc.clock.now-doc.clock.start - end_time: !calc doc.clock.next(1)-doc.clock.start infile: !expand "{prior_com}/analysis.grid" seed: !calc doc.options.seed + member_id cyc_len_int: !calc round(tools.as_seconds(doc.options.cycle_len)/3600) - ens_result: !expand "output_{cyc_len_int:06d}.grid" + ens_output_num: !calc int(tools.as_seconds(dt_write)/3600) + ens_output: !expand "output_{ens_output_num:06d}.grid" + ens_com_filename: !expand "member_{member_id:06d}.grid" outfile_format: "output_######.grid" + end_time: !calc doc.clock.next(1)-doc.clock.start fcst: &fcst_action !Action - <<: *parallel_unlimited_memory_action + <<: *generic_fcst_action J_JOB: JFCST resources: - exe: !calc doc.executables.fcst - mpi_ranks: !calc doc.options.fcst_ranks + mpi_ranks: !calc doc.platform.fcst_ranks + copy_glob: 'fcst*grid' + end_time: !calc fcst_len post: &post_action !Action <<: *parallel_unlimited_memory_action J_JOB: JPOST resources: - exe: !calc doc.executables.post - mpi_ranks: !calc doc.options.fcst_ranks + mpi_ranks: !calc doc.platform.fcst_ranks namelist: !expand | &settings @@ -122,12 +127,19 @@ post: &post_action !Action sleep_wait: 30 max_wait: 300 + FCST_LEN: !calc round(tools.as_seconds(fcst_len)//3600) + DT_WRITE: !calc round(tools.as_seconds(dt_write_fcst)//3600) + SLEEP_WAIT: !calc sleep_wait + MIN_SIZE: !calc min_size + MIN_AGE: !calc min_age + MAX_WAIT_STEPS: !calc "(max_wait+sleep_wait-1)//sleep_wait" + analysis: &analysis_action !Action <<: *parallel_unlimited_memory_action J_JOB: JANALYSIS resources: - exe: !calc doc.executables.analysis - mpi_ranks: !calc doc.options.fcst_ranks + mpi_ranks: !calc doc.platform.fcst_ranks member_input: member_%06d.grid fcst_len_int: !calc round(tools.as_seconds(fcst_len)/3600) guess_in: !expand "{prior_com}/fcst_{fcst_len_int:06d}.grid" diff --git a/examples/real-workflow/workflow/job_wrapper.sh b/examples/real-workflow/workflow/job_wrapper.sh index 1fa24fe..3967252 100755 --- a/examples/real-workflow/workflow/job_wrapper.sh +++ b/examples/real-workflow/workflow/job_wrapper.sh @@ -18,4 +18,8 @@ unset ulimit_s set -xue +export PYTHONPATH="$HOMEcrow${PYTHONPATH:+:$PYTHONPATH}" + +python3.6 -c 'import crow ; print(f"CROW library version {crow.version}")' + "$HOMEtest/jobs/$1" diff --git a/examples/real-workflow/workflow/options.yaml b/examples/real-workflow/workflow/options.yaml index e986d42..83cd31b 100644 --- a/examples/real-workflow/workflow/options.yaml +++ b/examples/real-workflow/workflow/options.yaml @@ -33,18 +33,13 @@ options: &options dt_rand_fcst: 500 dt_rand_ens_fcst: 100 - # Resource requirements for various jobs. Keep as - # platform.varname to use platform-specific defaults from - # platforms.yaml. - fcst_ranks: !calc doc.platform.default_fcst_ranks - init_ranks: !calc doc.platform.default_init_ranks - post_ranks: !calc doc.platform.default_post_ranks - ens_ranks: !calc doc.platform.default_ens_ranks - # Locations of CROW and this test suite. HOMEcrow: !calc tools.crow_install_dir() HOMEtest: !calc tools.crow_install_dir('examples/real-workflow') + # Not configurable yet; do not change. Number of ensemble members. + ens_members: 100 + # Executable locations. Defaults are the exec/ directory within the # real-workflow example. executables: diff --git a/examples/real-workflow/workflow/platform.yaml b/examples/real-workflow/workflow/platform.yaml index f34c834..17b1015 100644 --- a/examples/real-workflow/workflow/platform.yaml +++ b/examples/real-workflow/workflow/platform.yaml @@ -1,8 +1,8 @@ resource_defaults: &resource_defaults - default_fcst_ranks: 96 - default_init_ranks: 24 - default_ens_ranks: 24 - default_post_ranks: 2 + fcst_ranks: 96 + init_ranks: 24 + ens_ranks: 24 + post_ranks: 2 theia: &theia !Platform <<: *resource_defaults @@ -12,13 +12,13 @@ theia: &theia !Platform # Path to pan_df, the program used to get Panasas disk usage information: pan_df: pan_df serial_accounting: - queue: batch + queue: urgent project: !calc doc.options.cpu_project transfer_accounting: queue: service project: !calc doc.options.cpu_project parallel_accounting: - queue: batch + queue: urgent project: !calc doc.options.cpu_project scheduler: &theia_scheduler name: MoabTorque diff --git a/examples/real-workflow/workflow/runtime.yaml b/examples/real-workflow/workflow/runtime.yaml index 900c121..3da3013 100644 --- a/examples/real-workflow/workflow/runtime.yaml +++ b/examples/real-workflow/workflow/runtime.yaml @@ -1,3 +1,13 @@ runtime: &runtime com: !calc tools.env('COMINtest') prior_com: !calc tools.env('COMOLDtest') + +clock: &clock !Clock + start: 2017-08-15t00:00:00 + end: 2017-08-20t18:00:00 + step: !timedelta 06:00 + now: !FirstTrue + - do: !calc tools.from_YMDH(tools.env('YMDH')) + when: !calc tools.have_env('YMDH') + - do: null + when: true diff --git a/examples/real-workflow/workflow/setup_expt.py b/examples/real-workflow/workflow/setup_expt.py index 72acd89..d08fe42 100755 --- a/examples/real-workflow/workflow/setup_expt.py +++ b/examples/real-workflow/workflow/setup_expt.py @@ -11,7 +11,7 @@ conf=crow.config.from_file( 'platform.yaml','options.yaml','runtime.yaml', - 'actions.yaml','workflow.yaml' ) + 'actions.yaml','workflow.yaml') force = len(sys.argv)>1 and sys.argv[1] == '--force' @@ -23,9 +23,12 @@ run_dir=conf.options.run_dir logger.info(f'Run directory: {run_dir}') config_yaml=os.path.join(run_dir,'config.yaml') -logger.info(f'Config file: {config_yaml}') yaml=crow.config.to_yaml(conf) +assert('namelist' in conf.generic_fcst) +assert('namelist' in conf.fcst) +assert('namelist' in conf.ens_fcst) + try: os.makedirs(run_dir) except FileExistsError: @@ -36,6 +39,7 @@ logger.warning(f'--force given; will replace config.yaml without ' 'deleting directory') +logger.info(f'Write econfig file: {config_yaml}') with open(config_yaml,'wt') as fd: fd.write(yaml) diff --git a/examples/real-workflow/workflow/workflow.yaml b/examples/real-workflow/workflow/workflow.yaml index 3d8cd37..0b0aec5 100644 --- a/examples/real-workflow/workflow/workflow.yaml +++ b/examples/real-workflow/workflow/workflow.yaml @@ -1,87 +1,87 @@ +Rocoto: &Rocoto + scheduler: !calc doc.platform.scheduler + parallelism: !calc doc.platform.parallelism + run_dir: !calc doc.options.run_dir + + workflow_xml: !expand | + + + + + + + + + ]> + + &LOG_DIR;/rocoto_@Y@m@d@H.log + {to_rocoto.make_time_xml(indent=2)} + {to_rocoto.make_task_xml(indent=2)} + + + task_template: &task_template !expand | + $HOMEtest/workflow/job_wrapper.sh {Perform.J_JOB} + {task_path_str}@@Y@m@d@H + &LOG_DIR;/@Y@m@d@H/{tools.join(task_path_list,"-")}.log + {Perform.walltime} + {Perform.rocoto_memory} + {sched.rocoto_accounting(Perform.accounting)} + {sched.rocoto_resources(Perform.resources)} + + COM_DIR + &COM_DIR;/@Y@m@d@H + + + LOG_DIR + &LOG_DIR;/@Y@m@d@H + + + OLD_COM_DIR + &COM_DIR;/@Y@m@d@H + + + SCRUB_DIR + &SCRUB_DIR;/@Y@m@d@H + + + TASK_PATH + {task_path_str} + + + CONFIG_YAML + {doc.options.run_dir}/config.yaml + + + HOMEcrow + {doc.options.HOMEcrow} + + + HOMEtest + {doc.options.HOMEtest} + + + YMDH + @Y@m@d@H + + + ens_task_template: &ens_task_template !expand | + + ENS_START_MEMBER + {Perform.start_mem} + + + ENS_STOP_MEMBER + {Perform.stop_mem} + + workflow: !Cycle - Rocoto: - scheduler: !calc doc.platform.scheduler - parallelism: !calc doc.platform.parallelism - - run_dir: !calc doc.options.run_dir - workflow_xml: !expand | - - - - - - - - - ]> - - - &LOG_DIR;/rocoto_@Y@m@d@H.log - - {to_rocoto.make_time_xml(indent=2)} - {to_rocoto.make_task_xml(indent=2)} - - - task_template: &task_template !expand | - $HOMEtest/workflow/job_wrapper.sh {Perform.J_JOB} - {task_path_str}@@Y@m@d@H - &LOG_DIR;/@Y@m@d@H/{tools.join(task_path_list,"-")} - {Perform.walltime} - {Perform.rocoto_memory} - {sched.rocoto_accounting(Perform.accounting)} - {sched.rocoto_resources(Perform.resources)} - - COM_DIR - &COM_DIR;/@Y@m@d@H - - - OLD_COM_DIR - &COM_DIR;/@Y@m@d@H - - - SCRUB_DIR - &SCRUB_DIR;/@Y@m@d@H - - - TASK_PATH - {task_path_str} - - - CONFIG_YAML_TEMPLATE - {doc.options.run_dir}/config.yaml - - - CONFIG_YAML - &COM_DIR;/@Y@m@d@H/config.yaml - - - HOMEcrow - {doc.options.HOMEcrow} - - - HOMEtest - {doc.options.HOMEtest} - - - ens_task_template: !expand | - {suite.Rocoto.task_template} - - ENS_START_MEMBER - {Perform.start_mem} - - - ENS_STOP_MEMBER - {Perform.stop_mem} - - - Clock: !Clock - start: 2017-08-15t00:00:00 - end: 2017-08-20t18:00:00 - step: !timedelta 06:00 + Rocoto: *Rocoto + + Clock: *clock start_cycle: !Task Perform: *start_cycle_action @@ -98,42 +98,51 @@ workflow: !Cycle Complete: !Depend ~ suite.has_cycle('-6:00:00') ensemble: !Family - Trigger: !Depend up.start_cycle - + Trigger: !Depend ( analysis.at('-6:00:00') | up.clim_init.at('-6:00:00') ) & up.start_cycle ens_fcst_000_020: !Task Perform: <<: *ens_fcst_action start_mem: 0 stop_mem: 20 - Rocoto: *task_template + task_template: *task_template + ens_more: *ens_task_template + Rocoto: !expand "{task_template}{ens_more}" ens_fcst_021_040: !Task Perform: <<: *ens_fcst_action start_mem: 21 stop_mem: 40 - Rocoto: *task_template + task_template: *task_template + ens_more: *ens_task_template + Rocoto: !expand "{task_template}{ens_more}" ens_fcst_041_060: !Task Perform: <<: *ens_fcst_action start_mem: 41 stop_mem: 60 - Rocoto: *task_template + task_template: *task_template + ens_more: *ens_task_template + Rocoto: !expand "{task_template}{ens_more}" ens_fcst_061_080: !Task Perform: <<: *ens_fcst_action start_mem: 61 stop_mem: 80 - Rocoto: *task_template + task_template: *task_template + ens_more: *ens_task_template + Rocoto: !expand "{task_template}{ens_more}" ens_fcst_081_100: !Task Perform: <<: *ens_fcst_action start_mem: 81 stop_mem: 100 - Rocoto: *task_template + task_template: *task_template + ens_more: *ens_task_template + Rocoto: !expand "{task_template}{ens_more}" analysis: !Task Trigger: !Depend ensemble @@ -158,7 +167,7 @@ workflow: !Cycle post: !Task Perform: *post_action Rocoto: *task_template - Trigger: !Depend fcst.clim_fcst.is_running() | fcst.fcst.is_running() + Trigger: !Depend fcst.clim_fcst.is_running() | fcst.fcst.is_running() | fcst.clim_fcst | fcst.fcst final: !Task Perform: *final_action diff --git a/examples/to_sh/test.sh b/examples/to_sh/test.sh index cbc3ec9..0f0467c 100755 --- a/examples/to_sh/test.sh +++ b/examples/to_sh/test.sh @@ -60,7 +60,12 @@ echo " %.20f format: $LONG_PI" echo " NOT_FLOAT = 3 = $NOT_FLOAT" unset SHORT_PI LONG_PI -TO_SH test.yaml expand:./test.nml +eval $( TO_SH test.yaml scope:multi 'import:VAR[0-9]' ) +echo "VAR TEST:" +echo "$VAR1 $VAR2 $VAR3 ${VARNOPE:-}" +echo " = value1 value2 value3 " + +TO_SH test.yaml preprocess:./test.nml TO_SH test.yaml run:success_test diff --git a/examples/to_sh/test.yaml b/examples/to_sh/test.yaml index a6c2c57..159d38d 100644 --- a/examples/to_sh/test.yaml +++ b/examples/to_sh/test.yaml @@ -24,6 +24,12 @@ float: too_long: 3.141592653589793 not_float: 3 +multi: + VAR1: value1 + VAR2: value2 + VAR3: value3 + VARNOPE: valuenope + success_test: !ShellCommand command: 'python < "$INPUT_PY" ; rm -f "$INPUT_PY"' files: diff --git a/to_sh.py b/to_sh.py index 91ad2e3..4dede61 100755 --- a/to_sh.py +++ b/to_sh.py @@ -17,6 +17,8 @@ logging.basicConfig(level=logging.INFO,stream=sys.stderr) UNSET_VARIABLE=object() +SUCCESS=object() +FAILURE=object() class EpicFail(Exception): pass @@ -36,6 +38,7 @@ def __init__(self,quiet,args): self.export_vars=False self.have_expanded=False self.have_handled_vars=False + self.runner=None def set_bool_format(self,value): yes_no = value.split(',') @@ -45,11 +48,20 @@ def set_bool_format(self,value): self.true_string=yes_no[0] self.false_string=yes_no[1] + def set_runner(self,expr='doc.platform.parallelism'): + settings=self.eval_expr(expr) + self.runner=crow.sysenv.get_parallelism(settings.name,settings) + def run_expr(self,expr,check=False): cmd=self.eval_expr(expr) - sh=crow.sysenv.ShellCommand.from_object(cmd) - print(sh) - sh.run(check=check) + if hasattr(cmd,'index') and hasattr(cmd[0],'keys'): + # List of dicts, so it is an MPI command + if self.runner is None: self.set_runner() + self.runner.run(cmd,check=check) + else: + sh=crow.sysenv.ShellCommand.from_object(cmd) + print(sh) + sh.run(check=check) def eval_expr(self,expr): globals={} @@ -115,26 +127,32 @@ def read_files(self): self.scope = config self.done_with_files=True + def to_shell(self,var,value): + export='export ' if self.export_vars else '' + try: + if var is None: + return SUCCESS + value=str(str_to_posix_sh(value),'ascii') + if value is UNSET_VARIABLE: + return f'unset {var}' + else: + return f'{export}{var}={value}' + except ( NameError, AttributeError, LookupError, NameError, + ReferenceError, ValueError, TypeError, CROWException, + subprocess.CalledProcessError ) as ERR: + logger.error(f'{arg}: {ERR!s}',exc_info=not self.quiet) + return FAILURE + def process_args(self): results=list() - export='export ' if self.export_vars else '' fail=False for arg in self.args: - try: - var, value = self.process_arg(arg) - if var is None: - continue # no variable to set - value=str(str_to_posix_sh(value),'ascii') - if value is UNSET_VARIABLE: - results.append(f'unset {var}') - else: - results.append(f'{export}{var}={value}') - except ( NameError, AttributeError, LookupError, NameError, - ReferenceError, ValueError, TypeError, CROWException, - subprocess.CalledProcessError ) \ - as ERR: - fail=True - logger.error(f'{arg}: {ERR!s}',exc_info=not self.quiet) + for var, value in self.process_arg(arg): + result=self.to_shell(var,value) + if result is FAILURE: + fail=True + elif result is not SUCCESS: + results.append(result) if fail: raise EpicFail() return results @@ -155,39 +173,35 @@ def process_arg(self,arg): elif command=='float': self.set_float_format(value) elif command=='scope': self.set_scope(value) elif command=='null': self.set_null_string(value) + elif command=='runner': self.set_runner(value) elif command=='run_ignore': self.run_expr(value,False) elif command=='run': self.run_expr(value,True) elif command=='apply': self.exec_str(value) - elif command=='expand': + elif command=='import': + for k,v in self.import_all(value): + yield k,v + return + elif command=='expand' or command=='preprocess': if self.have_handled_vars: raise Exception(f'{arg}: cannot expand files and set ' 'variables in the same call.') - self.expand_file(value) self.have_expanded=True - return None,None + if command=='expand': + print(self.eval_expr(value)) + else: + self.expand_file(value) + return else: raise ValueError(f'{command}: not a valid command ' '(bool, int, float, scope, null)') - return None,None + yield None,None + return m=re.match('([A-Za-z_][a-zA-Z0-9_]*)=(.*)',arg) if m: - if self.have_expanded: - raise Exception(f'{arg}: cannot expand files and set variables' - 'in the same call.') - self.have_handled_vars=True - if not self.done_with_files: self.read_files() var,expr = m.groups() - result=self.eval_expr(expr) - formatted=self.format_object(result) - if formatted is NotImplemented: - raise TypeError( - f'cannot convert a {type(result).__name__} ' - 'to a shell expression.') - if formatted is UNSET_VARIABLE: - return 'unset '+var - return var, formatted - + yield self.express_var(var,expr) + return if self.done_with_files: raise ValueError('Do not understand arg: '+repr(arg)) @@ -197,7 +211,29 @@ def process_arg(self,arg): raise ValueError(f'{arg}: no such file') else: raise ValueError(f'{arg}: not a regular file') - return None,None + yield None,None + + def import_all(self,regex): + for key in self.scope.keys(): + if re.match(regex,key): + yield self.express_var(key,key) + + def express_var(self,var,expr): + if self.have_expanded: + raise Exception(f'{arg}: cannot expand files and set variables' + 'in the same call.') + self.have_handled_vars=True + if not self.done_with_files: self.read_files() + result=self.eval_expr(expr) + formatted=self.format_object(result) + if formatted is NotImplemented: + raise TypeError( + f'cannot convert a {type(result).__name__} ' + 'to a shell expression.') + if formatted is UNSET_VARIABLE: + return 'unset '+var + return var, formatted + ######################################################################## From 4efcb0ec10bb0576b9d27e2dc42b87685ba46695 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 15 Sep 2017 15:00:29 +0000 Subject: [PATCH 067/487] The real-workflow example now works, so I deleted the workflow example --- crow/config/tasks.py | 1 + crow/metascheduler/rocoto.py | 6 +- examples/real-workflow/scripts/expost.sh | 4 + examples/real-workflow/workflow/actions.yaml | 1 + examples/real-workflow/workflow/workflow.yaml | 11 +- examples/toy-yaml/test.yml | 4 +- examples/workflow/actions.yml | 73 ----------- examples/workflow/example.py | 11 -- examples/workflow/platform.yml | 41 ------- examples/workflow/templates.yml | 31 ----- examples/workflow/workflow.yml | 113 ------------------ 11 files changed, 19 insertions(+), 277 deletions(-) delete mode 100644 examples/workflow/actions.yml delete mode 100755 examples/workflow/example.py delete mode 100644 examples/workflow/platform.yml delete mode 100644 examples/workflow/templates.yml delete mode 100644 examples/workflow/workflow.yml diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 8ba7258..d61ae94 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -57,6 +57,7 @@ def __init__(self,suite,viewed,path,parent): self.viewed=copy(viewed) self.viewed.task_path_list=path[1:] self.viewed.task_path_str='/'+'/'.join(path[1:]) + self.viewed.task_path_var='.'.join(path[1:]) self.viewed.up=parent self.path=SuitePath(path) self.parent=parent diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index c4c7957..86d094d 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -170,10 +170,12 @@ def convert_task(self,fd,indent,view,trigger,complete,time): dep_count = int(trigger is not TRUE_DEPENDENCY) + \ int(time>timedelta.min) - self.write_task_text(fd,'',indent,view,dep_count,dep,time) + maxtries=int(view.get('max_tries',self.suite.Rocoto.get('max_tries',0))) + attr = f' maxtries="{maxtries}"' if maxtries else '' + self.write_task_text(fd,attr,indent,view,dep_count,dep,time) def write_task_text(self,fd,attr,indent,view,dep_count,trigger,time): - path='-'.join(view.path[1:]) + path='.'.join(view.path[1:]) indent1=indent+1 space=self.__spacing fd.write(f'{space*indent}\n') diff --git a/examples/real-workflow/scripts/expost.sh b/examples/real-workflow/scripts/expost.sh index b0e3cee..5af75a1 100755 --- a/examples/real-workflow/scripts/expost.sh +++ b/examples/real-workflow/scripts/expost.sh @@ -2,6 +2,7 @@ set -xue +# Load all capitalized variables from the post configuration: eval $( $CROW_TO_SH scope:post import:"[A-Z][A-Z_]+" ) FHR=0 @@ -17,9 +18,12 @@ while [[ "$FHR" -le "$FCST_LEN" ]] ; do $USHtest/wait_for_file.sh "$INFILE" "$MIN_SIZE" "$MIN_AGE" \ "$SLEEP_WAIT" "$MAX_WAIT_STEPS" + cp -fp "$INFILE" . $TO_SH_FHR expand:namelist > post.nl $TO_SH_FHR run:resources > outfile cp -fp outfile "$OUTFILE" + + FHR=$(( FHR + FCST_FREQ_HRS )) done diff --git a/examples/real-workflow/workflow/actions.yaml b/examples/real-workflow/workflow/actions.yaml index fd9ef3d..5a4121a 100644 --- a/examples/real-workflow/workflow/actions.yaml +++ b/examples/real-workflow/workflow/actions.yaml @@ -127,6 +127,7 @@ post: &post_action !Action sleep_wait: 30 max_wait: 300 + FCST_FREQ_HRS: !calc round(tools.as_seconds(dt_write_fcst)//3600) FCST_LEN: !calc round(tools.as_seconds(fcst_len)//3600) DT_WRITE: !calc round(tools.as_seconds(dt_write_fcst)//3600) SLEEP_WAIT: !calc sleep_wait diff --git a/examples/real-workflow/workflow/workflow.yaml b/examples/real-workflow/workflow/workflow.yaml index 0b0aec5..98ad6c8 100644 --- a/examples/real-workflow/workflow/workflow.yaml +++ b/examples/real-workflow/workflow/workflow.yaml @@ -2,6 +2,7 @@ Rocoto: &Rocoto scheduler: !calc doc.platform.scheduler parallelism: !calc doc.platform.parallelism run_dir: !calc doc.options.run_dir + max_tries: 3 workflow_xml: !expand | @@ -18,15 +19,15 @@ Rocoto: &Rocoto cyclethrottle="&CYCLE_THROTTLE;" scheduler="{sched.rocoto_name.lower()}" taskthrottle="&TASK_THROTTLE;" > - &LOG_DIR;/rocoto_@Y@m@d@H.log - {to_rocoto.make_time_xml(indent=2)} - {to_rocoto.make_task_xml(indent=2)} + &LOG_DIR;/rocoto_@Y@m@d@H.log + {to_rocoto.make_time_xml(indent=1)} + {to_rocoto.make_task_xml(indent=1)} task_template: &task_template !expand | $HOMEtest/workflow/job_wrapper.sh {Perform.J_JOB} {task_path_str}@@Y@m@d@H - &LOG_DIR;/@Y@m@d@H/{tools.join(task_path_list,"-")}.log + &LOG_DIR;/@Y@m@d@H/{task_path_var}.log {Perform.walltime} {Perform.rocoto_memory} {sched.rocoto_accounting(Perform.accounting)} @@ -86,6 +87,7 @@ workflow: !Cycle start_cycle: !Task Perform: *start_cycle_action Rocoto: *task_template + max_tries: 5 clim_init: !Task Perform: *clim_init_action @@ -151,6 +153,7 @@ workflow: !Cycle fcst: !Family # Special case for first cycle: forecast off of climatology: + max_tries: 2 clim_fcst: !Task Perform: *clim_fcst_action Rocoto: *task_template diff --git a/examples/toy-yaml/test.yml b/examples/toy-yaml/test.yml index ab3e43b..4210ddb 100644 --- a/examples/toy-yaml/test.yml +++ b/examples/toy-yaml/test.yml @@ -59,7 +59,7 @@ test_base: &test_base # They can also refer to the results of other !calc expressions. # Infinite recursion is automatically detected by Python. - fYMDH: !calc tools.YMDH(fcsttime) + fYMDH: !calc tools.to_YMDH(fcsttime) expandme: !Eval result: !calc "', '.join(lst)" @@ -69,4 +69,4 @@ test_base: &test_base - ghi test: - <<: *test_base \ No newline at end of file + <<: *test_base diff --git a/examples/workflow/actions.yml b/examples/workflow/actions.yml deleted file mode 100644 index ba207f8..0000000 --- a/examples/workflow/actions.yml +++ /dev/null @@ -1,73 +0,0 @@ - -fcst: &fcst !Action - Template: *fcst_vars - do_vort_damp: true - consv_te: 0. - fv_sg_adj: 900 - dspheat: false - shal_cnv: true - agrid_vel_rst: true - master_grid: "0p5deg" - TYPE: hydro - MONO: mono - hydro_mono: !expand '{TYPE}_{MONO}' - some_array: [ 1, 2, 3, 4, 5 ] - - some_namelist: !expand | - &some_namelist - some_array = {tools.fort(some_array)} - type = {TYPE} - mono = {MONO} - shal_cnv = {tools.fort(shal_cnv)} - agrid_vel_rst= {tools.fort(agrid_vel_rst)} - / - - a: !calc 5 + 5 - b: !calc a*2 - c: !calc fv_sg_adj+b - d: !FirstMax - - when: a - do: !calc 10*a - - when: b - do: !calc 10*b - - when: c - do: !calc 10*c - resources: - - mpi_ranks: 192 - threads: 4 - -gfsprep: &gfsprep !Action - Template: *fcst_vars - anothervar: anothervalue - resources: - - mpi_ranks: 2 - OMP_NUM_THREADS: 4 - -gfsanl: &gfsanl !Action - Template: *fcst_vars - anothervar: anothervalue - resources: - - mpi_ranks: 64 - OMP_NUM_THREADS: 4 - -gdasenkf: &gdasenkf !Action - Template: *fcst_vars - somevar: somevalue - resources: - - mpi_ranks: 12 - OMP_NUM_THREADS: 1 - -gfspost: &gfspost !Action - Template: *fcst_vars - somevar: somevalue - resources: - - mpi_ranks: 12 - OMP_NUM_THREADS: 1 - -gfsfcst: &gfsfcst !Action - <<: *fcst - stuff: [ !calc a+b , !calc 2*2 ] - DIAG_TABLE: !calc doc.platform.BASE_GFS + "/parm/parm_fv3diag/diag_table" - resources: - - mpi_ranks: 192 - OMP_NUM_THREADS: 4 diff --git a/examples/workflow/example.py b/examples/workflow/example.py deleted file mode 100755 index efdef54..0000000 --- a/examples/workflow/example.py +++ /dev/null @@ -1,11 +0,0 @@ -#! /usr/bin/env python3.6 - -import sys -from datetime import timedelta -import crow.config -import crow.metascheduler - -config=crow.config.from_file( - 'platform.yml','templates.yml','actions.yml','workflow.yml') - -print(crow.metascheduler.to_rocoto(config.my_fancy_workflow)) diff --git a/examples/workflow/platform.yml b/examples/workflow/platform.yml deleted file mode 100644 index 78b0d00..0000000 --- a/examples/workflow/platform.yml +++ /dev/null @@ -1,41 +0,0 @@ -theia: &theia !Platform - queue: batch - machine: THEIA - ACCOUNT: fv3-cpu - QUEUE: batch - QUEUE_ARCH: service - HPSS_PROJECT: emc-global - BASE_SVN: /scratch4/NCEPDEV/global/save/glopara/svn - BASE_GFS: /scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17/global_shared.v14.1.0 - detect: !calc tools.isdir('/scratch4') and tools.isdir('/scratch3') - scrub: !FirstMax - - do: /scratch3/NCEPDEV/stmp1 - when: !calc tools.panasas_gb(do) - - do: /scratch3/NCEPDEV/stmp2 - when: !calc tools.panasas_gb(do) - - do: /scratch4/NCEPDEV/stmp3 - when: !calc tools.panasas_gb(do) - - do: /scratch4/NCEPDEV/stmp4 - when: !calc tools.panasas_gb(do) - -wcoss_cray: &wcoss_cray !Platform - queue: dev - machine: THEIA - ACCOUNT: fv3-cpu - QUEUE: batch - QUEUE_ARCH: service - HPSS_PROJECT: emc-global - BASE_SVN: /scratch4/NCEPDEV/global/save/glopara/svn - BASE_GFS: /scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17/global_shared.v14.1.0 - scrub: !FirstMax - - do: /gpfs/hps2/ptmp - when: !calc tools.gpfs_gb(do,'hps2-ptmp','hps2') - - do: /gpfs/hps3/ptmp - when: !calc tools.gpfs_gb(do,'hps3-ptmp','hps3') - detect: !calc tools.isdir('/gpfs/hps') and tools.isfile('/etc/SuSE-release') - -platform: !FirstTrue - - do: *wcoss_cray - when: !calc do.detect - - do: *theia - when: !calc do.detect diff --git a/examples/workflow/templates.yml b/examples/workflow/templates.yml deleted file mode 100644 index b243df2..0000000 --- a/examples/workflow/templates.yml +++ /dev/null @@ -1,31 +0,0 @@ - -more_vars: &more_vars !Template - b: - type: int - description: 'Sample integer b' - dog: - type: string - default: 'brown' - -fcst_vars: &fcst_vars !Template - do_vort_damp: - type: bool - a: - type: int - allowed: [ 10, 20, 30 ] - description: "Sample integer a" -# if_present is not useful. We need to condition on the value. - if_present: !FirstTrue - - do: *more_vars - when: !calc a==10 - cow: - type: string - allowed: [ brown, black, white, red, blue ] - description: "Color of a cow" - default: blue - lencow: - type: int - description: "Length of the cow" - default: !calc len(cow) - some_array: - type: int list diff --git a/examples/workflow/workflow.yml b/examples/workflow/workflow.yml deleted file mode 100644 index 11e2d91..0000000 --- a/examples/workflow/workflow.yml +++ /dev/null @@ -1,113 +0,0 @@ - -# NOTE: Inside of a Suite (config.tasks.Suite), there are two new global -# variables defined: -# suite - the SuiteView of the !Cycle (my_fancy_workflow) -# sched - the scheduler. In this case, crow.metascheduler.MoabTorque.Scheduler - -my_fancy_workflow: !Cycle - Rocoto: - scheduler: &sched - name: MoabTorque - physical_cores_per_node: 24 - logical_cpus_per_core: 2 - hyperthreading_allowed: true - indent_text: " " - - parallelism: - <<: *sched - name: HydraIMPI - - accounting: - account: fv3-cpu - queue: batch - - # NOTE: Partition should not be specified on Theia. - # This is just to test "partition" generation: - partition: delete-me - - serial_accounting: - account: fv3-cpu - queue: service - - indent_text: " " - workflow_install: /tmp - workflow_xml: !expand | - - - ]> - - - &WORKFLOW_INSTALL;/logs/@Y@m@d@H.log - - {to_rocoto.make_time_xml(indent=2)} - {to_rocoto.make_task_xml(indent=2)} - - - mpi_task_template: &my_task_template !expand | - /bin/true - {sched.rocoto_accounting(suite.Rocoto.accounting)} - {sched.rocoto_resources(Perform.resources)} - - mpi_enkf_template: &my_enkf_template !expand | - echo member {imem} - {sched.rocoto_accounting(suite.Rocoto.enkf_accounting)} - {sched.rocoto_resources(Perform.resources)} - - Clock: !Clock - start: 2017-02-14t18:00:00 - end: 2017-02-19t12:00:00 - step: !timedelta 06:00 - - gdas: !Family - prep: !Task - Complete: !Depend ~ suite.has_cycle('-6:00') - Perform: *gfsprep - Rocoto: *my_task_template - # Note: TaskArray does not exist yet, so do not use it. - # enkf: !TaskArray - # Index: imem - # Values: !calc tools.seq(1,80) ] - # Name: !expand mem{imem:03d} - # emem: !Task - # Perform: *gdasenkf - # Rocoto: *my_enkf_template - # Trigger: !anal - anal: !Task - Perform: *gfsanl - Trigger: !Depend suite.has_cycle('-6:00') | ~ prep.at('-6:00') - Rocoto: *my_task_template - fcst: !Task - Perform: *gfsfcst - Trigger: !Depend anal - Time: !timedelta 3:15 - Rocoto: *my_task_template - post: !Task - Perform: *gfspost - Trigger: !Depend fcst.is_running() - Rocoto: *my_task_template - - gfs: !Family - Trigger: !Depend gdas - prep: !Task - Perform: *gfsprep - Rocoto: *my_task_template - anal: !Task - Perform: *gfsanl - Trigger: !Depend suite.has_cycle('-6:00') | ~ prep.at('-6:00') - Rocoto: *my_task_template - fcst: !Task - Perform: *gfsfcst - Trigger: !Depend anal - Time: !timedelta 3:15 - Rocoto: *my_task_template - post: !Task - Perform: *gfspost - Trigger: !Depend fcst.is_running() - Rocoto: *my_task_template - final: !Task - Rocoto: !expand | - /bin/true - {sched.rocoto_accounting(suite.Rocoto.serial_accounting)} - {sched.rocoto_resources( [{}] )} From ee4ac8a1d0bf97df3700fc298915baefa3a1fb94 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 15 Sep 2017 15:19:43 +0000 Subject: [PATCH 068/487] Make directories for exec & objects --- examples/real-workflow/sorc/Makefile | 8 ++++++++ 1 file changed, 8 insertions(+) diff --git a/examples/real-workflow/sorc/Makefile b/examples/real-workflow/sorc/Makefile index f7ed866..6fd482f 100644 --- a/examples/real-workflow/sorc/Makefile +++ b/examples/real-workflow/sorc/Makefile @@ -34,6 +34,8 @@ exes: $(EXES) ######################################################################## build_serial: + mkdir -p serial && \ + mkdir -p ../exec/serial && \ cd serial && \ make -f ../Makefile \ SM_CC="$(SM_CC)" DM_CC="$(SM_CC)" DM_FC="$(SM_FC)" \ @@ -43,6 +45,8 @@ build_serial: DIR=../../exec/serial exes build_openmp: + mkdir -p openmp \ + mkdir -p ../exec/openmp && \ cd openmp && \ make -f ../Makefile \ SM_CC="$(SM_CC)" DM_CC="$(SM_CC)" DM_FC="$(SM_FC)" \ @@ -52,6 +56,8 @@ build_openmp: DIR=../../exec/openmp exes build_mpi: + mkdir -p mpi && \ + mkdir -p ../exec/mpi && \ cd mpi && \ make -f ../Makefile \ SM_CC="$(SM_CC)" DM_CC="$(DM_CC)" DM_FC="$(DM_FC)" \ @@ -61,6 +67,8 @@ build_mpi: DIR=../../exec/mpi exes build_mpi_openmp: + mkdir -p mpi-openmp \ + mkdir -p ../exec/mpi-openmp && \ cd mpi-openmp && \ make -f ../Makefile \ SM_CC="$(SM_CC)" DM_CC="$(DM_CC)" DM_FC="$(DM_FC)" \ From cc10317cc72ac9dbc74eb3d9ab792fe0d2d64209 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 15 Sep 2017 15:21:36 +0000 Subject: [PATCH 069/487] change default experiment name to "expt" --- examples/real-workflow/workflow/options.yaml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/examples/real-workflow/workflow/options.yaml b/examples/real-workflow/workflow/options.yaml index 83cd31b..0d9f9f2 100644 --- a/examples/real-workflow/workflow/options.yaml +++ b/examples/real-workflow/workflow/options.yaml @@ -4,7 +4,7 @@ options: &options ny: 400 # User-defined experiment name; should be a-z followed by alphanumeric: - experiment_name: test + experiment_name: expt # Scrub area. Default: auto-detect least used scrub space. scrub_space: !calc doc.platform.least_used_scrub From 77d177c9b230607bb97ddeb656ad1bc66abb18ed Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 15 Sep 2017 15:29:03 +0000 Subject: [PATCH 070/487] bug fix: inconsistency between task path separator (- vs .) in rocoto xml generation --- crow/metascheduler/rocoto.py | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index 86d094d..bef8da3 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -52,7 +52,7 @@ def to_rocoto_dep(dep,fd,indent): for d in dep: to_rocoto_dep(d,fd,indent+1) fd.write(f'{" "*indent}\n') elif isinstance(dep,StateDependency): - path='-'.join(dep.path[1:]) + path='.'.join(dep.path[1:]) more='' if dep.path[0]!=ZERO_DT: more=f' cycle_offset="{cycle_offset(dep.path[0])}"' @@ -133,7 +133,7 @@ def convert_family(self,fd,indent,view,trigger,complete,time): self.__dummy_var_count+=1 dummy_var="dummy_var_"+str(self.__dummy_var_count) - path=xml_quote('-'.join(view.path[1:])) + path=xml_quote('.'.join(view.path[1:])) if not isinstance(view,Suite): fd.write(f'''{space*indent} {space*indent} DUMMY_VALUE From 8f512260318a4d2fa58499dbeee765df5eedf44d Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 15 Sep 2017 15:30:22 +0000 Subject: [PATCH 071/487] instructions for real-workflow --- examples/real-workflow/workflow/README.txt | 27 ++++++++++++++++++++++ 1 file changed, 27 insertions(+) create mode 100644 examples/real-workflow/workflow/README.txt diff --git a/examples/real-workflow/workflow/README.txt b/examples/real-workflow/workflow/README.txt new file mode 100644 index 0000000..4cf5263 --- /dev/null +++ b/examples/real-workflow/workflow/README.txt @@ -0,0 +1,27 @@ +This is an actual workflow driven by CROW. + +Steps to run: + +you@theia> cd sorc +you@thiea> make theia-impi +you@theia> cd ../workflow +you@theia> /usr/bin/env PYTHONPATH=../../.. ./setup_expt.py +setup_expt:18: INFO: Remove platforms from configuration. +setup_expt:24: INFO: Run directory: /scratch4/NCEPDEV/stmp3/Samuel.Trahan/expt +setup_expt:42: INFO: Write econfig file: /scratch4/NCEPDEV/stmp3/Samuel.Trahan/expt/config.yaml +setup_expt:49: INFO: Experiment name: expt +setup_expt:53: INFO: Rocoto XML file: /scratch4/NCEPDEV/stmp3/Samuel.Trahan/expt/expt.xml +setup_expt:56: INFO: Workflow XML file is generated. +setup_expt:57: INFO: Use Rocoto to execute this workflow. + +Notice this path: + +Rocoto XML file: /scratch4/NCEPDEV/stmp3/Samuel.Trahan/expt/expt.xml + +You need to run the Rocoto workflow that resides in that directory. + +you@theia> cd /scratch4/NCEPDEV/stmp3/Samuel.Trahan/expt/ +you@theia> module load rocoto +you@theia> rocotorun -w expt.xml -d expt.db --verbose 10 # repeat until complete (or bored) + + From ad718deb800fcc1c4a9056a92abceb0e668ce836 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 15 Sep 2017 15:31:07 +0000 Subject: [PATCH 072/487] move README.txt up one directory --- examples/real-workflow/{workflow => }/README.txt | 0 1 file changed, 0 insertions(+), 0 deletions(-) rename examples/real-workflow/{workflow => }/README.txt (100%) diff --git a/examples/real-workflow/workflow/README.txt b/examples/real-workflow/README.txt similarity index 100% rename from examples/real-workflow/workflow/README.txt rename to examples/real-workflow/README.txt From 478f6985a3e727e2b7d4a0f98d1f2c5112866980 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 15 Sep 2017 15:40:32 +0000 Subject: [PATCH 073/487] remove some debug statements from exfcst.py --- examples/real-workflow/scripts/exfcst.py | 5 ----- 1 file changed, 5 deletions(-) diff --git a/examples/real-workflow/scripts/exfcst.py b/examples/real-workflow/scripts/exfcst.py index d30396d..aefbbf7 100755 --- a/examples/real-workflow/scripts/exfcst.py +++ b/examples/real-workflow/scripts/exfcst.py @@ -27,7 +27,6 @@ def main(): scope=conf[scope_name] def run_fcst(action): - print(list(action.keys())) namelist=action.namelist with open('forecast.nl','wt') as fd: fd.write(namelist) @@ -39,14 +38,10 @@ def run_fcst(action): logger.info(f'Run ensemble members {start_member} to {stop_member}') member_id=start_member while member_id<=stop_member: - assert('ens_com_filename' in scope) fcst=copy(scope) - assert('ens_com_filename' in fcst) fcst.member_id=member_id logger.info(f'Member {fcst.member_id}') - print(list(fcst.keys())) run_fcst(fcst) - assert('ens_com_filename' in fcst) result=fcst.ens_output comfile=os.path.join(fcst.com,fcst.ens_com_filename) shutil.copy2(result,comfile) From 30a967d2531d930d5f692560301cccf3d92a2768 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 15 Sep 2017 15:48:48 +0000 Subject: [PATCH 074/487] bug fix to real-workflow: forecast starts at time 0 --- examples/real-workflow/workflow/actions.yaml | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/examples/real-workflow/workflow/actions.yaml b/examples/real-workflow/workflow/actions.yaml index 5a4121a..26a3ccc 100644 --- a/examples/real-workflow/workflow/actions.yaml +++ b/examples/real-workflow/workflow/actions.yaml @@ -23,7 +23,7 @@ generic_fcst: &generic_fcst_action dt_write: !calc dt_write_fcst infile: !expand "{com}/analysis.grid" outfile_format: "fcst_######.grid" - start_time: !calc doc.clock.now-doc.clock.start + start_time: !timedelta 0:00 namelist: !expand | &settings nx={nx} @@ -89,7 +89,7 @@ ens_fcst: &ens_fcst_action !Action ens_output: !expand "output_{ens_output_num:06d}.grid" ens_com_filename: !expand "member_{member_id:06d}.grid" outfile_format: "output_######.grid" - end_time: !calc doc.clock.next(1)-doc.clock.start + end_time: !calc doc.clock.next(1)-doc.clock.now fcst: &fcst_action !Action <<: *generic_fcst_action From 7e101aa01682a82bece7f023c32da588318c1867 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 15 Sep 2017 15:50:12 +0000 Subject: [PATCH 075/487] switch to batch queue instead of urgent --- examples/real-workflow/workflow/platform.yaml | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/examples/real-workflow/workflow/platform.yaml b/examples/real-workflow/workflow/platform.yaml index 17b1015..4224116 100644 --- a/examples/real-workflow/workflow/platform.yaml +++ b/examples/real-workflow/workflow/platform.yaml @@ -12,13 +12,13 @@ theia: &theia !Platform # Path to pan_df, the program used to get Panasas disk usage information: pan_df: pan_df serial_accounting: - queue: urgent + queue: batch project: !calc doc.options.cpu_project transfer_accounting: queue: service project: !calc doc.options.cpu_project parallel_accounting: - queue: urgent + queue: batch project: !calc doc.options.cpu_project scheduler: &theia_scheduler name: MoabTorque From 61493a3ead9ee3fe5353ff65f3925e4d79231c18 Mon Sep 17 00:00:00 2001 From: "Bin.Liu" Date: Fri, 15 Sep 2017 19:57:11 +0000 Subject: [PATCH 076/487] Update README.txt Enable module commands in job_wrapper.sh ../sorc/Makefile Fix a typo in setup_expt.py (Sam and Bin) --- examples/real-workflow/README.txt | 4 +++- examples/real-workflow/sorc/Makefile | 2 ++ examples/real-workflow/workflow/job_wrapper.sh | 2 ++ examples/real-workflow/workflow/setup_expt.py | 2 +- 4 files changed, 8 insertions(+), 2 deletions(-) diff --git a/examples/real-workflow/README.txt b/examples/real-workflow/README.txt index 4cf5263..e7436fe 100644 --- a/examples/real-workflow/README.txt +++ b/examples/real-workflow/README.txt @@ -1,10 +1,12 @@ -This is an actual workflow driven by CROW. +BThis is an actual workflow driven by CROW. Steps to run: you@theia> cd sorc you@thiea> make theia-impi you@theia> cd ../workflow +you@theia> module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/python/modulefiles/ +you@theia> module load python/3.6.1-emc you@theia> /usr/bin/env PYTHONPATH=../../.. ./setup_expt.py setup_expt:18: INFO: Remove platforms from configuration. setup_expt:24: INFO: Run directory: /scratch4/NCEPDEV/stmp3/Samuel.Trahan/expt diff --git a/examples/real-workflow/sorc/Makefile b/examples/real-workflow/sorc/Makefile index 6fd482f..ddd087b 100644 --- a/examples/real-workflow/sorc/Makefile +++ b/examples/real-workflow/sorc/Makefile @@ -1,3 +1,4 @@ +SHELL=/bin/sh EXES=$(DIR)/forecast $(DIR)/climatology_init $(DIR)/assimilate \ $(DIR)/post @@ -8,6 +9,7 @@ default: @echo make theia-impi theia-impi: + source /apps/lmod/lmod/init/sh ; \ module purge ; \ module load intel impi ; \ module list ; \ diff --git a/examples/real-workflow/workflow/job_wrapper.sh b/examples/real-workflow/workflow/job_wrapper.sh index 3967252..43e46f0 100755 --- a/examples/real-workflow/workflow/job_wrapper.sh +++ b/examples/real-workflow/workflow/job_wrapper.sh @@ -5,6 +5,8 @@ ulimit_s=$( ulimit -S -s ) ulimit -S -s 10000 +source /apps/lmod/lmod/init/sh + # FIXME: Remove hard-coded modules. module purge module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/python/modulefiles/ diff --git a/examples/real-workflow/workflow/setup_expt.py b/examples/real-workflow/workflow/setup_expt.py index d08fe42..bcdf554 100755 --- a/examples/real-workflow/workflow/setup_expt.py +++ b/examples/real-workflow/workflow/setup_expt.py @@ -39,7 +39,7 @@ logger.warning(f'--force given; will replace config.yaml without ' 'deleting directory') -logger.info(f'Write econfig file: {config_yaml}') +logger.info(f'Write the config file: {config_yaml}') with open(config_yaml,'wt') as fd: fd.write(yaml) From e7d26e430876d324afa3aed6823ee8f1f5eb5dd9 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 18 Sep 2017 16:43:42 +0000 Subject: [PATCH 077/487] add boolean algebra simplification to the rocoto dependency generator --- crow/config/tasks.py | 99 +++++++++++++++++++------ crow/metascheduler/rocoto.py | 2 + crow/metascheduler/simplify.py | 128 +++++++++++++++++++++++++++++++++ 3 files changed, 208 insertions(+), 21 deletions(-) create mode 100644 crow/metascheduler/simplify.py diff --git a/crow/config/tasks.py b/crow/config/tasks.py index d61ae94..dbc1e1e 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -9,13 +9,16 @@ - inheritance """ +from functools import reduce +import operator from datetime import timedelta +from abc import abstractmethod from collections import namedtuple, OrderedDict, Sequence from collections.abc import Mapping from copy import copy, deepcopy from crow.config.exceptions import * from crow.config.eval_tools import dict_eval, strcalc, multidict -from crow.tools import to_timedelta +from crow.tools import to_timedelta, typecheck __all__=[ 'SuiteView', 'Suite', 'Depend', 'LogicalDependency', 'AndDependency', 'OrDependency', 'NotDependency', @@ -210,6 +213,8 @@ def as_dependency(obj,path=MISSING,state=COMPLETED): return NotImplemented class LogicalDependency(object): + def __invert__(self): return NotDependency(self) + def __contains__(self,dep): return False def __and__(self,other): if other is FALSE_DEPENDENCY: return other if other is TRUE_DEPENDENCY: return self @@ -222,13 +227,21 @@ def __or__(self,other): dep=as_dependency(other) if dep is NotImplemented: raise TypeError(other) return OrDependency(self,dep) - def __invert__(self): - return NotDependency(self) + @abstractmethod + def copy_dependencies(self): pass class AndDependency(LogicalDependency): def __init__(self,*args): + if not args: raise ValueError('Tried to create an empty AndDependency') self.depends=list(args) - assert(self.depends) + for dep in self.depends: + typecheck('Dependencies',dep,LogicalDependency) + def __len__(self): return len(self.depends) + def __str__(self): return '( '+' & '.join([str(r) for r in self])+' )' + def __repr__(self): return f'AndDependency({repr(self.depends)})' + def __hash__(self): return reduce(operator.xor,[hash(d) for d in self]) + def __contains__(self,dep): + return dep in self.depends def __and__(self,other): if other is TRUE_DEPENDENCY: return self if other is FALSE_DEPENDENCY: return other @@ -240,13 +253,23 @@ def __and__(self,other): def __iter__(self): for dep in self.depends: yield dep - def __repr__(self): - return f'and({repr(self.depends)})' + def __eq__(self,other): + return isinstance(other,AndDependency) and self.depends==other.depends + def copy_dependencies(self): + return AndDependency(*[ dep.copy_dependencies() for dep in self ]) class OrDependency(LogicalDependency): def __init__(self,*args): + if not args: raise ValueError('Tried to create an empty OrDependency') self.depends=list(args) - assert(self.depends) + for dep in self.depends: + typecheck('A dependency',dep,LogicalDependency) + def __str__(self): return '( '+' | '.join([str(r) for r in self])+' )' + def __repr__(self): return f'OrDependency({repr(self.depends)})' + def __len__(self): return len(self.depends) + def __hash__(self): return reduce(operator.xor,[hash(d) for d in self]) + def __contains__(self,dep): + return dep in self.depends def __or__(self,other): if other is FALSE_DEPENDENCY: return self if other is TRUE_DEPENDENCY: return other @@ -258,35 +281,53 @@ def __or__(self,other): def __iter__(self): for dep in self.depends: yield dep - def __repr__(self): - return f'or({repr(self.depends)})' + def __eq__(self,other): + return isinstance(other,OrDependency) and self.depends==other.depends + def copy_dependencies(self): + return OrDependency(*[ dep.copy_dependencies() for dep in self ]) class NotDependency(LogicalDependency): def __init__(self,depend): + typecheck('A dependency',depend,LogicalDependency) self.depend=depend - def __invert__(self): - return self.depend - def __repr__(self): - return f'not({repr(self.depend)})' - def __iter__(self): yield self.depend + def __invert__(self): return self.depend + def __str__(self): return f'~ {self.depend}' + def __repr__(self): return f'NotDependency({repr(self.depend)})' + def __iter__(self): yield self.depend + def __hash__(self): return hash(self.depend) + def __contains__(self,dep): return self.depend==dep + def __eq__(self,other): + return isinstance(other,NotDependency) and self.depend==other.depend + def copy_dependencies(self): + return NotDependency(self.depend.copy_dependencies()) class CycleExistsDependency(LogicalDependency): def __init__(self,dt): self.dt=dt def __repr__(self): - return f'cycle_exists({repr(self.dt)})' + return f'cycle_exists({self.dt})' + def __eq__(self,other): + return isinstance(other,CycleExistsDependency) and self.dt==other.dt + def __hash__(self): return hash(self.dt) + def copy_dependencies(self): + return CycleExistsDependency(self.dt) class StateDependency(LogicalDependency): def __init__(self,view,state): self.view=view self.state=state - def __repr__(self): - return f'state({self.state},{repr(self.view.path)})' @property - def path(self): - return self.view.path - def is_task(self): - return self.view.is_task() + def path(self): return self.view.path + def is_task(self): return self.view.is_task() + def __hash__(self): return hash(self.view.path)^hash(self.state) + def copy_dependencies(self): return StateDependency(self.view,self.state) + def __repr__(self): + return f'/{"/".join([str(s) for s in self.view.path])}'\ + f'= {self.state}' + def __eq__(self,other): + return isinstance(other,StateDependency) \ + and other.state==self.state \ + and other.view.path==self.view.path class TrueDependency(LogicalDependency): def __and__(self,other): @@ -295,6 +336,14 @@ def __or__(self,other): return self def __invert__(self): return FALSE_DEPENDENCY + def __eq__(self,other): + return isinstance(other,TrueDependency) + def __hash__(self): return 1 + def __copy__(self): return TRUE_DEPENDENCY + def __deepcopy__(self): return TRUE_DEPENDENCY + def copy_dependencies(self): return TRUE_DEPENDENCY + def __repr__(self): return 'TRUE_DEPENDENCY' + def __str__(self): return 'TRUE' class FalseDependency(LogicalDependency): def __and__(self,other): @@ -303,6 +352,14 @@ def __or__(self,other): return other def __invert__(self): return TRUE_DEPENDENCY + def __eq__(self,other): + return isinstance(other,FalseDependency) + def __hash__(self): return 0 + def __copy__(self): return FALSE_DEPENDENCY + def __deepcopy__(self): return FALSE_DEPENDENCY + def copy_dependencies(self): return FALSE_DEPENDENCY + def __repr__(self): return 'FALSE_DEPENDENCY' + def __str__(self): return 'FALSE' TRUE_DEPENDENCY=TrueDependency() FALSE_DEPENDENCY=FalseDependency() diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index bef8da3..bd75858 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -11,6 +11,7 @@ Family, Cycle, RUNNING, COMPLETED, FAILED, \ TRUE_DEPENDENCY, FALSE_DEPENDENCY, SuitePath, \ CycleExistsDependency +from crow.metascheduler.simplify import simplify __all__=['ToRocoto','RocotoConfigError'] @@ -46,6 +47,7 @@ def cycle_offset(dt): return f'{sign}{hours:02d}:{minutes:02d}:{seconds:02d}' def to_rocoto_dep(dep,fd,indent): + dep=simplify(dep) if type(dep) in ROCOTO_DEP_TAG: tag=ROCOTO_DEP_TAG[type(dep)] fd.write(f'{" "*indent}<{tag}>\n') diff --git a/crow/metascheduler/simplify.py b/crow/metascheduler/simplify.py new file mode 100644 index 0000000..fd27202 --- /dev/null +++ b/crow/metascheduler/simplify.py @@ -0,0 +1,128 @@ +"""In-place simplification of dependency trees by applying rules of +boolean algebra. Ensures short circuit assumptions still hold.""" + +import crow.config +from crow.config import OrDependency,AndDependency,NotDependency, \ + TRUE_DEPENDENCY, FALSE_DEPENDENCY, LogicalDependency +from crow.tools import typecheck + +__all__=[ 'complexity', 'simplify' ] + +def complexity(tree): + if isinstance(tree,AndDependency) or isinstance(tree,OrDependency): + return 1.2*sum([ complexity(dep) for dep in tree.depends ]) + elif isinstance(tree,NotDependency): + return 1.2*complexity(tree.depend) + return 1 + +def simplify(tree): + typecheck('tree',tree,LogicalDependency) + tree=tree.copy_dependencies() + tree=simplify_no_de_morgan(tree) + return de_morgan(tree) + +def simplify_no_de_morgan(tree): + # Apply all simplificatios except de morgan's law. Called from + # within de_morgan() to apply all other simplifications to the + # result of de-morganing the tree. + if isinstance(tree,OrDependency) or isinstance(tree,AndDependency): + tree=simplify_sequence(tree) + if isinstance(tree,NotDependency): + tree.depend=simplify(tree.depend) + if isinstance(tree.depend,NotDependency): + return tree.depend.depend # not not x = x + elif tree.depend==TRUE_DEPENDENCY: + return FALSE_DEPENDENCY # NOT true = false + elif tree.depend==FALSE_DEPENDENCY: + return TRUE_DEPENDENCY # NOT false = true + return tree + +def de_morgan(tree): + # Apply de morgan's law, choose least complex option. + if not isinstance(tree,NotDependency): return tree + dup=tree.copy_dependencies() + if isinstance(dup.depend,AndDependency): + # not ( x and y ) = (not x) or (not y) + alternative=simplify_no_de_morgan(OrDependency( + *[ NotDependency(dep) for dep in dup.depend.depends ])) + elif isinstance(dup.depend,OrDependency): + # not ( x or y ) = (not x) and (not y) + alternative=simplify_no_de_morgan(AndDependency( + *[ NotDependency(dep) for dep in dup.depend.depends ])) + else: return tree + if complexity(alternative)0 and type(deplist[i])==type(deplist[i-1]): + deplist[i-1].depends+=deplist[i].depends + del deplist[i] + expanded=True + else: + i=i+1 + + i=0 + while i Date: Mon, 18 Sep 2017 17:06:39 +0000 Subject: [PATCH 078/487] Add logic to replace with ... because Rocoto does not support testing for a metatask running. --- crow/config/tasks.py | 3 ++ crow/metascheduler/rocoto.py | 32 +++++++++++++++++-- examples/real-workflow/workflow/workflow.yaml | 2 +- 3 files changed, 33 insertions(+), 4 deletions(-) diff --git a/crow/config/tasks.py b/crow/config/tasks.py index dbc1e1e..dc1ff13 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -314,6 +314,9 @@ def copy_dependencies(self): class StateDependency(LogicalDependency): def __init__(self,view,state): + if state not in [ COMPLETED, RUNNING, FAILED ]: + raise TypeError('Invalid state. Must be one of the constants ' + 'COMPLETED, RUNNING, or FAILED') self.view=view self.state=state @property diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index bd75858..f49aa17 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -46,12 +46,14 @@ def cycle_offset(dt): seconds=int(total-hours*3600-minutes*60) return f'{sign}{hours:02d}:{minutes:02d}:{seconds:02d}' -def to_rocoto_dep(dep,fd,indent): + +def to_rocoto_dep_impl(dep,fd,indent): dep=simplify(dep) + if type(dep) in ROCOTO_DEP_TAG: tag=ROCOTO_DEP_TAG[type(dep)] fd.write(f'{" "*indent}<{tag}>\n') - for d in dep: to_rocoto_dep(d,fd,indent+1) + for d in dep: to_rocoto_dep_impl(d,fd,indent+1) fd.write(f'{" "*indent}\n') elif isinstance(dep,StateDependency): path='.'.join(dep.path[1:]) @@ -112,6 +114,30 @@ def __init__(self,suite): "must be a string.") self.__dummy_var_count=0 + def replace_metatask_is_running_deps(self,dep): + if isinstance(dep,StateDependency) and not dep.view.is_task() and \ + dep.state==RUNNING: + deplist=list() + for t in dep.view.walk_task_tree(): + if t.is_task(): + deplist.append(t.is_running()) + if not deplist: return FALSE_DEPENDENCY # no tasks + return OrDependency(*deplist) + elif isinstance(dep,NotDependency): + return NotDependency(self.replace_metatask_is_running_deps( + dep.depend)) + elif isinstance(dep,OrDependency) or isinstance(dep,AndDependency): + cls=type(dep) + for i in range(len(dep.depends)): + dep.depends[i]=self.replace_metatask_is_running_deps( + dep.depends[i]) + return dep + + def to_rocoto_dep(self,dep,fd,indent): + dep=dep.copy_dependencies() + dep=self.replace_metatask_is_running_deps(dep) + return to_rocoto_dep_impl(dep,fd,indent) + def expand_workflow_xml(self): return self.settings.workflow_xml @@ -194,7 +220,7 @@ def write_task_text(self,fd,attr,indent,view,dep_count,trigger,time): fd.write(space*indent1 + '\n') if trigger is not TRUE_DEPENDENCY: - to_rocoto_dep(trigger,fd,indent1+1) + self.to_rocoto_dep(trigger,fd,indent1+1) if time>timedelta.min: to_rocoto_time_dep(time,fd,indent1+1) diff --git a/examples/real-workflow/workflow/workflow.yaml b/examples/real-workflow/workflow/workflow.yaml index 98ad6c8..4f1e5d5 100644 --- a/examples/real-workflow/workflow/workflow.yaml +++ b/examples/real-workflow/workflow/workflow.yaml @@ -170,7 +170,7 @@ workflow: !Cycle post: !Task Perform: *post_action Rocoto: *task_template - Trigger: !Depend fcst.clim_fcst.is_running() | fcst.fcst.is_running() | fcst.clim_fcst | fcst.fcst + Trigger: !Depend fcst.is_running() | fcst final: !Task Perform: *final_action From 57610c2a4821e99e6e2e2b8bd2c2ed7f8d198d42 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 18 Sep 2017 22:22:13 +0000 Subject: [PATCH 079/487] corrections to boolean algebra simplificiation and associated Rocoto cycle relative logic --- crow/config/tasks.py | 70 ++++---- crow/metascheduler/rocoto.py | 296 +++++++++++++++++++++------------ crow/metascheduler/simplify.py | 108 ++++++++++-- 3 files changed, 315 insertions(+), 159 deletions(-) diff --git a/crow/config/tasks.py b/crow/config/tasks.py index dc1ff13..67752a0 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -229,6 +229,8 @@ def __or__(self,other): return OrDependency(self,dep) @abstractmethod def copy_dependencies(self): pass + @abstractmethod + def add_time(self,dt): pass class AndDependency(LogicalDependency): def __init__(self,*args): @@ -257,6 +259,9 @@ def __eq__(self,other): return isinstance(other,AndDependency) and self.depends==other.depends def copy_dependencies(self): return AndDependency(*[ dep.copy_dependencies() for dep in self ]) + def add_time(self,dt): + for dep in self: + dep.add_time(dt) class OrDependency(LogicalDependency): def __init__(self,*args): @@ -285,6 +290,9 @@ def __eq__(self,other): return isinstance(other,OrDependency) and self.depends==other.depends def copy_dependencies(self): return OrDependency(*[ dep.copy_dependencies() for dep in self ]) + def add_time(self,dt): + for dep in self: + dep.add_time(dt) class NotDependency(LogicalDependency): def __init__(self,depend): @@ -296,21 +304,20 @@ def __repr__(self): return f'NotDependency({repr(self.depend)})' def __iter__(self): yield self.depend def __hash__(self): return hash(self.depend) def __contains__(self,dep): return self.depend==dep + def add_time(self,dt): self.depend.add_time(dt) def __eq__(self,other): return isinstance(other,NotDependency) and self.depend==other.depend def copy_dependencies(self): return NotDependency(self.depend.copy_dependencies()) class CycleExistsDependency(LogicalDependency): - def __init__(self,dt): - self.dt=dt - def __repr__(self): - return f'cycle_exists({self.dt})' + def __init__(self,dt): self.dt=dt + def __repr__(self): return f'cycle_exists({self.dt})' + def __hash__(self): return hash(self.dt) + def add_time(self,dt): self.dt+=dt + def copy_dependencies(self): return CycleExistsDependency(self.dt) def __eq__(self,other): return isinstance(other,CycleExistsDependency) and self.dt==other.dt - def __hash__(self): return hash(self.dt) - def copy_dependencies(self): - return CycleExistsDependency(self.dt) class StateDependency(LogicalDependency): def __init__(self,view,state): @@ -324,6 +331,9 @@ def path(self): return self.view.path def is_task(self): return self.view.is_task() def __hash__(self): return hash(self.view.path)^hash(self.state) def copy_dependencies(self): return StateDependency(self.view,self.state) + def add_time(self,dt): + self.view=copy(self.view) + self.view.path[0]+=dt def __repr__(self): return f'/{"/".join([str(s) for s in self.view.path])}'\ f'= {self.state}' @@ -333,36 +343,30 @@ def __eq__(self,other): and other.view.path==self.view.path class TrueDependency(LogicalDependency): - def __and__(self,other): - return other - def __or__(self,other): - return self - def __invert__(self): - return FALSE_DEPENDENCY - def __eq__(self,other): - return isinstance(other,TrueDependency) - def __hash__(self): return 1 - def __copy__(self): return TRUE_DEPENDENCY - def __deepcopy__(self): return TRUE_DEPENDENCY + def __and__(self,other): return other + def __or__(self,other): return self + def __invert__(self): return FALSE_DEPENDENCY + def __eq__(self,other): return isinstance(other,TrueDependency) + def __hash__(self): return 1 + def __copy__(self): return TRUE_DEPENDENCY + def __deepcopy__(self): return TRUE_DEPENDENCY def copy_dependencies(self): return TRUE_DEPENDENCY - def __repr__(self): return 'TRUE_DEPENDENCY' - def __str__(self): return 'TRUE' + def __repr__(self): return 'TRUE_DEPENDENCY' + def __str__(self): return 'TRUE' + def add_time(self,dt): pass class FalseDependency(LogicalDependency): - def __and__(self,other): - return self - def __or__(self,other): - return other - def __invert__(self): - return TRUE_DEPENDENCY - def __eq__(self,other): - return isinstance(other,FalseDependency) - def __hash__(self): return 0 - def __copy__(self): return FALSE_DEPENDENCY - def __deepcopy__(self): return FALSE_DEPENDENCY + def __and__(self,other): return self + def __or__(self,other): return other + def __invert__(self): return TRUE_DEPENDENCY + def __eq__(self,other): return isinstance(other,FalseDependency) + def __hash__(self): return 0 + def __copy__(self): return FALSE_DEPENDENCY + def __deepcopy__(self): return FALSE_DEPENDENCY def copy_dependencies(self): return FALSE_DEPENDENCY - def __repr__(self): return 'FALSE_DEPENDENCY' - def __str__(self): return 'FALSE' + def __repr__(self): return 'FALSE_DEPENDENCY' + def __str__(self): return 'FALSE' + def add_time(self,dt): pass TRUE_DEPENDENCY=TrueDependency() FALSE_DEPENDENCY=FalseDependency() diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index f49aa17..0f88a2d 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -1,6 +1,7 @@ import sys from datetime import timedelta, datetime from io import StringIO +from crow.tools import typecheck from collections import namedtuple from collections.abc import Sequence, Mapping from crow.tools import to_timedelta @@ -13,31 +14,35 @@ CycleExistsDependency from crow.metascheduler.simplify import simplify -__all__=['ToRocoto','RocotoConfigError'] +__all__=['to_rocoto','RocotoConfigError','ToRocoto', + 'SelfReferentialDependency' ] -KEY_WARNINGS={ 'cyclethrottle':'Did you mean cycle_throttle?' } +class RocotoConfigError(Exception): pass +class SelfReferentialDependency(RocotoConfigError): pass -MISSING=object() +_KEY_WARNINGS={ 'cyclethrottle':'Did you mean cycle_throttle?' } -REQUIRED_KEYS={ 'workflow_install':'directory to receive Rocoto workflow', +_REQUIRED_KEYS={ 'workflow_install':'directory to receive Rocoto workflow', 'scheduler':'Scheduler class', 'workflow_xml': 'Contents of Rocoto XML file'} -class RocotoConfigError(Exception): pass - -ROCOTO_STATE_MAP={ COMPLETED:'SUCCEEDED', +_ROCOTO_STATE_MAP={ COMPLETED:'SUCCEEDED', FAILED:'DEAD', RUNNING:'RUNNING' } -ROCOTO_DEP_TAG={ AndDependency:'and', +_ROCOTO_DEP_TAG={ AndDependency:'and', OrDependency:'or', NotDependency:'not' } -ZERO_DT=timedelta() +_ZERO_DT=timedelta() -def cycle_offset(dt): +def _dep_rel(dt,tree): + tree.add_time(dt) + return tree + +def _cycle_offset(dt): sign='' - if dt\n') - for d in dep: to_rocoto_dep_impl(d,fd,indent+1) + for d in dep: _to_rocoto_dep_impl(d,fd,indent+1) fd.write(f'{" "*indent}\n') elif isinstance(dep,StateDependency): path='.'.join(dep.path[1:]) more='' - if dep.path[0]!=ZERO_DT: - more=f' cycle_offset="{cycle_offset(dep.path[0])}"' + if dep.path[0]!=_ZERO_DT: + more=f' cycle_offset="{_cycle_offset(dep.path[0])}"' tag='taskdep' if dep.is_task() else 'metataskdep' attr='task' if dep.is_task() else 'metatask' if dep.state is COMPLETED: fd.write(f'{" "*indent}<{tag} {attr}="{path}"{more}/>\n') else: - state=ROCOTO_STATE_MAP[dep.state] + state=_ROCOTO_STATE_MAP[dep.state] fd.write(f'{" "*indent}<{tag} {attr}="{path}" state="{state}"/>\n') elif isinstance(dep,CycleExistsDependency): - dt=cycle_offset(dep.dt) + dt=_cycle_offset(dep.dt) fd.write(f'{" "*indent}\n') else: - raise TypeError(f'Unexpected {type(dep).__name__} in to_rocoto_dep') + raise TypeError(f'Unexpected {type(dep).__name__} in _to_rocoto_dep') -def to_rocoto_time_dep(dt,fd,indent): - string_dt=cycle_offset(dt) +def _to_rocoto_time_dep(dt,fd,indent): + string_dt=_cycle_offset(dt) fd.write(f'{" "*indent}{string_dt}\n') -def to_rocoto_time(t): +def _to_rocoto_time(t): return t.strftime('%Y%m%d%H%M') -def xml_quote(s): +def _xml_quote(s): return s.replace('&','&') \ .replace('"','"') \ .replace('<','<') @@ -106,15 +109,77 @@ def __init__(self,suite): 'runner':runner}) self.settings=self.suite.Rocoto self.sched=sched + self.__all_defined=set() self.__completes=dict() self.__families=set() self.__spacing=suite.Rocoto.get('indent_text',' ') + self.__rocotoified=dict() if not isinstance(self.__spacing,str): raise TypeError("Suite's Rocoto.indent_text, if present, " "must be a string.") self.__dummy_var_count=0 + self.__families_with_completes=set() + + def make_time_xml(self,indent=1): + clock=self.suite.Clock + start_time=clock.start.strftime('%Y%m%d%H%M') + end_time=clock.end.strftime('%Y%m%d%H%M') + step=to_timedelta(clock.step) # convert to python timedelta + step=_cycle_offset(step) # convert to rocoto time delta + space=self.__spacing + return f'{space*indent}{start_time} {end_time} {step}\n' + + def make_task_xml(self,indent=1): + fd=StringIO() + self._record_item(self.suite,FALSE_DEPENDENCY) + + # Find all families that have tasks with completes: + for path,view_condition in self.__completes.items(): + (view,condition) = view_condition + for i in range(1,len(path)): + family_path=SuitePath(path[1:i]) + self.__families_with_completes.add(family_path) + + self._convert_item(fd,max(0,indent-1),self.suite,TRUE_DEPENDENCY, + FALSE_DEPENDENCY,timedelta.min) + self._handle_final_task(fd,indent) + result=fd.getvalue() + fd.close() + return result + + # ---------------------------------------------------------------- + + # Protected member functions + + def _has_completes(self,item): + for i in range(2,len(item)): + path=SuitePath([_ZERO_DT] + item.path[1:i]) + if path in self.__completes: + return True + return False + + def _rocotoify_dep(self,dep,defining_path): + try: + if dep in self.__rocotoified: + return self.__rocotoified[dep] + roco=self._rocotoify_dep_impl(dep,defining_path) + self.__rocotoified[dep]=roco + return roco + except RecursionError as re: + raise SelfReferentialDependency( + f'/{"/".join([str(d) for d in defining_path[1:]])}: ' + 'cyclic dependency graph referenced from this task.') + + def _rocotoify_dep_impl(self,dep,defining_path): + if isinstance(dep,StateDependency): + dep_path=SuitePath([_ZERO_DT] + dep.view.path[1:]) + if dep_path not in self.__all_defined: + raise ValueError( + f'/{"/".join(defining_path[1:])}: ' + 'has a dependency on undefined task ' + f'/{"/".join(dep_path[1:])}') + - def replace_metatask_is_running_deps(self,dep): if isinstance(dep,StateDependency) and not dep.view.is_task() and \ dep.state==RUNNING: deplist=list() @@ -122,52 +187,97 @@ def replace_metatask_is_running_deps(self,dep): if t.is_task(): deplist.append(t.is_running()) if not deplist: return FALSE_DEPENDENCY # no tasks - return OrDependency(*deplist) + return _dep_rel(dep.view.path[0],OrDependency(*deplist)) + elif isinstance(dep,StateDependency) and dep.state==COMPLETED: + zero_path=SuitePath([_ZERO_DT]+dep.view.path[1:]) + if dep.view.is_task(): + if zero_path in self.__completes: + return dep | _dep_rel(dep.view.path[0],self._rocotoify_dep( + self._completes_for(dep.view),defining_path)) + elif SuitePath(dep.view.path[1:]) in self.__families_with_completes: + deplist=list() + for t in dep.view.walk_task_tree(): + if t.is_task(): + deplist.append(_dep_rel(dep.view.path[0],self._rocotoify_dep( + t.is_completed(),defining_path))) + return AndDependency(*deplist) | _dep_rel(dep.path[0], \ + self._rocotoify_dep(self._completes_for(dep.view), + defining_path)) elif isinstance(dep,NotDependency): - return NotDependency(self.replace_metatask_is_running_deps( - dep.depend)) + return NotDependency(self._rocotoify_dep(dep.depend,defining_path)) elif isinstance(dep,OrDependency) or isinstance(dep,AndDependency): cls=type(dep) for i in range(len(dep.depends)): - dep.depends[i]=self.replace_metatask_is_running_deps( - dep.depends[i]) + dep.depends[i]=self._rocotoify_dep(dep.depends[i],defining_path) return dep - def to_rocoto_dep(self,dep,fd,indent): + def _as_rocoto_dep(self,dep,defining_path): dep=dep.copy_dependencies() - dep=self.replace_metatask_is_running_deps(dep) - return to_rocoto_dep_impl(dep,fd,indent) + dep=self._rocotoify_dep(dep,defining_path) + dep=simplify(dep) + return dep - def expand_workflow_xml(self): + def _expand_workflow_xml(self): return self.settings.workflow_xml - def validate_cycle(self): + def _validate_cycle(self): """!Perform sanity checks on top level of suite.""" settings=self.settings - for key,what in REQUIRED_KEYS.items(): + for key,what in _REQUIRED_KEYS.items(): if key not in settings: raise KeyError('%s: missing variable (%s)'%(key,what)) - for key,what in KEY_WARNINGS.items(): + for key,what in _KEY_WARNINGS.items(): if key in settings: raise KeyError('%s: %s'%(key,what)) - def convert_family(self,fd,indent,view,trigger,complete,time): + def _record_item(self,view,complete): + complete=complete | view.get_complete_dep() + self.__all_defined.add(view.path) + + if complete is not FALSE_DEPENDENCY: + complete=complete | view.get_complete_dep() + self.__completes[view.path]=[view, complete] + + if view.is_task(): + return + + self.__families.add(SuitePath(view.path[1:-1])) + + for key,child in view.items(): + if key=='up': continue + if not isinstance(child,SuiteView): + continue + if child.path[1:] == ['final']: + if not child.is_task(): + raise RocotoConfigError( + 'The "final" task must be a Task, not a ' + +type(child.viewed).__name__) + self.__final_task=child + else: + self._record_item(child,complete) + + def _convert_item(self,fd,indent,view,trigger,complete,time): trigger=trigger & view.get_trigger_dep() complete=complete | view.get_complete_dep() time=max(time,view.get_time_dep()) space=self.__spacing + if view.is_task(): + maxtries=int(view.get( + 'max_tries',self.suite.Rocoto.get('max_tries',0))) + attr = f' maxtries="{maxtries}"' if maxtries else '' + self._write_task_text(fd,attr,indent,view,trigger,time) + return + self.__dummy_var_count+=1 dummy_var="dummy_var_"+str(self.__dummy_var_count) - path=xml_quote('.'.join(view.path[1:])) + path=_xml_quote('.'.join(view.path[1:])) if not isinstance(view,Suite): fd.write(f'''{space*indent} {space*indent} DUMMY_VALUE ''') - self.__families.add(SuitePath(view.path[1:-1])) - for key,child in view.items(): if key=='up': continue if not isinstance(child,SuiteView): @@ -178,78 +288,54 @@ def convert_family(self,fd,indent,view,trigger,complete,time): 'The "final" task must be a Task, not a ' +type(child.viewed).__name__) self.__final_task=child - elif child.is_task(): - self.convert_task(fd,indent+1,child,trigger,complete,time) else: - self.convert_family(fd,indent+1,child,trigger,complete,time) + self._convert_item(fd,indent+1,child,trigger,complete,time) if not isinstance(view,Suite): fd.write(f'{space*indent}\n') - def convert_task(self,fd,indent,view,trigger,complete,time): - trigger=trigger & view.get_trigger_dep() - complete=complete | view.get_complete_dep() - time=max(time,view.get_time_dep()) - - dep=trigger - if complete is not FALSE_DEPENDENCY: - self.__completes[view.path]=[view, complete] - dep = dep & ~ complete - - dep_count = int(trigger is not TRUE_DEPENDENCY) + \ - int(time>timedelta.min) - maxtries=int(view.get('max_tries',self.suite.Rocoto.get('max_tries',0))) - attr = f' maxtries="{maxtries}"' if maxtries else '' - self.write_task_text(fd,attr,indent,view,dep_count,dep,time) - - def write_task_text(self,fd,attr,indent,view,dep_count,trigger,time): + def _write_task_text(self,fd,attr,indent,view,trigger,time): path='.'.join(view.path[1:]) - indent1=indent+1 space=self.__spacing fd.write(f'{space*indent}\n') + dep=self._as_rocoto_dep(trigger,view.path) + + dep_count = ( dep != TRUE_DEPENDENCY ) + ( time>timedelta.min ) + if 'Rocoto' in view: for line in view.Rocoto.splitlines(): - fd.write(f'{space*indent1}{line}\n') + fd.write(f'{space*(indent+1)}{line}\n') if not dep_count: - fd.write(space*indent1 + '\n') + fd.write(space*(indent+1) + '\n') if dep_count: - fd.write(space*indent1 + '\n') + fd.write(space*(indent+1) + '\n') if dep_count>1: - fd.write(space*indent1 + '\n') + fd.write(space*(indent+2) + '\n') - if trigger is not TRUE_DEPENDENCY: - self.to_rocoto_dep(trigger,fd,indent1+1) + if dep is not TRUE_DEPENDENCY: + _to_rocoto_dep_impl(dep,fd,indent+1+dep_count) if time>timedelta.min: - to_rocoto_time_dep(time,fd,indent1+1) + _to_rocoto_time_dep(time,fd,indent+1+dep_count) if dep_count>1: - fd.write(space*indent1 + '\n') + fd.write(space*(indent+2) + '\n') if dep_count: - fd.write(space*indent1 + '\n') + fd.write(space*(indent+1) + '\n') fd.write(space*indent+'\n') - def make_time_xml(self,indent=1): - clock=self.suite.Clock - start_time=clock.start.strftime('%Y%m%d%H%M') - end_time=clock.end.strftime('%Y%m%d%H%M') - step=to_timedelta(clock.step) # convert to python timedelta - step=cycle_offset(step) # convert to rocoto time delta - space=self.__spacing - return f'{space*indent}{start_time} {end_time} {step}\n' - - def make_task_xml(self,indent=1): - fd=StringIO() - self.convert_family(fd,max(0,indent-1),self.suite,TRUE_DEPENDENCY, - FALSE_DEPENDENCY,timedelta.min) - self.handle_final_task(fd,indent) - result=fd.getvalue() - fd.close() - return result + def _completes_for(self,item): + dep=FALSE_DEPENDENCY + for i in range(1,len(item.path)): + item_path=SuitePath(item.path[0:i+1]) + if item_path in self.__completes: + dep=dep | self.__completes[item_path][1] + return dep - def completes_for(self,fd,item,with_completes): + def _final_task_deps(self,item): path=SuitePath(item.path[1:]) + with_completes=self.__families_with_completes if item.is_task(): dep = item.is_completed() @@ -280,7 +366,7 @@ def completes_for(self,fd,item,with_completes): continue if not isinstance(subitem,SuiteView): continue - subdep=subdep & self.completes_for(fd,subitem,with_completes) + subdep=subdep & self._final_task_deps(subitem) if dep is FALSE_DEPENDENCY: dep=subdep @@ -289,7 +375,7 @@ def completes_for(self,fd,item,with_completes): return dep - def handle_final_task(self,fd,indent): + def _handle_final_task(self,fd,indent): # Find and validate the "final" task: final=None if 'final' in self.suite: @@ -309,23 +395,15 @@ def handle_final_task(self,fd,indent): 'If a workflow suite has any "complete" conditions, ' 'then it must have a "final" task with no dependencies.') - # Find all families that have tasks with completes: - families_with_completes=set() - for path,view_condition in self.__completes.items(): - (view,condition) = view_condition - for i in range(1,len(path)): - family_path=SuitePath(path[1:i]) - families_with_completes.add(family_path) - # Generate dependency for the final task: - dep=self.completes_for(fd,self.suite,families_with_completes) + dep=self._final_task_deps(self.suite) - self.write_task_text(fd,' final="true"',indent,final,1,dep,timedelta.min) + self._write_task_text(fd,' final="true"',indent,final,dep,timedelta.min) def to_rocoto(suite): - assert(isinstance(suite,Cycle)) + typecheck('suite',suite,Cycle) tr=ToRocoto(suite) - return tr.expand_workflow_xml() + return tr._expand_workflow_xml() def test(): def to_string(action): @@ -335,9 +413,9 @@ def to_string(action): sio.close() return v dt=timedelta(seconds=7380,days=2) - assert(cycle_offset(dt)=='50:03:00') - assert(xml_quote('&<"')=='&<"') + assert(_cycle_offset(dt)=='50:03:00') + assert(_xml_quote('&<"')=='&<"') then=datetime.strptime('2017-08-15','%Y-%m-%d') - assert(to_rocoto_time(then+dt)=='201708170203') - result=to_string(lambda x: to_rocoto_time_dep(dt,x,1)) + assert(_to_rocoto_time(then+dt)=='201708170203') + result=to_string(lambda x: _to_rocoto_time_dep(dt,x,1)) assert(result==' 50:03:00\n') diff --git a/crow/metascheduler/simplify.py b/crow/metascheduler/simplify.py index fd27202..659d833 100644 --- a/crow/metascheduler/simplify.py +++ b/crow/metascheduler/simplify.py @@ -54,7 +54,59 @@ def de_morgan(tree): return alternative return tree -def simplify_sequence(dep): +def and_merge_ors(ors): + # (X + B1 + B2 + Y) + (X + C1 + C2 + Y) = X + (B1+B2)(C1+C2) + Y + original=OrDependency(*ors) + ors=original.copy_dependencies().depends + assert(isinstance(ors,list)) + min_len=min([ len(orr) for orr in ors ]) + i=0 + while ineg_limit and all( [ ors[j].depends[i]==ors[0].depends[-1] for j in range(len(ors)) ] ): + i=i-1 + + common_after=ors[0].depends[i+1:] + if i<-1: + for j in range(len(ors)): + new=ors[j].depends[:i+1] + ors[j].depends=new + + if len(common_before)>1: + dep=OrDependency(*common_before) + elif len(common_before)==1: + dep=common_before[0] + else: + dep=FALSE_DEPENDENCY + + middle_dep=TRUE_DEPENDENCY + have_middle_dep=False + for orr in ors: + have_middle_dep=have_middle_dep or len(orr) + if len(orr)>1: + middle_dep=middle_dep&orr + elif len(orr): + middle_dep=middle_dep&orr.depends[0] + if have_middle_dep: dep = dep | middle_dep + + if len(common_after)>1: + dep=dep | OrDependency(*common_after) + elif len(common_after)==1: + dep=dep | common_after[0] + + if complexity(dep)0 and type(deplist[i])==type(deplist[i-1]): - deplist[i-1].depends+=deplist[i].depends - del deplist[i] - expanded=True + elif isinstance(dep,AndDependency) \ + and isinstance(deplist[i],OrDependency): + j=i+1 + while ji+1: + deplist[i]=and_merge_ors(deplist[i:j]) + del deplist[i+1:j] + expanded=True + i=i+1 else: i=i+1 @@ -97,18 +153,32 @@ def simplify_sequence(dep): del deplist[i] # A|false = A else: j=i+1 - remove_i=False + seen_other=False + del_i=False while j Date: Mon, 18 Sep 2017 22:47:27 +0000 Subject: [PATCH 080/487] more bug fixes to rocoto dependency simplification --- crow/metascheduler/rocoto.py | 6 +++--- crow/metascheduler/simplify.py | 15 +++++++++------ 2 files changed, 12 insertions(+), 9 deletions(-) diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index 0f88a2d..713f88f 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -267,7 +267,7 @@ def _convert_item(self,fd,indent,view,trigger,complete,time): maxtries=int(view.get( 'max_tries',self.suite.Rocoto.get('max_tries',0))) attr = f' maxtries="{maxtries}"' if maxtries else '' - self._write_task_text(fd,attr,indent,view,trigger,time) + self._write_task_text(fd,attr,indent,view,trigger&~complete,time) return self.__dummy_var_count+=1 @@ -294,12 +294,12 @@ def _convert_item(self,fd,indent,view,trigger,complete,time): if not isinstance(view,Suite): fd.write(f'{space*indent}\n') - def _write_task_text(self,fd,attr,indent,view,trigger,time): + def _write_task_text(self,fd,attr,indent,view,dependency,time): path='.'.join(view.path[1:]) space=self.__spacing fd.write(f'{space*indent}\n') - dep=self._as_rocoto_dep(trigger,view.path) + dep=self._as_rocoto_dep(dependency,view.path) dep_count = ( dep != TRUE_DEPENDENCY ) + ( time>timedelta.min ) diff --git a/crow/metascheduler/simplify.py b/crow/metascheduler/simplify.py index 659d833..3898d70 100644 --- a/crow/metascheduler/simplify.py +++ b/crow/metascheduler/simplify.py @@ -39,6 +39,7 @@ def simplify_no_de_morgan(tree): def de_morgan(tree): # Apply de morgan's law, choose least complex option. + return tree if not isinstance(tree,NotDependency): return tree dup=tree.copy_dependencies() if isinstance(dup.depend,AndDependency): @@ -56,7 +57,7 @@ def de_morgan(tree): def and_merge_ors(ors): # (X + B1 + B2 + Y) + (X + C1 + C2 + Y) = X + (B1+B2)(C1+C2) + Y - original=OrDependency(*ors) + original=AndDependency(*ors) ors=original.copy_dependencies().depends assert(isinstance(ors,list)) min_len=min([ len(orr) for orr in ors ]) @@ -104,7 +105,7 @@ def and_merge_ors(ors): if complexity(dep)i+1: - deplist[i]=and_merge_ors(deplist[i:j]) - del deplist[i+1:j] - expanded=True + result=and_merge_ors(deplist[i:j]) + if result is not None: + deplist[i]=result + del deplist[i+1:j] + expanded=True i=i+1 else: i=i+1 From ef7c7a0b4d209e9f0fa283a71e8c77149e705951 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 18 Sep 2017 22:49:32 +0000 Subject: [PATCH 081/487] Turning back on de morgan simplification (was turned off by accident for debugging) --- crow/metascheduler/simplify.py | 1 - 1 file changed, 1 deletion(-) diff --git a/crow/metascheduler/simplify.py b/crow/metascheduler/simplify.py index 3898d70..b886441 100644 --- a/crow/metascheduler/simplify.py +++ b/crow/metascheduler/simplify.py @@ -39,7 +39,6 @@ def simplify_no_de_morgan(tree): def de_morgan(tree): # Apply de morgan's law, choose least complex option. - return tree if not isinstance(tree,NotDependency): return tree dup=tree.copy_dependencies() if isinstance(dup.depend,AndDependency): From 87c846eed72b9d4aae09cb2c2dd6868d0394c034 Mon Sep 17 00:00:00 2001 From: "Tery.McGuinness" Date: Wed, 20 Sep 2017 14:11:34 +0000 Subject: [PATCH 082/487] modified class files for parqallelsim and schedules to include methods for aprun (details still need to be developed) --- examples/run/test_aprun.py | 76 ++++++++++++++++++++++++++++++++++++++ examples/run/test_hydra.py | 75 +++++++++++++++++++++++++++++++++++++ 2 files changed, 151 insertions(+) create mode 100755 examples/run/test_aprun.py create mode 100755 examples/run/test_hydra.py diff --git a/examples/run/test_aprun.py b/examples/run/test_aprun.py new file mode 100755 index 0000000..eba7344 --- /dev/null +++ b/examples/run/test_aprun.py @@ -0,0 +1,76 @@ +#! /usr/bin/env python3.6 + +import sys, os, logging, subprocess + +import crow +import crow.config +import crow.metascheduler +import crow.sysenv + +logging.basicConfig(stream=sys.stderr,level=logging.INFO) + +settings={ 'mpi_runner':'aprun', + 'physical_cores_per_node':24, + 'logical_cpus_per_core':2, + 'hyperthreading_allowed':True } + +par=crow.sysenv.get_parallelism('AprunCrayMPI',settings) +sch=crow.sysenv.get_scheduler('LSFAlps',settings) + +######################################################################## +# Test 1: big, fancy command: +ranks=[ + { 'mpi_ranks':12, 'hyperthreads':1, 'OMP_NUM_THREADS':4, 'exe':'exe1', + 'aprunCrayMPI_extra':[ '-gdb', '-envall' ] }, + { 'mpi_ranks':48, 'OMP_NUM_THREADS':1, 'exe':'exe2', + 'aprunCrayMPI_extra':'-envall' }, + { 'mpi_ranks':200,'hyperthreads':1, 'exe':'exe2' } + ] +jr=crow.sysenv.JobResourceSpec(ranks) +cmd=par.make_ShellCommand(jr) +res=sch.rocoto_resources(jr) + +print(str(ranks)) +print('becomes') +print(str(cmd)) +print(str(res)) +assert(str(cmd)=="ShellCommand(command=['aprun', '-n', '12', '/usr/bin/env', 'OMP_NUM_THREADS=4', 'exe1', ':', '-envall', '-n', '48', '/usr/bin/env', 'OMP_NUM_THREADS=1', 'exe2', ':', '-n', '200', 'exe2'], env=None, cwd=None, files=[ ])") +assert(str(res)=='2:ppn=6+2:ppn=24+2:ppn=23+7:ppn=22\n') + +######################################################################## +# Test 2: hard-coded max_ppn: + +ranks=[ { 'mpi_ranks':12, 'max_ppn':2, 'exe':'doit' }, + { 'mpi_ranks':12, 'max_ppn':4, 'exe':'doit' } ] + +jr=crow.sysenv.JobResourceSpec(ranks) +cmd=par.make_ShellCommand(jr) +res=sch.rocoto_resources(jr) +print(str(ranks)) +print('becomes') +print(str(cmd)) +print(str(res)) +assert(str(cmd)=="ShellCommand(command=['mpiexec', '-n', '12', 'doit', ':', '-n', '12', 'doit'], env=None, cwd=None, files=[ ])") +assert(str(res)=='6:ppn=2+3:ppn=4\n') + +######################################################################## + +if os.path.exists('file1'): os.unlink('file1') +if os.path.exists('file2'): os.unlink('file2') + +cmd=crow.sysenv.ShellCommand(['/bin/sh','-c', 'cat $FILE1 $FILE2'], + files=[ { 'name':'file1', 'content':'hello ' }, + { 'name':'file2', 'content':'world\n' } ], + env={ 'FILE1':'file1', 'FILE2':'file2' }, + cwd='.' ) +result=cmd.run(stdout=subprocess.PIPE,encoding='ascii') +print(repr(result.stdout)) +assert(result.stdout=='hello world\n') + +if os.path.exists('file1'): os.unlink('file1') +if os.path.exists('file2'): os.unlink('file2') + +#config=crow.config.from_file( +# 'platform.yml','templates.yml','actions.yml','workflow.yml') + +#print(crow.met.Sascheduler.to_rocoto(config.my_fancy_workflow)) diff --git a/examples/run/test_hydra.py b/examples/run/test_hydra.py new file mode 100755 index 0000000..76da174 --- /dev/null +++ b/examples/run/test_hydra.py @@ -0,0 +1,75 @@ +#! /usr/bin/env python3.6 + +import sys, os, logging, subprocess + +import crow +import crow.config +import crow.metascheduler +import crow.sysenv + +logging.basicConfig(stream=sys.stderr,level=logging.INFO) + +settings={ 'mpi_runner':'mpiexec', + 'physical_cores_per_node':24, + 'logical_cpus_per_core':2, + 'hyperthreading_allowed':True } + +par=crow.sysenv.get_parallelism('HydraIMPI',settings) +sch=crow.sysenv.get_scheduler('MoabTorque',settings) + +######################################################################## +# Test 1: big, fancy command: +ranks=[ + { 'mpi_ranks':12, 'hyperthreads':1, 'OMP_NUM_THREADS':4, 'exe':'exe1', + 'HydraIMPI_extra':[ '-gdb', '-envall' ] }, + { 'mpi_ranks':48, 'OMP_NUM_THREADS':1, 'exe':'exe2', + 'HydraIMPI_extra':'-envall' }, + { 'mpi_ranks':200,'hyperthreads':1, 'exe':'exe2' } + ] +jr=crow.sysenv.JobResourceSpec(ranks) +cmd=par.make_ShellCommand(jr) +res=sch.rocoto_resources(jr) +print(str(ranks)) +print('becomes') +print(str(cmd)) +print(str(res)) +assert(str(cmd)=="ShellCommand(command=['mpiexec', '-gdb', '-envall', '-np', '12', '/usr/bin/env', 'OMP_NUM_THREADS=4', 'exe1', ':', '-envall', '-np', '48', '/usr/bin/env', 'OMP_NUM_THREADS=1', 'exe2', ':', '-np', '200', 'exe2'], env=None, cwd=None, files=[ ])") +assert(str(res)=='2:ppn=6+2:ppn=24+2:ppn=23+7:ppn=22\n') + +######################################################################## +# Test 2: hard-coded max_ppn: + +ranks=[ { 'mpi_ranks':12, 'max_ppn':2, 'exe':'doit' }, + { 'mpi_ranks':12, 'max_ppn':4, 'exe':'doit' } ] + +jr=crow.sysenv.JobResourceSpec(ranks) +cmd=par.make_ShellCommand(jr) +res=sch.rocoto_resources(jr) +print(str(ranks)) +print('becomes') +print(str(cmd)) +print(str(res)) +assert(str(cmd)=="ShellCommand(command=['mpiexec', '-np', '12', 'doit', ':', '-np', '12', 'doit'], env=None, cwd=None, files=[ ])") +assert(str(res)=='6:ppn=2+3:ppn=4\n') + +######################################################################## + +if os.path.exists('file1'): os.unlink('file1') +if os.path.exists('file2'): os.unlink('file2') + +cmd=crow.sysenv.ShellCommand(['/bin/sh','-c', 'cat $FILE1 $FILE2'], + files=[ { 'name':'file1', 'content':'hello ' }, + { 'name':'file2', 'content':'world\n' } ], + env={ 'FILE1':'file1', 'FILE2':'file2' }, + cwd='.' ) +result=cmd.run(stdout=subprocess.PIPE,encoding='ascii') +print(repr(result.stdout)) +assert(result.stdout=='hello world\n') + +if os.path.exists('file1'): os.unlink('file1') +if os.path.exists('file2'): os.unlink('file2') + +#config=crow.config.from_file( +# 'platform.yml','templates.yml','actions.yml','workflow.yml') + +#print(crow.met.Sascheduler.to_rocoto(config.my_fancy_workflow)) From bbef228f5dbfdb8e26faa7de1beda31415bb3f03 Mon Sep 17 00:00:00 2001 From: "Tery.McGuinness" Date: Wed, 20 Sep 2017 14:13:42 +0000 Subject: [PATCH 083/487] added implentation files for aprun methods in parallelism and schedulers classes --- crow/sysenv/parallelism/AprunCrayMPI.py | 66 ++++++++++++++ crow/sysenv/schedulers/LSFAlps.py | 110 ++++++++++++++++++++++++ 2 files changed, 176 insertions(+) create mode 100644 crow/sysenv/parallelism/AprunCrayMPI.py create mode 100644 crow/sysenv/schedulers/LSFAlps.py diff --git a/crow/sysenv/parallelism/AprunCrayMPI.py b/crow/sysenv/parallelism/AprunCrayMPI.py new file mode 100644 index 0000000..1724604 --- /dev/null +++ b/crow/sysenv/parallelism/AprunCrayMPI.py @@ -0,0 +1,66 @@ +import itertools +from io import StringIO + +from crow.sysenv.exceptions import * +from crow.sysenv.util import ranks_to_nodes_ppn +from crow.sysenv.jobs import JobResourceSpec +from crow.sysenv.shell import ShellCommand +from crow.sysenv.nodes import GenericNodeSpec + +#from crow.sysenv.parallelisms.base import Parallelism as BaseParallelism + +from collections import Sequence + +__all__=['Parallelism'] + +class Parallelism(object): # (BaseParallelism): + def __init__(self,settings): + self.settings=dict(settings) + self.nodes=GenericNodeSpec(settings) + self.parallelism='AprunCrayMPI' + self.mpi_runner=str(settings.get('aprun')) + self.rank_sep=str(settings.get('rank_sep',':')) + + def make_ShellCommand(self,spec): + if spec.is_pure_serial(): + return ShellCommand(spec['exe']) + elif spec.is_pure_openmp(): + return ShellCommand(spec[0]['exe'],env={ + 'OMP_NUM_THREADS':int(spec[0]['OMP_NUM_THREADS']) }) + + # Merge any adjacent ranks that can be merged. Ignore + # differing executables between ranks while merging them + # (rename_exe): + merged=self.nodes.with_similar_ranks_merged( + spec,can_merge_ranks=self.nodes.same_except_exe) + + cmd=[ self.mpi_runner ] + + first=True + for rank in merged: + if not first and self.rank_sep: + cmd.append(self.rank_sep) + exe=rank['exe'] + + # Add extra arguments specific to this MPI. Note: + # "extras" go first so that the first block of ranks can + # specify the hydra global options. + extra=rank.get('AprunCrayMPI_extra',None) + if extra is not None: + if isinstance(extra,str): extra=[extra] + cmd.extend(extra) + + cmd.extend(['-n','%d'%max(1,int(rank.get('mpi_ranks',1)))]) + if rank.is_openmp(): + cmd.extend([ '/usr/bin/env', 'OMP_NUM_THREADS='+ + '%d'%int(rank['OMP_NUM_THREADS']) ]) + if isinstance(exe,str): + cmd.append(exe) + else: + cmd.extend(exe) + first=False + + return ShellCommand(cmd) + + + diff --git a/crow/sysenv/schedulers/LSFAlps.py b/crow/sysenv/schedulers/LSFAlps.py new file mode 100644 index 0000000..d50dba9 --- /dev/null +++ b/crow/sysenv/schedulers/LSFAlps.py @@ -0,0 +1,110 @@ +import itertools +from io import StringIO + +from crow.sysenv.exceptions import * +from crow.sysenv.util import ranks_to_nodes_ppn +from crow.sysenv.jobs import JobResourceSpec +from crow.sysenv.nodes import GenericNodeSpec + +from crow.sysenv.schedulers.base import Scheduler as BaseScheduler + +from collections import Sequence + +__all__=['Scheduler'] + +class Scheduler(BaseScheduler): + + def __init__(self,settings): + self.settings=dict(settings) + self.nodes=GenericNodeSpec(settings) + self.rocoto_name='lsf' + self.indent_text=str(settings.get('indent_text',' ')) + + #################################################################### + + # Public methods + + def rocoto_accounting(self,spec,indent=0): + space=self.indent_text + sio=StringIO() + if 'queue' in spec: + sio.write(f'{indent*space}{spec.queue!s}\n') + if 'account' in spec: + sio.write(f'{indent*space}{spec.account!s}\n') + if 'project' in spec: + sio.write(f'{indent*space}{spec.project!s}\n') + if 'partition' in spec: + sio.write(f'{indent*space}-l partition=' + f'{spec.partition!s}\n') + if 'account' in spec: + sio.write(f'{indent*space}{spec.account!s}\n') + ret=sio.getvalue() + sio.close() + return ret + + def rocoto_resources(self,spec,indent=0): + space=self.indent_text + if not isinstance(spec,JobResourceSpec): + spec=JobResourceSpec(spec) + + if spec.is_pure_serial(): + if spec[0].is_exclusive() in [True,None]: + return indent*space+'1:ppn=2\n' + else: + return indent*space+'1\n' + elif spec.is_pure_openmp(): + # Pure threaded. Treat as exclusive serial. + return indent*space+'1:ppn=2\n' + + # This is an MPI program. + + # Split into (nodes,ranks_per_node) pairs. Ignore differeing + # executables between ranks while merging them (del_exe): + nodes_ranks=self.nodes.to_nodes_ppn( + spec,can_merge_ranks=self.nodes.same_except_exe) + + return indent*space+'' \ + + '+'.join([f'{n}:ppn={p}' for n,p in nodes_ranks ]) \ + + '\n' + +def test(): + settings={ 'physical_cores_per_node':24, + 'logical_cpus_per_core':2, + 'hyperthreading_allowed':True } + sched=Scheduler(settings) + + # MPI + OpenMP program test + input1=[ + {'mpi_ranks':5, 'OMP_NUM_THREADS':12}, + {'mpi_ranks':7, 'OMP_NUM_THREADS':12}, + {'mpi_ranks':7} ] + spec1=JobResourceSpec(input1) + result=sched.rocoto_resources(spec1) + assert(result=='6:ppn=2+1:ppn=7\n') + + # Serial program test + input2=[ { 'exe':'echo', 'args':['hello','world'], 'exclusive':False } ] + spec2=JobResourceSpec(input2) + assert(sched.rocoto_resources(spec2)=='1\n') + + # Exclusive serial program test + input3=[ { 'exe':'echo', 'args':['hello','world 2'], 'exclusive':True } ] + spec3=JobResourceSpec(input3) + result=sched.rocoto_resources(spec3) + assert(result=='1:ppn=2\n') + + # Pure openmp test + input4=[ { 'OMP_NUM_THREADS':20 } ] + spec4=JobResourceSpec(input4) + result=sched.rocoto_resources(spec4) + assert(result=='1:ppn=2\n') + + # Too big for node + try: + input5=[ { 'OMP_NUM_THREADS':200, 'mpi_ranks':3 } ] + spec5=JobResourceSpec(input5) + result=sched.rocoto_resources(spec5) + assert(False) + except MachineTooSmallError: + pass # success! + From 699e313d06cf7dc36f1c0969a442e0c74b51a312 Mon Sep 17 00:00:00 2001 From: "Tery.McGuinness" Date: Wed, 20 Sep 2017 14:17:01 +0000 Subject: [PATCH 084/487] updated class file for parallelism --- crow/sysenv/parallelism/__init__.py | 7 ++++++- 1 file changed, 6 insertions(+), 1 deletion(-) diff --git a/crow/sysenv/parallelism/__init__.py b/crow/sysenv/parallelism/__init__.py index 632782e..3d433ab 100644 --- a/crow/sysenv/parallelism/__init__.py +++ b/crow/sysenv/parallelism/__init__.py @@ -1,12 +1,17 @@ from crow.sysenv.exceptions import UnknownParallelismError import crow.sysenv.parallelism.HydraIMPI +import crow.sysenv.parallelism.AprunCrayMPI from crow.sysenv.parallelism.HydraIMPI \ import Parallelism as HydraIMPIParallelism +from crow.sysenv.parallelism.AprunCrayMPI \ + import Parallelism as AprunCrayMPIParallelism KNOWN_PARALLELISM={ - 'HydraIMPI': HydraIMPIParallelism + 'HydraIMPI': HydraIMPIParallelism, + 'AprunCrayMPI': AprunCrayMPIParallelism } + def get_parallelism(name,settings): if name not in KNOWN_PARALLELISM: raise UnknownParallelismError(name) From 7e1d38d94f20ab584a73ebb46d256b565775d0db Mon Sep 17 00:00:00 2001 From: "Tery.McGuinness" Date: Wed, 20 Sep 2017 14:17:31 +0000 Subject: [PATCH 085/487] updated class file for schedulers --- crow/sysenv/schedulers/__init__.py | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) diff --git a/crow/sysenv/schedulers/__init__.py b/crow/sysenv/schedulers/__init__.py index 34ad3fb..6cb3138 100644 --- a/crow/sysenv/schedulers/__init__.py +++ b/crow/sysenv/schedulers/__init__.py @@ -1,8 +1,10 @@ from crow.sysenv.exceptions import UnknownSchedulerError from crow.sysenv.schedulers.MoabTorque import Scheduler as MoabTorqueScheduler +from crow.sysenv.schedulers.LSFAlps import Scheduler as LSFAlpsScheduler KNOWN_SCHEDULERS={ - 'MoabTorque': MoabTorqueScheduler + 'MoabTorque': MoabTorqueScheduler, + 'LSFAlps': LSFAlpsScheduler } def get_scheduler(name,settings): From cd68666f1aa9477f7335d51dd95ce7364d2ffbce Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Wed, 20 Sep 2017 20:07:00 +0000 Subject: [PATCH 086/487] result of todays coding binge, plus notes from sam about actions.yaml, platforms.yaml, and options.yaml --- model/fv3gfs/actions.yaml | 217 +++++++++++++++++ model/fv3gfs/example.xml | 245 +++++++++++++++++++ model/fv3gfs/job_wrapper.sh | 27 +++ model/fv3gfs/options.yaml | 43 ++++ model/fv3gfs/platform.yaml | 121 ++++++++++ model/fv3gfs/runtime.yaml | 13 + model/fv3gfs/setup_expt.py | 58 +++++ model/fv3gfs/workflow.yaml | 459 ++++++++++++++++++++++++++++++++++++ 8 files changed, 1183 insertions(+) create mode 100644 model/fv3gfs/actions.yaml create mode 100644 model/fv3gfs/example.xml create mode 100755 model/fv3gfs/job_wrapper.sh create mode 100644 model/fv3gfs/options.yaml create mode 100644 model/fv3gfs/platform.yaml create mode 100644 model/fv3gfs/runtime.yaml create mode 100755 model/fv3gfs/setup_expt.py create mode 100644 model/fv3gfs/workflow.yaml diff --git a/model/fv3gfs/actions.yaml b/model/fv3gfs/actions.yaml new file mode 100644 index 0000000..e296be5 --- /dev/null +++ b/model/fv3gfs/actions.yaml @@ -0,0 +1,217 @@ +action_template: &action_template + BASE_GSI: !calc doc.platform.places.BASE_GSI + BASE_GSM: !calc doc.platform.places.BASE_GSM + ... + +this_is_ecen: !calc doc.ecen + +ecen: &ecen_action + <<: *action_template + + # ---------------------------------------- + # From config.resources + walltime: !timedelta 00:30:00 + resources: !calc run_ecen + rocoto_memory: "3072M" + + # Each command (APRUN_whatever) in config.resources needs a + # run_whatever entry in the corresponding action. + run_ecen: !calc run_ecen + + # Executable name is specified deep inside scripts + # Use "placeholder" for exe name + + # ---------------------------------------- + # From config.ecen + ENKFRECENSH: !expand {BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_recenter_fv3gfs.sh.ecf + nth_ecen: 2 + + # export CHGRESSH="$BASE_GSM/ush/global_chgres_GSM.sh" becomes: + CHGRESSH: !expand {BASE_GSM}/ush/global_chgres_GSM.sh + + CHGRESEXEC: !expand {BASE_GSM}/exec/global_chgres_GSM + CHGRESVARS_ENKF: "use_ufo=.true.,nopdpvv=.true." + CHGRESTHREAD: 12 + +epos: ... + + + + + + + + + + + + + + + + + + + + + + +# ignore everything after this line + + + +######################################################################## + +# Convenient blocks to include in actions: + +shared_serial_action: &shared_serial_action + <<: [ *runtime, *options ] + com: !calc "{doc.runtime.com}" + prior_com: !calc "{doc.runtime.prior_com}" + rocoto_memory: "2G" + accounting: !calc doc.platform.serial_accounting + resources: [ { exe: placeholder } ] + walltime: !timedelta 00:10:00 + +parallel_unlimited_memory_action: ¶llel_unlimited_memory_action + <<: [ *runtime, *options ] + rocoto_memory: '' + accounting: !calc doc.platform.parallel_accounting + walltime: !timedelta 00:10:00 + +generic_fcst: &generic_fcst_action + <<: *parallel_unlimited_memory_action + dt_rand: !calc dt_rand_fcst + dt_write: !calc dt_write_fcst + infile: !expand "{com}/analysis.grid" + outfile_format: "fcst_######.grid" + start_time: !timedelta 0:00 + namelist: !expand | + &settings + nx={nx} + ny={ny} + infile={tools.fort(infile)} + outfile_format={tools.fort(outfile_format)} + dt_write={round(tools.as_seconds(dt_write)/3600)} + start_time={round(tools.as_seconds(start_time)/3600)} + end_time={round(tools.as_seconds(end_time)/3600)} + global_seed={seed} + dt_rand={dt_rand} + / + +######################################################################## + +# Actual actions: + +final: &final_action !Action + <<: *shared_serial_action + J_JOB: JFINAL + +start_cycle: &start_cycle_action !Action + <<: *shared_serial_action + J_JOB: JSTART_CYCLE + +clim_init: &clim_init_action !Action + <<: *parallel_unlimited_memory_action + J_JOB: JCLIM_INIT + resources: + - exe: !calc doc.executables.climatology_init + mpi_ranks: !calc doc.platform.init_ranks + namelist: !expand | + &settings + nx={nx} + ny={ny} + global_seed={seed} + outfile={outfile} + / + outfile: "analysis.grid" + +clim_fcst: &clim_fcst_action !Action + <<: *generic_fcst_action + J_JOB: JCLIM_FCST + resources: + - exe: !calc doc.executables.fcst + mpi_ranks: !calc doc.platform.fcst_ranks + copy_glob: 'fcst*grid' + end_time: !calc fcst_len + +ens_fcst: &ens_fcst_action !Action + <<: *generic_fcst_action + J_JOB: JENS_FCST + resources: + - exe: !calc doc.executables.fcst + mpi_ranks: !calc doc.platform.ens_ranks + + member_id: null + dt_write: !calc doc.options.cycle_len + infile: !expand "{prior_com}/analysis.grid" + seed: !calc doc.options.seed + member_id + cyc_len_int: !calc round(tools.as_seconds(doc.options.cycle_len)/3600) + ens_output_num: !calc int(tools.as_seconds(dt_write)/3600) + ens_output: !expand "output_{ens_output_num:06d}.grid" + ens_com_filename: !expand "member_{member_id:06d}.grid" + outfile_format: "output_######.grid" + end_time: !calc doc.clock.next(1)-doc.clock.now + +fcst: &fcst_action !Action + <<: *generic_fcst_action + J_JOB: JFCST + resources: + - exe: !calc doc.executables.fcst + mpi_ranks: !calc doc.platform.fcst_ranks + copy_glob: 'fcst*grid' + end_time: !calc fcst_len + +post: &post_action !Action + <<: *parallel_unlimited_memory_action + J_JOB: JPOST + resources: + - exe: !calc doc.executables.post + mpi_ranks: !calc doc.platform.fcst_ranks + + namelist: !expand | + &settings + nx = {nx} + ny = {ny} + infile = {tools.fort(infile)} + / + + # fhr will be replaced at runtime + fhr: null + + # Input file to the post job. Note that it is calculated from the + # fhr, so it will change at runtime. + infile: !expand fcst_{fhr:06d}.grid + + # File wait settings for expost.sh. Units are bytes and seconds: + min_size: !calc "nx*ny*4 + 8" + min_age: 20 + sleep_wait: 30 + max_wait: 300 + + FCST_FREQ_HRS: !calc round(tools.as_seconds(dt_write_fcst)//3600) + FCST_LEN: !calc round(tools.as_seconds(fcst_len)//3600) + DT_WRITE: !calc round(tools.as_seconds(dt_write_fcst)//3600) + SLEEP_WAIT: !calc sleep_wait + MIN_SIZE: !calc min_size + MIN_AGE: !calc min_age + MAX_WAIT_STEPS: !calc "(max_wait+sleep_wait-1)//sleep_wait" + +analysis: &analysis_action !Action + <<: *parallel_unlimited_memory_action + J_JOB: JANALYSIS + resources: + - exe: !calc doc.executables.analysis + mpi_ranks: !calc doc.platform.fcst_ranks + member_input: member_%06d.grid + fcst_len_int: !calc round(tools.as_seconds(fcst_len)/3600) + guess_in: !expand "{prior_com}/fcst_{fcst_len_int:06d}.grid" + namelist: !expand | + &settings + nx = {nx} + ny = {ny} + members = {ens_members} + analysis_out = "analysis.grid" + ensemble_format = "member_######.grid" + guess_in = {tools.fort(guess_in)} + / diff --git a/model/fv3gfs/example.xml b/model/fv3gfs/example.xml new file mode 100644 index 0000000..70bbfc6 --- /dev/null +++ b/model/fv3gfs/example.xml @@ -0,0 +1,245 @@ + + + + + + + + + + + + + + + + +]> + + + + + 201708150000 201708201800 &CYCLE_INTERVAL; + + + &LOG_DIR;/rocoto_@Y@m@d@H.log + + + set -xue ; mkdir -p $COM_DIR + start_cycle_@Y@m@d@H/ + &ACCOUNT; + 00:05:00 + + + &SERIAL_QUEUE; + 100M + 1 + + + COM_DIR + &COM_DIR;/@Y@m@d@H + + &LOG_DIR;/@Y@m@d@H/start_cycle.log + + + + + + set -xue ; echo Would do something to prepare for ensemble here + ens_prep_@Y@m@d@H/ + &ACCOUNT; + 00:05:00 + + + &SERIAL_QUEUE; + + + + 2 + + + COM_DIR + &COM_DIR;/@Y@m@d@H + + &LOG_DIR;/@Y@m@d@H/ens_prep.log + + + + + + + + + + + + + + + + + + 001 002 003 004 005 006 007 008 009 010 + 011 012 013 014 015 016 017 018 019 020 + 021 022 023 024 025 026 027 028 029 030 + 031 032 033 034 035 036 037 038 039 040 + 041 042 043 044 045 046 047 048 049 050 + 051 052 053 054 055 056 057 058 059 060 + 061 062 063 064 065 066 067 068 069 070 + 071 072 073 074 075 076 077 078 079 080 + 081 082 083 084 085 086 087 088 089 090 + 091 092 093 094 095 096 097 098 099 100 + + + + + set -xue ; echo Run ensemble forecast #MEMBER# > $COM_DIR/ensfcst#MEMBER#.out + &LOG_DIR;/@Y@m@d@H/ens_fcst_#MEMBER#.log + ens_fcst_#MEMBER#_@Y@m@d@H/ + &ACCOUNT; + 00:05:00 + + &PARALLEL_QUEUE; + + + + 2:ppn=24+4:ppn=8 + + + COM_DIR + &COM_DIR;/@Y@m@d@H + + + + + + + + + + + set -xue ; cat $COM_DIR/ensfcst*.out > $COM_DIR/gsi.out + &LOG_DIR;/@Y@m@d@H/gsi.log + gsi + &ACCOUNT; + 00:05:00 + + + &PARALLEL_QUEUE; + + 48 + + + COM_DIR + &COM_DIR;/@Y@m@d@H + + + + + + + + + + + set -xue ; sort $COM_DIR/gsi.out > $COM_DIR/fcst.out ; sleep 180 ; echo done + &LOG_DIR;/@Y@m@d@H/fcst.log + fcst_@Y@m@d@H/ + &ACCOUNT; + 00:05:00 + + &PARALLEL_QUEUE; + + 3:ppn=12 + + + COM_DIR + &COM_DIR;/@Y@m@d@H + + + + + + + + + + + set -xue ; echo would run post job > $COM_DIR/post.out ; sleep 180 ; echo done + &LOG_DIR;/@Y@m@d@H/post.log + post + &ACCOUNT; + 00:05:00 + + &PARALLEL_QUEUE; + + 2:ppn=12 + + + COM_DIR + &COM_DIR;/@Y@m@d@H + + + + + + + + + + + + set -xue ; echo would archive results > $COM_DIR/archive.out + &LOG_DIR;/@Y@m@d@H/archive.log + archive_@Y@m@d@H/ + &ACCOUNT; + 00:05:00 + + &TRANSFER_QUEUE; + 100M + 1 + + + COM_DIR + &COM_DIR;/@Y@m@d@H + + + + + + + + + + + + + + + diff --git a/model/fv3gfs/job_wrapper.sh b/model/fv3gfs/job_wrapper.sh new file mode 100755 index 0000000..43e46f0 --- /dev/null +++ b/model/fv3gfs/job_wrapper.sh @@ -0,0 +1,27 @@ +#! /bin/sh + +# Theia workaround. Reduce stack soft limit while running "module" to +# avoid runaway memory allocation: +ulimit_s=$( ulimit -S -s ) +ulimit -S -s 10000 + +source /apps/lmod/lmod/init/sh + +# FIXME: Remove hard-coded modules. +module purge +module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/python/modulefiles/ +module load python/3.6.1-emc +module load intel +module load impi + +# Restore stack soft limit: +ulimit -S -s "$ulimit_s" +unset ulimit_s + +set -xue + +export PYTHONPATH="$HOMEcrow${PYTHONPATH:+:$PYTHONPATH}" + +python3.6 -c 'import crow ; print(f"CROW library version {crow.version}")' + +"$HOMEtest/jobs/$1" diff --git a/model/fv3gfs/options.yaml b/model/fv3gfs/options.yaml new file mode 100644 index 0000000..4eb526b --- /dev/null +++ b/model/fv3gfs/options.yaml @@ -0,0 +1,43 @@ +places: + HOMEDIR: "/scratch4/NCEPDEV/ocean/save/{tools.env('USER')}" + STMP: "{doc.platform.least_used_scrub}{tools.env('USER')}" + PTMP: "{doc.platform.least_used_scrub}{tools.env('USER')}" + NOSCRUB: "/scratch4/NCEPDEV/ocean/noscrub/{tools.env('USER')}" + + # Locations of CROW and this test suite. + HOMEcrow: !calc tools.crow_install_dir() + HOMEtest: !calc tools.crow_install_dir('examples/real-workflow') + +options: &options + # Grid size: + nx: 400 + ny: 400 + + # User-defined experiment name; should be a-z followed by alphanumeric: + experiment_name: expt + + # Project for CPU accounting. + cpu_project: !calc doc.platform.default_cpu_project + + # Project for storing HPSS data. + hpss_project: emc-ocean + + # Random number seed: + seed: 381 + + # Hours between cycles: + cycle_len: !timedelta 6:00 + + # Number of hours to forecast: + fcst_len: !timedelta 24:00 + + # Time between writes of output files + dt_write_fcst: !timedelta 3:00 + + # Number of random number generator iterations for forecast & + # ensemble forecast, respectively: + dt_rand_fcst: 500 + dt_rand_ens_fcst: 100 + + # Not configurable yet; do not change. Number of ensemble members. + ens_members: 100 diff --git a/model/fv3gfs/platform.yaml b/model/fv3gfs/platform.yaml new file mode 100644 index 0000000..de34e7c --- /dev/null +++ b/model/fv3gfs/platform.yaml @@ -0,0 +1,121 @@ +resource_defaults: &resource_defaults + + # From if[[...ecen]] block in config.resources: + run_ecen: + - exe: placeholder + mpi_ranks: 84 + # max_ppn comes from THEIA.env: 84/12 = 7 + max_ppn: 7 + + # THEIA.env: nth_max=$(($npe_node_max / $npe_node_fcst)) + # export NTHREADS_ECEN=$nth_max + OMP_NUM_THREADS: max + + run_chgres: + - exe: time + OMP_NUM_THREADS: max + args: + - placeholder + +theia: &theia !Platform + <<: *resource_defaults + Evaluate: false + detect: !calc tools.isdir('/scratch4') and tools.isdir('/scratch3') + ACCOUNT: marine-cpu + QUEUE: batch + QUEUE_ARCH: service + + # Base directories for various builds + BASE_SVN: "/scratch4/NCEPDEV/global/save/glopara/svn" + MYBASE_SVN: "/scratch4/NCEPDEV/global/save/{tools.env('USER')}/svn" + BASE_GFS: "/scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17/global_shared.v14.1.0" + POSTGRB2TBL: "/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" + + exes: + NEMSIOGET: "$NWPROD/util/exec/nemsio_get" + NDATE: "$NWPROD/util/exec/ndate" + NHOUR: "$NWPROD/util/exec/nhour" + WGRIB: "$NWPROD/util/exec/wgrib" + WGRIB2: "$NWPROD/util/exec/wgrib2" + COPYGB: "$NWPROD/util/exec/copygb" + COPYGB2: "$NWPROD/util/exec/copygb2" + GRBINDEX: "$NWPROD/util/exec/grbindex" + GRB2INDEX: "$NWPROD/util/exec/grb2index" + GRBINDEX2: "$NWPROD/util/exec/grb2index" + CNVGRIB: "/apps/cnvgrib/1.4.0/bin/cnvgrib" + + default_cpu_project: marine-cpu + # Path to pan_df, the program used to get Panasas disk usage information: + pan_df: pan_df + serial_accounting: + queue: debug + project: !calc doc.options.cpu_project + transfer_accounting: + queue: batch + project: !calc doc.options.cpu_project + parallel_accounting: + queue: batch + project: !calc doc.options.cpu_project + scheduler: &theia_scheduler + name: MoabTorque + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + parallelism: + <<: *theia_scheduler + name: HydraIMPI + least_used_scrub: !Immediate + - !FirstMax + - do: /scratch3/NCEPDEV/stmp1 + when: !calc tools.panasas_gb(do) + - do: /scratch3/NCEPDEV/stmp2 + when: !calc tools.panasas_gb(do) + - do: /scratch4/NCEPDEV/stmp3 + when: !calc tools.panasas_gb(do) + - do: /scratch4/NCEPDEV/stmp4 + when: !calc tools.panasas_gb(do) + +wcoss_cray: &wcoss_cray !Platform + <<: *resource_defaults + Evaluate: false + detect: !calc tools.isdir('/scratch4') and tools.isdir('/scratch3') + default_cpu_project: GFS-T2O + # Path to mmlsquota, the program used to get GPFS disk usage information: + mmlsquota: '/usr/lpp/mmfs/bin/mmlsquota' + serial_accounting: + queue: dev + project: !calc doc.options.cpu_project + transfer_accounting: + queue: transfer + project: !calc doc.options.cpu_project + parallel_accounting: + queue: dev + project: !calc doc.options.cpu_project + scheduler: &wcoss_cray_scheduler + name: LSFAlps + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + parallelism: + <<: *wcoss_cray_scheduler + name: LSFAlps + least_used_scrub: !Immediate + - !FirstMax + - do: /gpfs/hps2/ptmp + when: !calc tools.gpfs_gb(do,'hps2-ptmp','hps2',mmlsquota) + - do: /gpfs/hps3/ptmp + when: !calc tools.gpfs_gb(do,'hps3-ptmp','hps3',mmlsquota) + detect: !calc tools.isdir('/gpfs/hps') and tools.isfile('/etc/SuSE-release') + +platform: !Immediate + - !FirstTrue + - do: + <<: *wcoss_cray + Evaluate: true + when: !calc do.detect + - do: + <<: *theia + Evaluate: true + when: !calc do.detect diff --git a/model/fv3gfs/runtime.yaml b/model/fv3gfs/runtime.yaml new file mode 100644 index 0000000..3da3013 --- /dev/null +++ b/model/fv3gfs/runtime.yaml @@ -0,0 +1,13 @@ +runtime: &runtime + com: !calc tools.env('COMINtest') + prior_com: !calc tools.env('COMOLDtest') + +clock: &clock !Clock + start: 2017-08-15t00:00:00 + end: 2017-08-20t18:00:00 + step: !timedelta 06:00 + now: !FirstTrue + - do: !calc tools.from_YMDH(tools.env('YMDH')) + when: !calc tools.have_env('YMDH') + - do: null + when: true diff --git a/model/fv3gfs/setup_expt.py b/model/fv3gfs/setup_expt.py new file mode 100755 index 0000000..bcdf554 --- /dev/null +++ b/model/fv3gfs/setup_expt.py @@ -0,0 +1,58 @@ +#! /usr/bin/env python3.6 + +import os, sys, logging +import crow.config +from crow.config import Platform +import crow.metascheduler + +logging.basicConfig(stream=sys.stderr,level=logging.INFO, + format='%(module)s:%(lineno)d: %(levelname)8s: %(message)s') +logger=logging.getLogger('setup_expt') + +conf=crow.config.from_file( + 'platform.yaml','options.yaml','runtime.yaml', + 'actions.yaml','workflow.yaml') + +force = len(sys.argv)>1 and sys.argv[1] == '--force' + +logger.info('Remove platforms from configuration.') +for key in list(conf.keys()): + if isinstance(conf[key],Platform) and key!='platform': + del conf[key] + +run_dir=conf.options.run_dir +logger.info(f'Run directory: {run_dir}') +config_yaml=os.path.join(run_dir,'config.yaml') +yaml=crow.config.to_yaml(conf) + +assert('namelist' in conf.generic_fcst) +assert('namelist' in conf.fcst) +assert('namelist' in conf.ens_fcst) + +try: + os.makedirs(run_dir) +except FileExistsError: + logger.warning(f'{run_dir}: exists') + if not force: + logger.error(f'{run_dir}: already exists. Delete or use --force.') + sys.exit(1) + logger.warning(f'--force given; will replace config.yaml without ' + 'deleting directory') + +logger.info(f'Write the config file: {config_yaml}') +with open(config_yaml,'wt') as fd: + fd.write(yaml) + +suite=conf.workflow + +expname=conf.options.experiment_name +logger.info(f'Experiment name: {expname}') + +rocoto_xml=crow.metascheduler.to_rocoto(suite) +rocoto_xml_file=os.path.join(run_dir,f'{expname}.xml') +logger.info(f'Rocoto XML file: {rocoto_xml_file}') +with open(rocoto_xml_file,'wt') as fd: + fd.write(rocoto_xml) +logger.info('Workflow XML file is generated.') +logger.info('Use Rocoto to execute this workflow.') + diff --git a/model/fv3gfs/workflow.yaml b/model/fv3gfs/workflow.yaml new file mode 100644 index 0000000..5147eb6 --- /dev/null +++ b/model/fv3gfs/workflow.yaml @@ -0,0 +1,459 @@ +Rocoto: &Rocoto + scheduler: !calc doc.platform.scheduler + parallelism: !calc doc.platform.parallelism + run_dir: !calc doc.options.run_dir + max_tries: 3 + + workflow_xml: !expand | + + + + + + + + + ]> + + &LOG_DIR;/rocoto_@Y@m@d@H.log + {to_rocoto.make_time_xml(indent=1)} + {to_rocoto.make_task_xml(indent=1)} + + + task_template: &task_template !expand | + $HOMEtest/workflow/job_wrapper.sh {Perform.J_JOB} + {doc.options.expt_name}{task_path_str}@@Y@m@d@H + &LOG_DIR;/@Y@m@d@H/{task_path_var}.log + {Perform.walltime} + {Perform.rocoto_memory} + {sched.rocoto_accounting(Perform.accounting)} + {sched.rocoto_resources(Perform.resources)} + CDATE@Y@m@d@H + + + COMOUTgfs + &COM_DIR;/@Y@m@d@H + + + OLD_COM_DIR + &COM_DIR;/@Y@m@d@H + + + SCRUB_DIR + &SCRUB_DIR;/@Y@m@d@H + + + TASK_PATH + {task_path_str} + + + CONFIG_YAML + {doc.options.run_dir}/config.yaml + + + HOMEfv3gfs + {doc.options.HOMEcrow} + + + HOMEtest + {doc.options.HOMEtest} + + + ens_task_template: &ens_task_template !expand | + + ENS_START_MEMBER + {Perform.start_mem} + + + ENS_STOP_MEMBER + {Perform.stop_mem} + + +workflow: !Cycle + Rocoto: *Rocoto + + Clock: *clock + + gdas: !Family + prep: !Task + Perform: + <<: *prep_action + CDUMP: gdas + Rocoto: *task_template + Complete: !Depend ~ suite.has_cycle('-6:00:00') + Trigger: !Depend up.gdas.post.at('-6:00:00') + + enkf: !Family + eobs: !Task + Perform: *eobs_action + Rocoto: *task_template + Complete: !Depend ~ suite.has_cycle('-6:00:00') + Trigger: !Depend ( up.prep & epos.at('-6:00:00') ) + + eomg: !Family + Trigger: !Depend eobs + Complete: !Depend ~ suite.has_cycle('-6:00:00') + grp1: !Task + Perform: + <<: *eomg_action + NMEM_ENKF: *NMEM_ENKF + NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 1 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *ens_task_template + Rocoto: !expand "{task_template}{ens_more}" + + grp2: !Task + Perform: + <<: *eomg_action + NMEM_ENKF: *NMEM_ENKF + NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 2 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *ens_task_template + Rocoto: !expand "{task_template}{ens_more}" + + grp3: !Task + Perform: + <<: *eomg_action + NMEM_ENKF: *NMEM_ENKF + NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 3 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *ens_task_template + Rocoto: !expand "{task_template}{ens_more}" + + grp4: !Task + Perform: + <<: *eomg_action + NMEM_ENKF: *NMEM_ENKF + NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 4 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *ens_task_template + Rocoto: !expand "{task_template}{ens_more}" + + grp5: !Task + Perform: + <<: *eomg_action + NMEM_ENKF: *NMEM_ENKF + NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 5 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *ens_task_template + Rocoto: !expand "{task_template}{ens_more}" + + grp6: !Task + Perform: + <<: *eomg_action + NMEM_ENKF: *NMEM_ENKF + NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 6 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *ens_task_template + Rocoto: !expand "{task_template}{ens_more}" + + grp7: !Task + Perform: + <<: *eomg_action + NMEM_ENKF: *NMEM_ENKF + NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 7 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *ens_task_template + Rocoto: !expand "{task_template}{ens_more}" + + grp8: !Task + Perform: + <<: *eomg_action + NMEM_ENKF: *NMEM_ENKF + NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 8 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *ens_task_template + Rocoto: !expand "{task_template}{ens_more}" + + eupd: !Task + Perform: *eupd_action + Rocoto: *task_template + Complete: !Depend ~ suite.has_cycle('-6:00:00') + Trigger: !Depend eomg + + ecen: !Task + Perform: *ecen_action + Rocoto: *task_template + Complete: !Depend ~ suite.has_cycle('-6:00:00') + Trigger: !Depend ( eupd & up.anal.at('-6:00:00') ) + +# efcs: !TaskArray +# Trigger: !Depend ecen +# Indices: +# GROUP_NUMBER_INDEX: [ 1, 2, 3, 4, 5, 6, 7, 8 ] +# OTHER_INDEX: [ a, b, c, d ] +# Names: +# grp: !expand grp{indices.GROUP_NUMBER_INDEX:%d}_{indices.OTHER_INDEX} +# other: !expand other{indices.OTHER_INDEX}_{indices.GROUP_NUMBER_INDEX} +# Contents: +# other: !Task +# ... +# grp: !Task +# Perform: +# <<: *efcs_action +# NMEM_ENKF: *NMEM_ENKF +# NMEM_ENKF_GRP_EFMN: *NMEM_ENKF_GRP_EFMN +# GROUP_NUMBER: !calc indices.GROUP_NUMBER_INDEX # Convert to ENSGRP %02d +# task_template: *task_template +# ens_more: *ens_task_template +# Rocoto: !expand "{task_template}{ens_more}" + + efcs: !Family + Trigger: !Depend ( ecen | ~ suite.has_cycle('-6:00:00') ) + grp1: !Task + Perform: + <<: *efcs_action + NMEM_ENKF: *NMEM_ENKF + NMEM_ENKF_GRP_EFMN: *NMEM_ENKF_GRP_EFMN + GROUP_NUMBER: 1 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *ens_task_template + Rocoto: !expand "{task_template}{ens_more}" + + grp2: !Task + Perform: + <<: *efcs_action + NMEM_ENKF: *NMEM_ENKF + NMEM_ENKF_GRP_EFMN: *NMEM_ENKF_GRP_EFMN + GROUP_NUMBER: 2 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *ens_task_template + Rocoto: !expand "{task_template}{ens_more}" + + grp3: !Task + Perform: + <<: *efcs_action + NMEM_ENKF: *NMEM_ENKF + NMEM_ENKF_GRP_EFMN: *NMEM_ENKF_GRP_EFMN + GROUP_NUMBER: 3 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *ens_task_template + Rocoto: !expand "{task_template}{ens_more}" + + grp4: !Task + Perform: + <<: *efcs_action + NMEM_ENKF: *NMEM_ENKF + NMEM_ENKF_GRP_EFMN: *NMEM_ENKF_GRP_EFMN + GROUP_NUMBER: 4 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *ens_task_template + Rocoto: !expand "{task_template}{ens_more}" + + grp5: !Task + Perform: + <<: *efcs_action + NMEM_ENKF: *NMEM_ENKF + NMEM_ENKF_GRP_EFMN: *NMEM_ENKF_GRP_EFMN + GROUP_NUMBER: 5 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *ens_task_template + Rocoto: !expand "{task_template}{ens_more}" + + grp6: !Task + Perform: + <<: *efcs_action + NMEM_ENKF: *NMEM_ENKF + NMEM_ENKF_GRP_EFMN: *NMEM_ENKF_GRP_EFMN + GROUP_NUMBER: 6 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *ens_task_template + Rocoto: !expand "{task_template}{ens_more}" + + grp7: !Task + Perform: + <<: *efcs_action + NMEM_ENKF: *NMEM_ENKF + NMEM_ENKF_GRP_EFMN: *NMEM_ENKF_GRP_EFMN + GROUP_NUMBER: 7 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *ens_task_template + Rocoto: !expand "{task_template}{ens_more}" + + grp8: !Task + Perform: + <<: *efcs_action + NMEM_ENKF: *NMEM_ENKF + NMEM_ENKF_GRP_EFMN: *NMEM_ENKF_GRP_EFMN + GROUP_NUMBER: 8 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *ens_task_template + Rocoto: !expand "{task_template}{ens_more}" + + epos: !Task + Perform: *epos_action + Rocoto: *task_template + Trigger: !Depend efcs + + anal: !Task + Perform: + <<: *anal_action + CDUMP: gdas + Rocoto: *task_template + Complete: !Depend ~ suite.has_cycle('-6:00:00') + Trigger: !Depend ( prep & enkf.epos.at('-6:00:00') ) + + fcst: !Task + Perform: + <<: *fcst_action + CDUMP: gdas + Rocoto: *task_template + Trigger: !Depend ( anal | ~ suite.has_cycle('-6:00:00') ) + + post: !Task + Perform: + <<: *post_action + CDUMP: gdas + Rocoto: *task_template + Trigger: !Depend fcst + + vrfy: !Task + Perform: + <<: *vrfy_action + CDUMP: gdas + Rocoto: *task_template + Trigger: !Depend post + + gfs: !Family + Complete: !Depend ~ suite.has_cycle('-6:00:00') + prep: !Task + Perform: + <<: *prep_action + CDUMP: gfs + Rocoto: *task_template + Trigger: !Depend up.gdas.post.at('-6:00:00') + + anal: !Task + Perform: + <<: *anal_action + CDUMP: gfs + Rocoto: *task_template + Trigger: !Depend ( prep & up.gdas.enkf.epos.at('-6:00:00') ) + + fcst: !Task + Perform: + <<: *fcst_action + CDUMP: gfs + Rocoto: *task_template + Trigger: !Depend anal + + post: !Task + Perform: + <<: *post_action + CDUMP: gfs + Rocoto: *task_template + Trigger: !Depend fcst + + vrfy: !Task + Perform: + <<: *vrfy_action + CDUMP: gfs + Rocoto: *task_template + Trigger: !Depend post + + archive: !Family + gdasarch: !Task + Perform: + <<: *arch_action + CDUMP: gdas + Rocoto: *task_template + Trigger: !Depend up.gdas.vrfy + + gfsarch: !Task + Perform: + <<: *arch_action + CDUMP: gfs + Rocoto: *task_template + Complete: !Depend ~ suite.has_cycle('-6:00:00') + Trigger: !Depend up.gfs.vrfy + + earc: !Family + Trigger: !Depend up.gdas.enkf.epos + grp1: !Task + Perform: + <<: *earc_action + NMEM_ENKF: *NMEM_ENKF + NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 1 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *ens_task_template + Rocoto: !expand "{task_template}{ens_more}" + grp2: !Task + Perform: + <<: *earc_action + NMEM_ENKF: *NMEM_ENKF + NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 2 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *ens_task_template + Rocoto: !expand "{task_template}{ens_more}" + grp3: !Task + Perform: + <<: *earc_action + NMEM_ENKF: *NMEM_ENKF + NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 3 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *ens_task_template + Rocoto: !expand "{task_template}{ens_more}" + grp4: !Task + Perform: + <<: *earc_action + NMEM_ENKF: *NMEM_ENKF + NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 4 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *ens_task_template + Rocoto: !expand "{task_template}{ens_more}" + grp5: !Task + Perform: + <<: *earc_action + NMEM_ENKF: *NMEM_ENKF + NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 5 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *ens_task_template + Rocoto: !expand "{task_template}{ens_more}" + grp6: !Task + Perform: + <<: *earc_action + NMEM_ENKF: *NMEM_ENKF + NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 6 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *ens_task_template + Rocoto: !expand "{task_template}{ens_more}" + grp7: !Task + Perform: + <<: *earc_action + NMEM_ENKF: *NMEM_ENKF + NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 7 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *ens_task_template + Rocoto: !expand "{task_template}{ens_more}" + grp8: !Task + Perform: + <<: *earc_action + NMEM_ENKF: *NMEM_ENKF + NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 8 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *ens_task_template + Rocoto: !expand "{task_template}{ens_more}" + + final: !Task + Perform: *final_action + Rocoto: *task_template From f3740df039414f998e45c27e6753770c86e8fddd Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Wed, 20 Sep 2017 20:14:29 +0000 Subject: [PATCH 087/487] example from Sam of connecting a template to the options.yaml --- model/fv3gfs/options.yaml | 1 + model/fv3gfs/template.yaml | 3 +++ 2 files changed, 4 insertions(+) create mode 100644 model/fv3gfs/template.yaml diff --git a/model/fv3gfs/options.yaml b/model/fv3gfs/options.yaml index 4eb526b..2310e47 100644 --- a/model/fv3gfs/options.yaml +++ b/model/fv3gfs/options.yaml @@ -9,6 +9,7 @@ places: HOMEtest: !calc tools.crow_install_dir('examples/real-workflow') options: &options + Template: *options_template # Grid size: nx: 400 ny: 400 diff --git a/model/fv3gfs/template.yaml b/model/fv3gfs/template.yaml new file mode 100644 index 0000000..d77250e --- /dev/null +++ b/model/fv3gfs/template.yaml @@ -0,0 +1,3 @@ +options_template: &options_template !Template + do_vort_damp: + type: bool From c4245d23b9a92169e2fc54177131d1d156169c51 Mon Sep 17 00:00:00 2001 From: Kate Howard Date: Fri, 22 Sep 2017 13:10:38 +0000 Subject: [PATCH 088/487] Added a bunch of config.base variables to options.yaml --- model/fv3gfs/options.yaml | 65 ++++++++++++++++++++++++++++++++++----- 1 file changed, 57 insertions(+), 8 deletions(-) diff --git a/model/fv3gfs/options.yaml b/model/fv3gfs/options.yaml index 2310e47..2aced64 100644 --- a/model/fv3gfs/options.yaml +++ b/model/fv3gfs/options.yaml @@ -1,8 +1,8 @@ places: - HOMEDIR: "/scratch4/NCEPDEV/ocean/save/{tools.env('USER')}" + HOMEDIR: "/scratch4/NCEPDEV/global/save/{tools.env('USER')}" STMP: "{doc.platform.least_used_scrub}{tools.env('USER')}" PTMP: "{doc.platform.least_used_scrub}{tools.env('USER')}" - NOSCRUB: "/scratch4/NCEPDEV/ocean/noscrub/{tools.env('USER')}" + NOSCRUB: "/scratch4/NCEPDEV/global/noscrub/{tools.env('USER')}" # Locations of CROW and this test suite. HOMEcrow: !calc tools.crow_install_dir() @@ -10,18 +10,67 @@ places: options: &options Template: *options_template - # Grid size: - nx: 400 - ny: 400 # User-defined experiment name; should be a-z followed by alphanumeric: - experiment_name: expt + experiment_name: expt #Formally known as PSLOT + + # User paths + EXPDIR: {HOMEDIR}/{experiment_name} + ROTDIR: {PTMP}/{experiment_name} + RUNDIR: {STMP}/RUNDIRS/{experiment_name} + ARCDIR: {NOSCRUB}/archive/{experiment_name} + ATARDIR: /NCEPDEV/$HPSS_PROJECT/1year/{tools.env('USER')}/$machine/scratch/{experiment_name} + + # Experiment specific parameters + REALTIME: NO + SDATE: 2016100100 + EDATE: 2016100112 + + # Component paths + MYBASE_SVN: {NOSCRUB}/{tools.env('USER')}/svn + BASE_GSI: $BASE_GSM/sorc/gsi.fd + BASE_NEMSfv3gfs: $BASE_GSM/sorc/fv3gfs.fd + BASE_POST: $BASE_SVN/fv3gfs/tags/post4fv3 + BASE_PREP: $BASE_SVN/obsproc/releases/obsproc_prep_RB-4.0.0 + BASE_PREP_GLOBAL: $BASE_SVN/obsproc/releases/obsproc_global_RB-3.0.0 + BASE_VERIF: $BASE_SVN/verif/global/tags/vsdb # Project for CPU accounting. - cpu_project: !calc doc.platform.default_cpu_project + cpu_project: !calc doc.platform.default_cpu_project #Formally known as ACCOUNT # Project for storing HPSS data. - hpss_project: emc-ocean + hpss_project: emc-global + + # Experiment settings + # Resolution + LEVS: 65 + CASE: C384 + CASE_ENKF: C192 + + # Surface cycle update frequency + FHCYC: 24 + + # GDAS cycle info + FHMIN: 0 # GDAS forecast initial hour + FHMAX: 9 # GDAS forecast length in hours + FHOUT: 3 # GDAS forecast output frequency in hours + + # GFS cycle info + gfs_cyc: 4 # 0: no GFS cycle, 1: 00Z only, 2: 00Z and 12Z only, 4: all 4 cycles + FHMIN_GFS: 0 # GFS forecast initial hour + FHMAX_GFS: !timedelta 120 # GFS forecast length in hours + FHOUT_GFS: !timedelta 6 # GFS forecast output frequency in hours + + # Shared parameters/switches + DOHYBVAR: YES + NMEM_ENKF: 80 + RECENTER_ENKF: YES + l4densvar: .false. + lwrite4danl: .false. + + # Grid size: + nx: 400 + ny: 400 # Random number seed: seed: 381 From 87b244947fe83e194bbe4bd388df9873a31f0687 Mon Sep 17 00:00:00 2001 From: Kate Howard Date: Fri, 22 Sep 2017 13:12:59 +0000 Subject: [PATCH 089/487] Small fix to options.yaml --- model/fv3gfs/options.yaml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/model/fv3gfs/options.yaml b/model/fv3gfs/options.yaml index 2aced64..e3849ed 100644 --- a/model/fv3gfs/options.yaml +++ b/model/fv3gfs/options.yaml @@ -19,7 +19,7 @@ options: &options ROTDIR: {PTMP}/{experiment_name} RUNDIR: {STMP}/RUNDIRS/{experiment_name} ARCDIR: {NOSCRUB}/archive/{experiment_name} - ATARDIR: /NCEPDEV/$HPSS_PROJECT/1year/{tools.env('USER')}/$machine/scratch/{experiment_name} + ATARDIR: /NCEPDEV/{hpss_project}/1year/{tools.env('USER')}/$machine/scratch/{experiment_name} # Experiment specific parameters REALTIME: NO From c63371ac77ad4dfa206b1cf6929ec1cbaae93680 Mon Sep 17 00:00:00 2001 From: Kate Howard Date: Fri, 22 Sep 2017 13:17:17 +0000 Subject: [PATCH 090/487] More fixex to options.yaml --- model/fv3gfs/options.yaml | 24 ++++++++++++------------ 1 file changed, 12 insertions(+), 12 deletions(-) diff --git a/model/fv3gfs/options.yaml b/model/fv3gfs/options.yaml index e3849ed..baebf53 100644 --- a/model/fv3gfs/options.yaml +++ b/model/fv3gfs/options.yaml @@ -15,11 +15,11 @@ options: &options experiment_name: expt #Formally known as PSLOT # User paths - EXPDIR: {HOMEDIR}/{experiment_name} - ROTDIR: {PTMP}/{experiment_name} - RUNDIR: {STMP}/RUNDIRS/{experiment_name} - ARCDIR: {NOSCRUB}/archive/{experiment_name} - ATARDIR: /NCEPDEV/{hpss_project}/1year/{tools.env('USER')}/$machine/scratch/{experiment_name} + EXPDIR: "{HOMEDIR}/{experiment_name}" + ROTDIR: "{PTMP}/{experiment_name}" + RUNDIR: "{STMP}/RUNDIRS/{experiment_name}" + ARCDIR: "{NOSCRUB}/archive/{experiment_name}" + ATARDIR: !export "/NCEPDEV/{hpss_project}/1year/{tools.env('USER')}/{machine}/scratch/{experiment_name}" # Experiment specific parameters REALTIME: NO @@ -27,13 +27,13 @@ options: &options EDATE: 2016100112 # Component paths - MYBASE_SVN: {NOSCRUB}/{tools.env('USER')}/svn - BASE_GSI: $BASE_GSM/sorc/gsi.fd - BASE_NEMSfv3gfs: $BASE_GSM/sorc/fv3gfs.fd - BASE_POST: $BASE_SVN/fv3gfs/tags/post4fv3 - BASE_PREP: $BASE_SVN/obsproc/releases/obsproc_prep_RB-4.0.0 - BASE_PREP_GLOBAL: $BASE_SVN/obsproc/releases/obsproc_global_RB-3.0.0 - BASE_VERIF: $BASE_SVN/verif/global/tags/vsdb + MYBASE_SVN: "{NOSCRUB}/{tools.env('USER')}/svn" + BASE_GSI: "{BASE_GSM}/sorc/gsi.fd" + BASE_NEMSfv3gfs: "{BASE_GSM}/sorc/fv3gfs.fd" + BASE_POST: "{BASE_SVN}/fv3gfs/tags/post4fv3" + BASE_PREP: "{BASE_SVN}/obsproc/releases/obsproc_prep_RB-4.0.0" + BASE_PREP_GLOBAL: "{BASE_SVN}/obsproc/releases/obsproc_global_RB-3.0.0" + BASE_VERIF: "{BASE_SVN}/verif/global/tags/vsdb" # Project for CPU accounting. cpu_project: !calc doc.platform.default_cpu_project #Formally known as ACCOUNT From c4b28544ea67542254c25bd31c4a028ab2f14c97 Mon Sep 17 00:00:00 2001 From: Kate Howard Date: Fri, 22 Sep 2017 13:24:35 +0000 Subject: [PATCH 091/487] Add expands to options.yaml --- model/fv3gfs/options.yaml | 32 ++++++++++++++++---------------- 1 file changed, 16 insertions(+), 16 deletions(-) diff --git a/model/fv3gfs/options.yaml b/model/fv3gfs/options.yaml index baebf53..073c45d 100644 --- a/model/fv3gfs/options.yaml +++ b/model/fv3gfs/options.yaml @@ -1,8 +1,8 @@ places: - HOMEDIR: "/scratch4/NCEPDEV/global/save/{tools.env('USER')}" - STMP: "{doc.platform.least_used_scrub}{tools.env('USER')}" - PTMP: "{doc.platform.least_used_scrub}{tools.env('USER')}" - NOSCRUB: "/scratch4/NCEPDEV/global/noscrub/{tools.env('USER')}" + HOMEDIR: !expand "/scratch4/NCEPDEV/global/save/{tools.env('USER')}" + STMP: !expand "{doc.platform.least_used_scrub}{tools.env('USER')}" + PTMP: !expand "{doc.platform.least_used_scrub}{tools.env('USER')}" + NOSCRUB: !expand "/scratch4/NCEPDEV/global/noscrub/{tools.env('USER')}" # Locations of CROW and this test suite. HOMEcrow: !calc tools.crow_install_dir() @@ -15,11 +15,11 @@ options: &options experiment_name: expt #Formally known as PSLOT # User paths - EXPDIR: "{HOMEDIR}/{experiment_name}" - ROTDIR: "{PTMP}/{experiment_name}" - RUNDIR: "{STMP}/RUNDIRS/{experiment_name}" - ARCDIR: "{NOSCRUB}/archive/{experiment_name}" - ATARDIR: !export "/NCEPDEV/{hpss_project}/1year/{tools.env('USER')}/{machine}/scratch/{experiment_name}" + EXPDIR: !expand "{HOMEDIR}/{experiment_name}" + ROTDIR: !expand "{PTMP}/{experiment_name}" + RUNDIR: !expand "{STMP}/RUNDIRS/{experiment_name}" + ARCDIR: !expand "{NOSCRUB}/archive/{experiment_name}" + ATARDIR: !expand "/NCEPDEV/{hpss_project}/1year/{tools.env('USER')}/{machine}/scratch/{experiment_name}" # Experiment specific parameters REALTIME: NO @@ -27,13 +27,13 @@ options: &options EDATE: 2016100112 # Component paths - MYBASE_SVN: "{NOSCRUB}/{tools.env('USER')}/svn" - BASE_GSI: "{BASE_GSM}/sorc/gsi.fd" - BASE_NEMSfv3gfs: "{BASE_GSM}/sorc/fv3gfs.fd" - BASE_POST: "{BASE_SVN}/fv3gfs/tags/post4fv3" - BASE_PREP: "{BASE_SVN}/obsproc/releases/obsproc_prep_RB-4.0.0" - BASE_PREP_GLOBAL: "{BASE_SVN}/obsproc/releases/obsproc_global_RB-3.0.0" - BASE_VERIF: "{BASE_SVN}/verif/global/tags/vsdb" + MYBASE_SVN: !expand "{NOSCRUB}/{tools.env('USER')}/svn" + BASE_GSI: !expand "{BASE_GSM}/sorc/gsi.fd" + BASE_NEMSfv3gfs: !expand "{BASE_GSM}/sorc/fv3gfs.fd" + BASE_POST: !expand "{BASE_SVN}/fv3gfs/tags/post4fv3" + BASE_PREP: !expand "{BASE_SVN}/obsproc/releases/obsproc_prep_RB-4.0.0" + BASE_PREP_GLOBAL: !expand "{BASE_SVN}/obsproc/releases/obsproc_global_RB-3.0.0" + BASE_VERIF: !expand "{BASE_SVN}/verif/global/tags/vsdb" # Project for CPU accounting. cpu_project: !calc doc.platform.default_cpu_project #Formally known as ACCOUNT From 61095fba9fd1c32a91348dfb9890a86e1f0cc020 Mon Sep 17 00:00:00 2001 From: Kate Howard Date: Fri, 22 Sep 2017 13:28:28 +0000 Subject: [PATCH 092/487] Remove unneeded variables at bottom of options.yaml --- model/fv3gfs/options.yaml | 24 +----------------------- 1 file changed, 1 insertion(+), 23 deletions(-) diff --git a/model/fv3gfs/options.yaml b/model/fv3gfs/options.yaml index 073c45d..416ed7d 100644 --- a/model/fv3gfs/options.yaml +++ b/model/fv3gfs/options.yaml @@ -68,26 +68,4 @@ options: &options l4densvar: .false. lwrite4danl: .false. - # Grid size: - nx: 400 - ny: 400 - - # Random number seed: - seed: 381 - - # Hours between cycles: - cycle_len: !timedelta 6:00 - - # Number of hours to forecast: - fcst_len: !timedelta 24:00 - - # Time between writes of output files - dt_write_fcst: !timedelta 3:00 - - # Number of random number generator iterations for forecast & - # ensemble forecast, respectively: - dt_rand_fcst: 500 - dt_rand_ens_fcst: 100 - - # Not configurable yet; do not change. Number of ensemble members. - ens_members: 100 +#-END OF FILE-# From fe6944a65500b0f2ffb4fd437e8a3c7dd5ac7687 Mon Sep 17 00:00:00 2001 From: Kate Howard Date: Fri, 22 Sep 2017 13:33:30 +0000 Subject: [PATCH 093/487] Added svn variables to options.yaml --- model/fv3gfs/options.yaml | 14 ++++++++------ 1 file changed, 8 insertions(+), 6 deletions(-) diff --git a/model/fv3gfs/options.yaml b/model/fv3gfs/options.yaml index 416ed7d..16841ed 100644 --- a/model/fv3gfs/options.yaml +++ b/model/fv3gfs/options.yaml @@ -11,6 +11,12 @@ places: options: &options Template: *options_template + # Project for CPU accounting. + cpu_project: !calc doc.platform.default_cpu_project #Formally known as ACCOUNT + + # Project for storing HPSS data. + hpss_project: emc-global + # User-defined experiment name; should be a-z followed by alphanumeric: experiment_name: expt #Formally known as PSLOT @@ -28,6 +34,8 @@ options: &options # Component paths MYBASE_SVN: !expand "{NOSCRUB}/{tools.env('USER')}/svn" + BASE_FV3GFS: !expand "{BASE_SVN}/fv3gfs" + BASE_GSM: !expand "{BASE_FV3GFS}/global_shared.v15.0.0" BASE_GSI: !expand "{BASE_GSM}/sorc/gsi.fd" BASE_NEMSfv3gfs: !expand "{BASE_GSM}/sorc/fv3gfs.fd" BASE_POST: !expand "{BASE_SVN}/fv3gfs/tags/post4fv3" @@ -35,12 +43,6 @@ options: &options BASE_PREP_GLOBAL: !expand "{BASE_SVN}/obsproc/releases/obsproc_global_RB-3.0.0" BASE_VERIF: !expand "{BASE_SVN}/verif/global/tags/vsdb" - # Project for CPU accounting. - cpu_project: !calc doc.platform.default_cpu_project #Formally known as ACCOUNT - - # Project for storing HPSS data. - hpss_project: emc-global - # Experiment settings # Resolution LEVS: 65 From 1a6ad0b33041788d4579d5e7104e4d4cd67f50c4 Mon Sep 17 00:00:00 2001 From: Kate Howard Date: Fri, 22 Sep 2017 13:36:51 +0000 Subject: [PATCH 094/487] Moved some variables around in options.yaml --- model/fv3gfs/options.yaml | 14 +++++++------- 1 file changed, 7 insertions(+), 7 deletions(-) diff --git a/model/fv3gfs/options.yaml b/model/fv3gfs/options.yaml index 16841ed..c6783c9 100644 --- a/model/fv3gfs/options.yaml +++ b/model/fv3gfs/options.yaml @@ -12,13 +12,18 @@ options: &options Template: *options_template # Project for CPU accounting. - cpu_project: !calc doc.platform.default_cpu_project #Formally known as ACCOUNT + cpu_project: !calc doc.platform.default_cpu_project #Formerly known as ACCOUNT # Project for storing HPSS data. hpss_project: emc-global # User-defined experiment name; should be a-z followed by alphanumeric: - experiment_name: expt #Formally known as PSLOT + experiment_name: expt #Formerly known as PSLOT + + # Experiment specific parameters + REALTIME: NO + SDATE: 2016100100 + EDATE: 2016100112 # User paths EXPDIR: !expand "{HOMEDIR}/{experiment_name}" @@ -27,11 +32,6 @@ options: &options ARCDIR: !expand "{NOSCRUB}/archive/{experiment_name}" ATARDIR: !expand "/NCEPDEV/{hpss_project}/1year/{tools.env('USER')}/{machine}/scratch/{experiment_name}" - # Experiment specific parameters - REALTIME: NO - SDATE: 2016100100 - EDATE: 2016100112 - # Component paths MYBASE_SVN: !expand "{NOSCRUB}/{tools.env('USER')}/svn" BASE_FV3GFS: !expand "{BASE_SVN}/fv3gfs" From edfe5e8483b7f1cb39e21d7cb576113a71b685e8 Mon Sep 17 00:00:00 2001 From: Kate Howard Date: Fri, 22 Sep 2017 13:38:46 +0000 Subject: [PATCH 095/487] Some fixes --- model/fv3gfs/options.yaml | 16 ++++++++-------- 1 file changed, 8 insertions(+), 8 deletions(-) diff --git a/model/fv3gfs/options.yaml b/model/fv3gfs/options.yaml index c6783c9..ab4cc86 100644 --- a/model/fv3gfs/options.yaml +++ b/model/fv3gfs/options.yaml @@ -53,21 +53,21 @@ options: &options FHCYC: 24 # GDAS cycle info - FHMIN: 0 # GDAS forecast initial hour - FHMAX: 9 # GDAS forecast length in hours - FHOUT: 3 # GDAS forecast output frequency in hours + FHMIN: !timedelta 0 # GDAS forecast initial hour + FHMAX: !timedelta 9 # GDAS forecast length in hours + FHOUT: !timedelta 3 # GDAS forecast output frequency in hours # GFS cycle info gfs_cyc: 4 # 0: no GFS cycle, 1: 00Z only, 2: 00Z and 12Z only, 4: all 4 cycles - FHMIN_GFS: 0 # GFS forecast initial hour + FHMIN_GFS: !timedelta 0 # GFS forecast initial hour FHMAX_GFS: !timedelta 120 # GFS forecast length in hours FHOUT_GFS: !timedelta 6 # GFS forecast output frequency in hours # Shared parameters/switches - DOHYBVAR: YES + DOHYBVAR: "YES" NMEM_ENKF: 80 - RECENTER_ENKF: YES - l4densvar: .false. - lwrite4danl: .false. + RECENTER_ENKF: "YES" + l4densvar: ".false." + lwrite4danl: ".false." #-END OF FILE-# From 1afe776443cfcd171df527299cf5560cf2ba885a Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Fri, 22 Sep 2017 19:07:18 +0000 Subject: [PATCH 096/487] actions.yaml contains all action template scripts; platform.yaml contains all platform-dependent information workflow.yaml is the top level scripts containing job dependencies will generates .xml file when executing setup_expt.py --- model/fv3gfs/actions.yaml | 671 +++++++++++++++++++++++++++---------- model/fv3gfs/platform.yaml | 93 +++++ model/fv3gfs/workflow.yaml | 3 + 3 files changed, 581 insertions(+), 186 deletions(-) diff --git a/model/fv3gfs/actions.yaml b/model/fv3gfs/actions.yaml index e296be5..e6a583a 100644 --- a/model/fv3gfs/actions.yaml +++ b/model/fv3gfs/actions.yaml @@ -3,8 +3,6 @@ action_template: &action_template BASE_GSM: !calc doc.platform.places.BASE_GSM ... -this_is_ecen: !calc doc.ecen - ecen: &ecen_action <<: *action_template @@ -16,202 +14,503 @@ ecen: &ecen_action # Each command (APRUN_whatever) in config.resources needs a # run_whatever entry in the corresponding action. - run_ecen: !calc run_ecen - # Executable name is specified deep inside scripts # Use "placeholder" for exe name - # ---------------------------------------- # From config.ecen - ENKFRECENSH: !expand {BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_recenter_fv3gfs.sh.ecf + ENKFRECENSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_recenter_fv3gfs.sh.ecf" nth_ecen: 2 - - # export CHGRESSH="$BASE_GSM/ush/global_chgres_GSM.sh" becomes: - CHGRESSH: !expand {BASE_GSM}/ush/global_chgres_GSM.sh - - CHGRESEXEC: !expand {BASE_GSM}/exec/global_chgres_GSM + CHGRESSH: !expand "{BASE_GSM}/ush/global_chgres_GSM.sh" + CHGRESEXEC: !expand "{BASE_GSM}/exec/global_chgres_GSM" CHGRESVARS_ENKF: "use_ufo=.true.,nopdpvv=.true." CHGRESTHREAD: 12 -epos: ... - - - - - - - - - - - - - - - - - - - - - - -# ignore everything after this line - +epos: &epos_action + <<: *action_template + walltime: !timedelta 00:15:00 + resources: !calc run_epos + rocoto_memory: "3072M" + ENKFPOSTSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_post_fv3gfs.sh.ecf" + nth_epos: 2 +eobs: &eobs_action + <<: *action_template + walltime: !timedelta 00:15:00 + resources: !calc run_eobs + rocoto_memory: "3072M" + INVOBSSH: !expand "{BASE_GSI}/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" + ENKFINVOBSSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" + NMEM_EOMGGRP: 10 + RERUN_EOMGGRP: "YES" + npe_gsi: !expand "{npe_eobs}" + nth_gsi: 4 +# GSI namelist options related to observer for EnKF + OBSINPUT_INVOBS: "dmesh(1)=225.0,dmesh(2)=225.0" + OBSQC_INVOBS: "tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" + nth_eobs: 2 + +eomg: &eomg_action + <<: *action_template + walltime: !timedelta 00:15:00 + resources: !calc run_eomg + rocoto_memory: "3072M" + nth_eomg: 2 -######################################################################## +eupd: &eupd_action + <<: *action_template + walltime: !timedelta 00:15:00 + resources: !calc run_eupd + rocoto_memory: "3072M" + ENKFUPDSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_update_fv3gfs.sh.ecf" + ENKFEXEC: !expand "{BASE_GSI}/exec/global_enkf" + npe_enkf: !expand "{npe_eupd}" + nth_enkf: 4 + nth_eupd: 2 -# Convenient blocks to include in actions: +efcs: &efcs_action + <<: *action_template + walltime: !timedelta 00:15:00 + resources: !calc run_efcs + rocoto_memory: "3072M" + npe_fv3: !expand "{npe_efcs}" + nth_fv3: 1 + + ENKFFCSTSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" + NMEM_EFCSGRP: 10 + RERUN_EFCSGRP: "NO" + +# Stochastic physics parameters (only for ensemble forecasts) + SET_STP_SEED: "YES" + DO_SKEB: ".false." + SKEB: 0.8 + SKEB_TAU: 21600. + SKEB_LSCALE: 500000. + SKEBNORM: 1 + DO_SHUM: ".false." + SHUM: 0.006 + SHUM_TAU: 21600. + SHUM_LSCALE: 250000. + DO_SPPT: ".false." + SPPT: 0.8 + SPPT_TAU: 21600. + SPPT_LSCALE: 500000. + + DIAG_TABLE: !expand "{BASE_GSM}/parm/parm_fv3diag/diag_table_da" + restart_interval: 6 + + nth_efcs: 2 + +earc: &earc_action + <<: *action_template + walltime: !timedelta 00:15:00 + resources: !calc run_earc + rocoto_memory: "3072M" + NMEM_EARCGRP: 10 + nth_earc: 2 -shared_serial_action: &shared_serial_action - <<: [ *runtime, *options ] - com: !calc "{doc.runtime.com}" - prior_com: !calc "{doc.runtime.prior_com}" - rocoto_memory: "2G" - accounting: !calc doc.platform.serial_accounting - resources: [ { exe: placeholder } ] +prep: &prep_action + <<: *action_template walltime: !timedelta 00:10:00 + resources: !calc run_prep + rocoto_memory: "3072M" + DO_RELOCATE: "NO" + DO_MAKEPREPBUFR: "YES" # if NO, will copy prepbufr from globaldump + DRIVE_MAKEPREPBUFRSH: !expand "{BASE_GSM}/ush/drive_makeprepbufr.sh" + nth_prep: 2 -parallel_unlimited_memory_action: ¶llel_unlimited_memory_action - <<: [ *runtime, *options ] - rocoto_memory: '' - accounting: !calc doc.platform.parallel_accounting - walltime: !timedelta 00:10:00 +anal: &anal_action + <<: *action_template + walltime: !timedelta 01:30:00 + resources: !calc run_anal + rocoto_memory: "3072M" + ANALYSISSH: !expand "{BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" + GSIEXEC: !expand "${BASE_GSI}/exec/global_gsi" + npe_gsi: !expand "{npe_anal}" + nth_gsi: 4 + nth_anal: 2 -generic_fcst: &generic_fcst_action - <<: *parallel_unlimited_memory_action - dt_rand: !calc dt_rand_fcst - dt_write: !calc dt_write_fcst - infile: !expand "{com}/analysis.grid" - outfile_format: "fcst_######.grid" - start_time: !timedelta 0:00 - namelist: !expand | - &settings - nx={nx} - ny={ny} - infile={tools.fort(infile)} - outfile_format={tools.fort(outfile_format)} - dt_write={round(tools.as_seconds(dt_write)/3600)} - start_time={round(tools.as_seconds(start_time)/3600)} - end_time={round(tools.as_seconds(end_time)/3600)} - global_seed={seed} - dt_rand={dt_rand} - / - -######################################################################## - -# Actual actions: - -final: &final_action !Action - <<: *shared_serial_action - J_JOB: JFINAL - -start_cycle: &start_cycle_action !Action - <<: *shared_serial_action - J_JOB: JSTART_CYCLE - -clim_init: &clim_init_action !Action - <<: *parallel_unlimited_memory_action - J_JOB: JCLIM_INIT - resources: - - exe: !calc doc.executables.climatology_init - mpi_ranks: !calc doc.platform.init_ranks - namelist: !expand | - &settings - nx={nx} - ny={ny} - global_seed={seed} - outfile={outfile} - / - outfile: "analysis.grid" - -clim_fcst: &clim_fcst_action !Action - <<: *generic_fcst_action - J_JOB: JCLIM_FCST - resources: - - exe: !calc doc.executables.fcst - mpi_ranks: !calc doc.platform.fcst_ranks - copy_glob: 'fcst*grid' - end_time: !calc fcst_len - -ens_fcst: &ens_fcst_action !Action - <<: *generic_fcst_action - J_JOB: JENS_FCST - resources: - - exe: !calc doc.executables.fcst - mpi_ranks: !calc doc.platform.ens_ranks - - member_id: null - dt_write: !calc doc.options.cycle_len - infile: !expand "{prior_com}/analysis.grid" - seed: !calc doc.options.seed + member_id - cyc_len_int: !calc round(tools.as_seconds(doc.options.cycle_len)/3600) - ens_output_num: !calc int(tools.as_seconds(dt_write)/3600) - ens_output: !expand "output_{ens_output_num:06d}.grid" - ens_com_filename: !expand "member_{member_id:06d}.grid" - outfile_format: "output_######.grid" - end_time: !calc doc.clock.next(1)-doc.clock.now - -fcst: &fcst_action !Action - <<: *generic_fcst_action - J_JOB: JFCST - resources: - - exe: !calc doc.executables.fcst - mpi_ranks: !calc doc.platform.fcst_ranks - copy_glob: 'fcst*grid' - end_time: !calc fcst_len - -post: &post_action !Action - <<: *parallel_unlimited_memory_action - J_JOB: JPOST - resources: - - exe: !calc doc.executables.post - mpi_ranks: !calc doc.platform.fcst_ranks - - namelist: !expand | - &settings - nx = {nx} - ny = {ny} - infile = {tools.fort(infile)} - / - - # fhr will be replaced at runtime - fhr: null - - # Input file to the post job. Note that it is calculated from the - # fhr, so it will change at runtime. - infile: !expand fcst_{fhr:06d}.grid - - # File wait settings for expost.sh. Units are bytes and seconds: - min_size: !calc "nx*ny*4 + 8" - min_age: 20 - sleep_wait: 30 - max_wait: 300 - - FCST_FREQ_HRS: !calc round(tools.as_seconds(dt_write_fcst)//3600) - FCST_LEN: !calc round(tools.as_seconds(fcst_len)//3600) - DT_WRITE: !calc round(tools.as_seconds(dt_write_fcst)//3600) - SLEEP_WAIT: !calc sleep_wait - MIN_SIZE: !calc min_size - MIN_AGE: !calc min_age - MAX_WAIT_STEPS: !calc "(max_wait+sleep_wait-1)//sleep_wait" - -analysis: &analysis_action !Action - <<: *parallel_unlimited_memory_action - J_JOB: JANALYSIS - resources: - - exe: !calc doc.executables.analysis - mpi_ranks: !calc doc.platform.fcst_ranks - member_input: member_%06d.grid - fcst_len_int: !calc round(tools.as_seconds(fcst_len)/3600) - guess_in: !expand "{prior_com}/fcst_{fcst_len_int:06d}.grid" - namelist: !expand | - &settings - nx = {nx} - ny = {ny} - members = {ens_members} - analysis_out = "analysis.grid" - ensemble_format = "member_######.grid" - guess_in = {tools.fort(guess_in)} - / +fcst: &fcst_action + <<: *action_template + walltime: !timedelta 00:10:00 + resources: !calc run_fcst + rocoto_memory: "3072M" + FORECASTSH: !expand "{BASE_GSM}/scripts/exglobal_fcst_nemsfv3gfs.sh" + FCSTEXECDIR: !expand "{BASE_NEMSfv3gfs}/NEMS/exe" + FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" + npe_fv3: !expand "{npe_fcst}" # This is model resolution dependent, see note above + nth_fv3: 2 + TYPE: "nh" + MONO: "non-mono" + do_vort_damp: ".true." # vorticity and divergence damping + consv_te: "0." # conserve total energy + fv_sg_adj: 900 # time-scale to remove 2dz instability + dspheat: ".false." # dissipative heating + shal_cnv: ".true." # shallow convection + agrid_vel_rst: ".true." # write velocity restarts on agrid? + +# Disable the use of coupler.res; get model start time from model_configure +# export USE_COUPLER_RES="NO" + + USE_COUPLER_RES: "NO" + + restart_interval: !FirstTrue + when: !calc CDUMP=="gdas" + do: 6 + DIAG_TABLE: !FirstTrue + when: !calc CDUMP=="gdas" + do: !expand "{BASE_GSM}/parm/parm_fv3diag/diag_table_da" + when: !calc CDUMP=="gfs" + do: !expand "{BASE_GSM}/parm/parm_fv3diag/diag_table" + + REGRID_NEMSIO_SH: !expand "{BASE_GSM}/ush/fv3gfs_regrid_nemsio.sh" + REGRID_NEMSIO_TBL: !expand "{BASE_GSM}/parm/parm_fv3diag/variable_table_da.txt" + + REMAPSH: !expand "{BASE_GSM}/ush/fv3gfs_remap.sh" + master_grid: "0p5deg" # 1deg 0p5deg 0p25deg 0p125deg etc + npe_remap: !expand "{npe_fcst}" + nth_remap: 2 + NC2NEMSIOSH: !expand "{BASE_GSM}/ush/fv3gfs_nc2nemsio.sh" + nth_fcst: 2 + +post: &post_action + <<: *action_template + walltime: !timedelta 00:15:00 + resources: !calc run_post + rocoto_memory: "3072M" + POSTJJOBSH: !expand "{BASE_WORKFLOW}/jobs/JGFS_POST.sh" + POSTGPSH: !expand "{BASE_POST}/ush/global_nceppost.sh" + POSTGPEXEC: !expand "{BASE_POST}/exec/ncep_post" + npe_postgp: !expand "{npe_post}" + nth_postgp: 1 + GFS_DOWNSTREAM: "YES" + GFSDOWNSH: !expand "{BASE_WORKFLOW}/ush/fv3gfs_downstream_nems.sh" + GFSDWNSH: !expand "{BASE_WORKFLOW}/ush/fv3gfs_dwn_nems.sh" + downset: 1 + npe_dwn: !expand "{npe_post}" + nth_dwn: 2 + nth_post: 2 + +arch: &arch_action + <<: *action_template + walltime: !timedelta 06:00:00 + resources: !calc run_arch + rocoto_memory: "3072M" + nth_arch: 2 + +vrfy_template: !Template + VDUMP: # verifying dump + type: str + allowed: [ "gfs", "gdas" ] + + CDUMPFCST: "gdas" # Fit-to-obs with GDAS/GFS prepbufr + type: str + allowed: [ "gfs", "gdas" ] + + CDFNL: "gdas" # Scores verification against GDAS/GFS analysis + type: str + allowed: [ "gfs", "gdas" ] + + VSDB_STEP1: # populate VSDB database + type: logic + allowed: [ "YES", "NO" ] + + VSDB_STEP2: + type: logic + allowed: [ "YES", "NO" ] + + VRFYG2OBS: # Grid to observations + type: logic + allowed: [ "YES", "NO" ] + + VRFYFITS: # Fit to observations + type: logic + allowed: [ "YES", "NO" ] + + VRFYPRCP: # Precip threat scores + type: logic + allowed: [ "YES", "NO" ] + + VRFYMINMON: # GSI minimization monitoring + type: logic + allowed: [ "YES", "NO" ] + + VRFYRAD: # Radiance data assimilation monitoring + type: logic + allowed: [ "YES", "NO" ] + + VRFYOZN: # Ozone data assimilation monitoring + type: logic + allowed: [ "YES", "NO" ] + + VRFYTRAK: # Hurricane track forecasts + type: logic + allowed: [ "YES", "NO" ] + + VRFYGENESIS: # Cyclone genesis + type: logic + allowed: [ "YES", "NO" ] + + VRFYGMPK: # Gempak verification + type: logic + allowed: [ "YES", "NO" ] + + CYC_TRACK: + type: str + allowed: [ "YES", "NO" ] + if_present: !FirstTrue + - when: !calc VRFYTRAK=="YES" + do: *CYC_TRACK_VARS + + MIN_RAD_OZN: + type: str + allowed: [ "YES", "NO" ] + if_present: !FirstTrue + - when: !calc ( VRFYRAD=="YES" or VRFYMINMON=="YES" or VRFYOZN=="YES" ) + do: *MIN_RAD_OZN_VARS + + VRFYMINSH: + type: str + override: !FirstTrue + - when: !calc ( not platform.name=="THEIA" and not platform.name=="WCOSS_C" ) + do: NO + message: !expand "WARNING: Minimization monitoring is not enabled on {platform.name}!" + +vrfy: &vrfy_action + <<: *action_template + Template: vrfy_template + walltime: !timedelta 01:00:00 + resources: !calc run_vrfy + rocoto_memory: "3072M" + VDUMP: "gfs" # verifying dump + CDUMPFCST: "gdas" # Fit-to-obs with GDAS/GFS prepbufr + CDFNL: "gdas" # Scores verification against GDAS/GFS analysis + VSDB_STEP1: "YES" # populate VSDB database + VSDB_STEP2: "NO" + VRFYG2OBS: "YES" # Grid to observations + VRFYFITS: "YES" # Fit to observations + VRFYPRCP: "YES" # Precip threat scores + VRFYMINMON: "YES" # GSI minimization monitoring + VRFYRAD: "YES" # Radiance data assimilation monitoring + VRFYOZN: "NO" # Ozone data assimilation monitoring + VRFYTRAK: "YES" # Hurricane track forecasts + VRFYGENESIS: "YES" # Cyclone genesis + VRFYGMPK: "NO" # Gempak verification + + fitdir: !FirstTrue + - when: !calc ( machine=="WCOSS_C" & VRFYFITS=="YES" ) + do: !expand "{BASE_SVN}/verif/global/parafits.fv3nems/batrun" + - when: !calc ( machine=="THEIA" & VRFYFITS=="YES" ) + do: !expand "{BASE_SVN}/verif/global/parafits.fv3nems/batrun" + + PREPQFITSH: !FirstTrue + - when: !calc ( machine=="WCOSS_C" & VRFYFITS=="YES" ) + do: !expand "{fitdir}/subfits_cray_nems" + - when: !calc ( machine=="THEIA" & VRFYFITS=="YES" ) + do: !expand "fitdir}/subfits_theia_nems" + + VRFY_CDUMP_GFS: !FirstTrue + - when: !calc ( CDUMP=="gfs" & ( VSDB_STEP1=="YES" | VRFYPRCP=="YES" | VRFYG2OBS=="YES" ) ) + do: "YES" + + MIN_RAD_OZN: !FirstTrue + - when: !calc ( VRFYRAD=="YES" | VRFYMINMON=="YES" | VRFYOZN=="YES" ) + do: "YES" + + RAD: !FirstTrue + - when: !calc VRFYRAD=="YES" & CDUMP=="$CDFNL" + do: "YES" + + MIN: !FirstTrue + - when: !calc VRFYMINMON=="YES" + do: "YES" + + OZN: !FirstTrue + - when: !calc VRFYOZN=="YES" + do: "YES" + + CYC_TRACK: !FirstTrue + - when: !calc VRFYTRAK=="YES" + do: "YES" + + CYC_GEN: !FirstTrue + - when: !calc VRFYGENESIS=="YES" + do: "YES" + + nth_vrfy: 2 + +CYC_TRACK_VARS: &CYC_TRACK_VARS !Template + TRACKERSH: + type: str + default: !expand "{BASE_GSM}/ush/global_tracker.sh" + PARATRKR: + type: str + default: !expand "{BASE_GSM}/ush/global_extrkr.sh" + GETTRKEXEC: + type: str + default: !expand "{BASE_GSM}/exec/gettrk" + GETTX: + type: str + default: !expand "{GETTRKEXEC}" + SUPVX: + type: str + default: !expand "{BASE_GSM}/exec/supvit" + HOMERELO: + type: str + default: !expand "{BASE_GSM}" + homesyndir: + type: str + default: !expand "{BASE_GSM}" + prep_step: + type: str + default: !expand "{NWPROD}/prod_util.v1.0.15/ush/prep_step" + FHOUT: + type: str + default: !FirstTrue + - when: !calc CDUMP=="gfs" + do: !expand "{FHOUT_GFS}" + FHMAX: + type: str + default: !FirstTrue + - when: !calc CDUMP=="gfs" + do: !expand "{FHMAX_GFS}" + FHMAX2: + type: str + default: !FirstTrue + - when: !calc CDUMP=="gfs" + do: !expand "{FHMAX2_GFS}" + COMROOTp1: + type: str + default: !FirstTrue + - when: !calc machine=="THEIA" + do: "/scratch4/NCEPDEV/rstprod/com" + archsyndir: + type: str + default: !FirstTrue + - when: !calc machine=="THEIA" + do: !expand "{COMROOTp1}/arch/prod/syndat" + +CYC_GEN_VARS: &CYC_GEN_VARS !Template + NWROOTGENESIS: + type: str + default: !FirstTrue + - when: !calc machine=="WCOSS_C" + do: "/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/gfs/q3fy17" + - when: !calc machine=="THEIA" + do: "/scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17" + UTILROOT: + type: str + default: !FirstTrue + - when: !calc machine=="THEIA" + do: "/scratch4/NCEPDEV/global/save/glopara/nwpara/prod_util.v1.0.15" + GETTX_GEN: + type: str + default: !FirstTrue + - when: !calc machine=="THEIA" + do: !expand "{NWROOTGENESIS}/ens_tracker.v2.0.1/exec/gettrk_gen_g2_theia" + GENESISSH: + type: str + default: !FirstTrue + - when: !calc ( machine=="WCOSS_C" | machine=="THEIA" ) + do: !expand "{NWROOTGENESIS}/ens_tracker.v2.0.1/gfs_genesis_para_fv3gfs.sh" + - when: !calc ( machine~="WCOSS_C" & machine~="THEIA" ) + do: "" + +MIN_VARS: &MIN_VARS !Template + HOMEgfs: + type: str + default: !expand "{BASE_SVN}/fv3gfs/trunk/gfs.v15.0.0" + HOMEminmon: + type: str + default: !expand "{BASE_GSM}" + MINMON_SUFFIX: + type: str + default: !expand "{PSLOT}" + M_TANKverf: + type: str + default: !expand "{NOSCRUB}/minmon" + VRFYMINSH: + type: str + default: !FirstTrue + - when: !calc CDUMP=="gdas" & ( machine=="WCOSS_C" | machine=="THEIA" ) + do: !expand "{HOMEgdas}/jobs/JGDAS_VMINMON" + - when: !calc CDUMP=="gfs" & ( machine=="WCOSS_C" | machine=="THEIA" ) + do: !expand "{HOMEgfs}/jobs/JGDAS_VMINMON" + - when: !calc ( machine~="WCOSS_C" & machine~="THEIA" ) + do: !expand "WARNING: Minimization monitoring is not enabled on $machine!" + VRFYMINMON: + type: str + default: !FirstTrue + - when: !calc ( machine~="WCOSS_C" & machine~="THEIA" ) + do: "NO" + +RAD_VARS: &RAD_VARS !Template + HOMEradmon: + type: str + default: !expand "{BASE_GSM}" + RADMON_SUFFIX: + type: str + default: !expand "{PSLOT}" + TANKverf: + type: str + default: !expand "{NOSCRUB}/radmon" + VRFYRADSH: + type: str + default: !FirstTrue + - when: !calc( machine=="WCOSS_C" | machine=="THEIA" ) + do: !expand "{HOMEgdas}/jobs/JGDAS_VERFRAD" + - when: !calc (machine~="WCOSS_C" & machine~="THEIA" ) + do: !expand "WARNING: Radiance monitoring is not enabled on $machine!" + VRFYRAD: + type: str + default: !FirstTrue + - when: !calc ( machine~="WCOSS_C" & machine~="THEIA" ) + do: "NO" + +OZN_VARS: &OZN_VARS !Template +# echo "WARNING: Ozone Monitoring is just a stub for now!" + VRFYOZN: + type: logic + default: "NO" + OZNDIR: + type: str + default: !expand "{NOSCRUB}/$LOGNAME/ozone/stats/$PSLOT" + VRFYOZNSH: + type: str + default: "" + BASEDIR_OZNMON: + type: str + default: !expand "{BASE_OZNMON}/util/Ozone_Monitor" + +MIN_RAD_OZN_VARS: &MIN_RAD_OZN_VARS !Template + HOMEgdas: + type: str + default: !expand "{BASE_GDAS}" + envir: + type: str + default: "para" + +VRFY_CDUMP_GFS_VARS: &VRFY_CDUMP_GFS_VARS !Template + BACKDATEVSDB: + type: int + default: 24 + VBACKUP_PRCP: + type: int + default: 24 + vsdbsave: + type: str + default: !expand "${NOSCRUB}/archive/vsdb_data" + vsdbhome: + type: str + default: !expand "${BASE_VERIF}" + VSDBSH=: + type: str + default: !expand "${vsdbhome}/vsdbjob.sh" + vlength=: + type: str + default: !expand "${FHMAX_GFS}" + vhr_rain: + type: str + default: !expand"${FHMAX_GFS}" + ftyplist: + type: str + default: "pgbq" diff --git a/model/fv3gfs/platform.yaml b/model/fv3gfs/platform.yaml index de34e7c..328c233 100644 --- a/model/fv3gfs/platform.yaml +++ b/model/fv3gfs/platform.yaml @@ -17,20 +17,112 @@ resource_defaults: &resource_defaults args: - placeholder + run_eobs: + - exe: placeholder + mpi_ranks: 24 + max_ppn: 6 + OMP_NUM_THREADS: max + + run_eomg: + - exe: placeholder + mpi_ranks: 24 + max_ppn: 6 + OMP_NUM_THREADS: max + + run_eupd: + - exe: placeholder + mpi_ranks: 10 + max_ppn: 12 + OMP_NUM_THREADS: max + + run_efcs: + - exe: placeholder + mpi_ranks: 8 + max_ppn: 24 + OMP_NUM_THREADS: max + + run_epos: + - exe: placeholder + mpi_ranks: 7 + max_ppn: 12 + OMP_NUM_THREADS: max + + run_prep: + - exe: placeholder + mpi_ranks: 1 + max_ppn: 12 + OMP_NUM_THREADS: max + + run_anal: + - exe: placeholder + mpi_ranks: 24 + max_ppn: 6 + OMP_NUM_THREADS: max + + run_fcst: + - exe: placeholder + mpi_ranks: 16 + max_ppn: 12 + OMP_NUM_THREADS: max + + run_post: + - exe: placeholder + mpi_ranks: 6 + max_ppn: 12 + OMP_NUM_THREADS: max + + run_vrfy: + - exe: placeholder + mpi_ranks: 1 + max_ppn: 1 + OMP_NUM_THREADS: max + + run_arch: + - exe: placeholder + mpi_ranks: 1 + max_ppn: 1 + OMP_NUM_THREADS: max + + run_earc: + - exe: placeholder + mpi_ranks: 1 + max_ppn: 1 + OMP_NUM_THREADS: max + theia: &theia !Platform <<: *resource_defaults Evaluate: false + name: THEIA detect: !calc tools.isdir('/scratch4') and tools.isdir('/scratch3') + + # here or options.yaml? + # queues and accounts ACCOUNT: marine-cpu QUEUE: batch QUEUE_ARCH: service + HPSS_PROJECT: emc-ocean + BASE_FV3GFS: BASE_FV3GFS@ # need user input + +# Directories relative to installation areas: + BASE_WORKFLOW: "$BASE_FV3GFS/gfs_workflow.v15.0.0" + BASE_GSM: "$BASE_FV3GFS/global_shared.v15.0.0" + BASE_MODULES: "$BASE_FV3GFS/global_shared.v15.0.0/modulefiles" + # GLOBAL static environment parameters + NWPROD: "/scratch4/NCEPDEV/global/save/glopara/nwpara" + DMPDIR: "/scratch4/NCEPDEV/global/noscrub/dump" + RTMFIX: "/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" + + # svn, keep it or not? # Base directories for various builds BASE_SVN: "/scratch4/NCEPDEV/global/save/glopara/svn" MYBASE_SVN: "/scratch4/NCEPDEV/global/save/{tools.env('USER')}/svn" BASE_GFS: "/scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17/global_shared.v14.1.0" + + # Post requires grib2 table POSTGRB2TBL: "/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" + # Utilities needed in the scripts (mostly post) exes: NEMSIOGET: "$NWPROD/util/exec/nemsio_get" NDATE: "$NWPROD/util/exec/ndate" @@ -83,6 +175,7 @@ wcoss_cray: &wcoss_cray !Platform default_cpu_project: GFS-T2O # Path to mmlsquota, the program used to get GPFS disk usage information: mmlsquota: '/usr/lpp/mmfs/bin/mmlsquota' + POSTGRB2TBL: "/gpfs/hps/nco/ops/nwprod/lib/g2tmpl/v1.3.0/src/params_grib2_tbl_new" serial_accounting: queue: dev project: !calc doc.options.cpu_project diff --git a/model/fv3gfs/workflow.yaml b/model/fv3gfs/workflow.yaml index 5147eb6..1ef4655 100644 --- a/model/fv3gfs/workflow.yaml +++ b/model/fv3gfs/workflow.yaml @@ -73,6 +73,9 @@ Rocoto: &Rocoto {Perform.stop_mem} + action_template: &action_template !expand | +# too be added + workflow: !Cycle Rocoto: *Rocoto From e6fdc59ac208e5687ff7e145012ee4dab2b4a6d8 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 22 Sep 2017 19:37:22 +0000 Subject: [PATCH 097/487] remove syntax errors from yaml in models/fv3gfs --- model/fv3gfs/actions.yaml | 279 +++---------------------------------- model/fv3gfs/options.yaml | 16 +-- model/fv3gfs/platform.yaml | 3 + model/fv3gfs/setup_expt.py | 2 +- model/fv3gfs/template.yaml | 261 +++++++++++++++++++++++++++++++++- model/fv3gfs/workflow.yaml | 98 +++++++------ 6 files changed, 335 insertions(+), 324 deletions(-) diff --git a/model/fv3gfs/actions.yaml b/model/fv3gfs/actions.yaml index e6a583a..393d2aa 100644 --- a/model/fv3gfs/actions.yaml +++ b/model/fv3gfs/actions.yaml @@ -1,7 +1,7 @@ action_template: &action_template + <<: *resource_defaults BASE_GSI: !calc doc.platform.places.BASE_GSI BASE_GSM: !calc doc.platform.places.BASE_GSM - ... ecen: &ecen_action <<: *action_template @@ -108,6 +108,12 @@ earc: &earc_action NMEM_EARCGRP: 10 nth_earc: 2 +final: &final_action + <<: *action_template + walltime: !timedelta 00:03:00 + resources: !calc run_nothing + rocoto_memory: "100M" + prep: &prep_action <<: *action_template walltime: !timedelta 00:10:00 @@ -154,13 +160,13 @@ fcst: &fcst_action USE_COUPLER_RES: "NO" restart_interval: !FirstTrue - when: !calc CDUMP=="gdas" - do: 6 + - when: !calc CDUMP=="gdas" + do: 6 DIAG_TABLE: !FirstTrue - when: !calc CDUMP=="gdas" - do: !expand "{BASE_GSM}/parm/parm_fv3diag/diag_table_da" - when: !calc CDUMP=="gfs" - do: !expand "{BASE_GSM}/parm/parm_fv3diag/diag_table" + - when: !calc CDUMP=="gdas" + do: !expand "{BASE_GSM}/parm/parm_fv3diag/diag_table_da" + - when: !calc CDUMP=="gfs" + do: !expand "{BASE_GSM}/parm/parm_fv3diag/diag_table" REGRID_NEMSIO_SH: !expand "{BASE_GSM}/ush/fv3gfs_regrid_nemsio.sh" REGRID_NEMSIO_TBL: !expand "{BASE_GSM}/parm/parm_fv3diag/variable_table_da.txt" @@ -197,87 +203,13 @@ arch: &arch_action rocoto_memory: "3072M" nth_arch: 2 -vrfy_template: !Template - VDUMP: # verifying dump - type: str - allowed: [ "gfs", "gdas" ] - - CDUMPFCST: "gdas" # Fit-to-obs with GDAS/GFS prepbufr - type: str - allowed: [ "gfs", "gdas" ] - - CDFNL: "gdas" # Scores verification against GDAS/GFS analysis - type: str - allowed: [ "gfs", "gdas" ] - - VSDB_STEP1: # populate VSDB database - type: logic - allowed: [ "YES", "NO" ] - - VSDB_STEP2: - type: logic - allowed: [ "YES", "NO" ] - - VRFYG2OBS: # Grid to observations - type: logic - allowed: [ "YES", "NO" ] - - VRFYFITS: # Fit to observations - type: logic - allowed: [ "YES", "NO" ] - - VRFYPRCP: # Precip threat scores - type: logic - allowed: [ "YES", "NO" ] - - VRFYMINMON: # GSI minimization monitoring - type: logic - allowed: [ "YES", "NO" ] - - VRFYRAD: # Radiance data assimilation monitoring - type: logic - allowed: [ "YES", "NO" ] - - VRFYOZN: # Ozone data assimilation monitoring - type: logic - allowed: [ "YES", "NO" ] - - VRFYTRAK: # Hurricane track forecasts - type: logic - allowed: [ "YES", "NO" ] - - VRFYGENESIS: # Cyclone genesis - type: logic - allowed: [ "YES", "NO" ] - - VRFYGMPK: # Gempak verification - type: logic - allowed: [ "YES", "NO" ] - - CYC_TRACK: - type: str - allowed: [ "YES", "NO" ] - if_present: !FirstTrue - - when: !calc VRFYTRAK=="YES" - do: *CYC_TRACK_VARS - - MIN_RAD_OZN: - type: str - allowed: [ "YES", "NO" ] - if_present: !FirstTrue - - when: !calc ( VRFYRAD=="YES" or VRFYMINMON=="YES" or VRFYOZN=="YES" ) - do: *MIN_RAD_OZN_VARS - - VRFYMINSH: - type: str - override: !FirstTrue - - when: !calc ( not platform.name=="THEIA" and not platform.name=="WCOSS_C" ) - do: NO - message: !expand "WARNING: Minimization monitoring is not enabled on {platform.name}!" +a: + c: + e: f vrfy: &vrfy_action <<: *action_template - Template: vrfy_template + Template: *vrfy_template walltime: !timedelta 01:00:00 resources: !calc run_vrfy rocoto_memory: "3072M" @@ -337,180 +269,3 @@ vrfy: &vrfy_action do: "YES" nth_vrfy: 2 - -CYC_TRACK_VARS: &CYC_TRACK_VARS !Template - TRACKERSH: - type: str - default: !expand "{BASE_GSM}/ush/global_tracker.sh" - PARATRKR: - type: str - default: !expand "{BASE_GSM}/ush/global_extrkr.sh" - GETTRKEXEC: - type: str - default: !expand "{BASE_GSM}/exec/gettrk" - GETTX: - type: str - default: !expand "{GETTRKEXEC}" - SUPVX: - type: str - default: !expand "{BASE_GSM}/exec/supvit" - HOMERELO: - type: str - default: !expand "{BASE_GSM}" - homesyndir: - type: str - default: !expand "{BASE_GSM}" - prep_step: - type: str - default: !expand "{NWPROD}/prod_util.v1.0.15/ush/prep_step" - FHOUT: - type: str - default: !FirstTrue - - when: !calc CDUMP=="gfs" - do: !expand "{FHOUT_GFS}" - FHMAX: - type: str - default: !FirstTrue - - when: !calc CDUMP=="gfs" - do: !expand "{FHMAX_GFS}" - FHMAX2: - type: str - default: !FirstTrue - - when: !calc CDUMP=="gfs" - do: !expand "{FHMAX2_GFS}" - COMROOTp1: - type: str - default: !FirstTrue - - when: !calc machine=="THEIA" - do: "/scratch4/NCEPDEV/rstprod/com" - archsyndir: - type: str - default: !FirstTrue - - when: !calc machine=="THEIA" - do: !expand "{COMROOTp1}/arch/prod/syndat" - -CYC_GEN_VARS: &CYC_GEN_VARS !Template - NWROOTGENESIS: - type: str - default: !FirstTrue - - when: !calc machine=="WCOSS_C" - do: "/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/gfs/q3fy17" - - when: !calc machine=="THEIA" - do: "/scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17" - UTILROOT: - type: str - default: !FirstTrue - - when: !calc machine=="THEIA" - do: "/scratch4/NCEPDEV/global/save/glopara/nwpara/prod_util.v1.0.15" - GETTX_GEN: - type: str - default: !FirstTrue - - when: !calc machine=="THEIA" - do: !expand "{NWROOTGENESIS}/ens_tracker.v2.0.1/exec/gettrk_gen_g2_theia" - GENESISSH: - type: str - default: !FirstTrue - - when: !calc ( machine=="WCOSS_C" | machine=="THEIA" ) - do: !expand "{NWROOTGENESIS}/ens_tracker.v2.0.1/gfs_genesis_para_fv3gfs.sh" - - when: !calc ( machine~="WCOSS_C" & machine~="THEIA" ) - do: "" - -MIN_VARS: &MIN_VARS !Template - HOMEgfs: - type: str - default: !expand "{BASE_SVN}/fv3gfs/trunk/gfs.v15.0.0" - HOMEminmon: - type: str - default: !expand "{BASE_GSM}" - MINMON_SUFFIX: - type: str - default: !expand "{PSLOT}" - M_TANKverf: - type: str - default: !expand "{NOSCRUB}/minmon" - VRFYMINSH: - type: str - default: !FirstTrue - - when: !calc CDUMP=="gdas" & ( machine=="WCOSS_C" | machine=="THEIA" ) - do: !expand "{HOMEgdas}/jobs/JGDAS_VMINMON" - - when: !calc CDUMP=="gfs" & ( machine=="WCOSS_C" | machine=="THEIA" ) - do: !expand "{HOMEgfs}/jobs/JGDAS_VMINMON" - - when: !calc ( machine~="WCOSS_C" & machine~="THEIA" ) - do: !expand "WARNING: Minimization monitoring is not enabled on $machine!" - VRFYMINMON: - type: str - default: !FirstTrue - - when: !calc ( machine~="WCOSS_C" & machine~="THEIA" ) - do: "NO" - -RAD_VARS: &RAD_VARS !Template - HOMEradmon: - type: str - default: !expand "{BASE_GSM}" - RADMON_SUFFIX: - type: str - default: !expand "{PSLOT}" - TANKverf: - type: str - default: !expand "{NOSCRUB}/radmon" - VRFYRADSH: - type: str - default: !FirstTrue - - when: !calc( machine=="WCOSS_C" | machine=="THEIA" ) - do: !expand "{HOMEgdas}/jobs/JGDAS_VERFRAD" - - when: !calc (machine~="WCOSS_C" & machine~="THEIA" ) - do: !expand "WARNING: Radiance monitoring is not enabled on $machine!" - VRFYRAD: - type: str - default: !FirstTrue - - when: !calc ( machine~="WCOSS_C" & machine~="THEIA" ) - do: "NO" - -OZN_VARS: &OZN_VARS !Template -# echo "WARNING: Ozone Monitoring is just a stub for now!" - VRFYOZN: - type: logic - default: "NO" - OZNDIR: - type: str - default: !expand "{NOSCRUB}/$LOGNAME/ozone/stats/$PSLOT" - VRFYOZNSH: - type: str - default: "" - BASEDIR_OZNMON: - type: str - default: !expand "{BASE_OZNMON}/util/Ozone_Monitor" - -MIN_RAD_OZN_VARS: &MIN_RAD_OZN_VARS !Template - HOMEgdas: - type: str - default: !expand "{BASE_GDAS}" - envir: - type: str - default: "para" - -VRFY_CDUMP_GFS_VARS: &VRFY_CDUMP_GFS_VARS !Template - BACKDATEVSDB: - type: int - default: 24 - VBACKUP_PRCP: - type: int - default: 24 - vsdbsave: - type: str - default: !expand "${NOSCRUB}/archive/vsdb_data" - vsdbhome: - type: str - default: !expand "${BASE_VERIF}" - VSDBSH=: - type: str - default: !expand "${vsdbhome}/vsdbjob.sh" - vlength=: - type: str - default: !expand "${FHMAX_GFS}" - vhr_rain: - type: str - default: !expand"${FHMAX_GFS}" - ftyplist: - type: str - default: "pgbq" diff --git a/model/fv3gfs/options.yaml b/model/fv3gfs/options.yaml index ab4cc86..267ee6e 100644 --- a/model/fv3gfs/options.yaml +++ b/model/fv3gfs/options.yaml @@ -9,8 +9,6 @@ places: HOMEtest: !calc tools.crow_install_dir('examples/real-workflow') options: &options - Template: *options_template - # Project for CPU accounting. cpu_project: !calc doc.platform.default_cpu_project #Formerly known as ACCOUNT @@ -53,19 +51,21 @@ options: &options FHCYC: 24 # GDAS cycle info - FHMIN: !timedelta 0 # GDAS forecast initial hour - FHMAX: !timedelta 9 # GDAS forecast length in hours - FHOUT: !timedelta 3 # GDAS forecast output frequency in hours + FHMIN: 0 # GDAS forecast initial hour + FHMAX: 9 # GDAS forecast length in hours + FHOUT: 3 # GDAS forecast output frequency in hours # GFS cycle info gfs_cyc: 4 # 0: no GFS cycle, 1: 00Z only, 2: 00Z and 12Z only, 4: all 4 cycles - FHMIN_GFS: !timedelta 0 # GFS forecast initial hour - FHMAX_GFS: !timedelta 120 # GFS forecast length in hours - FHOUT_GFS: !timedelta 6 # GFS forecast output frequency in hours + FHMIN_GFS: 0 # GFS forecast initial hour + FHMAX_GFS: 120 # GFS forecast length in hours + FHOUT_GFS: 6 # GFS forecast output frequency in hours # Shared parameters/switches DOHYBVAR: "YES" NMEM_ENKF: 80 + NMEM_ENKF_GRP_EOMN: 10 + NMEM_ENKF_GRP_EFMN: 10 RECENTER_ENKF: "YES" l4densvar: ".false." lwrite4danl: ".false." diff --git a/model/fv3gfs/platform.yaml b/model/fv3gfs/platform.yaml index 328c233..ca534bf 100644 --- a/model/fv3gfs/platform.yaml +++ b/model/fv3gfs/platform.yaml @@ -17,6 +17,9 @@ resource_defaults: &resource_defaults args: - placeholder + run_nothing: # Special placeholder for "do nothing" + - exe: nothing + run_eobs: - exe: placeholder mpi_ranks: 24 diff --git a/model/fv3gfs/setup_expt.py b/model/fv3gfs/setup_expt.py index bcdf554..5a3948d 100755 --- a/model/fv3gfs/setup_expt.py +++ b/model/fv3gfs/setup_expt.py @@ -10,7 +10,7 @@ logger=logging.getLogger('setup_expt') conf=crow.config.from_file( - 'platform.yaml','options.yaml','runtime.yaml', + 'platform.yaml','template.yaml','options.yaml','runtime.yaml', 'actions.yaml','workflow.yaml') force = len(sys.argv)>1 and sys.argv[1] == '--force' diff --git a/model/fv3gfs/template.yaml b/model/fv3gfs/template.yaml index d77250e..8f1e24f 100644 --- a/model/fv3gfs/template.yaml +++ b/model/fv3gfs/template.yaml @@ -1,3 +1,258 @@ -options_template: &options_template !Template - do_vort_damp: - type: bool + +CYC_TRACK_VARS: &CYC_TRACK_VARS !Template + TRACKERSH: + type: str + default: !expand "{BASE_GSM}/ush/global_tracker.sh" + PARATRKR: + type: str + default: !expand "{BASE_GSM}/ush/global_extrkr.sh" + GETTRKEXEC: + type: str + default: !expand "{BASE_GSM}/exec/gettrk" + GETTX: + type: str + default: !expand "{GETTRKEXEC}" + SUPVX: + type: str + default: !expand "{BASE_GSM}/exec/supvit" + HOMERELO: + type: str + default: !expand "{BASE_GSM}" + homesyndir: + type: str + default: !expand "{BASE_GSM}" + prep_step: + type: str + default: !expand "{NWPROD}/prod_util.v1.0.15/ush/prep_step" + FHOUT: + type: str + default: !FirstTrue + - when: !calc CDUMP=="gfs" + do: !expand "{FHOUT_GFS}" + FHMAX: + type: str + default: !FirstTrue + - when: !calc CDUMP=="gfs" + do: !expand "{FHMAX_GFS}" + FHMAX2: + type: str + default: !FirstTrue + - when: !calc CDUMP=="gfs" + do: !expand "{FHMAX2_GFS}" + COMROOTp1: + type: str + default: !FirstTrue + - when: !calc machine=="THEIA" + do: "/scratch4/NCEPDEV/rstprod/com" + archsyndir: + type: str + default: !FirstTrue + - when: !calc machine=="THEIA" + do: !expand "{COMROOTp1}/arch/prod/syndat" + +CYC_GEN_VARS: &CYC_GEN_VARS !Template + NWROOTGENESIS: + type: str + default: !FirstTrue + - when: !calc machine=="WCOSS_C" + do: "/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/gfs/q3fy17" + - when: !calc machine=="THEIA" + do: "/scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17" + UTILROOT: + type: str + default: !FirstTrue + - when: !calc machine=="THEIA" + do: "/scratch4/NCEPDEV/global/save/glopara/nwpara/prod_util.v1.0.15" + GETTX_GEN: + type: str + default: !FirstTrue + - when: !calc machine=="THEIA" + do: !expand "{NWROOTGENESIS}/ens_tracker.v2.0.1/exec/gettrk_gen_g2_theia" + GENESISSH: + type: str + default: !FirstTrue + - when: !calc ( machine=="WCOSS_C" | machine=="THEIA" ) + do: !expand "{NWROOTGENESIS}/ens_tracker.v2.0.1/gfs_genesis_para_fv3gfs.sh" + - when: !calc ( machine~="WCOSS_C" & machine~="THEIA" ) + do: "" + +MIN_VARS: &MIN_VARS !Template + HOMEgfs: + type: str + default: !expand "{BASE_SVN}/fv3gfs/trunk/gfs.v15.0.0" + HOMEminmon: + type: str + default: !expand "{BASE_GSM}" + MINMON_SUFFIX: + type: str + default: !expand "{PSLOT}" + M_TANKverf: + type: str + default: !expand "{NOSCRUB}/minmon" + VRFYMINSH: + type: str + default: !FirstTrue + - when: !calc CDUMP=="gdas" & ( machine=="WCOSS_C" | machine=="THEIA" ) + do: !expand "{HOMEgdas}/jobs/JGDAS_VMINMON" + - when: !calc CDUMP=="gfs" & ( machine=="WCOSS_C" | machine=="THEIA" ) + do: !expand "{HOMEgfs}/jobs/JGDAS_VMINMON" + - when: !calc ( machine~="WCOSS_C" & machine~="THEIA" ) + do: !expand "WARNING: Minimization monitoring is not enabled on $machine!" + VRFYMINMON: + type: str + default: !FirstTrue + - when: !calc ( machine~="WCOSS_C" & machine~="THEIA" ) + do: "NO" + +RAD_VARS: &RAD_VARS !Template + HOMEradmon: + type: str + default: !expand "{BASE_GSM}" + RADMON_SUFFIX: + type: str + default: !expand "{PSLOT}" + TANKverf: + type: str + default: !expand "{NOSCRUB}/radmon" + VRFYRADSH: + type: str + default: !FirstTrue + - when: !calc ( machine=="WCOSS_C" | machine=="THEIA" ) + do: !expand "{HOMEgdas}/jobs/JGDAS_VERFRAD" + - when: !calc (machine~="WCOSS_C" & machine~="THEIA" ) + do: !expand "WARNING: Radiance monitoring is not enabled on $machine!" + VRFYRAD: + type: str + default: !FirstTrue + - when: !calc ( machine~="WCOSS_C" & machine~="THEIA" ) + do: "NO" + +OZN_VARS: &OZN_VARS !Template +# echo "WARNING: Ozone Monitoring is just a stub for now!" + VRFYOZN: + type: logic + default: "NO" + OZNDIR: + type: str + default: !expand "{NOSCRUB}/$LOGNAME/ozone/stats/$PSLOT" + VRFYOZNSH: + type: str + default: "" + BASEDIR_OZNMON: + type: str + default: !expand "{BASE_OZNMON}/util/Ozone_Monitor" + +MIN_RAD_OZN_VARS: &MIN_RAD_OZN_VARS !Template + HOMEgdas: + type: str + default: !expand "{BASE_GDAS}" + envir: + type: str + default: "para" + +VRFY_CDUMP_GFS_VARS: &VRFY_CDUMP_GFS_VARS !Template + BACKDATEVSDB: + type: int + default: 24 + VBACKUP_PRCP: + type: int + default: 24 + vsdbsave: + type: str + default: !expand "${NOSCRUB}/archive/vsdb_data" + vsdbhome: + type: str + default: !expand "${BASE_VERIF}" + VSDBSH=: + type: str + default: !expand "${vsdbhome}/vsdbjob.sh" + vlength=: + type: str + default: !expand "${FHMAX_GFS}" + vhr_rain: + type: str + default: !expand "${FHMAX_GFS}" + ftyplist: + type: str + default: "pgbq" + +vrfy_template: &vrfy_template !Template + ABC: XYZ + + # verifying dump + VDUMP: + type: str + allowed: [ "gfs", "gdas" ] + + CDUMPFCST: # Fit-to-obs with GDAS/GFS prepbufr + type: str + allowed: [ "gfs", "gdas" ] + + CDFNL: # Scores verification against GDAS/GFS analysis + type: str + allowed: [ "gfs", "gdas" ] + + VSDB_STEP1: # populate VSDB database + type: logic + allowed: [ "YES", "NO" ] + + VSDB_STEP2: + type: logic + allowed: [ "YES", "NO" ] + + VRFYG2OBS: # Grid to observations + type: logic + allowed: [ "YES", "NO" ] + + VRFYFITS: # Fit to observations + type: logic + allowed: [ "YES", "NO" ] + + VRFYPRCP: # Precip threat scores + type: logic + allowed: [ "YES", "NO" ] + + VRFYMINMON: # GSI minimization monitoring + type: logic + allowed: [ "YES", "NO" ] + + VRFYRAD: # Radiance data assimilation monitoring + type: logic + allowed: [ "YES", "NO" ] + + VRFYOZN: # Ozone data assimilation monitoring + type: logic + allowed: [ "YES", "NO" ] + + VRFYTRAK: # Hurricane track forecasts + type: logic + allowed: [ "YES", "NO" ] + + VRFYGENESIS: # Cyclone genesis + type: logic + allowed: [ "YES", "NO" ] + + VRFYGMPK: # Gempak verification + type: logic + allowed: [ "YES", "NO" ] + + CYC_TRACK: + type: str + allowed: [ "YES", "NO" ] + if_present: !FirstTrue + - when: !calc VRFYTRAK=="YES" + do: *CYC_TRACK_VARS + + MIN_RAD_OZN: + type: str + allowed: [ "YES", "NO" ] + if_present: !FirstTrue + - when: !calc ( VRFYRAD=="YES" or VRFYMINMON=="YES" or VRFYOZN=="YES" ) + do: *MIN_RAD_OZN_VARS + + VRFYMINSH: + type: str + override: !FirstTrue + - when: !calc ( not platform.name=="THEIA" and not platform.name=="WCOSS_C" ) + do: NO + message: !expand "WARNING: Minimization monitoring is not enabled on {platform.name}!" diff --git a/model/fv3gfs/workflow.yaml b/model/fv3gfs/workflow.yaml index 1ef4655..799df6a 100644 --- a/model/fv3gfs/workflow.yaml +++ b/model/fv3gfs/workflow.yaml @@ -73,8 +73,6 @@ Rocoto: &Rocoto {Perform.stop_mem} - action_template: &action_template !expand | -# too be added workflow: !Cycle Rocoto: *Rocoto @@ -103,8 +101,8 @@ workflow: !Cycle grp1: !Task Perform: <<: *eomg_action - NMEM_ENKF: *NMEM_ENKF - NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.options.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 1 # Convert to ENSGRP %02d task_template: *task_template ens_more: *ens_task_template @@ -113,8 +111,8 @@ workflow: !Cycle grp2: !Task Perform: <<: *eomg_action - NMEM_ENKF: *NMEM_ENKF - NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.options.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 2 # Convert to ENSGRP %02d task_template: *task_template ens_more: *ens_task_template @@ -123,8 +121,8 @@ workflow: !Cycle grp3: !Task Perform: <<: *eomg_action - NMEM_ENKF: *NMEM_ENKF - NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.options.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 3 # Convert to ENSGRP %02d task_template: *task_template ens_more: *ens_task_template @@ -133,8 +131,8 @@ workflow: !Cycle grp4: !Task Perform: <<: *eomg_action - NMEM_ENKF: *NMEM_ENKF - NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.options.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 4 # Convert to ENSGRP %02d task_template: *task_template ens_more: *ens_task_template @@ -143,8 +141,8 @@ workflow: !Cycle grp5: !Task Perform: <<: *eomg_action - NMEM_ENKF: *NMEM_ENKF - NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.options.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 5 # Convert to ENSGRP %02d task_template: *task_template ens_more: *ens_task_template @@ -153,8 +151,8 @@ workflow: !Cycle grp6: !Task Perform: <<: *eomg_action - NMEM_ENKF: *NMEM_ENKF - NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.options.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 6 # Convert to ENSGRP %02d task_template: *task_template ens_more: *ens_task_template @@ -163,8 +161,8 @@ workflow: !Cycle grp7: !Task Perform: <<: *eomg_action - NMEM_ENKF: *NMEM_ENKF - NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.options.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 7 # Convert to ENSGRP %02d task_template: *task_template ens_more: *ens_task_template @@ -173,8 +171,8 @@ workflow: !Cycle grp8: !Task Perform: <<: *eomg_action - NMEM_ENKF: *NMEM_ENKF - NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.options.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 8 # Convert to ENSGRP %02d task_template: *task_template ens_more: *ens_task_template @@ -218,8 +216,8 @@ workflow: !Cycle grp1: !Task Perform: <<: *efcs_action - NMEM_ENKF: *NMEM_ENKF - NMEM_ENKF_GRP_EFMN: *NMEM_ENKF_GRP_EFMN + NMEM_ENKF: !calc doc.options.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.options.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 1 # Convert to ENSGRP %02d task_template: *task_template ens_more: *ens_task_template @@ -228,8 +226,8 @@ workflow: !Cycle grp2: !Task Perform: <<: *efcs_action - NMEM_ENKF: *NMEM_ENKF - NMEM_ENKF_GRP_EFMN: *NMEM_ENKF_GRP_EFMN + NMEM_ENKF: !calc doc.options.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.options.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 2 # Convert to ENSGRP %02d task_template: *task_template ens_more: *ens_task_template @@ -238,8 +236,8 @@ workflow: !Cycle grp3: !Task Perform: <<: *efcs_action - NMEM_ENKF: *NMEM_ENKF - NMEM_ENKF_GRP_EFMN: *NMEM_ENKF_GRP_EFMN + NMEM_ENKF: !calc doc.options.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.options.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 3 # Convert to ENSGRP %02d task_template: *task_template ens_more: *ens_task_template @@ -248,8 +246,8 @@ workflow: !Cycle grp4: !Task Perform: <<: *efcs_action - NMEM_ENKF: *NMEM_ENKF - NMEM_ENKF_GRP_EFMN: *NMEM_ENKF_GRP_EFMN + NMEM_ENKF: !calc doc.options.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.options.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 4 # Convert to ENSGRP %02d task_template: *task_template ens_more: *ens_task_template @@ -258,8 +256,8 @@ workflow: !Cycle grp5: !Task Perform: <<: *efcs_action - NMEM_ENKF: *NMEM_ENKF - NMEM_ENKF_GRP_EFMN: *NMEM_ENKF_GRP_EFMN + NMEM_ENKF: !calc doc.options.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.options.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 5 # Convert to ENSGRP %02d task_template: *task_template ens_more: *ens_task_template @@ -268,8 +266,8 @@ workflow: !Cycle grp6: !Task Perform: <<: *efcs_action - NMEM_ENKF: *NMEM_ENKF - NMEM_ENKF_GRP_EFMN: *NMEM_ENKF_GRP_EFMN + NMEM_ENKF: !calc doc.options.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.options.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 6 # Convert to ENSGRP %02d task_template: *task_template ens_more: *ens_task_template @@ -278,8 +276,8 @@ workflow: !Cycle grp7: !Task Perform: <<: *efcs_action - NMEM_ENKF: *NMEM_ENKF - NMEM_ENKF_GRP_EFMN: *NMEM_ENKF_GRP_EFMN + NMEM_ENKF: !calc doc.options.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.options.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 7 # Convert to ENSGRP %02d task_template: *task_template ens_more: *ens_task_template @@ -288,8 +286,8 @@ workflow: !Cycle grp8: !Task Perform: <<: *efcs_action - NMEM_ENKF: *NMEM_ENKF - NMEM_ENKF_GRP_EFMN: *NMEM_ENKF_GRP_EFMN + NMEM_ENKF: !calc doc.options.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.options.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 8 # Convert to ENSGRP %02d task_template: *task_template ens_more: *ens_task_template @@ -387,8 +385,8 @@ workflow: !Cycle grp1: !Task Perform: <<: *earc_action - NMEM_ENKF: *NMEM_ENKF - NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.options.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 1 # Convert to ENSGRP %02d task_template: *task_template ens_more: *ens_task_template @@ -396,8 +394,8 @@ workflow: !Cycle grp2: !Task Perform: <<: *earc_action - NMEM_ENKF: *NMEM_ENKF - NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.options.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 2 # Convert to ENSGRP %02d task_template: *task_template ens_more: *ens_task_template @@ -405,8 +403,8 @@ workflow: !Cycle grp3: !Task Perform: <<: *earc_action - NMEM_ENKF: *NMEM_ENKF - NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.options.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 3 # Convert to ENSGRP %02d task_template: *task_template ens_more: *ens_task_template @@ -414,8 +412,8 @@ workflow: !Cycle grp4: !Task Perform: <<: *earc_action - NMEM_ENKF: *NMEM_ENKF - NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.options.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 4 # Convert to ENSGRP %02d task_template: *task_template ens_more: *ens_task_template @@ -423,8 +421,8 @@ workflow: !Cycle grp5: !Task Perform: <<: *earc_action - NMEM_ENKF: *NMEM_ENKF - NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.options.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 5 # Convert to ENSGRP %02d task_template: *task_template ens_more: *ens_task_template @@ -432,8 +430,8 @@ workflow: !Cycle grp6: !Task Perform: <<: *earc_action - NMEM_ENKF: *NMEM_ENKF - NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.options.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 6 # Convert to ENSGRP %02d task_template: *task_template ens_more: *ens_task_template @@ -441,8 +439,8 @@ workflow: !Cycle grp7: !Task Perform: <<: *earc_action - NMEM_ENKF: *NMEM_ENKF - NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.options.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 7 # Convert to ENSGRP %02d task_template: *task_template ens_more: *ens_task_template @@ -450,8 +448,8 @@ workflow: !Cycle grp8: !Task Perform: <<: *earc_action - NMEM_ENKF: *NMEM_ENKF - NMEM_ENKF_GRP_EOMN: *NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.options.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 8 # Convert to ENSGRP %02d task_template: *task_template ens_more: *ens_task_template From f3d78eafef53cb5b0ad230bdee9a2d280290257c Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 22 Sep 2017 20:08:48 +0000 Subject: [PATCH 098/487] add a "OMP_NUM_THREADS=max" option (not fully tested) --- crow/sysenv/__init__.py | 2 +- crow/sysenv/exceptions.py | 1 + crow/sysenv/jobs.py | 7 +++++++ crow/sysenv/nodes.py | 15 +++++++++++---- 4 files changed, 20 insertions(+), 5 deletions(-) diff --git a/crow/sysenv/__init__.py b/crow/sysenv/__init__.py index 62de74f..46ba2c7 100644 --- a/crow/sysenv/__init__.py +++ b/crow/sysenv/__init__.py @@ -1,4 +1,4 @@ -from .jobs import JobResourceSpec, JobRankSpec +from .jobs import JobResourceSpec, JobRankSpec, MAXIMUM_THREADS from .nodes import NodeSpec, GenericNodeSpec from .shell import ShellCommand from .exceptions import UnknownSchedulerError diff --git a/crow/sysenv/exceptions.py b/crow/sysenv/exceptions.py index 10fd728..6f047a8 100644 --- a/crow/sysenv/exceptions.py +++ b/crow/sysenv/exceptions.py @@ -4,3 +4,4 @@ class MachineTooSmallError(SysEnvConfigError): pass class UnknownParallelismError(SysEnvConfigError): pass class UnknownSchedulerError(SysEnvConfigError): pass class InvalidJobResourceSpec(SysEnvConfigError): pass + diff --git a/crow/sysenv/jobs.py b/crow/sysenv/jobs.py index e76c0c1..38127c9 100644 --- a/crow/sysenv/jobs.py +++ b/crow/sysenv/jobs.py @@ -1,3 +1,4 @@ +import sys from abc import abstractmethod from collections import UserList, Mapping, Sequence, OrderedDict from subprocess import Popen, PIPE, CompletedProcess @@ -12,6 +13,8 @@ MISSING=object() # special constant for missing arguments +MAXIMUM_THREADS=sys.maxsize + ######################################################################## class JobRankSpec(Mapping): @@ -19,6 +22,8 @@ def __init__(self,OMP_NUM_THREADS=0,mpi_ranks=0, exe=MISSING,args=MISSING,exclusive=True, separate_node=False,hyperthreads=1,max_ppn=MISSING, **kwargs): + if OMP_NUM_THREADS == 'max': + OMP_NUM_THREADS=MAXIMUM_THREADS self.__spec={ 'mpi_ranks':max(0,int(mpi_ranks)), 'exclusive':bool(exclusive), @@ -47,6 +52,8 @@ def is_exclusive(self): def is_pure_serial(self): return not self.is_mpi() and not self.is_openmp() + def want_max_threads(self): + return self['OMP_NUM_THREADS']==MAXIMUM_THREADS def is_openmp(self): return self['OMP_NUM_THREADS']>0 def is_mpi(self): diff --git a/crow/sysenv/nodes.py b/crow/sysenv/nodes.py index d2f969f..961bf9d 100644 --- a/crow/sysenv/nodes.py +++ b/crow/sysenv/nodes.py @@ -1,9 +1,11 @@ from abc import abstractmethod from collections import UserList, Mapping, Sequence, OrderedDict from subprocess import Popen, PIPE, CompletedProcess - +from crow.sysenv.jobs import MAXIMUM_THREADS from crow.sysenv.util import ranks_to_nodes_ppn +from crow.sysenv.exceptions import * + def noop(*args,**kwargs): pass ######################################################################## @@ -98,12 +100,17 @@ def max_ranks_per_node(self,rank_spec): if can_hyper and rank_spec.get('hyperthreading',False): max_per_node*=self.cpus_per_core threads_per_node=max_per_node - max_per_node //= max(1,rank_spec.get('OMP_NUM_THREADS',1)) - if max_per_node<1: - raise MachineTooSmallError(f'Specification too large for node: max {threads_per_node} for {rank_spec!r}') + omp_threads=max(1,rank_spec.get('OMP_NUM_THREADS',1)) + + if omp_threads!=MAXIMUM_THREADS: + max_per_node //= omp_threads + max_ppn=rank_spec.get('max_ppn',0) if max_ppn: max_per_node=min(max_ppn,max_per_node) + + if max_per_node<1: + raise MachineTooSmallError(f'Specification too large for node: max {threads_per_node} for {rank_spec!r}') return max_per_node def can_merge_ranks(self,R1,R2): From 5b6d9902d6d198b8544df1bdea435571628b48ba Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 22 Sep 2017 20:09:18 +0000 Subject: [PATCH 099/487] models/fv3gfs now generates its rocoto xml --- model/fv3gfs/actions.yaml | 32 ++++++++++++-- model/fv3gfs/options.yaml | 12 ++--- model/fv3gfs/setup_expt.py | 18 +++----- model/fv3gfs/workflow.yaml | 89 +++++++++++++++++++++++--------------- 4 files changed, 95 insertions(+), 56 deletions(-) diff --git a/model/fv3gfs/actions.yaml b/model/fv3gfs/actions.yaml index 393d2aa..d3a1c8c 100644 --- a/model/fv3gfs/actions.yaml +++ b/model/fv3gfs/actions.yaml @@ -24,17 +24,22 @@ ecen: &ecen_action CHGRESEXEC: !expand "{BASE_GSM}/exec/global_chgres_GSM" CHGRESVARS_ENKF: "use_ufo=.true.,nopdpvv=.true." CHGRESTHREAD: 12 + J_JOB: ecen + accounting: !calc doc.platform.parallel_accounting epos: &epos_action <<: *action_template + J_JOB: epos walltime: !timedelta 00:15:00 resources: !calc run_epos rocoto_memory: "3072M" ENKFPOSTSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_post_fv3gfs.sh.ecf" nth_epos: 2 + accounting: !calc doc.platform.parallel_accounting eobs: &eobs_action <<: *action_template + J_JOB: eobs walltime: !timedelta 00:15:00 resources: !calc run_eobs rocoto_memory: "3072M" @@ -48,16 +53,20 @@ eobs: &eobs_action OBSINPUT_INVOBS: "dmesh(1)=225.0,dmesh(2)=225.0" OBSQC_INVOBS: "tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" nth_eobs: 2 + accounting: !calc doc.platform.parallel_accounting eomg: &eomg_action <<: *action_template + J_JOB: eomg walltime: !timedelta 00:15:00 resources: !calc run_eomg rocoto_memory: "3072M" nth_eomg: 2 + accounting: !calc doc.platform.parallel_accounting eupd: &eupd_action <<: *action_template + J_JOB: eupd walltime: !timedelta 00:15:00 resources: !calc run_eupd rocoto_memory: "3072M" @@ -66,14 +75,17 @@ eupd: &eupd_action npe_enkf: !expand "{npe_eupd}" nth_enkf: 4 nth_eupd: 2 + accounting: !calc doc.platform.parallel_accounting efcs: &efcs_action <<: *action_template + J_JOB: efcs walltime: !timedelta 00:15:00 resources: !calc run_efcs rocoto_memory: "3072M" npe_fv3: !expand "{npe_efcs}" nth_fv3: 1 + accounting: !calc doc.platform.parallel_accounting ENKFFCSTSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" NMEM_EFCSGRP: 10 @@ -102,20 +114,25 @@ efcs: &efcs_action earc: &earc_action <<: *action_template + J_JOB: earc walltime: !timedelta 00:15:00 resources: !calc run_earc rocoto_memory: "3072M" NMEM_EARCGRP: 10 nth_earc: 2 + accounting: !calc doc.platform.transfer_accounting final: &final_action <<: *action_template walltime: !timedelta 00:03:00 resources: !calc run_nothing rocoto_memory: "100M" + accounting: !calc doc.platform.serial_accounting + J_JOB: /bin/true prep: &prep_action <<: *action_template + J_JOB: prep walltime: !timedelta 00:10:00 resources: !calc run_prep rocoto_memory: "3072M" @@ -123,9 +140,11 @@ prep: &prep_action DO_MAKEPREPBUFR: "YES" # if NO, will copy prepbufr from globaldump DRIVE_MAKEPREPBUFRSH: !expand "{BASE_GSM}/ush/drive_makeprepbufr.sh" nth_prep: 2 + accounting: !calc doc.platform.parallel_accounting anal: &anal_action <<: *action_template + J_JOB: anal walltime: !timedelta 01:30:00 resources: !calc run_anal rocoto_memory: "3072M" @@ -134,9 +153,11 @@ anal: &anal_action npe_gsi: !expand "{npe_anal}" nth_gsi: 4 nth_anal: 2 + accounting: !calc doc.platform.parallel_accounting fcst: &fcst_action <<: *action_template + J_JOB: fcst walltime: !timedelta 00:10:00 resources: !calc run_fcst rocoto_memory: "3072M" @@ -153,6 +174,7 @@ fcst: &fcst_action dspheat: ".false." # dissipative heating shal_cnv: ".true." # shallow convection agrid_vel_rst: ".true." # write velocity restarts on agrid? + accounting: !calc doc.platform.parallel_accounting # Disable the use of coupler.res; get model start time from model_configure # export USE_COUPLER_RES="NO" @@ -180,6 +202,7 @@ fcst: &fcst_action post: &post_action <<: *action_template + J_JOB: post walltime: !timedelta 00:15:00 resources: !calc run_post rocoto_memory: "3072M" @@ -195,24 +218,25 @@ post: &post_action npe_dwn: !expand "{npe_post}" nth_dwn: 2 nth_post: 2 + accounting: !calc doc.platform.parallel_accounting arch: &arch_action <<: *action_template + J_JOB: arch walltime: !timedelta 06:00:00 resources: !calc run_arch rocoto_memory: "3072M" nth_arch: 2 - -a: - c: - e: f + accounting: !calc doc.platform.transfer_accounting vrfy: &vrfy_action <<: *action_template + J_JOB: vrfy Template: *vrfy_template walltime: !timedelta 01:00:00 resources: !calc run_vrfy rocoto_memory: "3072M" + accounting: !calc doc.platform.parallel_accounting VDUMP: "gfs" # verifying dump CDUMPFCST: "gdas" # Fit-to-obs with GDAS/GFS prepbufr CDFNL: "gdas" # Scores verification against GDAS/GFS analysis diff --git a/model/fv3gfs/options.yaml b/model/fv3gfs/options.yaml index 267ee6e..b5061dd 100644 --- a/model/fv3gfs/options.yaml +++ b/model/fv3gfs/options.yaml @@ -1,19 +1,21 @@ -places: - HOMEDIR: !expand "/scratch4/NCEPDEV/global/save/{tools.env('USER')}" +places: &places + PROJECT_DIR: /scratch4/NCEPDEV/nems + HOMEDIR: !expand "{PROJECT_DIR}/save/{tools.env('USER')}" STMP: !expand "{doc.platform.least_used_scrub}{tools.env('USER')}" PTMP: !expand "{doc.platform.least_used_scrub}{tools.env('USER')}" - NOSCRUB: !expand "/scratch4/NCEPDEV/global/noscrub/{tools.env('USER')}" + NOSCRUB: !expand "{PROJECT_DIR}/noscrub/{tools.env('USER')}" # Locations of CROW and this test suite. HOMEcrow: !calc tools.crow_install_dir() HOMEtest: !calc tools.crow_install_dir('examples/real-workflow') options: &options + <<: *places # Project for CPU accounting. cpu_project: !calc doc.platform.default_cpu_project #Formerly known as ACCOUNT - # Project for storing HPSS data. - hpss_project: emc-global + hpss_project: emc-global # Project for storing HPSS data. + noscrub_project: nems # Project for storing non-scrubbed data. # User-defined experiment name; should be a-z followed by alphanumeric: experiment_name: expt #Formerly known as PSLOT diff --git a/model/fv3gfs/setup_expt.py b/model/fv3gfs/setup_expt.py index 5a3948d..a03372f 100755 --- a/model/fv3gfs/setup_expt.py +++ b/model/fv3gfs/setup_expt.py @@ -20,21 +20,17 @@ if isinstance(conf[key],Platform) and key!='platform': del conf[key] -run_dir=conf.options.run_dir -logger.info(f'Run directory: {run_dir}') -config_yaml=os.path.join(run_dir,'config.yaml') +EXPDIR=conf.options.EXPDIR +logger.info(f'Run directory: {EXPDIR}') +config_yaml=os.path.join(EXPDIR,'config.yaml') yaml=crow.config.to_yaml(conf) -assert('namelist' in conf.generic_fcst) -assert('namelist' in conf.fcst) -assert('namelist' in conf.ens_fcst) - try: - os.makedirs(run_dir) + os.makedirs(EXPDIR) except FileExistsError: - logger.warning(f'{run_dir}: exists') + logger.warning(f'{EXPDIR}: exists') if not force: - logger.error(f'{run_dir}: already exists. Delete or use --force.') + logger.error(f'{EXPDIR}: already exists. Delete or use --force.') sys.exit(1) logger.warning(f'--force given; will replace config.yaml without ' 'deleting directory') @@ -49,7 +45,7 @@ logger.info(f'Experiment name: {expname}') rocoto_xml=crow.metascheduler.to_rocoto(suite) -rocoto_xml_file=os.path.join(run_dir,f'{expname}.xml') +rocoto_xml_file=os.path.join(EXPDIR,f'{expname}.xml') logger.info(f'Rocoto XML file: {rocoto_xml_file}') with open(rocoto_xml_file,'wt') as fd: fd.write(rocoto_xml) diff --git a/model/fv3gfs/workflow.yaml b/model/fv3gfs/workflow.yaml index 799df6a..d89bdfb 100644 --- a/model/fv3gfs/workflow.yaml +++ b/model/fv3gfs/workflow.yaml @@ -1,15 +1,15 @@ Rocoto: &Rocoto scheduler: !calc doc.platform.scheduler parallelism: !calc doc.platform.parallelism - run_dir: !calc doc.options.run_dir + EXPDIR: !calc doc.options.EXPDIR max_tries: 3 workflow_xml: !expand | - - + + + @@ -26,7 +26,7 @@ Rocoto: &Rocoto task_template: &task_template !expand | $HOMEtest/workflow/job_wrapper.sh {Perform.J_JOB} - {doc.options.expt_name}{task_path_str}@@Y@m@d@H + {doc.options.experiment_name}{task_path_str}@@Y@m@d@H &LOG_DIR;/@Y@m@d@H/{task_path_var}.log {Perform.walltime} {Perform.rocoto_memory} @@ -40,7 +40,7 @@ Rocoto: &Rocoto OLD_COM_DIR - &COM_DIR;/@Y@m@d@H + &COM_DIR;/@Y@m@d@H SCRUB_DIR @@ -52,7 +52,7 @@ Rocoto: &Rocoto CONFIG_YAML - {doc.options.run_dir}/config.yaml + {doc.options.EXPDIR}/config.yaml HOMEfv3gfs @@ -63,16 +63,33 @@ Rocoto: &Rocoto {doc.options.HOMEtest} - ens_task_template: &ens_task_template !expand | + efcs_task_template: &efcs_task_template !expand | - ENS_START_MEMBER - {Perform.start_mem} + NMEM_ENKF + {Perform.NMEM_ENKF} - ENS_STOP_MEMBER - {Perform.stop_mem} + NMEM_ENKF_GRP_EFMN + {Perform.NMEM_ENKF_GRP_EFMN} + + + GROUP_NUMBER + GROUP_NUMBER + eomn_task_template: &eomn_task_template !expand | + + NMEM_ENKF + {Perform.NMEM_ENKF} + + + NMEM_ENKF_GRP_EOMN + {Perform.NMEM_ENKF_GRP_EOMN} + + + GROUP_NUMBER + GROUP_NUMBER + workflow: !Cycle Rocoto: *Rocoto @@ -105,7 +122,7 @@ workflow: !Cycle NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 1 # Convert to ENSGRP %02d task_template: *task_template - ens_more: *ens_task_template + ens_more: *eomn_task_template Rocoto: !expand "{task_template}{ens_more}" grp2: !Task @@ -115,7 +132,7 @@ workflow: !Cycle NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 2 # Convert to ENSGRP %02d task_template: *task_template - ens_more: *ens_task_template + ens_more: *eomn_task_template Rocoto: !expand "{task_template}{ens_more}" grp3: !Task @@ -125,7 +142,7 @@ workflow: !Cycle NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 3 # Convert to ENSGRP %02d task_template: *task_template - ens_more: *ens_task_template + ens_more: *eomn_task_template Rocoto: !expand "{task_template}{ens_more}" grp4: !Task @@ -135,7 +152,7 @@ workflow: !Cycle NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 4 # Convert to ENSGRP %02d task_template: *task_template - ens_more: *ens_task_template + ens_more: *eomn_task_template Rocoto: !expand "{task_template}{ens_more}" grp5: !Task @@ -145,7 +162,7 @@ workflow: !Cycle NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 5 # Convert to ENSGRP %02d task_template: *task_template - ens_more: *ens_task_template + ens_more: *eomn_task_template Rocoto: !expand "{task_template}{ens_more}" grp6: !Task @@ -155,7 +172,7 @@ workflow: !Cycle NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 6 # Convert to ENSGRP %02d task_template: *task_template - ens_more: *ens_task_template + ens_more: *eomn_task_template Rocoto: !expand "{task_template}{ens_more}" grp7: !Task @@ -165,7 +182,7 @@ workflow: !Cycle NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 7 # Convert to ENSGRP %02d task_template: *task_template - ens_more: *ens_task_template + ens_more: *eomn_task_template Rocoto: !expand "{task_template}{ens_more}" grp8: !Task @@ -175,7 +192,7 @@ workflow: !Cycle NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 8 # Convert to ENSGRP %02d task_template: *task_template - ens_more: *ens_task_template + ens_more: *eomn_task_template Rocoto: !expand "{task_template}{ens_more}" eupd: !Task @@ -220,7 +237,7 @@ workflow: !Cycle NMEM_ENKF_GRP_EFMN: !calc doc.options.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 1 # Convert to ENSGRP %02d task_template: *task_template - ens_more: *ens_task_template + ens_more: *efcs_task_template Rocoto: !expand "{task_template}{ens_more}" grp2: !Task @@ -230,7 +247,7 @@ workflow: !Cycle NMEM_ENKF_GRP_EFMN: !calc doc.options.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 2 # Convert to ENSGRP %02d task_template: *task_template - ens_more: *ens_task_template + ens_more: *efcs_task_template Rocoto: !expand "{task_template}{ens_more}" grp3: !Task @@ -240,7 +257,7 @@ workflow: !Cycle NMEM_ENKF_GRP_EFMN: !calc doc.options.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 3 # Convert to ENSGRP %02d task_template: *task_template - ens_more: *ens_task_template + ens_more: *efcs_task_template Rocoto: !expand "{task_template}{ens_more}" grp4: !Task @@ -250,7 +267,7 @@ workflow: !Cycle NMEM_ENKF_GRP_EFMN: !calc doc.options.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 4 # Convert to ENSGRP %02d task_template: *task_template - ens_more: *ens_task_template + ens_more: *efcs_task_template Rocoto: !expand "{task_template}{ens_more}" grp5: !Task @@ -260,7 +277,7 @@ workflow: !Cycle NMEM_ENKF_GRP_EFMN: !calc doc.options.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 5 # Convert to ENSGRP %02d task_template: *task_template - ens_more: *ens_task_template + ens_more: *efcs_task_template Rocoto: !expand "{task_template}{ens_more}" grp6: !Task @@ -270,7 +287,7 @@ workflow: !Cycle NMEM_ENKF_GRP_EFMN: !calc doc.options.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 6 # Convert to ENSGRP %02d task_template: *task_template - ens_more: *ens_task_template + ens_more: *efcs_task_template Rocoto: !expand "{task_template}{ens_more}" grp7: !Task @@ -280,7 +297,7 @@ workflow: !Cycle NMEM_ENKF_GRP_EFMN: !calc doc.options.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 7 # Convert to ENSGRP %02d task_template: *task_template - ens_more: *ens_task_template + ens_more: *efcs_task_template Rocoto: !expand "{task_template}{ens_more}" grp8: !Task @@ -290,7 +307,7 @@ workflow: !Cycle NMEM_ENKF_GRP_EFMN: !calc doc.options.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 8 # Convert to ENSGRP %02d task_template: *task_template - ens_more: *ens_task_template + ens_more: *efcs_task_template Rocoto: !expand "{task_template}{ens_more}" epos: !Task @@ -389,7 +406,7 @@ workflow: !Cycle NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 1 # Convert to ENSGRP %02d task_template: *task_template - ens_more: *ens_task_template + ens_more: *eomn_task_template Rocoto: !expand "{task_template}{ens_more}" grp2: !Task Perform: @@ -398,7 +415,7 @@ workflow: !Cycle NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 2 # Convert to ENSGRP %02d task_template: *task_template - ens_more: *ens_task_template + ens_more: *eomn_task_template Rocoto: !expand "{task_template}{ens_more}" grp3: !Task Perform: @@ -407,7 +424,7 @@ workflow: !Cycle NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 3 # Convert to ENSGRP %02d task_template: *task_template - ens_more: *ens_task_template + ens_more: *eomn_task_template Rocoto: !expand "{task_template}{ens_more}" grp4: !Task Perform: @@ -416,7 +433,7 @@ workflow: !Cycle NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 4 # Convert to ENSGRP %02d task_template: *task_template - ens_more: *ens_task_template + ens_more: *eomn_task_template Rocoto: !expand "{task_template}{ens_more}" grp5: !Task Perform: @@ -425,7 +442,7 @@ workflow: !Cycle NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 5 # Convert to ENSGRP %02d task_template: *task_template - ens_more: *ens_task_template + ens_more: *eomn_task_template Rocoto: !expand "{task_template}{ens_more}" grp6: !Task Perform: @@ -434,7 +451,7 @@ workflow: !Cycle NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 6 # Convert to ENSGRP %02d task_template: *task_template - ens_more: *ens_task_template + ens_more: *eomn_task_template Rocoto: !expand "{task_template}{ens_more}" grp7: !Task Perform: @@ -443,7 +460,7 @@ workflow: !Cycle NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 7 # Convert to ENSGRP %02d task_template: *task_template - ens_more: *ens_task_template + ens_more: *eomn_task_template Rocoto: !expand "{task_template}{ens_more}" grp8: !Task Perform: @@ -452,7 +469,7 @@ workflow: !Cycle NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 8 # Convert to ENSGRP %02d task_template: *task_template - ens_more: *ens_task_template + ens_more: *eomn_task_template Rocoto: !expand "{task_template}{ens_more}" final: !Task From b04365409a737e81126849087e373beff277b676 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 22 Sep 2017 20:25:40 +0000 Subject: [PATCH 100/487] OMP_NUM_THREADS=max seems to work now --- crow/sysenv/nodes.py | 29 ++++++++++++++++++++++++++++ crow/sysenv/parallelism/HydraIMPI.py | 4 ++-- examples/run/test.py | 26 +++++++++++++++++++++++++ 3 files changed, 57 insertions(+), 2 deletions(-) diff --git a/crow/sysenv/nodes.py b/crow/sysenv/nodes.py index 961bf9d..fa95c5b 100644 --- a/crow/sysenv/nodes.py +++ b/crow/sysenv/nodes.py @@ -19,6 +19,11 @@ def max_ranks_per_node(rank_spec): """!Given a JobRankSpec, return the maximum number of these ranks that can fit on one compute node. """ @abstractmethod + def omp_threads_for(rank_spec): + """!Given a JobRankSpec, return the number of OpenMP threads it should + use. This will perform the OMP_NUM_THREADS=max calculation if the + number of OpenMP threads is unspecified.""" + @abstractmethod def can_merge_ranks(rank_spec_1,rank_spec_2): """!Given two JobRankSpec objects, determine whether they can be merged into one.""" @@ -94,6 +99,30 @@ def __init__(self,settings): # Implement NodeSpec abstract methods: + def omp_threads_for(self,rank_spec): + omp_threads=max(1,rank_spec.get('OMP_NUM_THREADS',1)) + if omp_threads != MAXIMUM_THREADS: + return omp_threads + + can_hyper=self.hyperthreading_allowed + max_ranks_per_node=self.cores_per_node + if can_hyper and rank_spec.get('hyperthreading',False): + max_ranks_per_node*=self.cpus_per_core + if rank_spec.is_mpi(): + ppn=max_ranks_per_node + else: + ppn=1 + + print(f'ppn={ppn} mrpn={max_ranks_per_node}') + + max_ppn=rank_spec.get('max_ppn',0) + if max_ppn: + ppn=min(max_ppn,ppn) + + print(f'ppn={ppn} mrpn={max_ranks_per_node}') + + return max_ranks_per_node//ppn + def max_ranks_per_node(self,rank_spec): can_hyper=self.hyperthreading_allowed max_per_node=self.cores_per_node diff --git a/crow/sysenv/parallelism/HydraIMPI.py b/crow/sysenv/parallelism/HydraIMPI.py index 01622ed..03e6b1b 100644 --- a/crow/sysenv/parallelism/HydraIMPI.py +++ b/crow/sysenv/parallelism/HydraIMPI.py @@ -26,7 +26,7 @@ def make_ShellCommand(self,spec): return ShellCommand(spec['exe']) elif spec.is_pure_openmp(): return ShellCommand(spec[0]['exe'],env={ - 'OMP_NUM_THREADS':int(spec[0]['OMP_NUM_THREADS']) }) + 'OMP_NUM_THREADS':self.nodes.omp_threads_for(spec[0])}) # Merge any adjacent ranks that can be merged. Ignore # differing executables between ranks while merging them @@ -53,7 +53,7 @@ def make_ShellCommand(self,spec): cmd.extend(['-np','%d'%max(1,int(rank.get('mpi_ranks',1)))]) if rank.is_openmp(): cmd.extend([ '/usr/bin/env', 'OMP_NUM_THREADS='+ - '%d'%int(rank['OMP_NUM_THREADS']) ]) + '%d'%self.nodes.omp_threads_for(rank) ]) if isinstance(exe,str): cmd.append(exe) else: diff --git a/examples/run/test.py b/examples/run/test.py index 76da174..7f7118a 100755 --- a/examples/run/test.py +++ b/examples/run/test.py @@ -36,6 +36,32 @@ assert(str(cmd)=="ShellCommand(command=['mpiexec', '-gdb', '-envall', '-np', '12', '/usr/bin/env', 'OMP_NUM_THREADS=4', 'exe1', ':', '-envall', '-np', '48', '/usr/bin/env', 'OMP_NUM_THREADS=1', 'exe2', ':', '-np', '200', 'exe2'], env=None, cwd=None, files=[ ])") assert(str(res)=='2:ppn=6+2:ppn=24+2:ppn=23+7:ppn=22\n') +######################################################################## +# Test 1.5: OMP_NUM_THREADS=MAX +ranks=[ + { 'mpi_ranks':12, 'OMP_NUM_THREADS':'max', 'exe':'exe1', 'max_ppn':4 } + ] +jr=crow.sysenv.JobResourceSpec(ranks) +cmd=par.make_ShellCommand(jr) +res=sch.rocoto_resources(jr) +print(str(ranks)) +print('becomes') +print(str(cmd)) +print(str(res)) + +######################################################################## +# Test 1.7: OMP_NUM_THREADS=MAX not MPI +ranks=[ + { 'OMP_NUM_THREADS':'max', 'exe':'exe1' } + ] +jr=crow.sysenv.JobResourceSpec(ranks) +cmd=par.make_ShellCommand(jr) +res=sch.rocoto_resources(jr) +print(str(ranks)) +print('becomes') +print(str(cmd)) +print(str(res)) + ######################################################################## # Test 2: hard-coded max_ppn: From 2a44ac3bd69c63dae58a28b02b806286ecea259a Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Mon, 25 Sep 2017 19:10:36 +0000 Subject: [PATCH 101/487] finish the vrfy template --- model/fv3gfs/template.yaml | 46 +++++++++++++++++++++++++++++++++----- 1 file changed, 41 insertions(+), 5 deletions(-) diff --git a/model/fv3gfs/template.yaml b/model/fv3gfs/template.yaml index 8f1e24f..bf66fe3 100644 --- a/model/fv3gfs/template.yaml +++ b/model/fv3gfs/template.yaml @@ -236,6 +236,14 @@ vrfy_template: &vrfy_template !Template type: logic allowed: [ "YES", "NO" ] + VRFYMINSH: + type: str + allowed: [ "YES", "NO" ] + override: !FirstTrue + - when: !calc ( not platform.name=="THEIA" and not platform.name=="WCOSS_C" ) + do: NO + message: !expand "WARNING: Minimization monitoring is not enabled on {platform.name}!" + CYC_TRACK: type: str allowed: [ "YES", "NO" ] @@ -243,6 +251,13 @@ vrfy_template: &vrfy_template !Template - when: !calc VRFYTRAK=="YES" do: *CYC_TRACK_VARS + VRFY_CDUMP_GFS: + type: str + allowed: [ "YES", "NO" ] + if_present: !FirstTrue + - when: !calc ( CDUMP=="gfs" & ( VSDB_STEP1=="YES" | VRFYPRCP=="YES" | VRFYG2OBS=="YES" ) ) + do: *VRFY_CDUMP_GFS_VARS + MIN_RAD_OZN: type: str allowed: [ "YES", "NO" ] @@ -250,9 +265,30 @@ vrfy_template: &vrfy_template !Template - when: !calc ( VRFYRAD=="YES" or VRFYMINMON=="YES" or VRFYOZN=="YES" ) do: *MIN_RAD_OZN_VARS - VRFYMINSH: + RAD: type: str - override: !FirstTrue - - when: !calc ( not platform.name=="THEIA" and not platform.name=="WCOSS_C" ) - do: NO - message: !expand "WARNING: Minimization monitoring is not enabled on {platform.name}!" + allowed: [ "YES", "NO" ] + if_present: !FirstTrue + - when: !calc VRFYRAD=="YES" & CDUMP=="$CDFNL" + do: *RAD_VARS + + OZN: + type: str + allowed: [ "YES", "NO" ] + if_present: !FirstTrue + - when: !calc VRFYOZN=="YES" + do: *OZN_VARS + + MIN: + type: str + allowed: [ "YES", "NO" ] + if_present: !FirstTrue + - when: !calc VRFYMINMON=="YES" + do: *MIN_VARS + + CYC_GEN: + type: str + allowed: [ "YES", "NO" ] + if_present: !FirstTrue + - when: !calc VRFYGENESIS=="YES" + do: *CYC_GEN_VARS From 14dd2384d3276ed4c0fa1e2265b669c230e45a36 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 25 Sep 2017 19:19:07 +0000 Subject: [PATCH 102/487] 1. Fix various minor bugs in yaml within model/fv3gfs 2. Add override and precheck support to template.py (not fully tested) --- crow/config/template.py | 9 +- model/fv3gfs/actions.yaml | 68 ++++++------ model/fv3gfs/{options.yaml => case.yaml} | 0 model/fv3gfs/setup_expt.py | 23 +++- model/fv3gfs/template.yaml | 134 +++++++++++------------ 5 files changed, 127 insertions(+), 107 deletions(-) rename model/fv3gfs/{options.yaml => case.yaml} (100%) diff --git a/crow/config/template.py b/crow/config/template.py index f94921f..cae0060 100644 --- a/crow/config/template.py +++ b/crow/config/template.py @@ -39,6 +39,10 @@ def _check_scope(self,scope): did_something=True checked.add(var) scheme=template[var] + + if 'precheck' in scheme: + scope[var]=scheme.precheck + validate_var(scheme,var,scope[var]) if 'if_present' in scheme: ip=from_config( @@ -51,7 +55,8 @@ def _check_scope(self,scope): errors.append(ce) raise - # Insert default values for all templates found thus far: + # Insert default values for all templates found thus far and + # override values if requested: for var in template: if var not in scope: tmpl=template[var] @@ -61,6 +66,8 @@ def _check_scope(self,scope): scope[var]=tmpl._raw('default') except AttributeError: scope[var]=tmpl['default'] + if 'override' in tmpl: + scope[var]=tmpl.override if errors: raise TemplateErrors(errors) diff --git a/model/fv3gfs/actions.yaml b/model/fv3gfs/actions.yaml index d3a1c8c..3f8e216 100644 --- a/model/fv3gfs/actions.yaml +++ b/model/fv3gfs/actions.yaml @@ -3,7 +3,7 @@ action_template: &action_template BASE_GSI: !calc doc.platform.places.BASE_GSI BASE_GSM: !calc doc.platform.places.BASE_GSM -ecen: &ecen_action +ecen: &ecen_action !Action <<: *action_template # ---------------------------------------- @@ -27,7 +27,20 @@ ecen: &ecen_action J_JOB: ecen accounting: !calc doc.platform.parallel_accounting -epos: &epos_action +anal: &anal_action !Action + <<: *action_template + J_JOB: anal + walltime: !timedelta 01:30:00 + resources: !calc run_anal + rocoto_memory: "3072M" + ANALYSISSH: !expand "{BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" + GSIEXEC: !expand "${BASE_GSI}/exec/global_gsi" + npe_gsi: !expand "{npe_anal}" + nth_gsi: 4 + nth_anal: 2 + accounting: !calc doc.platform.parallel_accounting + +epos: &epos_action !Action <<: *action_template J_JOB: epos walltime: !timedelta 00:15:00 @@ -37,8 +50,8 @@ epos: &epos_action nth_epos: 2 accounting: !calc doc.platform.parallel_accounting -eobs: &eobs_action - <<: *action_template +eobs: &eobs_action !Action + <<: *anal_action J_JOB: eobs walltime: !timedelta 00:15:00 resources: !calc run_eobs @@ -55,7 +68,7 @@ eobs: &eobs_action nth_eobs: 2 accounting: !calc doc.platform.parallel_accounting -eomg: &eomg_action +eomg: &eomg_action !Action <<: *action_template J_JOB: eomg walltime: !timedelta 00:15:00 @@ -64,7 +77,7 @@ eomg: &eomg_action nth_eomg: 2 accounting: !calc doc.platform.parallel_accounting -eupd: &eupd_action +eupd: &eupd_action !Action <<: *action_template J_JOB: eupd walltime: !timedelta 00:15:00 @@ -77,7 +90,7 @@ eupd: &eupd_action nth_eupd: 2 accounting: !calc doc.platform.parallel_accounting -efcs: &efcs_action +efcs: &efcs_action !Action <<: *action_template J_JOB: efcs walltime: !timedelta 00:15:00 @@ -112,7 +125,7 @@ efcs: &efcs_action nth_efcs: 2 -earc: &earc_action +earc: &earc_action !Action <<: *action_template J_JOB: earc walltime: !timedelta 00:15:00 @@ -122,7 +135,7 @@ earc: &earc_action nth_earc: 2 accounting: !calc doc.platform.transfer_accounting -final: &final_action +final: &final_action !Action <<: *action_template walltime: !timedelta 00:03:00 resources: !calc run_nothing @@ -130,7 +143,7 @@ final: &final_action accounting: !calc doc.platform.serial_accounting J_JOB: /bin/true -prep: &prep_action +prep: &prep_action !Action <<: *action_template J_JOB: prep walltime: !timedelta 00:10:00 @@ -142,20 +155,7 @@ prep: &prep_action nth_prep: 2 accounting: !calc doc.platform.parallel_accounting -anal: &anal_action - <<: *action_template - J_JOB: anal - walltime: !timedelta 01:30:00 - resources: !calc run_anal - rocoto_memory: "3072M" - ANALYSISSH: !expand "{BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" - GSIEXEC: !expand "${BASE_GSI}/exec/global_gsi" - npe_gsi: !expand "{npe_anal}" - nth_gsi: 4 - nth_anal: 2 - accounting: !calc doc.platform.parallel_accounting - -fcst: &fcst_action +fcst: &fcst_action !Action <<: *action_template J_JOB: fcst walltime: !timedelta 00:10:00 @@ -200,7 +200,7 @@ fcst: &fcst_action NC2NEMSIOSH: !expand "{BASE_GSM}/ush/fv3gfs_nc2nemsio.sh" nth_fcst: 2 -post: &post_action +post: &post_action !Action <<: *action_template J_JOB: post walltime: !timedelta 00:15:00 @@ -220,7 +220,7 @@ post: &post_action nth_post: 2 accounting: !calc doc.platform.parallel_accounting -arch: &arch_action +arch: &arch_action !Action <<: *action_template J_JOB: arch walltime: !timedelta 06:00:00 @@ -229,7 +229,7 @@ arch: &arch_action nth_arch: 2 accounting: !calc doc.platform.transfer_accounting -vrfy: &vrfy_action +vrfy: &vrfy_action !Action <<: *action_template J_JOB: vrfy Template: *vrfy_template @@ -253,27 +253,27 @@ vrfy: &vrfy_action VRFYGMPK: "NO" # Gempak verification fitdir: !FirstTrue - - when: !calc ( machine=="WCOSS_C" & VRFYFITS=="YES" ) + - when: !calc ( machine=="WCOSS_C" and VRFYFITS=="YES" ) do: !expand "{BASE_SVN}/verif/global/parafits.fv3nems/batrun" - - when: !calc ( machine=="THEIA" & VRFYFITS=="YES" ) + - when: !calc ( machine=="THEIA" and VRFYFITS=="YES" ) do: !expand "{BASE_SVN}/verif/global/parafits.fv3nems/batrun" PREPQFITSH: !FirstTrue - - when: !calc ( machine=="WCOSS_C" & VRFYFITS=="YES" ) + - when: !calc ( machine=="WCOSS_C" and VRFYFITS=="YES" ) do: !expand "{fitdir}/subfits_cray_nems" - - when: !calc ( machine=="THEIA" & VRFYFITS=="YES" ) + - when: !calc ( machine=="THEIA" and VRFYFITS=="YES" ) do: !expand "fitdir}/subfits_theia_nems" VRFY_CDUMP_GFS: !FirstTrue - - when: !calc ( CDUMP=="gfs" & ( VSDB_STEP1=="YES" | VRFYPRCP=="YES" | VRFYG2OBS=="YES" ) ) + - when: !calc ( CDUMP=="gfs" and ( VSDB_STEP1=="YES" or VRFYPRCP=="YES" or VRFYG2OBS=="YES" ) ) do: "YES" MIN_RAD_OZN: !FirstTrue - - when: !calc ( VRFYRAD=="YES" | VRFYMINMON=="YES" | VRFYOZN=="YES" ) + - when: !calc ( VRFYRAD=="YES" or VRFYMINMON=="YES" or VRFYOZN=="YES" ) do: "YES" RAD: !FirstTrue - - when: !calc VRFYRAD=="YES" & CDUMP=="$CDFNL" + - when: !calc VRFYRAD=="YES" and CDUMP=="$CDFNL" do: "YES" MIN: !FirstTrue diff --git a/model/fv3gfs/options.yaml b/model/fv3gfs/case.yaml similarity index 100% rename from model/fv3gfs/options.yaml rename to model/fv3gfs/case.yaml diff --git a/model/fv3gfs/setup_expt.py b/model/fv3gfs/setup_expt.py index a03372f..9e215e2 100755 --- a/model/fv3gfs/setup_expt.py +++ b/model/fv3gfs/setup_expt.py @@ -1,7 +1,13 @@ #! /usr/bin/env python3.6 import os, sys, logging -import crow.config + +try: + import crow.config +except ModuleNotFoundError: + there=os.path.abspath(os.path.join(os.path.dirname(__file__),'../..')) + sys.path.append(there) + import crow.config from crow.config import Platform import crow.metascheduler @@ -9,11 +15,18 @@ format='%(module)s:%(lineno)d: %(levelname)8s: %(message)s') logger=logging.getLogger('setup_expt') -conf=crow.config.from_file( - 'platform.yaml','template.yaml','options.yaml','runtime.yaml', - 'actions.yaml','workflow.yaml') +force=False +if len(sys.argv)>1 and sys.argv[1]=='--force': + force=True + sys.argv.pop(1) + +if len(sys.argv)<2: + logger.error('Format: setup_expt.py case.yaml') + exit(1) -force = len(sys.argv)>1 and sys.argv[1] == '--force' +yamls=[ 'platform.yaml','template.yaml' ] + \ + sys.argv[1:] + ['runtime.yaml','actions.yaml','workflow.yaml'] +conf=crow.config.from_file(*yamls) logger.info('Remove platforms from configuration.') for key in list(conf.keys()): diff --git a/model/fv3gfs/template.yaml b/model/fv3gfs/template.yaml index 8f1e24f..e26e73f 100644 --- a/model/fv3gfs/template.yaml +++ b/model/fv3gfs/template.yaml @@ -1,153 +1,153 @@ CYC_TRACK_VARS: &CYC_TRACK_VARS !Template TRACKERSH: - type: str + type: string default: !expand "{BASE_GSM}/ush/global_tracker.sh" PARATRKR: - type: str + type: string default: !expand "{BASE_GSM}/ush/global_extrkr.sh" GETTRKEXEC: - type: str + type: string default: !expand "{BASE_GSM}/exec/gettrk" GETTX: - type: str + type: string default: !expand "{GETTRKEXEC}" SUPVX: - type: str + type: string default: !expand "{BASE_GSM}/exec/supvit" HOMERELO: - type: str + type: string default: !expand "{BASE_GSM}" homesyndir: - type: str + type: string default: !expand "{BASE_GSM}" prep_step: - type: str + type: string default: !expand "{NWPROD}/prod_util.v1.0.15/ush/prep_step" FHOUT: - type: str + type: string default: !FirstTrue - when: !calc CDUMP=="gfs" do: !expand "{FHOUT_GFS}" FHMAX: - type: str + type: string default: !FirstTrue - when: !calc CDUMP=="gfs" do: !expand "{FHMAX_GFS}" FHMAX2: - type: str + type: string default: !FirstTrue - when: !calc CDUMP=="gfs" do: !expand "{FHMAX2_GFS}" COMROOTp1: - type: str + type: string default: !FirstTrue - when: !calc machine=="THEIA" do: "/scratch4/NCEPDEV/rstprod/com" archsyndir: - type: str + type: string default: !FirstTrue - when: !calc machine=="THEIA" do: !expand "{COMROOTp1}/arch/prod/syndat" CYC_GEN_VARS: &CYC_GEN_VARS !Template NWROOTGENESIS: - type: str + type: string default: !FirstTrue - when: !calc machine=="WCOSS_C" do: "/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/gfs/q3fy17" - when: !calc machine=="THEIA" do: "/scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17" UTILROOT: - type: str + type: string default: !FirstTrue - when: !calc machine=="THEIA" do: "/scratch4/NCEPDEV/global/save/glopara/nwpara/prod_util.v1.0.15" GETTX_GEN: - type: str + type: string default: !FirstTrue - when: !calc machine=="THEIA" do: !expand "{NWROOTGENESIS}/ens_tracker.v2.0.1/exec/gettrk_gen_g2_theia" GENESISSH: - type: str + type: string default: !FirstTrue - - when: !calc ( machine=="WCOSS_C" | machine=="THEIA" ) + - when: !calc ( machine=="WCOSS_C" or machine=="THEIA" ) do: !expand "{NWROOTGENESIS}/ens_tracker.v2.0.1/gfs_genesis_para_fv3gfs.sh" - - when: !calc ( machine~="WCOSS_C" & machine~="THEIA" ) + - when: !calc ( machine!="WCOSS_C" and machine!="THEIA" ) do: "" MIN_VARS: &MIN_VARS !Template HOMEgfs: - type: str + type: string default: !expand "{BASE_SVN}/fv3gfs/trunk/gfs.v15.0.0" HOMEminmon: - type: str + type: string default: !expand "{BASE_GSM}" MINMON_SUFFIX: - type: str + type: string default: !expand "{PSLOT}" M_TANKverf: - type: str + type: string default: !expand "{NOSCRUB}/minmon" VRFYMINSH: - type: str + type: string default: !FirstTrue - - when: !calc CDUMP=="gdas" & ( machine=="WCOSS_C" | machine=="THEIA" ) + - when: !calc CDUMP=="gdas" and ( machine=="WCOSS_C" or machine=="THEIA" ) do: !expand "{HOMEgdas}/jobs/JGDAS_VMINMON" - - when: !calc CDUMP=="gfs" & ( machine=="WCOSS_C" | machine=="THEIA" ) + - when: !calc CDUMP=="gfs" and ( machine=="WCOSS_C" or machine=="THEIA" ) do: !expand "{HOMEgfs}/jobs/JGDAS_VMINMON" - - when: !calc ( machine~="WCOSS_C" & machine~="THEIA" ) + - when: !calc ( machine!="WCOSS_C" and machine!="THEIA" ) do: !expand "WARNING: Minimization monitoring is not enabled on $machine!" VRFYMINMON: - type: str + type: string default: !FirstTrue - - when: !calc ( machine~="WCOSS_C" & machine~="THEIA" ) + - when: !calc ( machine!="WCOSS_C" and machine!="THEIA" ) do: "NO" RAD_VARS: &RAD_VARS !Template HOMEradmon: - type: str + type: string default: !expand "{BASE_GSM}" RADMON_SUFFIX: - type: str + type: string default: !expand "{PSLOT}" TANKverf: - type: str + type: string default: !expand "{NOSCRUB}/radmon" VRFYRADSH: - type: str + type: string default: !FirstTrue - - when: !calc ( machine=="WCOSS_C" | machine=="THEIA" ) + - when: !calc ( machine=="WCOSS_C" or machine=="THEIA" ) do: !expand "{HOMEgdas}/jobs/JGDAS_VERFRAD" - - when: !calc (machine~="WCOSS_C" & machine~="THEIA" ) + - when: !calc (machine!="WCOSS_C" and machine!="THEIA" ) do: !expand "WARNING: Radiance monitoring is not enabled on $machine!" VRFYRAD: - type: str + type: string default: !FirstTrue - - when: !calc ( machine~="WCOSS_C" & machine~="THEIA" ) + - when: !calc ( machine!="WCOSS_C" and machine!="THEIA" ) do: "NO" OZN_VARS: &OZN_VARS !Template # echo "WARNING: Ozone Monitoring is just a stub for now!" VRFYOZN: - type: logic + type: string default: "NO" OZNDIR: - type: str + type: stringing default: !expand "{NOSCRUB}/$LOGNAME/ozone/stats/$PSLOT" VRFYOZNSH: - type: str + type: string default: "" BASEDIR_OZNMON: - type: str + type: string default: !expand "{BASE_OZNMON}/util/Ozone_Monitor" MIN_RAD_OZN_VARS: &MIN_RAD_OZN_VARS !Template HOMEgdas: - type: str + type: string default: !expand "{BASE_GDAS}" envir: - type: str + type: string default: "para" VRFY_CDUMP_GFS_VARS: &VRFY_CDUMP_GFS_VARS !Template @@ -158,22 +158,22 @@ VRFY_CDUMP_GFS_VARS: &VRFY_CDUMP_GFS_VARS !Template type: int default: 24 vsdbsave: - type: str + type: string default: !expand "${NOSCRUB}/archive/vsdb_data" vsdbhome: - type: str + type: string default: !expand "${BASE_VERIF}" VSDBSH=: - type: str + type: string default: !expand "${vsdbhome}/vsdbjob.sh" vlength=: - type: str + type: string default: !expand "${FHMAX_GFS}" vhr_rain: - type: str + type: string default: !expand "${FHMAX_GFS}" ftyplist: - type: str + type: string default: "pgbq" vrfy_template: &vrfy_template !Template @@ -181,78 +181,78 @@ vrfy_template: &vrfy_template !Template # verifying dump VDUMP: - type: str + type: string allowed: [ "gfs", "gdas" ] CDUMPFCST: # Fit-to-obs with GDAS/GFS prepbufr - type: str + type: string allowed: [ "gfs", "gdas" ] CDFNL: # Scores verification against GDAS/GFS analysis - type: str + type: string allowed: [ "gfs", "gdas" ] VSDB_STEP1: # populate VSDB database - type: logic + type: string allowed: [ "YES", "NO" ] VSDB_STEP2: - type: logic + type: string allowed: [ "YES", "NO" ] VRFYG2OBS: # Grid to observations - type: logic + type: string allowed: [ "YES", "NO" ] VRFYFITS: # Fit to observations - type: logic + type: string allowed: [ "YES", "NO" ] VRFYPRCP: # Precip threat scores - type: logic + type: string allowed: [ "YES", "NO" ] VRFYMINMON: # GSI minimization monitoring - type: logic + type: string allowed: [ "YES", "NO" ] VRFYRAD: # Radiance data assimilation monitoring - type: logic + type: string allowed: [ "YES", "NO" ] VRFYOZN: # Ozone data assimilation monitoring - type: logic + type: string allowed: [ "YES", "NO" ] VRFYTRAK: # Hurricane track forecasts - type: logic + type: string allowed: [ "YES", "NO" ] VRFYGENESIS: # Cyclone genesis - type: logic + type: string allowed: [ "YES", "NO" ] VRFYGMPK: # Gempak verification - type: logic + type: string allowed: [ "YES", "NO" ] CYC_TRACK: - type: str + type: string allowed: [ "YES", "NO" ] if_present: !FirstTrue - when: !calc VRFYTRAK=="YES" do: *CYC_TRACK_VARS MIN_RAD_OZN: - type: str + type: string allowed: [ "YES", "NO" ] if_present: !FirstTrue - when: !calc ( VRFYRAD=="YES" or VRFYMINMON=="YES" or VRFYOZN=="YES" ) do: *MIN_RAD_OZN_VARS VRFYMINSH: - type: str + type: string override: !FirstTrue - - when: !calc ( not platform.name=="THEIA" and not platform.name=="WCOSS_C" ) + - when: !calc ( not doc.platform.name=="THEIA" and not doc.platform.name=="WCOSS_C" ) do: NO message: !expand "WARNING: Minimization monitoring is not enabled on {platform.name}!" From 65e68b27e16128f16427ff267b9c9c3b5912acb1 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 25 Sep 2017 20:04:56 +0000 Subject: [PATCH 103/487] Remove extra $ --- model/fv3gfs/actions.yaml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/model/fv3gfs/actions.yaml b/model/fv3gfs/actions.yaml index 3f8e216..7949d5c 100644 --- a/model/fv3gfs/actions.yaml +++ b/model/fv3gfs/actions.yaml @@ -34,7 +34,7 @@ anal: &anal_action !Action resources: !calc run_anal rocoto_memory: "3072M" ANALYSISSH: !expand "{BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" - GSIEXEC: !expand "${BASE_GSI}/exec/global_gsi" + GSIEXEC: !expand "{BASE_GSI}/exec/global_gsi" npe_gsi: !expand "{npe_anal}" nth_gsi: 4 nth_anal: 2 From dddfe6a7e4ad8ac9ecfa83fd78ba07fa8b7ca9b3 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 25 Sep 2017 20:06:13 +0000 Subject: [PATCH 104/487] test script for testing the config.yaml produced by setup_expt.py ./setup_expt.py --force ./case.yaml setup_expt:31: INFO: Remove platforms from configuration. setup_expt:37: INFO: Run directory: /scratch4/NCEPDEV/nems/save/Samuel.Trahan/expt setup_expt:44: WARNING: /scratch4/NCEPDEV/nems/save/Samuel.Trahan/expt: exists setup_expt:48: WARNING: --force given; will replace config.yaml without deleting directory setup_expt:51: INFO: Write the config file: /scratch4/NCEPDEV/nems/save/Samuel.Trahan/expt/config.yaml setup_expt:58: INFO: Experiment name: expt setup_expt:62: INFO: Rocoto XML file: /scratch4/NCEPDEV/nems/save/Samuel.Trahan/expt/expt.xml setup_expt:65: INFO: Workflow XML file is generated. setup_expt:66: INFO: Use Rocoto to execute this workflow. ./test_sections.py /scratch4/NCEPDEV/nems/save/Samuel.Trahan/expt/config.yaml platform.least_used_scrub Traceback (most recent call last): File "/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/CROW/crow/config/eval_tools.py", line 58, in from_config return from_config(key,val._result(globals,locals), File "/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/CROW/crow/config/eval_tools.py", line 51, in _result return eval(self,globals,locals) File "", line 1, in File "/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/CROW/crow/config/eval_tools.py", line 196, in __getattr__ raise AttributeError(name) AttributeError: places During handling of the above exception, another exception occurred: ... --- model/fv3gfs/test_sections.py | 25 +++++++++++++++++++++++++ 1 file changed, 25 insertions(+) create mode 100755 model/fv3gfs/test_sections.py diff --git a/model/fv3gfs/test_sections.py b/model/fv3gfs/test_sections.py new file mode 100755 index 0000000..ab03675 --- /dev/null +++ b/model/fv3gfs/test_sections.py @@ -0,0 +1,25 @@ +#! /usr/bin/env python3.6 + +import os, sys, logging + +try: + import crow.config +except ModuleNotFoundError: + there=os.path.abspath(os.path.join(os.path.dirname(__file__),'../..')) + sys.path.append(there) + import crow.config +from crow.config import Platform + +logging.basicConfig(stream=sys.stderr,level=logging.INFO, + format='%(module)s:%(lineno)d: %(levelname)8s: %(message)s') +logger=logging.getLogger('setup_expt') + +if len(sys.argv)<3: + logger.error('Format: test_sections.py /path/to/config.yaml sections') + exit(1) + +conf=crow.config.from_file(sys.argv[1]) + +for var in sys.argv[2:]: + val=conf[var] + print(f'{var} = {val!r}') From a964d7742b8f717b3172731cdba7bff60f35fad2 Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Mon, 25 Sep 2017 21:11:07 +0000 Subject: [PATCH 105/487] debugging, TBC --- model/fv3gfs/actions.yaml | 3 ++- model/fv3gfs/case.yaml | 1 + model/fv3gfs/template.yaml | 34 +++++++++++++++++----------------- 3 files changed, 20 insertions(+), 18 deletions(-) diff --git a/model/fv3gfs/actions.yaml b/model/fv3gfs/actions.yaml index 7949d5c..69de03d 100644 --- a/model/fv3gfs/actions.yaml +++ b/model/fv3gfs/actions.yaml @@ -237,6 +237,7 @@ vrfy: &vrfy_action !Action resources: !calc run_vrfy rocoto_memory: "3072M" accounting: !calc doc.platform.parallel_accounting + CDUMP: "gfs" VDUMP: "gfs" # verifying dump CDUMPFCST: "gdas" # Fit-to-obs with GDAS/GFS prepbufr CDFNL: "gdas" # Scores verification against GDAS/GFS analysis @@ -273,7 +274,7 @@ vrfy: &vrfy_action !Action do: "YES" RAD: !FirstTrue - - when: !calc VRFYRAD=="YES" and CDUMP=="$CDFNL" + - when: !calc ( VRFYRAD=="YES" and CDUMP==CDFNL ) do: "YES" MIN: !FirstTrue diff --git a/model/fv3gfs/case.yaml b/model/fv3gfs/case.yaml index b5061dd..10fc5a9 100644 --- a/model/fv3gfs/case.yaml +++ b/model/fv3gfs/case.yaml @@ -31,6 +31,7 @@ options: &options RUNDIR: !expand "{STMP}/RUNDIRS/{experiment_name}" ARCDIR: !expand "{NOSCRUB}/archive/{experiment_name}" ATARDIR: !expand "/NCEPDEV/{hpss_project}/1year/{tools.env('USER')}/{machine}/scratch/{experiment_name}" +# CDUMP: "gfs" # Component paths MYBASE_SVN: !expand "{NOSCRUB}/{tools.env('USER')}/svn" diff --git a/model/fv3gfs/template.yaml b/model/fv3gfs/template.yaml index 4c99671..6b20507 100644 --- a/model/fv3gfs/template.yaml +++ b/model/fv3gfs/template.yaml @@ -73,7 +73,7 @@ CYC_GEN_VARS: &CYC_GEN_VARS !Template default: !FirstTrue - when: !calc ( machine=="WCOSS_C" or machine=="THEIA" ) do: !expand "{NWROOTGENESIS}/ens_tracker.v2.0.1/gfs_genesis_para_fv3gfs.sh" - - when: !calc ( machine!="WCOSS_C" and machine!="THEIA" ) + - when: !calc ( not machine=="WCOSS_C" and not machine=="THEIA" ) do: "" MIN_VARS: &MIN_VARS !Template @@ -92,16 +92,16 @@ MIN_VARS: &MIN_VARS !Template VRFYMINSH: type: string default: !FirstTrue - - when: !calc CDUMP=="gdas" and ( machine=="WCOSS_C" or machine=="THEIA" ) + - when: !calc ( CDUMP=="gdas" and ( machine=="WCOSS_C" or machine=="THEIA" ) ) do: !expand "{HOMEgdas}/jobs/JGDAS_VMINMON" - - when: !calc CDUMP=="gfs" and ( machine=="WCOSS_C" or machine=="THEIA" ) + - when: !calc ( CDUMP=="gfs" and ( machine=="WCOSS_C" or machine=="THEIA" ) ) do: !expand "{HOMEgfs}/jobs/JGDAS_VMINMON" - - when: !calc ( machine!="WCOSS_C" and machine!="THEIA" ) + - when: !calc ( not machine=="WCOSS_C" and not machine=="THEIA" ) do: !expand "WARNING: Minimization monitoring is not enabled on $machine!" VRFYMINMON: type: string default: !FirstTrue - - when: !calc ( machine!="WCOSS_C" and machine!="THEIA" ) + - when: !calc ( not machine=="WCOSS_C" and not machine=="THEIA" ) do: "NO" RAD_VARS: &RAD_VARS !Template @@ -119,12 +119,12 @@ RAD_VARS: &RAD_VARS !Template default: !FirstTrue - when: !calc ( machine=="WCOSS_C" or machine=="THEIA" ) do: !expand "{HOMEgdas}/jobs/JGDAS_VERFRAD" - - when: !calc (machine!="WCOSS_C" and machine!="THEIA" ) + - when: !calc ( not machine=="WCOSS_C" and not machine=="THEIA" ) do: !expand "WARNING: Radiance monitoring is not enabled on $machine!" VRFYRAD: type: string default: !FirstTrue - - when: !calc ( machine!="WCOSS_C" and machine!="THEIA" ) + - when: !calc ( not machine=="WCOSS_C" and not machine=="THEIA" ) do: "NO" OZN_VARS: &OZN_VARS !Template @@ -133,7 +133,7 @@ OZN_VARS: &OZN_VARS !Template type: string default: "NO" OZNDIR: - type: stringing + type: string default: !expand "{NOSCRUB}/$LOGNAME/ozone/stats/$PSLOT" VRFYOZNSH: type: string @@ -237,11 +237,11 @@ vrfy_template: &vrfy_template !Template allowed: [ "YES", "NO" ] VRFYMINSH: - type: str + type: string allowed: [ "YES", "NO" ] override: !FirstTrue - when: !calc ( not platform.name=="THEIA" and not platform.name=="WCOSS_C" ) - do: NO + do: "NO" message: !expand "WARNING: Minimization monitoring is not enabled on {platform.name}!" CYC_TRACK: @@ -252,10 +252,10 @@ vrfy_template: &vrfy_template !Template do: *CYC_TRACK_VARS VRFY_CDUMP_GFS: - type: str + type: string allowed: [ "YES", "NO" ] if_present: !FirstTrue - - when: !calc ( CDUMP=="gfs" & ( VSDB_STEP1=="YES" | VRFYPRCP=="YES" | VRFYG2OBS=="YES" ) ) + - when: !calc ( CDUMP=="gfs" and ( VSDB_STEP1=="YES" or VRFYPRCP=="YES" or VRFYG2OBS=="YES" ) ) do: *VRFY_CDUMP_GFS_VARS MIN_RAD_OZN: @@ -266,28 +266,28 @@ vrfy_template: &vrfy_template !Template do: *MIN_RAD_OZN_VARS RAD: - type: str + type: string allowed: [ "YES", "NO" ] if_present: !FirstTrue - - when: !calc VRFYRAD=="YES" & CDUMP=="$CDFNL" + - when: !calc ( VRFYRAD=="YES" and CDUMP==CDFNL ) do: *RAD_VARS OZN: - type: str + type: string allowed: [ "YES", "NO" ] if_present: !FirstTrue - when: !calc VRFYOZN=="YES" do: *OZN_VARS MIN: - type: str + type: string allowed: [ "YES", "NO" ] if_present: !FirstTrue - when: !calc VRFYMINMON=="YES" do: *MIN_VARS CYC_GEN: - type: str + type: string allowed: [ "YES", "NO" ] if_present: !FirstTrue - when: !calc VRFYGENESIS=="YES" From 8ec49c277adab8290941742306b2aa1aa6e12ecd Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 26 Sep 2017 18:24:43 +0000 Subject: [PATCH 106/487] Improvements to crow.config: 1. New "otherwise:" clause for conditionals. 2. That clause is mandatory for !FirstTrue and !LastTrue 3. Error messages now (usually) contain the path to the variable in question. --- crow/config/eval_tools.py | 13 +++- crow/config/exceptions.py | 27 ++++--- crow/config/from_yaml.py | 74 +++++++++++-------- crow/config/represent.py | 68 +++++++++++------ crow/config/template.py | 29 ++++---- examples/real-workflow/workflow/platform.yaml | 1 + examples/real-workflow/workflow/runtime.yaml | 1 + examples/toy-yaml/platform.yml | 1 + examples/toy-yaml/templates.yml | 1 + examples/toy-yaml/test.yml | 13 ++-- examples/yaml-read-write/original.yaml | 3 + model/fv3gfs/actions.yaml | 12 +++ 12 files changed, 161 insertions(+), 82 deletions(-) diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 7584879..67ed241 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -35,7 +35,12 @@ from crow.config.exceptions import * __all__=[ 'expand', 'strcalc', 'from_config', 'dict_eval', - 'list_eval', 'multidict', 'Eval' ] + 'list_eval', 'multidict', 'Eval', 'user_error_message' ] + +class user_error_message(str): + """!Used to embed assertions in configuration code.""" + def _result(self,globals,locals): + raise ConfigUserError(eval("f'''"+self+"'''",globals,locals)) class expand(str): """!Represents a literal format string.""" @@ -128,11 +133,12 @@ class dict_eval(MutableMapping): * __getitem__(b) + __getitem__(c) """ - def __init__(self,child): + def __init__(self,child,path=''): #assert(not isinstance(child,dict_eval)) self.__child=copy(child) self.__cache=copy(child) self.__globals={} + self._path=path def __contains__(self,k): return k in self.__child def __len__(self): return len(self.__child) def __copy__(self): @@ -239,11 +245,12 @@ class list_eval(MutableSequence): [ self.__locals.__getitem__(b) + self.__locals.__getitem__(c), self.__locals.__getitem__(b) - self.__locals.__getitem__(c) ] \endcode """ - def __init__(self,child,locals): + def __init__(self,child,locals,path=''): self.__child=list(child) self.__cache=list(child) self.__locals=locals self.__globals={} + self._path=path def _raw_cache(self): return self.__cache def __len__(self): return len(self.__child) def _set_globals(self,g): self.__globals=g diff --git a/crow/config/exceptions.py b/crow/config/exceptions.py index b5ba144..f2add80 100644 --- a/crow/config/exceptions.py +++ b/crow/config/exceptions.py @@ -1,21 +1,30 @@ from crow.exceptions import CROWException -__all__=['ConfigError', 'ConditionalMissingDoWhen', 'TemplateErrors', - 'CalcRecursionTooDeep', 'ExpandMissingResult', 'DependError', - 'CalcKeyError', 'TemplateError', 'InvalidConfigTemplate', - 'InvalidConfigValue', 'InvalidConfigType' ] -# module-specific exceptions: +# Exceptions generic to the CROW API: class ConfigError(CROWException): pass -class ConditionalMissingDoWhen(ConfigError): pass -class CalcRecursionTooDeep(ConfigError): pass -class ExpandMissingResult(ConfigError): pass -class CalcKeyError(ConfigError): pass +class ConfigUserError(ConfigError): pass + +# Exceptions specific to this implementation of the config subsystem: + +class ConfigConditionalError(ConfigError): pass +class ConditionalMissingDoWhen(ConfigConditionalError): pass +class ConditionalOverspecified(ConfigConditionalError): pass +class ConditionalInvalidOtherwise(ConfigConditionalError): pass +class ConditionalMissingOtherwise(ConfigConditionalError): pass + +class ConfigCalcError(ConfigError): pass +class CalcRecursionTooDeep(ConfigCalcError): pass +class ExpandMissingResult(ConfigCalcError): pass +class CalcKeyError(ConfigCalcError): pass + class TemplateError(ConfigError): pass class InvalidConfigTemplate(TemplateError): pass class InvalidConfigValue(TemplateError): pass class InvalidConfigType(TemplateError): pass + class TemplateErrors(ConfigError): def __init__(self,errors): super().__init__(self,'\n'.join([ str(e) for e in errors ])) self.template_errors=list(errors) + class DependError(ConfigError): pass diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index 3a10181..85cc71a 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -55,11 +55,11 @@ class CycleYAML(OrderedDict): pass FamilyYAML: [ Family, OrderedDict, None ] } -def type_for(t): +def type_for(t,path): """!Returns an empty, internal representation, class for the given YAML type. This is simply a wrapper around TYPE_MAP""" (internal_class,python_class,convert_class)=TYPE_MAP[type(t)] - return ( internal_class(python_class()), convert_class ) + return ( internal_class(python_class(),path=path), convert_class ) ######################################################################## @@ -100,6 +100,7 @@ def constructor(loader,node): add_yaml_string(u'!expand',expand) add_yaml_string(u'!calc',calc) +add_yaml_string(u'!error',user_error_message) add_yaml_string(u'!Depend',Depend) ######################################################################## @@ -184,89 +185,100 @@ def __init__(self,tree,tools,ENV): self.ENV=ENV def convert(self): - self.result=self.from_dict(self.tree) + self.result=self.from_dict(self.tree,path='doc') globals={ 'tools':self.tools, 'doc':self.result, 'ENV': self.ENV } self.result._recursively_set_globals(globals) for i,v in self.validatable.items(): v._validate() return self.result - def to_eval(self,v,locals): + def to_eval(self,v,locals,path): """!Converts the object v to an internal implementation class. If the conversion has already happened, returns the converted object from self.memo """ if id(v) not in self.memo: - self.memo[id(v)]=self.to_eval_impl(v,locals) + self.memo[id(v)]=self.to_eval_impl(v,locals,path=path) return self.memo[id(v)] - def to_eval_impl(self,v,locals): + def to_eval_impl(self,v,locals,path): """!Unconditionally converts the object v to an internal implementation class, without checking self.memo.""" top=self.result # Specialized containers: cls=type(v) if cls in CONDITIONALS: - return self.from_list(v,locals,CONDITIONALS[cls]) + return self.from_list(v,locals,CONDITIONALS[cls],path) elif cls in SUITE: - return self.from_dict(v,SUITE[cls]) + return self.from_dict(v,SUITE[cls],path) elif cls is EvalYAML: - return Eval(self.from_dict(v)) + return Eval(self.from_dict(v,path=path)) elif cls is ClockYAML: - return ClockMaker(self.from_dict(v)) + return ClockMaker(self.from_dict(v,path=path)) elif cls is ImmediateYAML: - return self.from_list(v,locals,Immediate) + return self.from_list(v,locals,Immediate,path) elif isinstance(v,list) and v and isinstance(v[0],tuple) \ or isinstance(v,OrderedDict): - return self.from_ordered_dict(v,GenericOrderedDict) + return self.from_ordered_dict(v,GenericOrderedDict,path) # Generic containers: - elif isinstance(v,YAMLObject): return self.from_yaml(v) - elif isinstance(v,dict): return self.from_dict(v) - elif isinstance(v,list): return self.from_list(v,locals) - elif isinstance(v,set): return set(self.from_list(v,locals)) - elif isinstance(v,tuple): return self.from_list(v,locals) + elif isinstance(v,YAMLObject): return self.from_yaml(v,path=path) + elif isinstance(v,dict): return self.from_dict(v,path=path) + elif isinstance(v,list): return self.from_list(v,locals,path=path) + elif isinstance(v,set): return set(self.from_list(v,locals,path=path)) + elif isinstance(v,tuple): return self.from_list(v,locals,path=path) - # Scalar types; + # Scalar types: return v - def from_yaml(self,yobj): + def from_yaml(self,yobj,path): """!Converts a YAMLObject instance yobj of a YAML, and its elements, to internal implementation types. Elements with unsupported names are ignored. """ - ret, cnv = type_for(yobj) + ret, cnv = type_for(yobj,path) for k in dir(yobj): if not valid_name(k): continue - ret[k]=self.to_eval(getattr(yobj,k),ret) + ret[k]=self.to_eval(getattr(yobj,k),ret,path=f'{path}.{k}') if cnv: kwargs=dict(ret) return cnv(**kwargs) self.validatable[id(ret)]=ret return ret - def from_ordered_dict(self,tree,cls=GenericOrderedDict): + def from_ordered_dict(self,tree,cls=GenericOrderedDict,path='doc'): + assert(isinstance(cls,type)) top=self.result - ret=cls(OrderedDict()) + ret=cls(OrderedDict(),path=path) for k,v in tree: if not valid_name(k): continue - ret[k]=self.to_eval(v,ret) + ret[k]=self.to_eval(v,ret,path=f'{path}.{k}') self.validatable[id(ret)]=ret return ret - def from_dict(self,tree,cls=GenericDict): + def from_dict(self,tree,cls=GenericDict,path='doc'): """!Converts an object yobj of a YAML standard map type, and its elements, to internal implementation types. Elements with unsupported names are ignored. """ + assert(isinstance(cls,type)) top=self.result - ret=cls(tree) + ret=cls(tree,path=path) for k,v in tree.items(): if not valid_name(k): continue - ret[k]=self.to_eval(v,ret) + ret[k]=self.to_eval(v,ret,path=f'{path}.{k}') return ret - def from_list(self,sequence,locals,cls=GenericList): + def from_list(self,sequence,locals,cls=GenericList,path='doc'): """!Converts an object yobj of a YAML standard sequence type, and its elements, to internal implementation types. Elements with unsupported names are ignored. This is also used to handle other sequence-like types such as omap or set. """ - return cls( - [self.to_eval(s,locals) for s in sequence], - locals) + assert(isinstance(cls,type)) + if hasattr(sequence,'__getitem__'): + content=list() + for i in range(len(sequence)): + content.append(self.to_eval( + sequence[i],locals,f'{path}[{i}]')) + return cls(content,locals,path) + else: + # For types that do not support indexing + content=[self.to_eval(s,locals,path) for s in sequence] + return cls(content,locals,path+'[*]') + diff --git a/crow/config/represent.py b/crow/config/represent.py index 76bb091..a51d238 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -41,33 +41,55 @@ class Conditional(list_eval): def __init__(self,*args): super().__init__(*args) self.__result=Conditional.MISSING + def _gather_keys_and_values(self,globals,locals): + keys=list() + values=list() + otherwise_idx=Conditional.MISSING + for i in range(len(self)): + vk=self[i] + has_otherwise = vk._has_raw('otherwise') + has_when = vk._has_raw('when') + has_do = vk._has_raw('do') + if has_otherwise and ( has_when or has_do ): + raise ConditionalOverspecified( + f'{self._path}[{i}]: cannot have "otherwise," ' + '"when," and "if" in the same entry') + elif has_otherwise and i!=len(self)-1: + raise ConditionalInvalidOtherwise( + f'{self._path}[{i}]: "otherwise" must be the last item') + elif has_otherwise: + otherwise_idx=i + elif has_when and has_do: + values.append(vk._raw('do')) + vk_locals=multidict(vk,locals) + keys.append(from_config('when',vk._raw('when'),globals,vk_locals)) + else: + raise ConditionalMissingDoWhen( + f'{self._path}[{i}]: entries must have "do" and "when"' + 'or "otherwise". Saw keys: '+', '.join(list(vk.keys()))) + return keys, values, otherwise_idx + def _result(self,globals,locals): assert('tools' in globals) assert('doc' in globals) if self.__result is Conditional.MISSING: - keys=list() - values=list() - for vk in self: - if vk._has_raw('when') and vk._has_raw('do'): - values.append(vk._raw('do')) - keys.append(from_config('when',vk._raw('when'), - globals,multidict(vk,locals))) - else: - raise ConditionalMissingDoWhen( - 'Conditional list entries must have "do" and "when" ' - 'elements (saw keys: %s)' - %(', '.join(list(vk.keys())), )) - index=self._index(keys) - if index is None: - self.__result=None + ( keys, values, otherwise_idx ) = \ + self._gather_keys_and_values(globals,locals) + if self._require_an_otherwise_clause() and \ + otherwise_idx is Conditional.MISSING: + raise ConditionalMissingOtherwise( + f'{self._path}: no "otherwise" clause provided') + idx=self._index(keys) + if idx is None: + if otherwise_idx is Conditional.MISSING: + raise ConditionalMissingOtherwise( + f'{self._path}: no clauses match and no ' + f'"otherwise" value was given. {keys} {values}') + self.__result=self[otherwise_idx].otherwise else: - try: - values=[ vk._raw('do') for vk in self ] - except AttributeError: - values=[ vk.value for vk in self ] - scope[var]=tmpl['default'] - self.__result=values[index] + self.__result=values[idx] return self.__result + def _deepcopy_privates_from(self,memo,other): super()._deepcopy_privates_from(memo,other) if other.__result is Conditional.MISSING: @@ -79,20 +101,24 @@ def _deepcopy_privates_from(self,memo,other): def _index(lst): pass class FirstMax(Conditional): + def _require_an_otherwise_clause(self): return False def _index(self,lst): return lst.index(max(lst)) if lst else None class FirstMin(Conditional): + def _require_an_otherwise_clause(self): return False def _index(self,lst): return lst.index(min(lst)) if lst else None class LastTrue(Conditional): + def _require_an_otherwise_clause(self): return True def _index(self,lst): for i in range(len(lst)-1,-1,-1): if lst[i]: return i return None class FirstTrue(Conditional): + def _require_an_otherwise_clause(self): return True def _index(self,lst): for i in range(len(lst)): if lst[i]: return i diff --git a/crow/config/template.py b/crow/config/template.py index cae0060..5ff2938 100644 --- a/crow/config/template.py +++ b/crow/config/template.py @@ -42,8 +42,8 @@ def _check_scope(self,scope): if 'precheck' in scheme: scope[var]=scheme.precheck - - validate_var(scheme,var,scope[var]) + + validate_var(scope._path,scheme,var,scope[var]) if 'if_present' in scheme: ip=from_config( var,scheme._raw('if_present'),self._globals(),scope) @@ -126,27 +126,30 @@ def validate_dict(types,val,allowed,typ): 'string':validate_scalar, 'float':validate_scalar } -def validate_type(var,typ,val,allowed): +def validate_type(path,var,typ,val,allowed): """!Top-level validation function. Checks that the value val of the variable var is of the given type typ and has values in the list of those allowed. """ types=typ.split() for t in types: if t not in VALIDATORS: - raise InvalidConfigType('%s=%s: unknown type in %s'%( - str(var),repr(t),repr(typ))) + raise InvalidConfigType( + f'{path}.{var}={t!r}: unknown type in {typ!r}') result=VALIDATORS[types[-1]](types[:-1],val,allowed,types[-1]) if result is UNKNOWN_TYPE: - raise InvalidConfigType('%s: type %s: unknown type in %s'%( - str(var),repr(t),repr(typ))) + raise InvalidConfigType( + f'{path}.{var}={t!r}: unknown type in {typ!r}') elif result is TYPE_MISMATCH: - raise InvalidConfigValue('%s=%s: not valid for type %s'%( - str(var),repr(val),repr(typ))) + val_repr='null' if val is None else repr(val) + raise InvalidConfigValue( + f'{path}.{var}={val_repr}: not valid for type {typ!r}') elif result is NOT_ALLOWED: - raise InvalidConfigValue('%s=%s: not an allowed value (%s)'%( - str(var),repr(val),', '.join([repr(s) for s in allowed]))) + val_repr='null' if val is None else repr(val) + raise InvalidConfigValue( + f'{path}.{var}={val_repr}: not an allowed value (' + f'{", ".join([repr(s) for s in allowed])})') -def validate_var(scheme,var,val): +def validate_var(path,scheme,var,val): """!Main entry point to recursive validation system. Validates variable var with value val against the YAML Template list item in scheme. """ @@ -158,4 +161,4 @@ def validate_var(scheme,var,val): allowed=scheme.get('allowed',[]) if not isinstance(allowed,list) and not isinstance(allowed,list_eval): raise InvalidConfigTemplate(var+'.allowed: must be a list') - validate_type(var,typ,val,allowed) + validate_type(path,var,typ,val,allowed) diff --git a/examples/real-workflow/workflow/platform.yaml b/examples/real-workflow/workflow/platform.yaml index 4224116..9e66ca9 100644 --- a/examples/real-workflow/workflow/platform.yaml +++ b/examples/real-workflow/workflow/platform.yaml @@ -83,3 +83,4 @@ platform: !Immediate <<: *theia Evaluate: true when: !calc do.detect + - otherwise: !error Unknown platform. diff --git a/examples/real-workflow/workflow/runtime.yaml b/examples/real-workflow/workflow/runtime.yaml index 3da3013..d286572 100644 --- a/examples/real-workflow/workflow/runtime.yaml +++ b/examples/real-workflow/workflow/runtime.yaml @@ -11,3 +11,4 @@ clock: &clock !Clock when: !calc tools.have_env('YMDH') - do: null when: true + - otherwise: !error should not get here diff --git a/examples/toy-yaml/platform.yml b/examples/toy-yaml/platform.yml index 78b0d00..7335fa6 100644 --- a/examples/toy-yaml/platform.yml +++ b/examples/toy-yaml/platform.yml @@ -39,3 +39,4 @@ platform: !FirstTrue when: !calc do.detect - do: *theia when: !calc do.detect + - otherwise: !error This platform is unsupported or unknown. diff --git a/examples/toy-yaml/templates.yml b/examples/toy-yaml/templates.yml index 491322d..5090bc1 100644 --- a/examples/toy-yaml/templates.yml +++ b/examples/toy-yaml/templates.yml @@ -27,6 +27,7 @@ fcst_vars: &fcst_vars !Template if_present: !FirstTrue - do: *more_vars when: !calc a==10 + - otherwise: null cow: type: string allowed: [ brown, black, white, red, blue ] diff --git a/examples/toy-yaml/test.yml b/examples/toy-yaml/test.yml index 4210ddb..eaf301f 100644 --- a/examples/toy-yaml/test.yml +++ b/examples/toy-yaml/test.yml @@ -25,6 +25,7 @@ test_base: &test_base - { do: A, when: false } - { do: B, when: true } - { do: C, when: true } + - { otherwise: should never get here } # !LastTrue is like !FirstTrue in reverse. The last "do" with a true # "when" is returned: @@ -32,16 +33,18 @@ test_base: &test_base - { do: A, when: false } - { do: B, when: true } - { do: C, when: true } + - { otherwise: should never get here } none: !LastTrue - { do: X, when: false } - { do: Y, when: false } - { do: Z, when: false } + - { otherwise: null } - # Conditionals on an empty list always return null: - badlt: !LastTrue [] - badft: !FirstTrue [] - badxv: !FirstMax [] - badnv: !FirstMin [] + # Conditionals on an empty list always return the "otherwise" clause: + badlt: !LastTrue [{otherwise: null}] + badft: !FirstTrue [{otherwise: null}] + badxv: !FirstMax [{otherwise: null}] + badnv: !FirstMin [{otherwise: null}] # The !timedelta is a time difference. See crow.tools.to_timedelta # for details on syntax. diff --git a/examples/yaml-read-write/original.yaml b/examples/yaml-read-write/original.yaml index 3cb8045..daf1abc 100644 --- a/examples/yaml-read-write/original.yaml +++ b/examples/yaml-read-write/original.yaml @@ -31,6 +31,7 @@ theia: &theia !Platform platform: !FirstTrue - { do: *theia, when: !calc theia.detect } - { do: *wcoss_cray, when: !calc wcoss_cray.detect } + - { otherwise: !error unknown platform } testmax: !FirstMax - { do: a, when: 1 } @@ -43,10 +44,12 @@ testmin: !FirstMin testfirst: !FirstTrue - { do: a, when: true } - { do: b, when: false } + - { otherwise: should not get here } testlast: !LastTrue - { do: a, when: true } - { do: b, when: false } + - { otherwise: should not get here } template: &template !Template a: diff --git a/model/fv3gfs/actions.yaml b/model/fv3gfs/actions.yaml index 69de03d..fc8bdcd 100644 --- a/model/fv3gfs/actions.yaml +++ b/model/fv3gfs/actions.yaml @@ -184,11 +184,14 @@ fcst: &fcst_action !Action restart_interval: !FirstTrue - when: !calc CDUMP=="gdas" do: 6 + - otherwise: 0 + DIAG_TABLE: !FirstTrue - when: !calc CDUMP=="gdas" do: !expand "{BASE_GSM}/parm/parm_fv3diag/diag_table_da" - when: !calc CDUMP=="gfs" do: !expand "{BASE_GSM}/parm/parm_fv3diag/diag_table" + - otherwise: !error "Do not know DIAG_TABLE for CDUMP={CDUMP}" REGRID_NEMSIO_SH: !expand "{BASE_GSM}/ush/fv3gfs_regrid_nemsio.sh" REGRID_NEMSIO_TBL: !expand "{BASE_GSM}/parm/parm_fv3diag/variable_table_da.txt" @@ -258,39 +261,48 @@ vrfy: &vrfy_action !Action do: !expand "{BASE_SVN}/verif/global/parafits.fv3nems/batrun" - when: !calc ( machine=="THEIA" and VRFYFITS=="YES" ) do: !expand "{BASE_SVN}/verif/global/parafits.fv3nems/batrun" + - otherwise: !error "Do not know fitdir for this platform" PREPQFITSH: !FirstTrue - when: !calc ( machine=="WCOSS_C" and VRFYFITS=="YES" ) do: !expand "{fitdir}/subfits_cray_nems" - when: !calc ( machine=="THEIA" and VRFYFITS=="YES" ) do: !expand "fitdir}/subfits_theia_nems" + - otherwise: !error "Do not know subfits file (PREPQFITSH) for this platform" VRFY_CDUMP_GFS: !FirstTrue - when: !calc ( CDUMP=="gfs" and ( VSDB_STEP1=="YES" or VRFYPRCP=="YES" or VRFYG2OBS=="YES" ) ) do: "YES" + - otherwise: "NO" MIN_RAD_OZN: !FirstTrue - when: !calc ( VRFYRAD=="YES" or VRFYMINMON=="YES" or VRFYOZN=="YES" ) do: "YES" + - otherwise: 'NO' RAD: !FirstTrue - when: !calc ( VRFYRAD=="YES" and CDUMP==CDFNL ) do: "YES" + - otherwise: 'NO' MIN: !FirstTrue - when: !calc VRFYMINMON=="YES" do: "YES" + - otherwise: 'NO' OZN: !FirstTrue - when: !calc VRFYOZN=="YES" do: "YES" + - otherwise: 'NO' CYC_TRACK: !FirstTrue - when: !calc VRFYTRAK=="YES" do: "YES" + - otherwise: 'NO' CYC_GEN: !FirstTrue - when: !calc VRFYGENESIS=="YES" do: "YES" + - otherwise: 'NO' nth_vrfy: 2 From 86267b4da50270062cc959f653eb236b9c918a66 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 26 Sep 2017 18:26:44 +0000 Subject: [PATCH 107/487] include self._path in deepcopy() of any dict_eval and list_eval objects --- crow/config/eval_tools.py | 2 ++ 1 file changed, 2 insertions(+) diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 67ed241..be945c4 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -170,6 +170,7 @@ def _deepcopy_privates_from(self,memo,other): self.__globals=dict([ ( deepcopy(k,memo),deepcopy(v,memo) ) for k,v in other.__globals.items() ]) self.__cache=deepcopy(other.__cache,memo) + self._path=deepcopy(other._path) #self.__globals=deepcopy(other.__globals,memo) def __deepcopy__(self,memo): cls=type(self) @@ -274,6 +275,7 @@ def __deepcopy__(self,memo): def _deepcopy_privates_from(self,memo,other): self.__child=deepcopy(other.__child,memo) self.__cache=deepcopy(other.__cache,memo) + self._path=deepcopy(other._path) self.__globals=deepcopy(other.__globals,memo) self.__cache=deepcopy(other.__cache,memo) def _invalidate_cache(self,index=None): From 39018e449fe637b320a197ce8c64c7bb87da774a Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 26 Sep 2017 19:00:08 +0000 Subject: [PATCH 108/487] In !Template, a variable that has no default is mandatory unless optional=true. --- crow/config/exceptions.py | 1 + crow/config/template.py | 17 +++++++++++++---- examples/toy-yaml/templates.yml | 1 + 3 files changed, 15 insertions(+), 4 deletions(-) diff --git a/crow/config/exceptions.py b/crow/config/exceptions.py index f2add80..8809aae 100644 --- a/crow/config/exceptions.py +++ b/crow/config/exceptions.py @@ -18,6 +18,7 @@ class ExpandMissingResult(ConfigCalcError): pass class CalcKeyError(ConfigCalcError): pass class TemplateError(ConfigError): pass +class VariableMissing(ConfigError): pass class InvalidConfigTemplate(TemplateError): pass class InvalidConfigValue(TemplateError): pass class InvalidConfigType(TemplateError): pass diff --git a/crow/config/template.py b/crow/config/template.py index 5ff2938..c1ea0d9 100644 --- a/crow/config/template.py +++ b/crow/config/template.py @@ -32,7 +32,7 @@ def _check_scope(self,scope): # Inner validation loop. Validate based on all Templates # found thus far. Add new templates if found via - # is_present. + # is_present. Run prechecks if present for var in set(scope)-checked: if var not in template: continue try: @@ -56,7 +56,8 @@ def _check_scope(self,scope): raise # Insert default values for all templates found thus far and - # override values if requested: + # detect any missing, non-optional, variables + missing=list() for var in template: if var not in scope: tmpl=template[var] @@ -66,8 +67,16 @@ def _check_scope(self,scope): scope[var]=tmpl._raw('default') except AttributeError: scope[var]=tmpl['default'] - if 'override' in tmpl: - scope[var]=tmpl.override + elif not tmpl.get('optional',False): + missing.append(var) + if missing: + raise VariableMissing(f'{scope._path}: missing: '+ + ', '.join(missing)) + + # Override any variables if requested via "override" clauses. + for var in template: + if var in scope and 'override' in tmpl: + scope[var]=tmpl.override if errors: raise TemplateErrors(errors) diff --git a/examples/toy-yaml/templates.yml b/examples/toy-yaml/templates.yml index 5090bc1..861c015 100644 --- a/examples/toy-yaml/templates.yml +++ b/examples/toy-yaml/templates.yml @@ -39,3 +39,4 @@ fcst_vars: &fcst_vars !Template default: !calc len(cow) some_array: type: int list + optional: true From 1386bec8f069df6cc9692f3ec54fd2c766ecbebd Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 26 Sep 2017 19:08:43 +0000 Subject: [PATCH 109/487] Fix bug in !error and add test case in toy-yaml --- crow/config/__init__.py | 1 + examples/toy-yaml/example-config.py | 6 ++++++ examples/toy-yaml/test.yml | 2 ++ 3 files changed, 9 insertions(+) diff --git a/crow/config/__init__.py b/crow/config/__init__.py index 7b9babb..22066fa 100644 --- a/crow/config/__init__.py +++ b/crow/config/__init__.py @@ -13,6 +13,7 @@ from .to_yaml import to_yaml from .eval_tools import invalidate_cache from .eval_tools import evaluate_immediates as _evaluate_immediates +from .exceptions import ConfigError, ConfigUserError __all__=["from_string","from_file","to_py", 'Action', 'Platform', 'Template', 'TaskStateAnd', 'TaskStateOr', 'TaskStateNot', 'TaskStateIs', diff --git a/examples/toy-yaml/example-config.py b/examples/toy-yaml/example-config.py index 33812ca..baea836 100755 --- a/examples/toy-yaml/example-config.py +++ b/examples/toy-yaml/example-config.py @@ -56,3 +56,9 @@ print('config.fcst.expand_text(...namelist.nl...): \n'+ crow.config.expand_text(namelist_nl,config.fcst)) +print('Test the !error clause') +try: + s=config.test.error + raise Exception('Should not get here.') +except crow.config.ConfigUserError as e: + print(f'Success! {e}') diff --git a/examples/toy-yaml/test.yml b/examples/toy-yaml/test.yml index eaf301f..73506f8 100644 --- a/examples/toy-yaml/test.yml +++ b/examples/toy-yaml/test.yml @@ -73,3 +73,5 @@ test_base: &test_base test: <<: *test_base + + error: !error This is an error message. From bdf5ad85f2a2da01bf208180b15d951dfa29329b Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Tue, 26 Sep 2017 19:28:09 +0000 Subject: [PATCH 110/487] vrfy updates --- model/fv3gfs/actions.yaml | 6 ++--- model/fv3gfs/template.yaml | 51 ++++++++++++++------------------------ 2 files changed, 22 insertions(+), 35 deletions(-) diff --git a/model/fv3gfs/actions.yaml b/model/fv3gfs/actions.yaml index fc8bdcd..a086951 100644 --- a/model/fv3gfs/actions.yaml +++ b/model/fv3gfs/actions.yaml @@ -240,7 +240,7 @@ vrfy: &vrfy_action !Action resources: !calc run_vrfy rocoto_memory: "3072M" accounting: !calc doc.platform.parallel_accounting - CDUMP: "gfs" +# CDUMP: "gfs" VDUMP: "gfs" # verifying dump CDUMPFCST: "gdas" # Fit-to-obs with GDAS/GFS prepbufr CDFNL: "gdas" # Scores verification against GDAS/GFS analysis @@ -267,10 +267,10 @@ vrfy: &vrfy_action !Action - when: !calc ( machine=="WCOSS_C" and VRFYFITS=="YES" ) do: !expand "{fitdir}/subfits_cray_nems" - when: !calc ( machine=="THEIA" and VRFYFITS=="YES" ) - do: !expand "fitdir}/subfits_theia_nems" + do: !expand "{fitdir}/subfits_theia_nems" - otherwise: !error "Do not know subfits file (PREPQFITSH) for this platform" - VRFY_CDUMP_GFS: !FirstTrue + VRFY_CDUMP_GFS: "YES"!FirstTrue - when: !calc ( CDUMP=="gfs" and ( VSDB_STEP1=="YES" or VRFYPRCP=="YES" or VRFYG2OBS=="YES" ) ) do: "YES" - otherwise: "NO" diff --git a/model/fv3gfs/template.yaml b/model/fv3gfs/template.yaml index 6b20507..faf9d44 100644 --- a/model/fv3gfs/template.yaml +++ b/model/fv3gfs/template.yaml @@ -177,8 +177,6 @@ VRFY_CDUMP_GFS_VARS: &VRFY_CDUMP_GFS_VARS !Template default: "pgbq" vrfy_template: &vrfy_template !Template - ABC: XYZ - # verifying dump VDUMP: type: string @@ -212,30 +210,46 @@ vrfy_template: &vrfy_template !Template type: string allowed: [ "YES", "NO" ] - VRFYMINMON: # GSI minimization monitoring + VRFYMINMON: type: string allowed: [ "YES", "NO" ] + if_present: !FirstTrue + - when: !calc VRFYMINMON=="YES" + do: *MIN_VARS + - otherwise: null VRFYRAD: # Radiance data assimilation monitoring type: string allowed: [ "YES", "NO" ] - VRFYOZN: # Ozone data assimilation monitoring + VRFYOZN: type: string allowed: [ "YES", "NO" ] + if_present: !FirstTrue + - when: !calc VRFYOZN=='YES' + do: *OZN_VARS + - otherwise: null VRFYTRAK: # Hurricane track forecasts type: string allowed: [ "YES", "NO" ] + if_present: !FirstTrue + - when: !calc VRFYTRAK=="YES" + do: *CYC_TRACK_VARS - VRFYGENESIS: # Cyclone genesis + VRFYGENESIS: type: string allowed: [ "YES", "NO" ] + if_present: !FirstTrue + - when: !calc VRFYGENESIS=="YES" + do: *CYC_GEN_VARS VRFYGMPK: # Gempak verification type: string allowed: [ "YES", "NO" ] +# End of user speficied variables +# VRFYMINSH: type: string allowed: [ "YES", "NO" ] @@ -244,13 +258,6 @@ vrfy_template: &vrfy_template !Template do: "NO" message: !expand "WARNING: Minimization monitoring is not enabled on {platform.name}!" - CYC_TRACK: - type: string - allowed: [ "YES", "NO" ] - if_present: !FirstTrue - - when: !calc VRFYTRAK=="YES" - do: *CYC_TRACK_VARS - VRFY_CDUMP_GFS: type: string allowed: [ "YES", "NO" ] @@ -272,23 +279,3 @@ vrfy_template: &vrfy_template !Template - when: !calc ( VRFYRAD=="YES" and CDUMP==CDFNL ) do: *RAD_VARS - OZN: - type: string - allowed: [ "YES", "NO" ] - if_present: !FirstTrue - - when: !calc VRFYOZN=="YES" - do: *OZN_VARS - - MIN: - type: string - allowed: [ "YES", "NO" ] - if_present: !FirstTrue - - when: !calc VRFYMINMON=="YES" - do: *MIN_VARS - - CYC_GEN: - type: string - allowed: [ "YES", "NO" ] - if_present: !FirstTrue - - when: !calc VRFYGENESIS=="YES" - do: *CYC_GEN_VARS From ed4c0df216e1b2e183403101211f3399d242ad19 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 26 Sep 2017 20:15:22 +0000 Subject: [PATCH 111/487] Changes to validation in crow.config: 1. Do not validate at read time unless requested to. 2. Validation now supports "stages", which allows us to validate different variables at suite creation time than at execution time 3. to_rocoto will validate in "suite" mode. --- crow/config/__init__.py | 15 +++++++---- crow/config/eval_tools.py | 4 +-- crow/config/exceptions.py | 3 +++ crow/config/from_yaml.py | 7 ++--- crow/config/represent.py | 8 +++++- crow/config/tasks.py | 1 + crow/config/template.py | 24 ++++++++++++----- crow/metascheduler/rocoto.py | 7 +++++ examples/real-workflow/workflow/actions.yaml | 27 +++++++++++++++++++ examples/real-workflow/workflow/setup_expt.py | 3 ++- examples/toy-yaml/example-config.py | 10 +++++++ examples/toy-yaml/test.yml | 5 ++++ model/fv3gfs/test_sections.py | 2 +- to_sh.py | 1 + 14 files changed, 97 insertions(+), 20 deletions(-) diff --git a/crow/config/__init__.py b/crow/config/__init__.py index 22066fa..a49a4f4 100644 --- a/crow/config/__init__.py +++ b/crow/config/__init__.py @@ -19,7 +19,7 @@ 'TaskStateAnd', 'TaskStateOr', 'TaskStateNot', 'TaskStateIs', 'Taskable', 'Task', 'Family', 'CycleAt', 'CycleTime', 'Cycle', 'Trigger', 'Depend', 'Timespec', 'SuitePath', - 'CycleExistsDependency'] + 'CycleExistsDependency', 'validate'] def to_py(obj): return obj._to_py() if hasattr(obj,'_to_py') else obj @@ -33,17 +33,22 @@ def expand_text(text,scope): evaluate_immediates=_evaluate_immediates -def from_string(s,evaluate_immediates=True): +def from_string(s,evaluate_immediates=True,validation_stage=None): c=ConvertFromYAML(yaml.load(s),CONFIG_TOOLS,ENV) - result=c.convert() + result=c.convert(validation_stage=validation_stage) if evaluate_immediates: _evaluate_immediates(result,recurse=True) return result -def from_file(*args,evaluate_immediates=True): +def from_file(*args,evaluate_immediates=True,validation_stage=None): data=list() for file in args: with open(file,'rt') as fopen: data.append(fopen.read()) return from_string(u'\n\n\n'.join(data), - evaluate_immediates=evaluate_immediates) + evaluate_immediates=evaluate_immediates, + validation_stage=validation_stage) + +def validate(obj,stage=''): + if getattr(obj,'_validate'): + obj._validate(stage) diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index be945c4..3112f64 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -185,10 +185,10 @@ def __setitem__(self,k,v): def __delitem__(self,k): del(self.__child[k], self.__cache[k]) def __iter__(self): for k in self.__child.keys(): yield k - def _validate(self): + def _validate(self,stage): """!Validates this dict_eval using its embedded Template object, if present """ if 'Template' in self: - self.Template._check_scope(self) + self.Template._check_scope(self,stage) def __getitem__(self,key): val=self.__cache[key] if hasattr(val,'_result'): diff --git a/crow/config/exceptions.py b/crow/config/exceptions.py index 8809aae..d5e4250 100644 --- a/crow/config/exceptions.py +++ b/crow/config/exceptions.py @@ -27,5 +27,8 @@ class TemplateErrors(ConfigError): def __init__(self,errors): super().__init__(self,'\n'.join([ str(e) for e in errors ])) self.template_errors=list(errors) + def __str__(self): + return 'Scope did not validate:\n' + \ + '\n'.join(self.template_errors) class DependError(ConfigError): pass diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index 85cc71a..d46ffd2 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -184,12 +184,13 @@ def __init__(self,tree,tools,ENV): self.validatable=dict() self.ENV=ENV - def convert(self): + def convert(self,validation_stage): self.result=self.from_dict(self.tree,path='doc') globals={ 'tools':self.tools, 'doc':self.result, 'ENV': self.ENV } self.result._recursively_set_globals(globals) - for i,v in self.validatable.items(): - v._validate() + if validation_stage is not None: + for i,v in self.validatable.items(): + v._validate(validation_stage) return self.result def to_eval(self,v,locals,path): diff --git a/crow/config/represent.py b/crow/config/represent.py index a51d238..4a94434 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -2,13 +2,15 @@ embedded yaml calculations, as well as internal representations of all custom data types in the yaml files.""" -import re, abc +import re, abc, logging from datetime import timedelta from copy import deepcopy from crow.config.exceptions import * from crow.config.eval_tools import list_eval, dict_eval, multidict, from_config, strcalc from crow.tools import to_timedelta, Clock +logger=logging.getLogger('crow.config') + __all__=[ 'Action','Platform', 'Conditional', 'calc','FirstMin', 'FirstMax', 'LastTrue', 'FirstTrue', 'GenericList', 'GenericDict', 'GenericOrderedDict', 'ShellCommand', @@ -86,8 +88,12 @@ def _result(self,globals,locals): f'{self._path}: no clauses match and no ' f'"otherwise" value was given. {keys} {values}') self.__result=self[otherwise_idx].otherwise + idx=otherwise_idx else: self.__result=values[idx] + if 'message' in self[idx]: + logger.info(f'{self._path}[{idx}]: {self[idx].message}') + return self.__result def _deepcopy_privates_from(self,memo,other): diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 67752a0..96360af 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -61,6 +61,7 @@ def __init__(self,suite,viewed,path,parent): self.viewed.task_path_list=path[1:] self.viewed.task_path_str='/'+'/'.join(path[1:]) self.viewed.task_path_var='.'.join(path[1:]) + self.viewed._path=self.viewed.task_path_var self.viewed.up=parent self.path=SuitePath(path) self.parent=parent diff --git a/crow/config/template.py b/crow/config/template.py index c1ea0d9..afcaf20 100644 --- a/crow/config/template.py +++ b/crow/config/template.py @@ -11,6 +11,7 @@ """ +from datetime import timedelta from crow.config.exceptions import * from crow.config.eval_tools import list_eval, dict_eval, multidict, from_config from crow.config.represent import GenericList, GenericDict, GenericOrderedDict @@ -19,7 +20,7 @@ class Template(dict_eval): """!Internal implementation of the YAML Template type. Validates a dict_eval, inserting defaults and reporting errors via the TemplateErrors exception. """ - def _check_scope(self,scope): + def _check_scope(self,scope,stage): checked=set() errors=list() template=dict(self) @@ -40,6 +41,12 @@ def _check_scope(self,scope): checked.add(var) scheme=template[var] + if stage and 'stages' in scheme: + if stage not in scheme.stages: + continue # skip validation; wrong stage + elif 'stages' in scheme: + continue # skip validation of stage-specific schemes + if 'precheck' in scheme: scope[var]=scheme.precheck @@ -51,9 +58,10 @@ def _check_scope(self,scope): new_template=dict(ip) new_template.update(template) template=new_template + except (IndexError,AttributeError) as pye: + errors.append(f'{scope._path}.{var}: {pye}') except ConfigError as ce: - errors.append(ce) - raise + errors.append(str(ce)) # Insert default values for all templates found thus far and # detect any missing, non-optional, variables @@ -75,8 +83,8 @@ def _check_scope(self,scope): # Override any variables if requested via "override" clauses. for var in template: - if var in scope and 'override' in tmpl: - scope[var]=tmpl.override + if var in scope and 'override' in template[var]: + scope[var]=template[var].override if errors: raise TemplateErrors(errors) @@ -122,7 +130,8 @@ def validate_dict(types,val,allowed,typ): TYPES={ 'int':[int], 'bool':[bool], 'string':[str,bytes], 'float':[float], 'list':[set,list,tuple,list_eval,GenericList], 'dict':[dict,dict_eval,GenericDict,GenericOrderedDict], - 'seq':[set,list,tuple,list_eval,GenericList] } + 'seq':[set,list,tuple,list_eval,GenericList], + 'timedelta':[timedelta]} ## @var VALIDATORS # Mapping from YAML type to validation function. @@ -133,7 +142,8 @@ def validate_dict(types,val,allowed,typ): 'int':validate_scalar, 'bool':validate_scalar, 'string':validate_scalar, - 'float':validate_scalar } + 'float':validate_scalar, + 'timedelta': validate_scalar} def validate_type(path,var,typ,val,allowed): """!Top-level validation function. Checks that the value val of the diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index 713f88f..96e6431 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -119,6 +119,7 @@ def __init__(self,suite): "must be a string.") self.__dummy_var_count=0 self.__families_with_completes=set() + self._validate_tasks() def make_time_xml(self,indent=1): clock=self.suite.Clock @@ -231,6 +232,12 @@ def _validate_cycle(self): if key in settings: raise KeyError('%s: %s'%(key,what)) + def _validate_tasks(self): + """!Validates all Perform subclauses of Tasks""" + for task in self.suite.walk_task_tree(): + if 'Perform' in task: + crow.config.validate(task.Perform,'suite') + def _record_item(self,view,complete): complete=complete | view.get_complete_dep() self.__all_defined.add(view.path) diff --git a/examples/real-workflow/workflow/actions.yaml b/examples/real-workflow/workflow/actions.yaml index 26a3ccc..afec633 100644 --- a/examples/real-workflow/workflow/actions.yaml +++ b/examples/real-workflow/workflow/actions.yaml @@ -2,6 +2,30 @@ # Convenient blocks to include in actions: +generic_fcst_template: &fcst_template !Template + nx: + type: int + ny: + type: int + dt_rand: + type: int + dt_write: + type: timedelta + infile: + type: string + stages: [ execution ] + outfile_format: + type: string + stages: [ execution ] + seed: + type: int + stages: [ execution ] + +non_ens_fcst_template: &non_ens_fcst_template !Template + <<: *fcst_template + seed: + type: int + shared_serial_action: &shared_serial_action <<: [ *runtime, *options ] com: !calc "{doc.runtime.com}" @@ -19,6 +43,7 @@ parallel_unlimited_memory_action: ¶llel_unlimited_memory_action generic_fcst: &generic_fcst_action <<: *parallel_unlimited_memory_action + Template: *fcst_template dt_rand: !calc dt_rand_fcst dt_write: !calc dt_write_fcst infile: !expand "{com}/analysis.grid" @@ -66,6 +91,7 @@ clim_init: &clim_init_action !Action clim_fcst: &clim_fcst_action !Action <<: *generic_fcst_action + Template: *non_ens_fcst_template J_JOB: JCLIM_FCST resources: - exe: !calc doc.executables.fcst @@ -93,6 +119,7 @@ ens_fcst: &ens_fcst_action !Action fcst: &fcst_action !Action <<: *generic_fcst_action + Template: *non_ens_fcst_template J_JOB: JFCST resources: - exe: !calc doc.executables.fcst diff --git a/examples/real-workflow/workflow/setup_expt.py b/examples/real-workflow/workflow/setup_expt.py index bcdf554..574028d 100755 --- a/examples/real-workflow/workflow/setup_expt.py +++ b/examples/real-workflow/workflow/setup_expt.py @@ -11,7 +11,8 @@ conf=crow.config.from_file( 'platform.yaml','options.yaml','runtime.yaml', - 'actions.yaml','workflow.yaml') + 'actions.yaml','workflow.yaml', + validation_stage='') force = len(sys.argv)>1 and sys.argv[1] == '--force' diff --git a/examples/toy-yaml/example-config.py b/examples/toy-yaml/example-config.py index baea836..f641480 100755 --- a/examples/toy-yaml/example-config.py +++ b/examples/toy-yaml/example-config.py @@ -3,12 +3,19 @@ ## Simple test program for crow.config module import sys +import logging from datetime import timedelta import crow.config config=crow.config.from_file('test.yml','platform.yml','templates.yml', 'actions.yml') +logging.basicConfig(stream=sys.stderr,level=logging.INFO) + +crow.config.validate(config.fcst) +crow.config.validate(config.test) +crow.config.validate(config.gfsfcst) + print() print("test = expected value = actual value") print() @@ -62,3 +69,6 @@ raise Exception('Should not get here.') except crow.config.ConfigUserError as e: print(f'Success! {e}') + +print('Test the message clause of contionals.') +config.test.message diff --git a/examples/toy-yaml/test.yml b/examples/toy-yaml/test.yml index 73506f8..69a8180 100644 --- a/examples/toy-yaml/test.yml +++ b/examples/toy-yaml/test.yml @@ -71,6 +71,11 @@ test_base: &test_base - def - ghi + message: !FirstMax + - when: 0 + do: hello + message: This is an informational message. + test: <<: *test_base diff --git a/model/fv3gfs/test_sections.py b/model/fv3gfs/test_sections.py index ab03675..f28b7a3 100755 --- a/model/fv3gfs/test_sections.py +++ b/model/fv3gfs/test_sections.py @@ -21,5 +21,5 @@ conf=crow.config.from_file(sys.argv[1]) for var in sys.argv[2:]: - val=conf[var] + val=eval(var,{},conf) print(f'{var} = {val!r}') diff --git a/to_sh.py b/to_sh.py index 4dede61..cc4ee14 100755 --- a/to_sh.py +++ b/to_sh.py @@ -97,6 +97,7 @@ def set_scope(self,value): raise TypeError(f'{value}: not a mapping; not a valid scope ' f'(is a {type(result).__name__})') self.scope=result + crow.config.validate(result,'execution') def set_export_vars(self,value): if value.lower()[0] in [ 'y', 't' ]: From 3ff76bef3cbb568f910e03f289b1de4b36bb9ca2 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 26 Sep 2017 20:16:57 +0000 Subject: [PATCH 112/487] validate in test_sections.py (needed due to last commit which disabled validation at read time) --- model/fv3gfs/test_sections.py | 1 + 1 file changed, 1 insertion(+) diff --git a/model/fv3gfs/test_sections.py b/model/fv3gfs/test_sections.py index f28b7a3..433e5eb 100755 --- a/model/fv3gfs/test_sections.py +++ b/model/fv3gfs/test_sections.py @@ -22,4 +22,5 @@ for var in sys.argv[2:]: val=eval(var,{},conf) + crow.config.validate(val) print(f'{var} = {val!r}') From e52fc777b247a0788172c2dc3299bbee29d340e9 Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Tue, 26 Sep 2017 21:08:53 +0000 Subject: [PATCH 113/487] Sam's update for better error message delivery for Template --- crow/config/template.py | 7 +++++-- 1 file changed, 5 insertions(+), 2 deletions(-) diff --git a/crow/config/template.py b/crow/config/template.py index afcaf20..81fd8ce 100644 --- a/crow/config/template.py +++ b/crow/config/template.py @@ -11,6 +11,7 @@ """ +from copy import copy from datetime import timedelta from crow.config.exceptions import * from crow.config.eval_tools import list_eval, dict_eval, multidict, from_config @@ -23,7 +24,7 @@ class Template(dict_eval): def _check_scope(self,scope,stage): checked=set() errors=list() - template=dict(self) + template=copy(self) did_something=True # Main validation loop. Iteratively validate, adding new @@ -55,7 +56,7 @@ def _check_scope(self,scope,stage): ip=from_config( var,scheme._raw('if_present'),self._globals(),scope) if not ip: continue - new_template=dict(ip) + new_template=copy(ip) new_template.update(template) template=new_template except (IndexError,AttributeError) as pye: @@ -69,6 +70,8 @@ def _check_scope(self,scope,stage): for var in template: if var not in scope: tmpl=template[var] + if not hasattr(tmpl,'__getitem__') or not hasattr(tmpl,'update'): + raise TypeError(f'{self._path}.{var}: All entries in a !Template must be maps not {type(tmpl).__name__}') if 'default' in tmpl: try: did_something=True From 10c98a1b06d268a9018fcc23a24407bd60e6a0d9 Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Tue, 26 Sep 2017 21:09:58 +0000 Subject: [PATCH 114/487] debugging --- model/fv3gfs/actions.yaml | 50 ----------------- model/fv3gfs/case.yaml | 2 +- model/fv3gfs/platform.yaml | 1 + model/fv3gfs/runtime.yaml | 3 +- model/fv3gfs/template.yaml | 111 ++++++++++++++++++++++++++----------- 5 files changed, 82 insertions(+), 85 deletions(-) diff --git a/model/fv3gfs/actions.yaml b/model/fv3gfs/actions.yaml index a086951..f41ab7c 100644 --- a/model/fv3gfs/actions.yaml +++ b/model/fv3gfs/actions.yaml @@ -255,54 +255,4 @@ vrfy: &vrfy_action !Action VRFYTRAK: "YES" # Hurricane track forecasts VRFYGENESIS: "YES" # Cyclone genesis VRFYGMPK: "NO" # Gempak verification - - fitdir: !FirstTrue - - when: !calc ( machine=="WCOSS_C" and VRFYFITS=="YES" ) - do: !expand "{BASE_SVN}/verif/global/parafits.fv3nems/batrun" - - when: !calc ( machine=="THEIA" and VRFYFITS=="YES" ) - do: !expand "{BASE_SVN}/verif/global/parafits.fv3nems/batrun" - - otherwise: !error "Do not know fitdir for this platform" - - PREPQFITSH: !FirstTrue - - when: !calc ( machine=="WCOSS_C" and VRFYFITS=="YES" ) - do: !expand "{fitdir}/subfits_cray_nems" - - when: !calc ( machine=="THEIA" and VRFYFITS=="YES" ) - do: !expand "{fitdir}/subfits_theia_nems" - - otherwise: !error "Do not know subfits file (PREPQFITSH) for this platform" - - VRFY_CDUMP_GFS: "YES"!FirstTrue - - when: !calc ( CDUMP=="gfs" and ( VSDB_STEP1=="YES" or VRFYPRCP=="YES" or VRFYG2OBS=="YES" ) ) - do: "YES" - - otherwise: "NO" - - MIN_RAD_OZN: !FirstTrue - - when: !calc ( VRFYRAD=="YES" or VRFYMINMON=="YES" or VRFYOZN=="YES" ) - do: "YES" - - otherwise: 'NO' - - RAD: !FirstTrue - - when: !calc ( VRFYRAD=="YES" and CDUMP==CDFNL ) - do: "YES" - - otherwise: 'NO' - - MIN: !FirstTrue - - when: !calc VRFYMINMON=="YES" - do: "YES" - - otherwise: 'NO' - - OZN: !FirstTrue - - when: !calc VRFYOZN=="YES" - do: "YES" - - otherwise: 'NO' - - CYC_TRACK: !FirstTrue - - when: !calc VRFYTRAK=="YES" - do: "YES" - - otherwise: 'NO' - - CYC_GEN: !FirstTrue - - when: !calc VRFYGENESIS=="YES" - do: "YES" - - otherwise: 'NO' - nth_vrfy: 2 diff --git a/model/fv3gfs/case.yaml b/model/fv3gfs/case.yaml index 10fc5a9..41adcd8 100644 --- a/model/fv3gfs/case.yaml +++ b/model/fv3gfs/case.yaml @@ -1,5 +1,5 @@ places: &places - PROJECT_DIR: /scratch4/NCEPDEV/nems + PROJECT_DIR: /scratch4/NCEPDEV/ocean/scrub/ HOMEDIR: !expand "{PROJECT_DIR}/save/{tools.env('USER')}" STMP: !expand "{doc.platform.least_used_scrub}{tools.env('USER')}" PTMP: !expand "{doc.platform.least_used_scrub}{tools.env('USER')}" diff --git a/model/fv3gfs/platform.yaml b/model/fv3gfs/platform.yaml index ca534bf..c773c17 100644 --- a/model/fv3gfs/platform.yaml +++ b/model/fv3gfs/platform.yaml @@ -215,3 +215,4 @@ platform: !Immediate <<: *theia Evaluate: true when: !calc do.detect + - otherwise: null diff --git a/model/fv3gfs/runtime.yaml b/model/fv3gfs/runtime.yaml index 3da3013..c56d0aa 100644 --- a/model/fv3gfs/runtime.yaml +++ b/model/fv3gfs/runtime.yaml @@ -9,5 +9,4 @@ clock: &clock !Clock now: !FirstTrue - do: !calc tools.from_YMDH(tools.env('YMDH')) when: !calc tools.have_env('YMDH') - - do: null - when: true + - otherwise: null diff --git a/model/fv3gfs/template.yaml b/model/fv3gfs/template.yaml index faf9d44..9ef2383 100644 --- a/model/fv3gfs/template.yaml +++ b/model/fv3gfs/template.yaml @@ -29,52 +29,61 @@ CYC_TRACK_VARS: &CYC_TRACK_VARS !Template default: !FirstTrue - when: !calc CDUMP=="gfs" do: !expand "{FHOUT_GFS}" + - otherwise: !error "FHOUT undefined!" FHMAX: type: string default: !FirstTrue - when: !calc CDUMP=="gfs" do: !expand "{FHMAX_GFS}" + - otherwise: !error "FHMAX undefined!" FHMAX2: type: string default: !FirstTrue - when: !calc CDUMP=="gfs" do: !expand "{FHMAX2_GFS}" + - otherwise: !error "FHMAX2 undefined!" COMROOTp1: type: string default: !FirstTrue - - when: !calc machine=="THEIA" + - when: !calc doc.platform.name=="THEIA" do: "/scratch4/NCEPDEV/rstprod/com" + - otherwise: !error "COMROOTp1 undefined!" archsyndir: type: string default: !FirstTrue - - when: !calc machine=="THEIA" + - when: !calc doc.platform.name=="THEIA" do: !expand "{COMROOTp1}/arch/prod/syndat" + - otherwise: !error "archsyndir undefined!" CYC_GEN_VARS: &CYC_GEN_VARS !Template NWROOTGENESIS: type: string default: !FirstTrue - - when: !calc machine=="WCOSS_C" + - when: !calc doc.platform.name=="WCOSS_C" do: "/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/gfs/q3fy17" - - when: !calc machine=="THEIA" + - when: !calc doc.platform.name=="THEIA" do: "/scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17" + - otherwise: !error "doc.platform.name not supported!" UTILROOT: type: string default: !FirstTrue - - when: !calc machine=="THEIA" + - when: !calc doc.platform.name=="THEIA" do: "/scratch4/NCEPDEV/global/save/glopara/nwpara/prod_util.v1.0.15" + - otherwise: null GETTX_GEN: type: string default: !FirstTrue - - when: !calc machine=="THEIA" + - when: !calc doc.platform.name=="THEIA" do: !expand "{NWROOTGENESIS}/ens_tracker.v2.0.1/exec/gettrk_gen_g2_theia" + - otherwise: null GENESISSH: type: string default: !FirstTrue - - when: !calc ( machine=="WCOSS_C" or machine=="THEIA" ) + - when: !calc ( doc.platform.name=="WCOSS_C" or doc.platform.name=="THEIA" ) do: !expand "{NWROOTGENESIS}/ens_tracker.v2.0.1/gfs_genesis_para_fv3gfs.sh" - - when: !calc ( not machine=="WCOSS_C" and not machine=="THEIA" ) + - when: !calc ( not doc.platform.name=="WCOSS_C" and not doc.platform.name=="THEIA" ) do: "" + - otherwise: null MIN_VARS: &MIN_VARS !Template HOMEgfs: @@ -92,17 +101,12 @@ MIN_VARS: &MIN_VARS !Template VRFYMINSH: type: string default: !FirstTrue - - when: !calc ( CDUMP=="gdas" and ( machine=="WCOSS_C" or machine=="THEIA" ) ) + - when: !calc ( CDUMP=="gdas" and ( doc.platform.name=="WCOSS_C" or doc.platform.name=="THEIA" ) ) do: !expand "{HOMEgdas}/jobs/JGDAS_VMINMON" - - when: !calc ( CDUMP=="gfs" and ( machine=="WCOSS_C" or machine=="THEIA" ) ) + - when: !calc ( CDUMP=="gfs" and ( doc.platform.name=="WCOSS_C" or doc.platform.name=="THEIA" ) ) do: !expand "{HOMEgfs}/jobs/JGDAS_VMINMON" - - when: !calc ( not machine=="WCOSS_C" and not machine=="THEIA" ) - do: !expand "WARNING: Minimization monitoring is not enabled on $machine!" - VRFYMINMON: - type: string - default: !FirstTrue - - when: !calc ( not machine=="WCOSS_C" and not machine=="THEIA" ) - do: "NO" + - otherwise : null + message: !expand "WARNING: Minimization monitoring is not enabled on {doc.platform.name}!" RAD_VARS: &RAD_VARS !Template HOMEradmon: @@ -117,15 +121,11 @@ RAD_VARS: &RAD_VARS !Template VRFYRADSH: type: string default: !FirstTrue - - when: !calc ( machine=="WCOSS_C" or machine=="THEIA" ) + - when: !calc ( doc.platform.name=="WCOSS_C" or doc.platform.name=="THEIA" ) do: !expand "{HOMEgdas}/jobs/JGDAS_VERFRAD" - - when: !calc ( not machine=="WCOSS_C" and not machine=="THEIA" ) - do: !expand "WARNING: Radiance monitoring is not enabled on $machine!" - VRFYRAD: - type: string - default: !FirstTrue - - when: !calc ( not machine=="WCOSS_C" and not machine=="THEIA" ) - do: "NO" + - when: !calc ( not doc.platform.name=="WCOSS_C" and not doc.platform.name=="THEIA" ) + message: !expand "WARNING: Radiance monitoring is not enabled on {doc.platform.name}!" + - otherwise: null OZN_VARS: &OZN_VARS !Template # echo "WARNING: Ozone Monitoring is just a stub for now!" @@ -192,71 +192,105 @@ vrfy_template: &vrfy_template !Template VSDB_STEP1: # populate VSDB database type: string + default: "NO" allowed: [ "YES", "NO" ] VSDB_STEP2: type: string + default: "NO" allowed: [ "YES", "NO" ] VRFYG2OBS: # Grid to observations type: string + default: "NO" allowed: [ "YES", "NO" ] VRFYFITS: # Fit to observations type: string + defualt: "NO" allowed: [ "YES", "NO" ] VRFYPRCP: # Precip threat scores type: string + default: "NO" allowed: [ "YES", "NO" ] VRFYMINMON: type: string + default: "NO" allowed: [ "YES", "NO" ] if_present: !FirstTrue - when: !calc VRFYMINMON=="YES" do: *MIN_VARS - otherwise: null + message: !expand "WARNING: Minimization monitoring is not enabled on {doc.platform.name}!" VRFYRAD: # Radiance data assimilation monitoring type: string + default: "NO" allowed: [ "YES", "NO" ] VRFYOZN: type: string allowed: [ "YES", "NO" ] + default: "NO" if_present: !FirstTrue - when: !calc VRFYOZN=='YES' + message: "WARNING: Ozone Monitoring is just a stub for now!" do: *OZN_VARS - otherwise: null VRFYTRAK: # Hurricane track forecasts type: string allowed: [ "YES", "NO" ] + default: "NO" if_present: !FirstTrue - when: !calc VRFYTRAK=="YES" do: *CYC_TRACK_VARS + - otherwise: null VRFYGENESIS: type: string allowed: [ "YES", "NO" ] + default: "NO" if_present: !FirstTrue - when: !calc VRFYGENESIS=="YES" do: *CYC_GEN_VARS + - otherwise: null VRFYGMPK: # Gempak verification type: string + default: "NO" allowed: [ "YES", "NO" ] + nth_vrfy: + type: int + default: 1 + # End of user speficied variables # - VRFYMINSH: + fitdir: type: string - allowed: [ "YES", "NO" ] - override: !FirstTrue - - when: !calc ( not platform.name=="THEIA" and not platform.name=="WCOSS_C" ) - do: "NO" - message: !expand "WARNING: Minimization monitoring is not enabled on {platform.name}!" + default: !FirstTrue + - when: !calc ( doc.platform.name=="WCOSS_C" and VRFYFITS=="YES" ) + do: !expand "{BASE_SVN}/verif/global/parafits.fv3nems/batrun" + - when: !calc ( doc.platform.name=="THEIA" and VRFYFITS=="YES" ) + do: !expand "{BASE_SVN}/verif/global/parafits.fv3nems/batrun" + - otherwise: !error "Do not know fitdir for this platform" + + PREPQFITSH: + type: string + default: !FirstTrue + - when: !calc ( doc.platform.name=="WCOSS_C" and VRFYFITS=="YES" ) + do: !expand "{fitdir}/subfits_cray_nems" + message: WCOSS_C fits file + - when: !calc ( doc.platform.name=="THEIA" and VRFYFITS=="YES" ) + do: !expand "{fitdir}/subfits_theia_nems" + message: "THEIA fits file" + - when: !calc VRFYFITS!="YES" + do: '/dev/null' + message: !expand vrfyfits = {VRFYFITS} + - otherwise: !error "Do not know subfits file (PREPQFITSH) for {doc.platform.name}" VRFY_CDUMP_GFS: type: string @@ -264,6 +298,17 @@ vrfy_template: &vrfy_template !Template if_present: !FirstTrue - when: !calc ( CDUMP=="gfs" and ( VSDB_STEP1=="YES" or VRFYPRCP=="YES" or VRFYG2OBS=="YES" ) ) do: *VRFY_CDUMP_GFS_VARS + - otherwise: null + + NO_VRFYMINSH: + type: string + default: "NO" + allowed: [ "YES", "NO" ] + override: !FirstTrue + - when: !calc ( not doc.platform.name=="THEIA" and not doc.platform.name=="WCOSS_C" ) + do: "YES" + message: !expand "WARNING: Minimization monitoring is not enabled on {doc.platform.name}!" + - otherwise: null MIN_RAD_OZN: type: string @@ -271,6 +316,7 @@ vrfy_template: &vrfy_template !Template if_present: !FirstTrue - when: !calc ( VRFYRAD=="YES" or VRFYMINMON=="YES" or VRFYOZN=="YES" ) do: *MIN_RAD_OZN_VARS + - otherwise: null RAD: type: string @@ -278,4 +324,5 @@ vrfy_template: &vrfy_template !Template if_present: !FirstTrue - when: !calc ( VRFYRAD=="YES" and CDUMP==CDFNL ) do: *RAD_VARS - + - otherwise: null + message: !expand "WARNING: Radiance monitoring is not enabled on {doc.platform.name}!" From 3f3c0ed2313a78bf77afde34e61ba629abe60de6 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 26 Sep 2017 21:42:10 +0000 Subject: [PATCH 115/487] Validation is now done in the SuiteView within the Suite. Each Task within the Suite duplicates its contents so that the validated content only changes that task, rather than the original content which may be used in multiple locations. --- crow/config/__init__.py | 3 +++ crow/config/eval_tools.py | 7 ++--- crow/config/tasks.py | 24 ++++++++++++++--- crow/metascheduler/rocoto.py | 9 +------ examples/real-workflow/workflow/actions.yaml | 4 +++ examples/real-workflow/workflow/setup_expt.py | 27 ++++++++++++------- 6 files changed, 50 insertions(+), 24 deletions(-) diff --git a/crow/config/__init__.py b/crow/config/__init__.py index a49a4f4..9efccec 100644 --- a/crow/config/__init__.py +++ b/crow/config/__init__.py @@ -52,3 +52,6 @@ def from_file(*args,evaluate_immediates=True,validation_stage=None): def validate(obj,stage=''): if getattr(obj,'_validate'): obj._validate(stage) + +def document_root(obj): + return obj._globals()['doc'] diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 3112f64..299973a 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -143,7 +143,7 @@ def __contains__(self,k): return k in self.__child def __len__(self): return len(self.__child) def __copy__(self): cls=type(self) - d=cls(copy(self.__child)) + d=cls(self.__child,self._path) d.__globals=self.__globals return d def _invalidate_cache(self,key=None): @@ -167,8 +167,9 @@ def _deepcopy_child(self,memo): cls=type(self.__child) return deepcopy(self.__child,memo) def _deepcopy_privates_from(self,memo,other): - self.__globals=dict([ ( deepcopy(k,memo),deepcopy(v,memo) ) - for k,v in other.__globals.items() ]) + self.__globals=deepcopy(other.__globals,memo) +#dict([ ( deepcopy(k,memo),deepcopy(v,memo) ) +# for k,v in other.__globals.items() ]) self.__cache=deepcopy(other.__cache,memo) self._path=deepcopy(other._path) #self.__globals=deepcopy(other.__globals,memo) diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 96360af..c25410a 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -57,16 +57,30 @@ def __init__(self,suite,viewed,path,parent): # assert(isinstance(viewed,dict_eval)) assert(isinstance(parent,SuiteView)) self.suite=suite - self.viewed=copy(viewed) + # if isinstance(viewed,Task) and 'fcst' in '-'.join([str(s) for s in path]): + # print(path) + # print(viewed.keys()) + # assert('Template' in viewed) + # assert('testvar' not in viewed) + self.viewed=viewed self.viewed.task_path_list=path[1:] self.viewed.task_path_str='/'+'/'.join(path[1:]) self.viewed.task_path_var='.'.join(path[1:]) self.viewed._path=self.viewed.task_path_var + if isinstance(self.viewed,Task): + for k,v in self.viewed.items(): + v=copy(v) + if hasattr(v,"_validate"): + v._validate('suite') + self.viewed[k]=v self.viewed.up=parent self.path=SuitePath(path) self.parent=parent self.__cache={} + def _globals(self): + return self.viewed._globals() + def __eq__(self,other): return self.path==other.path and self.suite is other.suite @@ -144,9 +158,13 @@ def __getitem__(self,key): def __wrap(self,key,obj): if isinstance(obj,Taskable): # Add to path when recursing into a family or task + obj=copy(obj) + self.viewed[key]=obj return SuiteView(self.suite,obj,self.path+[key],self) if isinstance(obj,Cycle): # Reset path when we see a cycle + obj=copy(obj) + self.viewed[key]=obj return SuiteView(self.suite,obj,self.path[:1],self) return obj @@ -189,9 +207,9 @@ def __init__(self,suite,more_globals=EMPTY_DICT): def has_cycle(self,dt): return CycleExistsDependency(to_timedelta(dt)) def make_empty_copy(self,more_globals=EMPTY_DICT): - new_more_globals=copy(self._more_globals) + suite_copy=deepcopy(self) + new_more_globals=copy(suite_copy._more_globals) new_more_globals.update(more_globals) - suite_copy=deepcopy(self.viewed) return Suite(suite_copy,new_more_globals) class Depend(str): diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index 96e6431..c12ff7d 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -119,7 +119,6 @@ def __init__(self,suite): "must be a string.") self.__dummy_var_count=0 self.__families_with_completes=set() - self._validate_tasks() def make_time_xml(self,indent=1): clock=self.suite.Clock @@ -232,12 +231,6 @@ def _validate_cycle(self): if key in settings: raise KeyError('%s: %s'%(key,what)) - def _validate_tasks(self): - """!Validates all Perform subclauses of Tasks""" - for task in self.suite.walk_task_tree(): - if 'Perform' in task: - crow.config.validate(task.Perform,'suite') - def _record_item(self,view,complete): complete=complete | view.get_complete_dep() self.__all_defined.add(view.path) @@ -410,7 +403,7 @@ def _handle_final_task(self,fd,indent): def to_rocoto(suite): typecheck('suite',suite,Cycle) tr=ToRocoto(suite) - return tr._expand_workflow_xml() + return tr.suite, tr._expand_workflow_xml() def test(): def to_string(action): diff --git a/examples/real-workflow/workflow/actions.yaml b/examples/real-workflow/workflow/actions.yaml index afec633..8da31aa 100644 --- a/examples/real-workflow/workflow/actions.yaml +++ b/examples/real-workflow/workflow/actions.yaml @@ -3,6 +3,9 @@ # Convenient blocks to include in actions: generic_fcst_template: &fcst_template !Template + testvar: + type: int + default: 5 nx: type: int ny: @@ -101,6 +104,7 @@ clim_fcst: &clim_fcst_action !Action ens_fcst: &ens_fcst_action !Action <<: *generic_fcst_action + Template: *fcst_template J_JOB: JENS_FCST resources: - exe: !calc doc.executables.fcst diff --git a/examples/real-workflow/workflow/setup_expt.py b/examples/real-workflow/workflow/setup_expt.py index 574028d..cae75c6 100755 --- a/examples/real-workflow/workflow/setup_expt.py +++ b/examples/real-workflow/workflow/setup_expt.py @@ -11,8 +11,7 @@ conf=crow.config.from_file( 'platform.yaml','options.yaml','runtime.yaml', - 'actions.yaml','workflow.yaml', - validation_stage='') + 'actions.yaml','workflow.yaml') force = len(sys.argv)>1 and sys.argv[1] == '--force' @@ -23,8 +22,6 @@ run_dir=conf.options.run_dir logger.info(f'Run directory: {run_dir}') -config_yaml=os.path.join(run_dir,'config.yaml') -yaml=crow.config.to_yaml(conf) assert('namelist' in conf.generic_fcst) assert('namelist' in conf.fcst) @@ -40,16 +37,26 @@ logger.warning(f'--force given; will replace config.yaml without ' 'deleting directory') +expname=conf.options.experiment_name +logger.info(f'Experiment name: {expname}') + +suite, rocoto_xml=crow.metascheduler.to_rocoto(conf.workflow) + +assert('testvar' in suite.cycled_init.ensemble.ens_fcst_000_020.Perform) + +# Get the root of the newly-parsed document: +doc=crow.config.document_root(suite) + +assert('testvar' in doc.workflow.cycled_init.ensemble.ens_fcst_000_020.Perform) +print(doc.workflow.cycled_init.ensemble.ens_fcst_000_020.Perform.testvar) + +config_yaml=os.path.join(run_dir,'config.yaml') +yaml=crow.config.to_yaml(doc) + logger.info(f'Write the config file: {config_yaml}') with open(config_yaml,'wt') as fd: fd.write(yaml) -suite=conf.workflow - -expname=conf.options.experiment_name -logger.info(f'Experiment name: {expname}') - -rocoto_xml=crow.metascheduler.to_rocoto(suite) rocoto_xml_file=os.path.join(run_dir,f'{expname}.xml') logger.info(f'Rocoto XML file: {rocoto_xml_file}') with open(rocoto_xml_file,'wt') as fd: From ea35678be95bd35015b477936e62ae46bcd534bb Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Thu, 28 Sep 2017 00:55:30 +0000 Subject: [PATCH 116/487] debugging template.yaml 09/27 --- model/fv3gfs/template.yaml | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/model/fv3gfs/template.yaml b/model/fv3gfs/template.yaml index 9ef2383..0008dad 100644 --- a/model/fv3gfs/template.yaml +++ b/model/fv3gfs/template.yaml @@ -295,7 +295,7 @@ vrfy_template: &vrfy_template !Template VRFY_CDUMP_GFS: type: string allowed: [ "YES", "NO" ] - if_present: !FirstTrue + default: !FirstTrue - when: !calc ( CDUMP=="gfs" and ( VSDB_STEP1=="YES" or VRFYPRCP=="YES" or VRFYG2OBS=="YES" ) ) do: *VRFY_CDUMP_GFS_VARS - otherwise: null @@ -313,7 +313,7 @@ vrfy_template: &vrfy_template !Template MIN_RAD_OZN: type: string allowed: [ "YES", "NO" ] - if_present: !FirstTrue + default: !FirstTrue - when: !calc ( VRFYRAD=="YES" or VRFYMINMON=="YES" or VRFYOZN=="YES" ) do: *MIN_RAD_OZN_VARS - otherwise: null @@ -321,7 +321,7 @@ vrfy_template: &vrfy_template !Template RAD: type: string allowed: [ "YES", "NO" ] - if_present: !FirstTrue + default: !FirstTrue - when: !calc ( VRFYRAD=="YES" and CDUMP==CDFNL ) do: *RAD_VARS - otherwise: null From a7f94adb6588b9e3c56372aada6aa7328f5f6305 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 28 Sep 2017 03:01:43 +0000 Subject: [PATCH 117/487] update model/fv3gfs/setup_expt.py to match new return value of to_rocoto --- model/fv3gfs/setup_expt.py | 15 +++++++++------ 1 file changed, 9 insertions(+), 6 deletions(-) diff --git a/model/fv3gfs/setup_expt.py b/model/fv3gfs/setup_expt.py index 9e215e2..c1efabd 100755 --- a/model/fv3gfs/setup_expt.py +++ b/model/fv3gfs/setup_expt.py @@ -36,7 +36,6 @@ EXPDIR=conf.options.EXPDIR logger.info(f'Run directory: {EXPDIR}') config_yaml=os.path.join(EXPDIR,'config.yaml') -yaml=crow.config.to_yaml(conf) try: os.makedirs(EXPDIR) @@ -48,16 +47,20 @@ logger.warning(f'--force given; will replace config.yaml without ' 'deleting directory') -logger.info(f'Write the config file: {config_yaml}') -with open(config_yaml,'wt') as fd: - fd.write(yaml) - suite=conf.workflow expname=conf.options.experiment_name logger.info(f'Experiment name: {expname}') -rocoto_xml=crow.metascheduler.to_rocoto(suite) +rocoto_suite, rocoto_xml=crow.metascheduler.to_rocoto(suite) +doc=crow.config.document_root(rocoto_suite) +yaml=crow.config.to_yaml(doc) + +logger.info(f'Write the config file: {config_yaml}') +with open(config_yaml,'wt') as fd: + fd.write(yaml) + + rocoto_xml_file=os.path.join(EXPDIR,f'{expname}.xml') logger.info(f'Rocoto XML file: {rocoto_xml_file}') with open(rocoto_xml_file,'wt') as fd: From 907bcd558adf769ab656b2c3faa648b6264d4594 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 6 Oct 2017 19:33:30 +0000 Subject: [PATCH 118/487] allow a from:some_list in to_sh.py to conveniently group environment variables --- examples/to_sh/test.sh | 21 +++++++++++++++++++++ examples/to_sh/test.yaml | 6 +++++- to_sh.py | 14 +++++++++++++- 3 files changed, 39 insertions(+), 2 deletions(-) diff --git a/examples/to_sh/test.sh b/examples/to_sh/test.sh index 0f0467c..9bd63f5 100755 --- a/examples/to_sh/test.sh +++ b/examples/to_sh/test.sh @@ -13,6 +13,7 @@ if [[ "${1:-missing}" == -v ]] ; then TO_SH() { if ( ! "$TO_SH" -v "$@" ) ; then echo "Non-zero exit." 1>&2 + return 1 fi } else @@ -21,6 +22,7 @@ else echo "> $TO_SH" "$@" 1>&2 if ( ! "$TO_SH" "$@" ) ; then echo "Non-zero exit." 1>&2 + return 1 fi } fi @@ -42,6 +44,15 @@ echo " I = three = $I" echo " T = fluffy = $T" unset I T +unset DOG CAT BIRD MOUSE +eval $( TO_SH test.yaml scope:import_from from:var_list ) +echo " DOG = $DOG" +echo " CAT = $CAT" +if [[ "Q" != "Q${BIRD:-}" ]] ; then + echo ERROR: Should not have exported BIRD. 1>&2 + exit 1 +fi + eval $( TO_SH test.yaml on=logical.TRUE_TEST scope:logical off=FALSE_TEST ) echo " on = YES = $on" echo " off = NO = $off" @@ -71,4 +82,14 @@ TO_SH test.yaml run:success_test TO_SH test.yaml run_ignore:failure_test +set +e TO_SH test.yaml run:failure_test +status="$?" +echo "$status" +if [[ "$status" == 0 ]] ; then + echo "BAD! Should have exited with non-zero status" 1>&2 + exit 1 +else + echo "Rejoice! Exited with non-zero status!" +fi + diff --git a/examples/to_sh/test.yaml b/examples/to_sh/test.yaml index 159d38d..ea4e3ac 100644 --- a/examples/to_sh/test.yaml +++ b/examples/to_sh/test.yaml @@ -1,12 +1,16 @@ abc: [ d, e, f ] one: 1 -vars: +vars: &vars DOG: Roscoe CAT: Apollo BIRD: Girdy-Girl MOUSE: null +import_from: + <<: *vars + var_list: [ DOG, CAT ] + array: - item: one texture: blobby diff --git a/to_sh.py b/to_sh.py index cc4ee14..8b0193b 100755 --- a/to_sh.py +++ b/to_sh.py @@ -182,6 +182,10 @@ def process_arg(self,arg): for k,v in self.import_all(value): yield k,v return + elif command=='from': + for k,v in self.import_from(value): + yield k,v + return elif command=='expand' or command=='preprocess': if self.have_handled_vars: raise Exception(f'{arg}: cannot expand files and set ' @@ -219,6 +223,15 @@ def import_all(self,regex): if re.match(regex,key): yield self.express_var(key,key) + def import_from(self,var): + the_list=self.eval_expr(var) + if not hasattr(the_list,'index'): + raise TypeError(f'from:{var}: does not correspond to a list') + for varname in the_list: + if not isinstance(varname,str): + logger.warning("from:{var}:{varname}: variable names must be strings") + yield self.express_var(varname,varname) + def express_var(self,var,expr): if self.have_expanded: raise Exception(f'{arg}: cannot expand files and set variables' @@ -247,4 +260,3 @@ def express_var(self,var,expr): except EpicFail: sys.stderr.write('Failure; see prior errors.\n') exit(1) - From e90963913339bd8d5b67d9d94d8698af092ce1a7 Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Fri, 6 Oct 2017 19:34:29 +0000 Subject: [PATCH 119/487] improvement 10/06 --- model/fv3gfs/case.yaml | 5 +++-- model/fv3gfs/platform.yaml | 33 ++++++++++++++++----------------- 2 files changed, 19 insertions(+), 19 deletions(-) diff --git a/model/fv3gfs/case.yaml b/model/fv3gfs/case.yaml index 41adcd8..e973a8c 100644 --- a/model/fv3gfs/case.yaml +++ b/model/fv3gfs/case.yaml @@ -1,5 +1,5 @@ places: &places - PROJECT_DIR: /scratch4/NCEPDEV/ocean/scrub/ + PROJECT_DIR: /scratch4/NCEPDEV/ocean/scrub HOMEDIR: !expand "{PROJECT_DIR}/save/{tools.env('USER')}" STMP: !expand "{doc.platform.least_used_scrub}{tools.env('USER')}" PTMP: !expand "{doc.platform.least_used_scrub}{tools.env('USER')}" @@ -12,7 +12,8 @@ places: &places options: &options <<: *places # Project for CPU accounting. - cpu_project: !calc doc.platform.default_cpu_project #Formerly known as ACCOUNT + cpu_project: marine-cpu +# cpu_project: !calc doc.platform.default_cpu_project #Formerly known as ACCOUNT hpss_project: emc-global # Project for storing HPSS data. noscrub_project: nems # Project for storing non-scrubbed data. diff --git a/model/fv3gfs/platform.yaml b/model/fv3gfs/platform.yaml index c773c17..20db3cc 100644 --- a/model/fv3gfs/platform.yaml +++ b/model/fv3gfs/platform.yaml @@ -100,16 +100,14 @@ theia: &theia !Platform # here or options.yaml? # queues and accounts - ACCOUNT: marine-cpu QUEUE: batch QUEUE_ARCH: service - HPSS_PROJECT: emc-ocean - BASE_FV3GFS: BASE_FV3GFS@ # need user input + BASE_FV3GFS: "/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # need user input # Directories relative to installation areas: - BASE_WORKFLOW: "$BASE_FV3GFS/gfs_workflow.v15.0.0" - BASE_GSM: "$BASE_FV3GFS/global_shared.v15.0.0" - BASE_MODULES: "$BASE_FV3GFS/global_shared.v15.0.0/modulefiles" + BASE_WORKFLOW: !expand "{BASE_FV3GFS}/gfs_workflow.v15.0.0" + BASE_GSM: !expand "{BASE_FV3GFS}/global_shared.v15.0.0" + BASE_MODULES: !expand "{BASE_FV3GFS}/global_shared.v15.0.0/modulefiles" # GLOBAL static environment parameters NWPROD: "/scratch4/NCEPDEV/global/save/glopara/nwpara" @@ -121,25 +119,26 @@ theia: &theia !Platform BASE_SVN: "/scratch4/NCEPDEV/global/save/glopara/svn" MYBASE_SVN: "/scratch4/NCEPDEV/global/save/{tools.env('USER')}/svn" BASE_GFS: "/scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17/global_shared.v14.1.0" + BASE_GDAS: "/scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17/global_shared.v14.1.0" # Post requires grib2 table POSTGRB2TBL: "/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" # Utilities needed in the scripts (mostly post) exes: - NEMSIOGET: "$NWPROD/util/exec/nemsio_get" - NDATE: "$NWPROD/util/exec/ndate" - NHOUR: "$NWPROD/util/exec/nhour" - WGRIB: "$NWPROD/util/exec/wgrib" - WGRIB2: "$NWPROD/util/exec/wgrib2" - COPYGB: "$NWPROD/util/exec/copygb" - COPYGB2: "$NWPROD/util/exec/copygb2" - GRBINDEX: "$NWPROD/util/exec/grbindex" - GRB2INDEX: "$NWPROD/util/exec/grb2index" - GRBINDEX2: "$NWPROD/util/exec/grb2index" + NEMSIOGET: !expand "{NWPROD}/util/exec/nemsio_get" + NDATE: !expand "{NWPROD}/util/exec/ndate" + NHOUR: !expand "{$NWPROD}/util/exec/nhour" + WGRIB: !expand "{$NWPROD}/util/exec/wgrib" + WGRIB2: !expand "{NWPROD}/util/exec/wgrib2" + COPYGB: !expand "{NWPROD}/util/exec/copygb" + COPYGB2: !expand "{NWPROD}/util/exec/copygb2" + GRBINDEX: !expand "{NWPROD}/util/exec/grbindex" + GRB2INDEX: !expand "{NWPROD}/util/exec/grb2index" + GRBINDEX2: !expand "{NWPROD}/util/exec/grb2index" CNVGRIB: "/apps/cnvgrib/1.4.0/bin/cnvgrib" - default_cpu_project: marine-cpu + default_cpu_project: fv3-cpu # Path to pan_df, the program used to get Panasas disk usage information: pan_df: pan_df serial_accounting: From 850f653b466c73c991ed799c62b3f33e8a2e92dd Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Fri, 13 Oct 2017 16:22:08 +0000 Subject: [PATCH 120/487] progress of adding variables --- model/fv3gfs/2016100200/gdasanal_00 | 115 +++++++++++++++ model/fv3gfs/2016100200/gdasarch_00 | 97 +++++++++++++ model/fv3gfs/2016100200/gdasearc00_00 | 98 +++++++++++++ model/fv3gfs/2016100200/gdasearc01_00 | 98 +++++++++++++ model/fv3gfs/2016100200/gdasearc02_00 | 98 +++++++++++++ model/fv3gfs/2016100200/gdasearc03_00 | 98 +++++++++++++ model/fv3gfs/2016100200/gdasearc04_00 | 98 +++++++++++++ model/fv3gfs/2016100200/gdasearc05_00 | 98 +++++++++++++ model/fv3gfs/2016100200/gdasearc06_00 | 98 +++++++++++++ model/fv3gfs/2016100200/gdasearc07_00 | 98 +++++++++++++ model/fv3gfs/2016100200/gdasearc08_00 | 98 +++++++++++++ model/fv3gfs/2016100200/gdasecen_00 | 117 +++++++++++++++ model/fv3gfs/2016100200/gdasefcs01_00 | 163 +++++++++++++++++++++ model/fv3gfs/2016100200/gdasefcs02_00 | 163 +++++++++++++++++++++ model/fv3gfs/2016100200/gdasefcs03_00 | 163 +++++++++++++++++++++ model/fv3gfs/2016100200/gdasefcs04_00 | 163 +++++++++++++++++++++ model/fv3gfs/2016100200/gdasefcs05_00 | 163 +++++++++++++++++++++ model/fv3gfs/2016100200/gdasefcs06_00 | 163 +++++++++++++++++++++ model/fv3gfs/2016100200/gdasefcs07_00 | 163 +++++++++++++++++++++ model/fv3gfs/2016100200/gdasefcs08_00 | 163 +++++++++++++++++++++ model/fv3gfs/2016100200/gdaseobs_00 | 124 ++++++++++++++++ model/fv3gfs/2016100200/gdaseomg01_00 | 124 ++++++++++++++++ model/fv3gfs/2016100200/gdaseomg02_00 | 124 ++++++++++++++++ model/fv3gfs/2016100200/gdaseomg03_00 | 124 ++++++++++++++++ model/fv3gfs/2016100200/gdaseomg04_00 | 124 ++++++++++++++++ model/fv3gfs/2016100200/gdaseomg05_00 | 124 ++++++++++++++++ model/fv3gfs/2016100200/gdaseomg06_00 | 124 ++++++++++++++++ model/fv3gfs/2016100200/gdaseomg07_00 | 124 ++++++++++++++++ model/fv3gfs/2016100200/gdaseomg08_00 | 124 ++++++++++++++++ model/fv3gfs/2016100200/gdasepos_00 | 112 +++++++++++++++ model/fv3gfs/2016100200/gdaseupd_00 | 120 ++++++++++++++++ model/fv3gfs/2016100200/gdasfcst_00 | 144 +++++++++++++++++++ model/fv3gfs/2016100200/gdaspost_00 | 129 +++++++++++++++++ model/fv3gfs/2016100200/gdasprep_00 | 113 +++++++++++++++ model/fv3gfs/2016100200/gdasvrfy_00 | 123 ++++++++++++++++ model/fv3gfs/2016100200/gfsanal_00 | 115 +++++++++++++++ model/fv3gfs/2016100200/gfsarch_00 | 97 +++++++++++++ model/fv3gfs/2016100200/gfsfcst_00 | 143 ++++++++++++++++++ model/fv3gfs/2016100200/gfspost_00 | 129 +++++++++++++++++ model/fv3gfs/2016100200/gfsprep_00 | 113 +++++++++++++++ model/fv3gfs/2016100200/gfsvrfy_00 | 131 +++++++++++++++++ model/fv3gfs/actions.yaml | 2 +- model/fv3gfs/jobs/#ecen.sh# | 59 ++++++++ model/fv3gfs/jobs/anal.sh | 138 ++++++++++++++++++ model/fv3gfs/jobs/arch.sh | 199 ++++++++++++++++++++++++++ model/fv3gfs/jobs/earc.sh | 185 ++++++++++++++++++++++++ model/fv3gfs/jobs/ecen.sh | 63 ++++++++ model/fv3gfs/jobs/efcs.sh | 93 ++++++++++++ model/fv3gfs/jobs/eobs.sh | 120 ++++++++++++++++ model/fv3gfs/jobs/eomg.sh | 108 ++++++++++++++ model/fv3gfs/jobs/epos.sh | 56 ++++++++ model/fv3gfs/jobs/eupd.sh | 72 ++++++++++ model/fv3gfs/jobs/fcst.sh | 106 ++++++++++++++ model/fv3gfs/jobs/fv3ic.sh | 73 ++++++++++ model/fv3gfs/jobs/getic.sh | 178 +++++++++++++++++++++++ model/fv3gfs/jobs/post.sh | 75 ++++++++++ model/fv3gfs/jobs/prep.sh | 66 +++++++++ model/fv3gfs/jobs/vrfy.sh | 132 +++++++++++++++++ model/fv3gfs/runtime.yaml | 4 +- model/fv3gfs/template.yaml | 10 +- 60 files changed, 6831 insertions(+), 8 deletions(-) create mode 100644 model/fv3gfs/2016100200/gdasanal_00 create mode 100644 model/fv3gfs/2016100200/gdasarch_00 create mode 100644 model/fv3gfs/2016100200/gdasearc00_00 create mode 100644 model/fv3gfs/2016100200/gdasearc01_00 create mode 100644 model/fv3gfs/2016100200/gdasearc02_00 create mode 100644 model/fv3gfs/2016100200/gdasearc03_00 create mode 100644 model/fv3gfs/2016100200/gdasearc04_00 create mode 100644 model/fv3gfs/2016100200/gdasearc05_00 create mode 100644 model/fv3gfs/2016100200/gdasearc06_00 create mode 100644 model/fv3gfs/2016100200/gdasearc07_00 create mode 100644 model/fv3gfs/2016100200/gdasearc08_00 create mode 100644 model/fv3gfs/2016100200/gdasecen_00 create mode 100644 model/fv3gfs/2016100200/gdasefcs01_00 create mode 100644 model/fv3gfs/2016100200/gdasefcs02_00 create mode 100644 model/fv3gfs/2016100200/gdasefcs03_00 create mode 100644 model/fv3gfs/2016100200/gdasefcs04_00 create mode 100644 model/fv3gfs/2016100200/gdasefcs05_00 create mode 100644 model/fv3gfs/2016100200/gdasefcs06_00 create mode 100644 model/fv3gfs/2016100200/gdasefcs07_00 create mode 100644 model/fv3gfs/2016100200/gdasefcs08_00 create mode 100644 model/fv3gfs/2016100200/gdaseobs_00 create mode 100644 model/fv3gfs/2016100200/gdaseomg01_00 create mode 100644 model/fv3gfs/2016100200/gdaseomg02_00 create mode 100644 model/fv3gfs/2016100200/gdaseomg03_00 create mode 100644 model/fv3gfs/2016100200/gdaseomg04_00 create mode 100644 model/fv3gfs/2016100200/gdaseomg05_00 create mode 100644 model/fv3gfs/2016100200/gdaseomg06_00 create mode 100644 model/fv3gfs/2016100200/gdaseomg07_00 create mode 100644 model/fv3gfs/2016100200/gdaseomg08_00 create mode 100644 model/fv3gfs/2016100200/gdasepos_00 create mode 100644 model/fv3gfs/2016100200/gdaseupd_00 create mode 100644 model/fv3gfs/2016100200/gdasfcst_00 create mode 100644 model/fv3gfs/2016100200/gdaspost_00 create mode 100644 model/fv3gfs/2016100200/gdasprep_00 create mode 100644 model/fv3gfs/2016100200/gdasvrfy_00 create mode 100644 model/fv3gfs/2016100200/gfsanal_00 create mode 100644 model/fv3gfs/2016100200/gfsarch_00 create mode 100644 model/fv3gfs/2016100200/gfsfcst_00 create mode 100644 model/fv3gfs/2016100200/gfspost_00 create mode 100644 model/fv3gfs/2016100200/gfsprep_00 create mode 100644 model/fv3gfs/2016100200/gfsvrfy_00 create mode 100755 model/fv3gfs/jobs/#ecen.sh# create mode 100755 model/fv3gfs/jobs/anal.sh create mode 100755 model/fv3gfs/jobs/arch.sh create mode 100755 model/fv3gfs/jobs/earc.sh create mode 100755 model/fv3gfs/jobs/ecen.sh create mode 100755 model/fv3gfs/jobs/efcs.sh create mode 100755 model/fv3gfs/jobs/eobs.sh create mode 100755 model/fv3gfs/jobs/eomg.sh create mode 100755 model/fv3gfs/jobs/epos.sh create mode 100755 model/fv3gfs/jobs/eupd.sh create mode 100755 model/fv3gfs/jobs/fcst.sh create mode 100755 model/fv3gfs/jobs/fv3ic.sh create mode 100755 model/fv3gfs/jobs/getic.sh create mode 100755 model/fv3gfs/jobs/post.sh create mode 100755 model/fv3gfs/jobs/prep.sh create mode 100755 model/fv3gfs/jobs/vrfy.sh diff --git a/model/fv3gfs/2016100200/gdasanal_00 b/model/fv3gfs/2016100200/gdasanal_00 new file mode 100644 index 0000000..be4cc99 --- /dev/null +++ b/model/fv3gfs/2016100200/gdasanal_00 @@ -0,0 +1,115 @@ +# checkit.pl 2016100200%wham_gdasanal_00 +# Variable changes before modules: +#export ACCOUNT="fv3-cpu" +#BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +#Added to case.yaml! export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +#export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +#export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +#export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +#export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +#HPSS_PROJECT="emc-global" # shell-local +#export QUEUE="batch" +#export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base anal'" # shell-local +#export machine="THEIA" + + +# Variable changes after modules: +config="anal" # shell-local +export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" +export APRUN_CALCINC="'mpirun -np $ncmd'" +export APRUN_GSI="'mpirun -np 144'" +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export EDATE="2016100200" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MPI_BUFS_PER_HOST="2048" +export MPI_BUFS_PER_PROC="2048" +export MPI_GROUP_MAX="256" +export MPI_MEMMAP_OFF="1" +export MP_STDOUTMODE="ORDERED" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NTHREADS_CALCINC="1" +export NTHREADS_GSI="4" +export NTHSTACK="1024000000" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OMP_STACKSIZE="2048000" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export launcher="'mpirun -np'" +export lwrite4danl=".false." +export memory_anal="3072M" +export npe_anal="144" +export npe_gsi="144" +export npe_node_anal="6" +export npe_node_max="24" +export nst_anl=".true." +export nth_gsi="4" +nth_max="4" # shell-local +status="0" # shell-local +step="anal" # shell-local +export wtime_anal="02:00:00" diff --git a/model/fv3gfs/2016100200/gdasarch_00 b/model/fv3gfs/2016100200/gdasarch_00 new file mode 100644 index 0000000..f28f407 --- /dev/null +++ b/model/fv3gfs/2016100200/gdasarch_00 @@ -0,0 +1,97 @@ +# checkit.pl 2016100200%wham_gdasarch_00 +# Variable changes before modules: +#export ACCOUNT="fv3-cpu" +#BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +#export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +#export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +#export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +#export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +#export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +#HPSS_PROJECT="emc-global" # shell-local +#export QUEUE="batch" +#export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base arch'" # shell-local +#export machine="THEIA" + + +# Variable changes after modules: +config="arch" # shell-local +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export EDATE="2016100200" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export lwrite4danl=".false." +export npe_arch="1" +export npe_node_arch="1" +export nst_anl=".true." +status="0" # shell-local +step="arch" # shell-local +export wtime_arch="03:00:00" diff --git a/model/fv3gfs/2016100200/gdasearc00_00 b/model/fv3gfs/2016100200/gdasearc00_00 new file mode 100644 index 0000000..873cfc7 --- /dev/null +++ b/model/fv3gfs/2016100200/gdasearc00_00 @@ -0,0 +1,98 @@ +# checkit.pl 2016100200%wham_gdasearc00_00 +# Variable changes before modules: +#export ACCOUNT="fv3-cpu" +#BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +#export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +#export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +#export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +#export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +#export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +#HPSS_PROJECT="emc-global" # shell-local +#export QUEUE="batch" +#export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base earc'" # shell-local +#export machine="THEIA" + + +# Variable changes after modules: +config="earc" # shell-local +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export EDATE="2016100200" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_EARCGRP="10" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export lwrite4danl=".false." +export npe_earc="1" +export npe_node_earc="1" +export nst_anl=".true." +status="0" # shell-local +step="earc" # shell-local +export wtime_earc="03:00:00" diff --git a/model/fv3gfs/2016100200/gdasearc01_00 b/model/fv3gfs/2016100200/gdasearc01_00 new file mode 100644 index 0000000..28aec07 --- /dev/null +++ b/model/fv3gfs/2016100200/gdasearc01_00 @@ -0,0 +1,98 @@ +# checkit.pl 2016100200%wham_gdasearc01_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base earc'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="earc" # shell-local +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export EDATE="2016100200" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_EARCGRP="10" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export lwrite4danl=".false." +export npe_earc="1" +export npe_node_earc="1" +export nst_anl=".true." +status="0" # shell-local +step="earc" # shell-local +export wtime_earc="03:00:00" diff --git a/model/fv3gfs/2016100200/gdasearc02_00 b/model/fv3gfs/2016100200/gdasearc02_00 new file mode 100644 index 0000000..2a91caa --- /dev/null +++ b/model/fv3gfs/2016100200/gdasearc02_00 @@ -0,0 +1,98 @@ +# checkit.pl 2016100200%wham_gdasearc02_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base earc'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="earc" # shell-local +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export EDATE="2016100200" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_EARCGRP="10" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export lwrite4danl=".false." +export npe_earc="1" +export npe_node_earc="1" +export nst_anl=".true." +status="0" # shell-local +step="earc" # shell-local +export wtime_earc="03:00:00" diff --git a/model/fv3gfs/2016100200/gdasearc03_00 b/model/fv3gfs/2016100200/gdasearc03_00 new file mode 100644 index 0000000..17e36b0 --- /dev/null +++ b/model/fv3gfs/2016100200/gdasearc03_00 @@ -0,0 +1,98 @@ +# checkit.pl 2016100200%wham_gdasearc03_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base earc'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="earc" # shell-local +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export EDATE="2016100200" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_EARCGRP="10" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export lwrite4danl=".false." +export npe_earc="1" +export npe_node_earc="1" +export nst_anl=".true." +status="0" # shell-local +step="earc" # shell-local +export wtime_earc="03:00:00" diff --git a/model/fv3gfs/2016100200/gdasearc04_00 b/model/fv3gfs/2016100200/gdasearc04_00 new file mode 100644 index 0000000..3acf507 --- /dev/null +++ b/model/fv3gfs/2016100200/gdasearc04_00 @@ -0,0 +1,98 @@ +# checkit.pl 2016100200%wham_gdasearc04_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base earc'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="earc" # shell-local +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export EDATE="2016100200" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_EARCGRP="10" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export lwrite4danl=".false." +export npe_earc="1" +export npe_node_earc="1" +export nst_anl=".true." +status="0" # shell-local +step="earc" # shell-local +export wtime_earc="03:00:00" diff --git a/model/fv3gfs/2016100200/gdasearc05_00 b/model/fv3gfs/2016100200/gdasearc05_00 new file mode 100644 index 0000000..7253ec7 --- /dev/null +++ b/model/fv3gfs/2016100200/gdasearc05_00 @@ -0,0 +1,98 @@ +# checkit.pl 2016100200%wham_gdasearc05_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base earc'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="earc" # shell-local +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export EDATE="2016100200" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_EARCGRP="10" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export lwrite4danl=".false." +export npe_earc="1" +export npe_node_earc="1" +export nst_anl=".true." +status="0" # shell-local +step="earc" # shell-local +export wtime_earc="03:00:00" diff --git a/model/fv3gfs/2016100200/gdasearc06_00 b/model/fv3gfs/2016100200/gdasearc06_00 new file mode 100644 index 0000000..b495ee9 --- /dev/null +++ b/model/fv3gfs/2016100200/gdasearc06_00 @@ -0,0 +1,98 @@ +# checkit.pl 2016100200%wham_gdasearc06_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base earc'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="earc" # shell-local +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export EDATE="2016100200" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_EARCGRP="10" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export lwrite4danl=".false." +export npe_earc="1" +export npe_node_earc="1" +export nst_anl=".true." +status="0" # shell-local +step="earc" # shell-local +export wtime_earc="03:00:00" diff --git a/model/fv3gfs/2016100200/gdasearc07_00 b/model/fv3gfs/2016100200/gdasearc07_00 new file mode 100644 index 0000000..5dbd2ce --- /dev/null +++ b/model/fv3gfs/2016100200/gdasearc07_00 @@ -0,0 +1,98 @@ +# checkit.pl 2016100200%wham_gdasearc07_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base earc'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="earc" # shell-local +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export EDATE="2016100200" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_EARCGRP="10" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export lwrite4danl=".false." +export npe_earc="1" +export npe_node_earc="1" +export nst_anl=".true." +status="0" # shell-local +step="earc" # shell-local +export wtime_earc="03:00:00" diff --git a/model/fv3gfs/2016100200/gdasearc08_00 b/model/fv3gfs/2016100200/gdasearc08_00 new file mode 100644 index 0000000..dea8ac7 --- /dev/null +++ b/model/fv3gfs/2016100200/gdasearc08_00 @@ -0,0 +1,98 @@ +# checkit.pl 2016100200%wham_gdasearc08_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base earc'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="earc" # shell-local +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export EDATE="2016100200" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_EARCGRP="10" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export lwrite4danl=".false." +export npe_earc="1" +export npe_node_earc="1" +export nst_anl=".true." +status="0" # shell-local +step="earc" # shell-local +export wtime_earc="03:00:00" diff --git a/model/fv3gfs/2016100200/gdasecen_00 b/model/fv3gfs/2016100200/gdasecen_00 new file mode 100644 index 0000000..bb9e960 --- /dev/null +++ b/model/fv3gfs/2016100200/gdasecen_00 @@ -0,0 +1,117 @@ +# checkit.pl 2016100200%wham_gdasecen_00 +# Variable changes before modules: +#export ACCOUNT="fv3-cpu" +#BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +#export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +#export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +#export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +#export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +#export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +#HPSS_PROJECT="emc-global" # shell-local +#export QUEUE="batch" +#export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base ecen'" # shell-local +#export machine="THEIA" + + +# Variable changes after modules: +config="ecen" # shell-local +#export APRUN_CHGRES="time" added to action.yaml +#export APRUN_ECEN="'mpirun -np 84'" added to action.yaml +#export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" in case.yaml +#export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" added to action.yaml +#export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" added to action.yaml +#export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +#export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +#export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +#export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" in case.yaml +#export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +#export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +#export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +#export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +#export CASE="C192" +#export CASE_ENKF="C96" +#export CHGRESEXEC="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/exec/global_chgres_GSM" +#export CHGRESSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/global_chgres_GSM.sh" +#export CHGRESTHREAD="12" +#export CHGRESVARS_ENKF="use_ufo=.true.,nopdpvv=.true." +#export CHGRP_CMD="'chgrp rstprod'" added to action.yaml +#export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" in platform.yaml +#export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" in platform.yaml +#export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" in platform.yaml +#export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" in platform.yaml +#export DOHYBVAR="YES" +#export DONST="NO" +#export EDATE="2016100200" +#export ENKFRECENSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_recenter_fv3gfs.sh.ecf" +#export FHCYC="24" +#export FHMAX="9" +#export FHMAX_ENKF="9" added to case.yaml +#export FHMAX_GFS="240" +#export FHMAX_HF_GFS="0" added to case.yaml +#export FHMIN="0" +#export FHMIN_ENKF="3" added to case.yaml +#export FHMIN_GFS="0" +#export FHOUT="3" in case.yaml +#export FHOUT_ENKF="3" added to case.yaml +#export FHOUT_GFS="6" +#export FHOUT_HF_GFS="1" added to case.yaml +#export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" in platform.yaml +#export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" in platform.yaml +#export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" in platform.yaml +#export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" in case.yaml +#export KEEPDATA="NO" added to action.yaml +#export LEVS="65" +export MPI_BUFS_PER_HOST="2048" +export MPI_BUFS_PER_PROC="2048" +export MPI_GROUP_MAX="256" +export MPI_MEMMAP_OFF="1" +export MP_STDOUTMODE="ORDERED" +#export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +#export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" in platform.yaml +#export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" in platform.yaml +#export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" in platform.yaml +export NLN="'/bin/ln -sf'" +#export NMEM_ENKF="80" in case.yaml +export NMV="/bin/mv" +#export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" in case.yaml +#export NTHREADS_ECEN="2" in platform.yaml +export NTHSTACK="1024000000" +#export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" in platform.yaml +export OMP_STACKSIZE="2048000" +export OUTPUT_GRID="gaussian_grid" +#export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" in platform.yaml +#export PSLOT="wham" in case.yaml as experiment_name +#export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" in case.yaml +export QUILTING=".true." +#export REALTIME="NO" in case.yaml +#export RECENTER_ENKF="YES" in case.yaml +#export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +#export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" in platform.yaml +#export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +#export SDATE="2016100100" +export SMOOTH_ENKF="YES" +#export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" in case.yaml +export VERBOSE="YES" +#export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" in platform.yaml +#export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" in platform.yaml +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +exetest="htar" # shell-local +#export gfs_cyc="1" in case.yaml +#export l4densvar=".false." in case.yaml +export launcher="'mpirun -np'" +#export lwrite4danl=".false." in case.yaml +#export memory_ecen="3072M" in action.yaml +export npe_ecen="84" +export npe_node_ecen="12" +export npe_node_max="24" +export nst_anl=".true." +#export nth_ecen="2" in action.yaml +nth_max="2" # shell-local +status="0" # shell-local +step="ecen" # shell-local +#export wtime_ecen="00:40:00" in action.yaml diff --git a/model/fv3gfs/2016100200/gdasefcs01_00 b/model/fv3gfs/2016100200/gdasefcs01_00 new file mode 100644 index 0000000..b62e6c4 --- /dev/null +++ b/model/fv3gfs/2016100200/gdasefcs01_00 @@ -0,0 +1,163 @@ +# checkit.pl 2016100200%wham_gdasefcs01_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base fcst efcs'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="efcs" # shell-local +export APRUN_FV3="'mpirun -np 204'" +export APRUN_REGRID_NEMSIO="'mpirun -np 65'" +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DELTIM="1800" +export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2_da" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export DO_SHUM=".false." +export DO_SKEB=".false." +export DO_SPPT=".false." +export EDATE="2016100200" +export ENKFFCSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" +export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" +export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" +export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MONO="non-mono" +export MPI_BUFS_PER_HOST="2048" +export MPI_BUFS_PER_PROC="2048" +export MPI_GROUP_MAX="256" +export MPI_MEMMAP_OFF="1" +export MP_STDOUTMODE="ORDERED" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_EFCSGRP="10" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NTHREADS_FV3="1" +export NTHREADS_REGRID_NEMSIO="1" +export NTHSTACK="1024000000" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OMP_STACKSIZE="2048000" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" +export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" +export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" +export RERUN_EFCSGRP="NO" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SET_STP_SEED="YES" +export SHUM="0.006" +export SHUM_LSCALE="250000." +export SHUM_TAU="21600." +export SKEB="0.8" +export SKEBNORM="1" +export SKEB_LSCALE="500000." +export SKEB_TAU="21600." +export SMOOTH_ENKF="YES" +export SPPT="0.8" +export SPPT_LSCALE="500000." +export SPPT_TAU="21600." +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export TYPE="nh" +export USE_COUPLER_RES="NO" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_GROUP="1" +export WRITE_NEMSIOFILE=".true." +export WRTTASK_PER_GROUP="12" +export assim_freq="6" +case_in="C96" # shell-local +export cdmbgwd="0.125,3.0" +export cores_per_node="24" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export launcher="'mpirun -np'" +export layout_x="4" +export layout_y="8" +export lwrite4danl=".false." +export master_grid="0p25deg" +export memory_efcs="254M" +export memory_fcst="1024M" +export ncld="1" +export npe_efcs="204" +export npe_fcst="216" +export npe_fv3="204" +export npe_node_efcs="24" +export npe_node_fcst="12" +export npe_node_max="24" +export npe_remap="216" +export nst_anl=".true." +export nth_fv3="1" +nth_max="1" # shell-local +export nth_remap="2" +export nwat="2" +export restart_interval="6" +status="0" # shell-local +step="efcs" # shell-local +export wtime_efcs="02:00:00" +export wtime_fcst="03:00:00" +export wtime_fcst_gfs="06:00:00" +export zhao_mic=".true." diff --git a/model/fv3gfs/2016100200/gdasefcs02_00 b/model/fv3gfs/2016100200/gdasefcs02_00 new file mode 100644 index 0000000..1a4412b --- /dev/null +++ b/model/fv3gfs/2016100200/gdasefcs02_00 @@ -0,0 +1,163 @@ +# checkit.pl 2016100200%wham_gdasefcs02_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base fcst efcs'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="efcs" # shell-local +export APRUN_FV3="'mpirun -np 204'" +export APRUN_REGRID_NEMSIO="'mpirun -np 65'" +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DELTIM="1800" +export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2_da" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export DO_SHUM=".false." +export DO_SKEB=".false." +export DO_SPPT=".false." +export EDATE="2016100200" +export ENKFFCSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" +export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" +export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" +export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MONO="non-mono" +export MPI_BUFS_PER_HOST="2048" +export MPI_BUFS_PER_PROC="2048" +export MPI_GROUP_MAX="256" +export MPI_MEMMAP_OFF="1" +export MP_STDOUTMODE="ORDERED" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_EFCSGRP="10" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NTHREADS_FV3="1" +export NTHREADS_REGRID_NEMSIO="1" +export NTHSTACK="1024000000" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OMP_STACKSIZE="2048000" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" +export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" +export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" +export RERUN_EFCSGRP="NO" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SET_STP_SEED="YES" +export SHUM="0.006" +export SHUM_LSCALE="250000." +export SHUM_TAU="21600." +export SKEB="0.8" +export SKEBNORM="1" +export SKEB_LSCALE="500000." +export SKEB_TAU="21600." +export SMOOTH_ENKF="YES" +export SPPT="0.8" +export SPPT_LSCALE="500000." +export SPPT_TAU="21600." +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export TYPE="nh" +export USE_COUPLER_RES="NO" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_GROUP="1" +export WRITE_NEMSIOFILE=".true." +export WRTTASK_PER_GROUP="12" +export assim_freq="6" +case_in="C96" # shell-local +export cdmbgwd="0.125,3.0" +export cores_per_node="24" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export launcher="'mpirun -np'" +export layout_x="4" +export layout_y="8" +export lwrite4danl=".false." +export master_grid="0p25deg" +export memory_efcs="254M" +export memory_fcst="1024M" +export ncld="1" +export npe_efcs="204" +export npe_fcst="216" +export npe_fv3="204" +export npe_node_efcs="24" +export npe_node_fcst="12" +export npe_node_max="24" +export npe_remap="216" +export nst_anl=".true." +export nth_fv3="1" +nth_max="1" # shell-local +export nth_remap="2" +export nwat="2" +export restart_interval="6" +status="0" # shell-local +step="efcs" # shell-local +export wtime_efcs="02:00:00" +export wtime_fcst="03:00:00" +export wtime_fcst_gfs="06:00:00" +export zhao_mic=".true." diff --git a/model/fv3gfs/2016100200/gdasefcs03_00 b/model/fv3gfs/2016100200/gdasefcs03_00 new file mode 100644 index 0000000..cf6e8d4 --- /dev/null +++ b/model/fv3gfs/2016100200/gdasefcs03_00 @@ -0,0 +1,163 @@ +# checkit.pl 2016100200%wham_gdasefcs03_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base fcst efcs'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="efcs" # shell-local +export APRUN_FV3="'mpirun -np 204'" +export APRUN_REGRID_NEMSIO="'mpirun -np 65'" +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DELTIM="1800" +export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2_da" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export DO_SHUM=".false." +export DO_SKEB=".false." +export DO_SPPT=".false." +export EDATE="2016100200" +export ENKFFCSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" +export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" +export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" +export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MONO="non-mono" +export MPI_BUFS_PER_HOST="2048" +export MPI_BUFS_PER_PROC="2048" +export MPI_GROUP_MAX="256" +export MPI_MEMMAP_OFF="1" +export MP_STDOUTMODE="ORDERED" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_EFCSGRP="10" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NTHREADS_FV3="1" +export NTHREADS_REGRID_NEMSIO="1" +export NTHSTACK="1024000000" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OMP_STACKSIZE="2048000" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" +export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" +export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" +export RERUN_EFCSGRP="NO" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SET_STP_SEED="YES" +export SHUM="0.006" +export SHUM_LSCALE="250000." +export SHUM_TAU="21600." +export SKEB="0.8" +export SKEBNORM="1" +export SKEB_LSCALE="500000." +export SKEB_TAU="21600." +export SMOOTH_ENKF="YES" +export SPPT="0.8" +export SPPT_LSCALE="500000." +export SPPT_TAU="21600." +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export TYPE="nh" +export USE_COUPLER_RES="NO" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_GROUP="1" +export WRITE_NEMSIOFILE=".true." +export WRTTASK_PER_GROUP="12" +export assim_freq="6" +case_in="C96" # shell-local +export cdmbgwd="0.125,3.0" +export cores_per_node="24" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export launcher="'mpirun -np'" +export layout_x="4" +export layout_y="8" +export lwrite4danl=".false." +export master_grid="0p25deg" +export memory_efcs="254M" +export memory_fcst="1024M" +export ncld="1" +export npe_efcs="204" +export npe_fcst="216" +export npe_fv3="204" +export npe_node_efcs="24" +export npe_node_fcst="12" +export npe_node_max="24" +export npe_remap="216" +export nst_anl=".true." +export nth_fv3="1" +nth_max="1" # shell-local +export nth_remap="2" +export nwat="2" +export restart_interval="6" +status="0" # shell-local +step="efcs" # shell-local +export wtime_efcs="02:00:00" +export wtime_fcst="03:00:00" +export wtime_fcst_gfs="06:00:00" +export zhao_mic=".true." diff --git a/model/fv3gfs/2016100200/gdasefcs04_00 b/model/fv3gfs/2016100200/gdasefcs04_00 new file mode 100644 index 0000000..d5e224c --- /dev/null +++ b/model/fv3gfs/2016100200/gdasefcs04_00 @@ -0,0 +1,163 @@ +# checkit.pl 2016100200%wham_gdasefcs04_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base fcst efcs'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="efcs" # shell-local +export APRUN_FV3="'mpirun -np 204'" +export APRUN_REGRID_NEMSIO="'mpirun -np 65'" +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DELTIM="1800" +export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2_da" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export DO_SHUM=".false." +export DO_SKEB=".false." +export DO_SPPT=".false." +export EDATE="2016100200" +export ENKFFCSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" +export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" +export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" +export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MONO="non-mono" +export MPI_BUFS_PER_HOST="2048" +export MPI_BUFS_PER_PROC="2048" +export MPI_GROUP_MAX="256" +export MPI_MEMMAP_OFF="1" +export MP_STDOUTMODE="ORDERED" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_EFCSGRP="10" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NTHREADS_FV3="1" +export NTHREADS_REGRID_NEMSIO="1" +export NTHSTACK="1024000000" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OMP_STACKSIZE="2048000" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" +export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" +export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" +export RERUN_EFCSGRP="NO" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SET_STP_SEED="YES" +export SHUM="0.006" +export SHUM_LSCALE="250000." +export SHUM_TAU="21600." +export SKEB="0.8" +export SKEBNORM="1" +export SKEB_LSCALE="500000." +export SKEB_TAU="21600." +export SMOOTH_ENKF="YES" +export SPPT="0.8" +export SPPT_LSCALE="500000." +export SPPT_TAU="21600." +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export TYPE="nh" +export USE_COUPLER_RES="NO" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_GROUP="1" +export WRITE_NEMSIOFILE=".true." +export WRTTASK_PER_GROUP="12" +export assim_freq="6" +case_in="C96" # shell-local +export cdmbgwd="0.125,3.0" +export cores_per_node="24" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export launcher="'mpirun -np'" +export layout_x="4" +export layout_y="8" +export lwrite4danl=".false." +export master_grid="0p25deg" +export memory_efcs="254M" +export memory_fcst="1024M" +export ncld="1" +export npe_efcs="204" +export npe_fcst="216" +export npe_fv3="204" +export npe_node_efcs="24" +export npe_node_fcst="12" +export npe_node_max="24" +export npe_remap="216" +export nst_anl=".true." +export nth_fv3="1" +nth_max="1" # shell-local +export nth_remap="2" +export nwat="2" +export restart_interval="6" +status="0" # shell-local +step="efcs" # shell-local +export wtime_efcs="02:00:00" +export wtime_fcst="03:00:00" +export wtime_fcst_gfs="06:00:00" +export zhao_mic=".true." diff --git a/model/fv3gfs/2016100200/gdasefcs05_00 b/model/fv3gfs/2016100200/gdasefcs05_00 new file mode 100644 index 0000000..3f6bbe2 --- /dev/null +++ b/model/fv3gfs/2016100200/gdasefcs05_00 @@ -0,0 +1,163 @@ +# checkit.pl 2016100200%wham_gdasefcs05_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base fcst efcs'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="efcs" # shell-local +export APRUN_FV3="'mpirun -np 204'" +export APRUN_REGRID_NEMSIO="'mpirun -np 65'" +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DELTIM="1800" +export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2_da" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export DO_SHUM=".false." +export DO_SKEB=".false." +export DO_SPPT=".false." +export EDATE="2016100200" +export ENKFFCSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" +export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" +export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" +export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MONO="non-mono" +export MPI_BUFS_PER_HOST="2048" +export MPI_BUFS_PER_PROC="2048" +export MPI_GROUP_MAX="256" +export MPI_MEMMAP_OFF="1" +export MP_STDOUTMODE="ORDERED" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_EFCSGRP="10" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NTHREADS_FV3="1" +export NTHREADS_REGRID_NEMSIO="1" +export NTHSTACK="1024000000" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OMP_STACKSIZE="2048000" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" +export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" +export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" +export RERUN_EFCSGRP="NO" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SET_STP_SEED="YES" +export SHUM="0.006" +export SHUM_LSCALE="250000." +export SHUM_TAU="21600." +export SKEB="0.8" +export SKEBNORM="1" +export SKEB_LSCALE="500000." +export SKEB_TAU="21600." +export SMOOTH_ENKF="YES" +export SPPT="0.8" +export SPPT_LSCALE="500000." +export SPPT_TAU="21600." +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export TYPE="nh" +export USE_COUPLER_RES="NO" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_GROUP="1" +export WRITE_NEMSIOFILE=".true." +export WRTTASK_PER_GROUP="12" +export assim_freq="6" +case_in="C96" # shell-local +export cdmbgwd="0.125,3.0" +export cores_per_node="24" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export launcher="'mpirun -np'" +export layout_x="4" +export layout_y="8" +export lwrite4danl=".false." +export master_grid="0p25deg" +export memory_efcs="254M" +export memory_fcst="1024M" +export ncld="1" +export npe_efcs="204" +export npe_fcst="216" +export npe_fv3="204" +export npe_node_efcs="24" +export npe_node_fcst="12" +export npe_node_max="24" +export npe_remap="216" +export nst_anl=".true." +export nth_fv3="1" +nth_max="1" # shell-local +export nth_remap="2" +export nwat="2" +export restart_interval="6" +status="0" # shell-local +step="efcs" # shell-local +export wtime_efcs="02:00:00" +export wtime_fcst="03:00:00" +export wtime_fcst_gfs="06:00:00" +export zhao_mic=".true." diff --git a/model/fv3gfs/2016100200/gdasefcs06_00 b/model/fv3gfs/2016100200/gdasefcs06_00 new file mode 100644 index 0000000..b2f3b45 --- /dev/null +++ b/model/fv3gfs/2016100200/gdasefcs06_00 @@ -0,0 +1,163 @@ +# checkit.pl 2016100200%wham_gdasefcs06_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base fcst efcs'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="efcs" # shell-local +export APRUN_FV3="'mpirun -np 204'" +export APRUN_REGRID_NEMSIO="'mpirun -np 65'" +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DELTIM="1800" +export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2_da" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export DO_SHUM=".false." +export DO_SKEB=".false." +export DO_SPPT=".false." +export EDATE="2016100200" +export ENKFFCSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" +export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" +export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" +export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MONO="non-mono" +export MPI_BUFS_PER_HOST="2048" +export MPI_BUFS_PER_PROC="2048" +export MPI_GROUP_MAX="256" +export MPI_MEMMAP_OFF="1" +export MP_STDOUTMODE="ORDERED" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_EFCSGRP="10" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NTHREADS_FV3="1" +export NTHREADS_REGRID_NEMSIO="1" +export NTHSTACK="1024000000" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OMP_STACKSIZE="2048000" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" +export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" +export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" +export RERUN_EFCSGRP="NO" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SET_STP_SEED="YES" +export SHUM="0.006" +export SHUM_LSCALE="250000." +export SHUM_TAU="21600." +export SKEB="0.8" +export SKEBNORM="1" +export SKEB_LSCALE="500000." +export SKEB_TAU="21600." +export SMOOTH_ENKF="YES" +export SPPT="0.8" +export SPPT_LSCALE="500000." +export SPPT_TAU="21600." +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export TYPE="nh" +export USE_COUPLER_RES="NO" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_GROUP="1" +export WRITE_NEMSIOFILE=".true." +export WRTTASK_PER_GROUP="12" +export assim_freq="6" +case_in="C96" # shell-local +export cdmbgwd="0.125,3.0" +export cores_per_node="24" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export launcher="'mpirun -np'" +export layout_x="4" +export layout_y="8" +export lwrite4danl=".false." +export master_grid="0p25deg" +export memory_efcs="254M" +export memory_fcst="1024M" +export ncld="1" +export npe_efcs="204" +export npe_fcst="216" +export npe_fv3="204" +export npe_node_efcs="24" +export npe_node_fcst="12" +export npe_node_max="24" +export npe_remap="216" +export nst_anl=".true." +export nth_fv3="1" +nth_max="1" # shell-local +export nth_remap="2" +export nwat="2" +export restart_interval="6" +status="0" # shell-local +step="efcs" # shell-local +export wtime_efcs="02:00:00" +export wtime_fcst="03:00:00" +export wtime_fcst_gfs="06:00:00" +export zhao_mic=".true." diff --git a/model/fv3gfs/2016100200/gdasefcs07_00 b/model/fv3gfs/2016100200/gdasefcs07_00 new file mode 100644 index 0000000..a229333 --- /dev/null +++ b/model/fv3gfs/2016100200/gdasefcs07_00 @@ -0,0 +1,163 @@ +# checkit.pl 2016100200%wham_gdasefcs07_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base fcst efcs'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="efcs" # shell-local +export APRUN_FV3="'mpirun -np 204'" +export APRUN_REGRID_NEMSIO="'mpirun -np 65'" +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DELTIM="1800" +export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2_da" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export DO_SHUM=".false." +export DO_SKEB=".false." +export DO_SPPT=".false." +export EDATE="2016100200" +export ENKFFCSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" +export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" +export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" +export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MONO="non-mono" +export MPI_BUFS_PER_HOST="2048" +export MPI_BUFS_PER_PROC="2048" +export MPI_GROUP_MAX="256" +export MPI_MEMMAP_OFF="1" +export MP_STDOUTMODE="ORDERED" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_EFCSGRP="10" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NTHREADS_FV3="1" +export NTHREADS_REGRID_NEMSIO="1" +export NTHSTACK="1024000000" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OMP_STACKSIZE="2048000" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" +export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" +export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" +export RERUN_EFCSGRP="NO" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SET_STP_SEED="YES" +export SHUM="0.006" +export SHUM_LSCALE="250000." +export SHUM_TAU="21600." +export SKEB="0.8" +export SKEBNORM="1" +export SKEB_LSCALE="500000." +export SKEB_TAU="21600." +export SMOOTH_ENKF="YES" +export SPPT="0.8" +export SPPT_LSCALE="500000." +export SPPT_TAU="21600." +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export TYPE="nh" +export USE_COUPLER_RES="NO" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_GROUP="1" +export WRITE_NEMSIOFILE=".true." +export WRTTASK_PER_GROUP="12" +export assim_freq="6" +case_in="C96" # shell-local +export cdmbgwd="0.125,3.0" +export cores_per_node="24" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export launcher="'mpirun -np'" +export layout_x="4" +export layout_y="8" +export lwrite4danl=".false." +export master_grid="0p25deg" +export memory_efcs="254M" +export memory_fcst="1024M" +export ncld="1" +export npe_efcs="204" +export npe_fcst="216" +export npe_fv3="204" +export npe_node_efcs="24" +export npe_node_fcst="12" +export npe_node_max="24" +export npe_remap="216" +export nst_anl=".true." +export nth_fv3="1" +nth_max="1" # shell-local +export nth_remap="2" +export nwat="2" +export restart_interval="6" +status="0" # shell-local +step="efcs" # shell-local +export wtime_efcs="02:00:00" +export wtime_fcst="03:00:00" +export wtime_fcst_gfs="06:00:00" +export zhao_mic=".true." diff --git a/model/fv3gfs/2016100200/gdasefcs08_00 b/model/fv3gfs/2016100200/gdasefcs08_00 new file mode 100644 index 0000000..ca33cea --- /dev/null +++ b/model/fv3gfs/2016100200/gdasefcs08_00 @@ -0,0 +1,163 @@ +# checkit.pl 2016100200%wham_gdasefcs08_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base fcst efcs'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="efcs" # shell-local +export APRUN_FV3="'mpirun -np 204'" +export APRUN_REGRID_NEMSIO="'mpirun -np 65'" +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DELTIM="1800" +export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2_da" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export DO_SHUM=".false." +export DO_SKEB=".false." +export DO_SPPT=".false." +export EDATE="2016100200" +export ENKFFCSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" +export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" +export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" +export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MONO="non-mono" +export MPI_BUFS_PER_HOST="2048" +export MPI_BUFS_PER_PROC="2048" +export MPI_GROUP_MAX="256" +export MPI_MEMMAP_OFF="1" +export MP_STDOUTMODE="ORDERED" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_EFCSGRP="10" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NTHREADS_FV3="1" +export NTHREADS_REGRID_NEMSIO="1" +export NTHSTACK="1024000000" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OMP_STACKSIZE="2048000" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" +export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" +export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" +export RERUN_EFCSGRP="NO" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SET_STP_SEED="YES" +export SHUM="0.006" +export SHUM_LSCALE="250000." +export SHUM_TAU="21600." +export SKEB="0.8" +export SKEBNORM="1" +export SKEB_LSCALE="500000." +export SKEB_TAU="21600." +export SMOOTH_ENKF="YES" +export SPPT="0.8" +export SPPT_LSCALE="500000." +export SPPT_TAU="21600." +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export TYPE="nh" +export USE_COUPLER_RES="NO" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_GROUP="1" +export WRITE_NEMSIOFILE=".true." +export WRTTASK_PER_GROUP="12" +export assim_freq="6" +case_in="C96" # shell-local +export cdmbgwd="0.125,3.0" +export cores_per_node="24" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export launcher="'mpirun -np'" +export layout_x="4" +export layout_y="8" +export lwrite4danl=".false." +export master_grid="0p25deg" +export memory_efcs="254M" +export memory_fcst="1024M" +export ncld="1" +export npe_efcs="204" +export npe_fcst="216" +export npe_fv3="204" +export npe_node_efcs="24" +export npe_node_fcst="12" +export npe_node_max="24" +export npe_remap="216" +export nst_anl=".true." +export nth_fv3="1" +nth_max="1" # shell-local +export nth_remap="2" +export nwat="2" +export restart_interval="6" +status="0" # shell-local +step="efcs" # shell-local +export wtime_efcs="02:00:00" +export wtime_fcst="03:00:00" +export wtime_fcst_gfs="06:00:00" +export zhao_mic=".true." diff --git a/model/fv3gfs/2016100200/gdaseobs_00 b/model/fv3gfs/2016100200/gdaseobs_00 new file mode 100644 index 0000000..c1895cd --- /dev/null +++ b/model/fv3gfs/2016100200/gdaseobs_00 @@ -0,0 +1,124 @@ +# checkit.pl 2016100200%wham_gdaseobs_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base anal eobs'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="eobs" # shell-local +export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" +export APRUN_GSI="'mpirun -np 144'" +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export EDATE="2016100200" +export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export INVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" +export KEEPDATA="NO" +export LEVS="65" +export MPI_BUFS_PER_HOST="2048" +export MPI_BUFS_PER_PROC="2048" +export MPI_GROUP_MAX="256" +export MPI_MEMMAP_OFF="1" +export MP_STDOUTMODE="ORDERED" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_ENKF="80" +export NMEM_EOMGGRP="10" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NTHREADS_GSI="4" +export NTHSTACK="1024000000" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OBSINPUT_INVOBS="'dmesh(1)=225.0,dmesh(2)=225.0'" +export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" +export OMP_STACKSIZE="2048000" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export RERUN_EOMGGRP="YES" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export launcher="'mpirun -np'" +export lwrite4danl=".false." +export memory_anal="3072M" +export memory_eobs="3072M" +export npe_anal="144" +export npe_eobs="144" +export npe_gsi="144" +export npe_node_anal="6" +export npe_node_eobs="6" +export npe_node_max="24" +export nst_anl=".true." +export nth_gsi="4" +nth_max="4" # shell-local +status="0" # shell-local +step="eobs" # shell-local +export wtime_anal="02:00:00" +export wtime_eobs="01:00:00" +export wtime_eomg="02:30:00" diff --git a/model/fv3gfs/2016100200/gdaseomg01_00 b/model/fv3gfs/2016100200/gdaseomg01_00 new file mode 100644 index 0000000..6182f5a --- /dev/null +++ b/model/fv3gfs/2016100200/gdaseomg01_00 @@ -0,0 +1,124 @@ +# checkit.pl 2016100200%wham_gdaseomg01_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base anal eobs'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="eobs" # shell-local +export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" +export APRUN_GSI="'mpirun -np 144'" +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export EDATE="2016100200" +export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export INVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" +export KEEPDATA="NO" +export LEVS="65" +export MPI_BUFS_PER_HOST="2048" +export MPI_BUFS_PER_PROC="2048" +export MPI_GROUP_MAX="256" +export MPI_MEMMAP_OFF="1" +export MP_STDOUTMODE="ORDERED" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_ENKF="80" +export NMEM_EOMGGRP="10" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NTHREADS_GSI="4" +export NTHSTACK="1024000000" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OBSINPUT_INVOBS="'dmesh(1)=225.0,dmesh(2)=225.0'" +export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" +export OMP_STACKSIZE="2048000" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export RERUN_EOMGGRP="YES" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export launcher="'mpirun -np'" +export lwrite4danl=".false." +export memory_anal="3072M" +export memory_eobs="3072M" +export npe_anal="144" +export npe_eobs="144" +export npe_gsi="144" +export npe_node_anal="6" +export npe_node_eobs="6" +export npe_node_max="24" +export nst_anl=".true." +export nth_gsi="4" +nth_max="4" # shell-local +status="0" # shell-local +step="eobs" # shell-local +export wtime_anal="02:00:00" +export wtime_eobs="01:00:00" +export wtime_eomg="02:30:00" diff --git a/model/fv3gfs/2016100200/gdaseomg02_00 b/model/fv3gfs/2016100200/gdaseomg02_00 new file mode 100644 index 0000000..51d1c10 --- /dev/null +++ b/model/fv3gfs/2016100200/gdaseomg02_00 @@ -0,0 +1,124 @@ +# checkit.pl 2016100200%wham_gdaseomg02_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base anal eobs'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="eobs" # shell-local +export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" +export APRUN_GSI="'mpirun -np 144'" +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export EDATE="2016100200" +export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export INVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" +export KEEPDATA="NO" +export LEVS="65" +export MPI_BUFS_PER_HOST="2048" +export MPI_BUFS_PER_PROC="2048" +export MPI_GROUP_MAX="256" +export MPI_MEMMAP_OFF="1" +export MP_STDOUTMODE="ORDERED" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_ENKF="80" +export NMEM_EOMGGRP="10" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NTHREADS_GSI="4" +export NTHSTACK="1024000000" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OBSINPUT_INVOBS="'dmesh(1)=225.0,dmesh(2)=225.0'" +export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" +export OMP_STACKSIZE="2048000" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export RERUN_EOMGGRP="YES" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export launcher="'mpirun -np'" +export lwrite4danl=".false." +export memory_anal="3072M" +export memory_eobs="3072M" +export npe_anal="144" +export npe_eobs="144" +export npe_gsi="144" +export npe_node_anal="6" +export npe_node_eobs="6" +export npe_node_max="24" +export nst_anl=".true." +export nth_gsi="4" +nth_max="4" # shell-local +status="0" # shell-local +step="eobs" # shell-local +export wtime_anal="02:00:00" +export wtime_eobs="01:00:00" +export wtime_eomg="02:30:00" diff --git a/model/fv3gfs/2016100200/gdaseomg03_00 b/model/fv3gfs/2016100200/gdaseomg03_00 new file mode 100644 index 0000000..c395cee --- /dev/null +++ b/model/fv3gfs/2016100200/gdaseomg03_00 @@ -0,0 +1,124 @@ +# checkit.pl 2016100200%wham_gdaseomg03_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base anal eobs'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="eobs" # shell-local +export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" +export APRUN_GSI="'mpirun -np 144'" +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export EDATE="2016100200" +export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export INVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" +export KEEPDATA="NO" +export LEVS="65" +export MPI_BUFS_PER_HOST="2048" +export MPI_BUFS_PER_PROC="2048" +export MPI_GROUP_MAX="256" +export MPI_MEMMAP_OFF="1" +export MP_STDOUTMODE="ORDERED" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_ENKF="80" +export NMEM_EOMGGRP="10" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NTHREADS_GSI="4" +export NTHSTACK="1024000000" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OBSINPUT_INVOBS="'dmesh(1)=225.0,dmesh(2)=225.0'" +export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" +export OMP_STACKSIZE="2048000" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export RERUN_EOMGGRP="YES" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export launcher="'mpirun -np'" +export lwrite4danl=".false." +export memory_anal="3072M" +export memory_eobs="3072M" +export npe_anal="144" +export npe_eobs="144" +export npe_gsi="144" +export npe_node_anal="6" +export npe_node_eobs="6" +export npe_node_max="24" +export nst_anl=".true." +export nth_gsi="4" +nth_max="4" # shell-local +status="0" # shell-local +step="eobs" # shell-local +export wtime_anal="02:00:00" +export wtime_eobs="01:00:00" +export wtime_eomg="02:30:00" diff --git a/model/fv3gfs/2016100200/gdaseomg04_00 b/model/fv3gfs/2016100200/gdaseomg04_00 new file mode 100644 index 0000000..e8cbe86 --- /dev/null +++ b/model/fv3gfs/2016100200/gdaseomg04_00 @@ -0,0 +1,124 @@ +# checkit.pl 2016100200%wham_gdaseomg04_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base anal eobs'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="eobs" # shell-local +export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" +export APRUN_GSI="'mpirun -np 144'" +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export EDATE="2016100200" +export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export INVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" +export KEEPDATA="NO" +export LEVS="65" +export MPI_BUFS_PER_HOST="2048" +export MPI_BUFS_PER_PROC="2048" +export MPI_GROUP_MAX="256" +export MPI_MEMMAP_OFF="1" +export MP_STDOUTMODE="ORDERED" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_ENKF="80" +export NMEM_EOMGGRP="10" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NTHREADS_GSI="4" +export NTHSTACK="1024000000" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OBSINPUT_INVOBS="'dmesh(1)=225.0,dmesh(2)=225.0'" +export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" +export OMP_STACKSIZE="2048000" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export RERUN_EOMGGRP="YES" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export launcher="'mpirun -np'" +export lwrite4danl=".false." +export memory_anal="3072M" +export memory_eobs="3072M" +export npe_anal="144" +export npe_eobs="144" +export npe_gsi="144" +export npe_node_anal="6" +export npe_node_eobs="6" +export npe_node_max="24" +export nst_anl=".true." +export nth_gsi="4" +nth_max="4" # shell-local +status="0" # shell-local +step="eobs" # shell-local +export wtime_anal="02:00:00" +export wtime_eobs="01:00:00" +export wtime_eomg="02:30:00" diff --git a/model/fv3gfs/2016100200/gdaseomg05_00 b/model/fv3gfs/2016100200/gdaseomg05_00 new file mode 100644 index 0000000..6416314 --- /dev/null +++ b/model/fv3gfs/2016100200/gdaseomg05_00 @@ -0,0 +1,124 @@ +# checkit.pl 2016100200%wham_gdaseomg05_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base anal eobs'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="eobs" # shell-local +export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" +export APRUN_GSI="'mpirun -np 144'" +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export EDATE="2016100200" +export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export INVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" +export KEEPDATA="NO" +export LEVS="65" +export MPI_BUFS_PER_HOST="2048" +export MPI_BUFS_PER_PROC="2048" +export MPI_GROUP_MAX="256" +export MPI_MEMMAP_OFF="1" +export MP_STDOUTMODE="ORDERED" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_ENKF="80" +export NMEM_EOMGGRP="10" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NTHREADS_GSI="4" +export NTHSTACK="1024000000" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OBSINPUT_INVOBS="'dmesh(1)=225.0,dmesh(2)=225.0'" +export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" +export OMP_STACKSIZE="2048000" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export RERUN_EOMGGRP="YES" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export launcher="'mpirun -np'" +export lwrite4danl=".false." +export memory_anal="3072M" +export memory_eobs="3072M" +export npe_anal="144" +export npe_eobs="144" +export npe_gsi="144" +export npe_node_anal="6" +export npe_node_eobs="6" +export npe_node_max="24" +export nst_anl=".true." +export nth_gsi="4" +nth_max="4" # shell-local +status="0" # shell-local +step="eobs" # shell-local +export wtime_anal="02:00:00" +export wtime_eobs="01:00:00" +export wtime_eomg="02:30:00" diff --git a/model/fv3gfs/2016100200/gdaseomg06_00 b/model/fv3gfs/2016100200/gdaseomg06_00 new file mode 100644 index 0000000..efbb9e2 --- /dev/null +++ b/model/fv3gfs/2016100200/gdaseomg06_00 @@ -0,0 +1,124 @@ +# checkit.pl 2016100200%wham_gdaseomg06_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base anal eobs'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="eobs" # shell-local +export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" +export APRUN_GSI="'mpirun -np 144'" +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export EDATE="2016100200" +export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export INVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" +export KEEPDATA="NO" +export LEVS="65" +export MPI_BUFS_PER_HOST="2048" +export MPI_BUFS_PER_PROC="2048" +export MPI_GROUP_MAX="256" +export MPI_MEMMAP_OFF="1" +export MP_STDOUTMODE="ORDERED" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_ENKF="80" +export NMEM_EOMGGRP="10" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NTHREADS_GSI="4" +export NTHSTACK="1024000000" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OBSINPUT_INVOBS="'dmesh(1)=225.0,dmesh(2)=225.0'" +export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" +export OMP_STACKSIZE="2048000" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export RERUN_EOMGGRP="YES" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export launcher="'mpirun -np'" +export lwrite4danl=".false." +export memory_anal="3072M" +export memory_eobs="3072M" +export npe_anal="144" +export npe_eobs="144" +export npe_gsi="144" +export npe_node_anal="6" +export npe_node_eobs="6" +export npe_node_max="24" +export nst_anl=".true." +export nth_gsi="4" +nth_max="4" # shell-local +status="0" # shell-local +step="eobs" # shell-local +export wtime_anal="02:00:00" +export wtime_eobs="01:00:00" +export wtime_eomg="02:30:00" diff --git a/model/fv3gfs/2016100200/gdaseomg07_00 b/model/fv3gfs/2016100200/gdaseomg07_00 new file mode 100644 index 0000000..4d2b1ee --- /dev/null +++ b/model/fv3gfs/2016100200/gdaseomg07_00 @@ -0,0 +1,124 @@ +# checkit.pl 2016100200%wham_gdaseomg07_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base anal eobs'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="eobs" # shell-local +export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" +export APRUN_GSI="'mpirun -np 144'" +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export EDATE="2016100200" +export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export INVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" +export KEEPDATA="NO" +export LEVS="65" +export MPI_BUFS_PER_HOST="2048" +export MPI_BUFS_PER_PROC="2048" +export MPI_GROUP_MAX="256" +export MPI_MEMMAP_OFF="1" +export MP_STDOUTMODE="ORDERED" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_ENKF="80" +export NMEM_EOMGGRP="10" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NTHREADS_GSI="4" +export NTHSTACK="1024000000" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OBSINPUT_INVOBS="'dmesh(1)=225.0,dmesh(2)=225.0'" +export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" +export OMP_STACKSIZE="2048000" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export RERUN_EOMGGRP="YES" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export launcher="'mpirun -np'" +export lwrite4danl=".false." +export memory_anal="3072M" +export memory_eobs="3072M" +export npe_anal="144" +export npe_eobs="144" +export npe_gsi="144" +export npe_node_anal="6" +export npe_node_eobs="6" +export npe_node_max="24" +export nst_anl=".true." +export nth_gsi="4" +nth_max="4" # shell-local +status="0" # shell-local +step="eobs" # shell-local +export wtime_anal="02:00:00" +export wtime_eobs="01:00:00" +export wtime_eomg="02:30:00" diff --git a/model/fv3gfs/2016100200/gdaseomg08_00 b/model/fv3gfs/2016100200/gdaseomg08_00 new file mode 100644 index 0000000..8847c8c --- /dev/null +++ b/model/fv3gfs/2016100200/gdaseomg08_00 @@ -0,0 +1,124 @@ +# checkit.pl 2016100200%wham_gdaseomg08_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base anal eobs'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="eobs" # shell-local +export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" +export APRUN_GSI="'mpirun -np 144'" +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export EDATE="2016100200" +export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export INVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" +export KEEPDATA="NO" +export LEVS="65" +export MPI_BUFS_PER_HOST="2048" +export MPI_BUFS_PER_PROC="2048" +export MPI_GROUP_MAX="256" +export MPI_MEMMAP_OFF="1" +export MP_STDOUTMODE="ORDERED" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_ENKF="80" +export NMEM_EOMGGRP="10" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NTHREADS_GSI="4" +export NTHSTACK="1024000000" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OBSINPUT_INVOBS="'dmesh(1)=225.0,dmesh(2)=225.0'" +export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" +export OMP_STACKSIZE="2048000" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export RERUN_EOMGGRP="YES" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export launcher="'mpirun -np'" +export lwrite4danl=".false." +export memory_anal="3072M" +export memory_eobs="3072M" +export npe_anal="144" +export npe_eobs="144" +export npe_gsi="144" +export npe_node_anal="6" +export npe_node_eobs="6" +export npe_node_max="24" +export nst_anl=".true." +export nth_gsi="4" +nth_max="4" # shell-local +status="0" # shell-local +step="eobs" # shell-local +export wtime_anal="02:00:00" +export wtime_eobs="01:00:00" +export wtime_eomg="02:30:00" diff --git a/model/fv3gfs/2016100200/gdasepos_00 b/model/fv3gfs/2016100200/gdasepos_00 new file mode 100644 index 0000000..cf4c4e9 --- /dev/null +++ b/model/fv3gfs/2016100200/gdasepos_00 @@ -0,0 +1,112 @@ +# checkit.pl 2016100200%wham_gdasepos_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base epos'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="epos" # shell-local +export APRUN_EPOS="'mpirun -np 84'" +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export EDATE="2016100200" +export ENKFPOSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_post_fv3gfs.sh.ecf" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MPI_BUFS_PER_HOST="2048" +export MPI_BUFS_PER_PROC="2048" +export MPI_GROUP_MAX="256" +export MPI_MEMMAP_OFF="1" +export MP_STDOUTMODE="ORDERED" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NTHREADS_EPOS="2" +export NTHSTACK="1024000000" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OMP_STACKSIZE="2048000" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export launcher="'mpirun -np'" +export lwrite4danl=".false." +export memory_epos="254M" +export npe_epos="84" +export npe_node_epos="12" +export npe_node_max="24" +export nst_anl=".true." +export nth_epos="2" +nth_max="2" # shell-local +status="0" # shell-local +step="epos" # shell-local +export wtime_epos="01:25:00" diff --git a/model/fv3gfs/2016100200/gdaseupd_00 b/model/fv3gfs/2016100200/gdaseupd_00 new file mode 100644 index 0000000..30085cb --- /dev/null +++ b/model/fv3gfs/2016100200/gdaseupd_00 @@ -0,0 +1,120 @@ +# checkit.pl 2016100200%wham_gdaseupd_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base anal eupd'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="eupd" # shell-local +export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" +export APRUN_ENKF="'mpirun -np 120'" +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export EDATE="2016100200" +export ENKFUPDSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_update_fv3gfs.sh.ecf" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MPI_BUFS_PER_HOST="2048" +export MPI_BUFS_PER_PROC="2048" +export MPI_GROUP_MAX="256" +export MPI_MEMMAP_OFF="1" +export MP_STDOUTMODE="ORDERED" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NTHREADS_ENKF="2" +export NTHSTACK="1024000000" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OMP_STACKSIZE="2048000" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export launcher="'mpirun -np'" +export lwrite4danl=".false." +export memory_anal="3072M" +export memory_eupd="3072M" +export npe_anal="144" +export npe_enkf="120" +export npe_eupd="120" +export npe_gsi="144" +export npe_node_anal="6" +export npe_node_eupd="12" +export npe_node_max="24" +export nst_anl=".true." +export nth_enkf="4" +export nth_gsi="4" +nth_max="2" # shell-local +status="0" # shell-local +step="eupd" # shell-local +export wtime_anal="02:00:00" +export wtime_eupd="01:40:00" diff --git a/model/fv3gfs/2016100200/gdasfcst_00 b/model/fv3gfs/2016100200/gdasfcst_00 new file mode 100644 index 0000000..033df01 --- /dev/null +++ b/model/fv3gfs/2016100200/gdasfcst_00 @@ -0,0 +1,144 @@ +# checkit.pl 2016100200%wham_gdasfcst_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base fcst'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="fcst" # shell-local +export APRUN_FV3="'mpirun -np 216'" +export APRUN_REGRID_NEMSIO="'mpirun -np 65'" +export APRUN_REMAP="'mpirun -np 216'" +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DELTIM="900" +export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2_da" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export EDATE="2016100200" +export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" +export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" +export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MONO="non-mono" +export MPI_BUFS_PER_HOST="2048" +export MPI_BUFS_PER_PROC="2048" +export MPI_GROUP_MAX="256" +export MPI_MEMMAP_OFF="1" +export MP_STDOUTMODE="ORDERED" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NTHREADS_FV3="2" +export NTHREADS_REGRID_NEMSIO="1" +export NTHREADS_REMAP="2" +export NTHSTACK="1024000000" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OMP_STACKSIZE="2048000" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" +export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" +export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export TYPE="nh" +export USE_COUPLER_RES="NO" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_GROUP="1" +export WRITE_NEMSIOFILE=".true." +export WRTTASK_PER_GROUP="24" +export assim_freq="6" +case_in="C192" # shell-local +export cdmbgwd="0.2,2.5" +export cores_per_node="24" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export launcher="'mpirun -np'" +export layout_x="4" +export layout_y="8" +export lwrite4danl=".false." +export master_grid="0p25deg" +export memory_fcst="1024M" +export ncld="1" +export npe_fcst="216" +export npe_fv3="216" +export npe_node_fcst="12" +export npe_node_max="24" +export npe_remap="216" +export nst_anl=".true." +export nth_fv3="2" +nth_max="2" # shell-local +export nth_remap="2" +export nwat="2" +export restart_interval="6" +status="0" # shell-local +step="fcst" # shell-local +export wtime_fcst="03:00:00" +export wtime_fcst_gfs="06:00:00" +export zhao_mic=".true." diff --git a/model/fv3gfs/2016100200/gdaspost_00 b/model/fv3gfs/2016100200/gdaspost_00 new file mode 100644 index 0000000..adf9fb8 --- /dev/null +++ b/model/fv3gfs/2016100200/gdaspost_00 @@ -0,0 +1,129 @@ +# checkit.pl 2016100200%wham_gdaspost_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base post'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="post" # shell-local +export APRUN_DWN="'mpirun -np 72'" +export APRUN_NP="'mpirun -np 72'" +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export DO_GDAS_FCST_POST="YES" +export EDATE="2016100200" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export FLXF="YES" +export GFSDOWNSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/ush/fv3gfs_downstream_nems.sh" +export GFSDWNSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/ush/fv3gfs_dwn_nems.sh" +export GFS_DOWNSTREAM="YES" +export GOESF="NO" +export GPOST="YES" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GTGF="NO" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MPI_BUFS_PER_HOST="2048" +export MPI_BUFS_PER_PROC="2048" +export MPI_GROUP_MAX="256" +export MPI_MEMMAP_OFF="1" +export MP_STDOUTMODE="ORDERED" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NTHREADS_DWN="2" +export NTHREADS_NP="1" +export NTHSTACK="1024000000" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OMP_STACKSIZE="2048000" +export OUTPUT_GRID="gaussian_grid" +export POSTGPEXEC="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2/exec/ncep_post" +export POSTGPSH="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2/ush/global_nceppost.sh" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export POSTJJOBSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs/JGFS_POST.sh" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +export downset="1" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export launcher="'mpirun -np'" +export lwrite4danl=".false." +export memory_post="3072M" +export npe_dwn="72" +export npe_node_max="24" +export npe_node_post="12" +export npe_post="72" +export npe_postgp="72" +export nst_anl=".true." +export nth_dwn="2" +nth_max="2" # shell-local +export nth_postgp="1" +status="0" # shell-local +step="post" # shell-local +export wtime_post="03:00:00" +export wtime_post_gfs="06:00:00" diff --git a/model/fv3gfs/2016100200/gdasprep_00 b/model/fv3gfs/2016100200/gdasprep_00 new file mode 100644 index 0000000..c3dc0c9 --- /dev/null +++ b/model/fv3gfs/2016100200/gdasprep_00 @@ -0,0 +1,113 @@ +# checkit.pl 2016100200%wham_gdasprep_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base prep'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="prep" # shell-local +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BACK="YES" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export DO_MAKEPREPBUFR="YES" +export DO_RELOCATE="NO" +export DRIVE_MAKEPREPBUFRSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/drive_makeprepbufr.sh" +export EDATE="2016100200" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MPI_BUFS_PER_HOST="2048" +export MPI_BUFS_PER_PROC="2048" +export MPI_GROUP_MAX="256" +export MPI_MEMMAP_OFF="1" +export MP_STDOUTMODE="ORDERED" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NTHSTACK="1024000000" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OMP_STACKSIZE="2048000" +export OUTPUT_GRID="gaussian_grid" +export POE="NO" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export launcher="'mpirun -np'" +export lwrite4danl=".false." +export npe_node_max="24" +export npe_node_prep="12" +export npe_prep="12" +export nst_anl=".true." +nth_max="2" # shell-local +status="0" # shell-local +step="prep" # shell-local +export sys_tp="Cray-CS400" +export wtime_prep="01:00:00" diff --git a/model/fv3gfs/2016100200/gdasvrfy_00 b/model/fv3gfs/2016100200/gdasvrfy_00 new file mode 100644 index 0000000..23025ee --- /dev/null +++ b/model/fv3gfs/2016100200/gdasvrfy_00 @@ -0,0 +1,123 @@ +# checkit.pl 2016100200%wham_gdasvrfy_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base vrfy'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="vrfy" # shell-local +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CDFNL="gdas" +export CDUMPFCST="gdas" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export EDATE="2016100200" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +GRIB1_WORKS="NO" # shell-local +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MPI_BUFS_PER_HOST="2048" +export MPI_BUFS_PER_PROC="2048" +export MPI_GROUP_MAX="256" +export MPI_MEMMAP_OFF="1" +export MP_STDOUTMODE="ORDERED" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NTHSTACK="1024000000" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OMP_STACKSIZE="2048000" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VDUMP="gfs" +export VERBOSE="YES" +export VRFYFITS="NO" +export VRFYG2OBS="NO" +export VRFYGENESIS="NO" +export VRFYGMPK="NO" +export VRFYMINMON="NO" +export VRFYOZN="NO" +export VRFYPRCP="YES" +export VRFYRAD="NO" +export VRFYTRAK="NO" +export VSDB_STEP1="YES" +export VSDB_STEP2="NO" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export launcher="'mpirun -np'" +export lwrite4danl=".false." +export memory_vrfy="16384M" +export npe_node_max="24" +export npe_node_vrfy="1" +export npe_vrfy="1" +export nst_anl=".true." +status="0" # shell-local +step="vrfy" # shell-local +export wtime_vrfy="03:00:00" +export wtime_vrfy_gfs="06:00:00" diff --git a/model/fv3gfs/2016100200/gfsanal_00 b/model/fv3gfs/2016100200/gfsanal_00 new file mode 100644 index 0000000..a532553 --- /dev/null +++ b/model/fv3gfs/2016100200/gfsanal_00 @@ -0,0 +1,115 @@ +# checkit.pl 2016100200%wham_gfsanal_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base anal'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="anal" # shell-local +export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" +export APRUN_CALCINC="'mpirun -np $ncmd'" +export APRUN_GSI="'mpirun -np 144'" +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export EDATE="2016100200" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MPI_BUFS_PER_HOST="2048" +export MPI_BUFS_PER_PROC="2048" +export MPI_GROUP_MAX="256" +export MPI_MEMMAP_OFF="1" +export MP_STDOUTMODE="ORDERED" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NTHREADS_CALCINC="1" +export NTHREADS_GSI="4" +export NTHSTACK="1024000000" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OMP_STACKSIZE="2048000" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export launcher="'mpirun -np'" +export lwrite4danl=".false." +export memory_anal="3072M" +export npe_anal="144" +export npe_gsi="144" +export npe_node_anal="6" +export npe_node_max="24" +export nst_anl=".true." +export nth_gsi="4" +nth_max="4" # shell-local +status="0" # shell-local +step="anal" # shell-local +export wtime_anal="02:00:00" diff --git a/model/fv3gfs/2016100200/gfsarch_00 b/model/fv3gfs/2016100200/gfsarch_00 new file mode 100644 index 0000000..5937045 --- /dev/null +++ b/model/fv3gfs/2016100200/gfsarch_00 @@ -0,0 +1,97 @@ +# checkit.pl 2016100200%wham_gfsarch_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base arch'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="arch" # shell-local +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export EDATE="2016100200" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export lwrite4danl=".false." +export npe_arch="1" +export npe_node_arch="1" +export nst_anl=".true." +status="0" # shell-local +step="arch" # shell-local +export wtime_arch="03:00:00" diff --git a/model/fv3gfs/2016100200/gfsfcst_00 b/model/fv3gfs/2016100200/gfsfcst_00 new file mode 100644 index 0000000..35fe233 --- /dev/null +++ b/model/fv3gfs/2016100200/gfsfcst_00 @@ -0,0 +1,143 @@ +# checkit.pl 2016100200%wham_gfsfcst_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base fcst'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="fcst" # shell-local +export APRUN_FV3="'mpirun -np 216'" +export APRUN_REGRID_NEMSIO="'mpirun -np 65'" +export APRUN_REMAP="'mpirun -np 216'" +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DELTIM="900" +export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export EDATE="2016100200" +export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" +export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" +export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MONO="non-mono" +export MPI_BUFS_PER_HOST="2048" +export MPI_BUFS_PER_PROC="2048" +export MPI_GROUP_MAX="256" +export MPI_MEMMAP_OFF="1" +export MP_STDOUTMODE="ORDERED" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NTHREADS_FV3="2" +export NTHREADS_REGRID_NEMSIO="1" +export NTHREADS_REMAP="2" +export NTHSTACK="1024000000" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OMP_STACKSIZE="2048000" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" +export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" +export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export TYPE="nh" +export USE_COUPLER_RES="NO" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_GROUP="1" +export WRITE_NEMSIOFILE=".true." +export WRTTASK_PER_GROUP="24" +export assim_freq="6" +case_in="C192" # shell-local +export cdmbgwd="0.2,2.5" +export cores_per_node="24" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export launcher="'mpirun -np'" +export layout_x="4" +export layout_y="8" +export lwrite4danl=".false." +export master_grid="0p25deg" +export memory_fcst="1024M" +export ncld="1" +export npe_fcst="216" +export npe_fv3="216" +export npe_node_fcst="12" +export npe_node_max="24" +export npe_remap="216" +export nst_anl=".true." +export nth_fv3="2" +nth_max="2" # shell-local +export nth_remap="2" +export nwat="2" +status="0" # shell-local +step="fcst" # shell-local +export wtime_fcst="03:00:00" +export wtime_fcst_gfs="06:00:00" +export zhao_mic=".true." diff --git a/model/fv3gfs/2016100200/gfspost_00 b/model/fv3gfs/2016100200/gfspost_00 new file mode 100644 index 0000000..425f20c --- /dev/null +++ b/model/fv3gfs/2016100200/gfspost_00 @@ -0,0 +1,129 @@ +# checkit.pl 2016100200%wham_gfspost_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base post'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="post" # shell-local +export APRUN_DWN="'mpirun -np 72'" +export APRUN_NP="'mpirun -np 72'" +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export DO_GDAS_FCST_POST="YES" +export EDATE="2016100200" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export FLXF="YES" +export GFSDOWNSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/ush/fv3gfs_downstream_nems.sh" +export GFSDWNSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/ush/fv3gfs_dwn_nems.sh" +export GFS_DOWNSTREAM="YES" +export GOESF="NO" +export GPOST="YES" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GTGF="NO" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MPI_BUFS_PER_HOST="2048" +export MPI_BUFS_PER_PROC="2048" +export MPI_GROUP_MAX="256" +export MPI_MEMMAP_OFF="1" +export MP_STDOUTMODE="ORDERED" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NTHREADS_DWN="2" +export NTHREADS_NP="1" +export NTHSTACK="1024000000" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OMP_STACKSIZE="2048000" +export OUTPUT_GRID="gaussian_grid" +export POSTGPEXEC="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2/exec/ncep_post" +export POSTGPSH="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2/ush/global_nceppost.sh" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export POSTJJOBSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs/JGFS_POST.sh" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +export downset="1" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export launcher="'mpirun -np'" +export lwrite4danl=".false." +export memory_post="3072M" +export npe_dwn="72" +export npe_node_max="24" +export npe_node_post="12" +export npe_post="72" +export npe_postgp="72" +export nst_anl=".true." +export nth_dwn="2" +nth_max="2" # shell-local +export nth_postgp="1" +status="0" # shell-local +step="post" # shell-local +export wtime_post="03:00:00" +export wtime_post_gfs="06:00:00" diff --git a/model/fv3gfs/2016100200/gfsprep_00 b/model/fv3gfs/2016100200/gfsprep_00 new file mode 100644 index 0000000..2852668 --- /dev/null +++ b/model/fv3gfs/2016100200/gfsprep_00 @@ -0,0 +1,113 @@ +# checkit.pl 2016100200%wham_gfsprep_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base prep'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="prep" # shell-local +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BACK="YES" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export DO_MAKEPREPBUFR="YES" +export DO_RELOCATE="NO" +export DRIVE_MAKEPREPBUFRSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/drive_makeprepbufr.sh" +export EDATE="2016100200" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MPI_BUFS_PER_HOST="2048" +export MPI_BUFS_PER_PROC="2048" +export MPI_GROUP_MAX="256" +export MPI_MEMMAP_OFF="1" +export MP_STDOUTMODE="ORDERED" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NTHSTACK="1024000000" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OMP_STACKSIZE="2048000" +export OUTPUT_GRID="gaussian_grid" +export POE="NO" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export launcher="'mpirun -np'" +export lwrite4danl=".false." +export npe_node_max="24" +export npe_node_prep="12" +export npe_prep="12" +export nst_anl=".true." +nth_max="2" # shell-local +status="0" # shell-local +step="prep" # shell-local +export sys_tp="Cray-CS400" +export wtime_prep="01:00:00" diff --git a/model/fv3gfs/2016100200/gfsvrfy_00 b/model/fv3gfs/2016100200/gfsvrfy_00 new file mode 100644 index 0000000..7b2735d --- /dev/null +++ b/model/fv3gfs/2016100200/gfsvrfy_00 @@ -0,0 +1,131 @@ +# checkit.pl 2016100200%wham_gfsvrfy_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base vrfy'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="vrfy" # shell-local +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BACKDATEVSDB="24" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CDFNL="gdas" +export CDUMPFCST="gdas" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export EDATE="2016100200" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +GRIB1_WORKS="NO" # shell-local +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MPI_BUFS_PER_HOST="2048" +export MPI_BUFS_PER_PROC="2048" +export MPI_GROUP_MAX="256" +export MPI_MEMMAP_OFF="1" +export MP_STDOUTMODE="ORDERED" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NTHSTACK="1024000000" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OMP_STACKSIZE="2048000" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VBACKUP_PRCP="24" +export VDUMP="gfs" +export VERBOSE="YES" +export VRFYFITS="NO" +export VRFYG2OBS="NO" +export VRFYGENESIS="NO" +export VRFYGMPK="NO" +export VRFYMINMON="NO" +export VRFYOZN="NO" +export VRFYPRCP="YES" +export VRFYRAD="NO" +export VRFYTRAK="NO" +export VSDBSH="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb/vsdbjob.sh" +export VSDB_STEP1="YES" +export VSDB_STEP2="NO" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +exetest="htar" # shell-local +export ftyplist="pgbq" +export gfs_cyc="1" +export l4densvar=".false." +export launcher="'mpirun -np'" +export lwrite4danl=".false." +export memory_vrfy="16384M" +export npe_node_max="24" +export npe_node_vrfy="1" +export npe_vrfy="1" +export nst_anl=".true." +status="0" # shell-local +step="vrfy" # shell-local +export vhr_rain="240" +export vlength="240" +export vsdbhome="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export vsdbsave="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/vsdb_data" +export wtime_vrfy="03:00:00" +export wtime_vrfy_gfs="06:00:00" diff --git a/model/fv3gfs/actions.yaml b/model/fv3gfs/actions.yaml index f41ab7c..26edfde 100644 --- a/model/fv3gfs/actions.yaml +++ b/model/fv3gfs/actions.yaml @@ -25,7 +25,7 @@ ecen: &ecen_action !Action CHGRESVARS_ENKF: "use_ufo=.true.,nopdpvv=.true." CHGRESTHREAD: 12 J_JOB: ecen - accounting: !calc doc.platform.parallel_accounting + accounting: !calc doc.case.cpu_project anal: &anal_action !Action <<: *action_template diff --git a/model/fv3gfs/jobs/#ecen.sh# b/model/fv3gfs/jobs/#ecen.sh# new file mode 100755 index 0000000..d935469 --- /dev/null +++ b/model/fv3gfs/jobs/#ecen.sh# @@ -0,0 +1,59 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-08-16 21:42:24 +0000 (Wed, 16 Aug 2017) $ +# $Revision: 96658 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: ecen.sh 96658 2017-08-16 21:42:24Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 + +## Abstract: +## Ensemble recentering driver script +## EXPDIR : /full/path/to/config/files +## CDATE : current analysis date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +############################################################### + +############################################################### +# Source relevant configs + +$CROW_SH export:true scope:ecen "all:[A-Z][A-Z0-9_]+" + +############################################################### +# Source machine runtime environment +. $BASE_ENV/${machine}.env ecen +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Set script and dependency variables +export GDATE=$($NDATE -$assim_freq $CDATE) + +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) +gymd=$(echo $GDATE | cut -c1-8) +ghh=$(echo $GDATE | cut -c9-10) + +export APREFIX="${CDUMP}.t${chh}z." +export ASUFFIX=".nemsio" +export GPREFIX="${CDUMP}.t${ghh}z." +export GSUFFIX=".nemsio" + +export COMIN="$ROTDIR/$CDUMP.$cymd/$chh" +export COMIN_ENS="$ROTDIR/enkf.$CDUMP.$cymd/$chh" +export COMIN_GES_ENS="$ROTDIR/enkf.$CDUMP.$gymd/$ghh" +export DATA="$RUNDIR/$CDATE/$CDUMP/ecen" +[[ -d $DATA ]] && rm -rf $DATA + +############################################################### +# Run relevant exglobal script +$ENKFRECENSH +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Exit out cleanly +exit 0 diff --git a/model/fv3gfs/jobs/anal.sh b/model/fv3gfs/jobs/anal.sh new file mode 100755 index 0000000..b6e1979 --- /dev/null +++ b/model/fv3gfs/jobs/anal.sh @@ -0,0 +1,138 @@ +#!/bin/bash +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-08-16 21:42:24 +0000 (Wed, 16 Aug 2017) $ +# $Revision: 96658 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: anal.sh 96658 2017-08-16 21:42:24Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 + +## Abstract: +## Analysis driver script +## EXPDIR : /full/path/to/config/files +## CDATE : current analysis date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +############################################################### + +# CONFIG_SCOPE=gfs.fcst.Perform +export CROW2SH="$CROW/to_sh.py scope:$CONFIG_SCOPE" + +eval $( $CROWSH SHELL_VARNAME=YAML_VARNAME \ + import:"DOG_[0-9]+" ) # DOG_03 DOG_1 DOG_12345 + +# YAML action panel: +# some_action: !Action +# ... variables ... +# var1: val1 +# var2: val2 +# var3: val3 +# env_export: [ var1, var2, var3 ] +# + +eval $( $CROWSH from:doc.action.some_action.env_export ) + +############################################################### +# !! Getting rid of these !! +# Source relevant configs +#configs="base anal" +#for config in $configs; do +# . $EXPDIR/config.${config} +# status=$? +# [[ $status -ne 0 ]] && exit $status +#done + +############################################################### +# Source machine runtime environment +. $BASE_ENV/${machine}.env anal +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Set script and dependency variables +export GDATE=$($NDATE -$assim_freq $CDATE) + +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) +gymd=$(echo $GDATE | cut -c1-8) +ghh=$(echo $GDATE | cut -c9-10) + +export OPREFIX="${CDUMP}.t${chh}z." +export GPREFIX="gdas.t${ghh}z." +export GSUFFIX=".nemsio" +export APREFIX="${CDUMP}.t${chh}z." +export ASUFFIX=".nemsio" + +export COMIN_OBS="$DMPDIR/$CDATE/$CDUMP" +export COMIN_GES="$ROTDIR/gdas.$gymd/$ghh" +export COMIN_GES_ENS="$ROTDIR/enkf.gdas.$gymd/$ghh" +export COMOUT="$ROTDIR/$CDUMP.$cymd/$chh" +export DATA="$RUNDIR/$CDATE/$CDUMP/anal" +[[ -d $DATA ]] && rm -rf $DATA + +export ATMGES="$COMIN_GES/${GPREFIX}atmf006${GSUFFIX}" +if [ ! -f $ATMGES ]; then + echo "FILE MISSING: ATMGES = $ATMGES" + exit 1 +fi +if [ $DOHYBVAR = "YES" ]; then + export ATMGES_ENSMEAN="$COMIN_GES_ENS/${GPREFIX}atmf006.ensmean$GSUFFIX" + if [ ! -f $ATMGES_ENSMEAN ]; then + echo "FILE MISSING: ATMGES_ENSMEAN = $ATMGES_ENSMEAN" + exit 2 + fi +fi + +# Background resolution +export JCAP=$($NEMSIOGET $ATMGES jcap | awk '{print $2}') +status=$? +[[ $status -ne 0 ]] && exit $status +export LONB=$($NEMSIOGET $ATMGES dimx | awk '{print $2}') +status=$? +[[ $status -ne 0 ]] && exit $status +export LATB=$($NEMSIOGET $ATMGES dimy | awk '{print $2}') +status=$? +[[ $status -ne 0 ]] && exit $status +export LEVS=$($NEMSIOGET $ATMGES dimz | awk '{print $2}') +status=$? +[[ $status -ne 0 ]] && exit $status + +if [ $DOHYBVAR = "YES" ]; then + # Ensemble resolution + export JCAP_ENKF=$($NEMSIOGET $ATMGES_ENSMEAN jcap | awk '{print $2}') + status=$? + [[ $status -ne 0 ]] && exit $status + export LONB_ENKF=$($NEMSIOGET $ATMGES_ENSMEAN dimx | awk '{print $2}') + status=$? + [[ $status -ne 0 ]] && exit $status + export LATB_ENKF=$($NEMSIOGET $ATMGES_ENSMEAN dimy | awk '{print $2}') + status=$? + [[ $status -ne 0 ]] && exit $status +fi + +# Analysis resolution +if [ $DOHYBVAR == "YES" ]; then + export JCAP_A=$JCAP_ENKF + export LONA=$LONB_ENKF + export LATA=$LATB_ENKF +else + export JCAP_A=$JCAP + export LONA=$LONB + export LATA=$LATB +fi + +# Link observational data +export PREPQC=${COMOUT}/${OPREFIX}prepbufr +export PREPQCPF=${COMOUT}/${OPREFIX}prepbufr.acft_profiles + +############################################################### +# Run relevant exglobal script +$ANALYSISSH +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Exit out cleanly +exit 0 diff --git a/model/fv3gfs/jobs/arch.sh b/model/fv3gfs/jobs/arch.sh new file mode 100755 index 0000000..fc37581 --- /dev/null +++ b/model/fv3gfs/jobs/arch.sh @@ -0,0 +1,199 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-08-24 22:05:14 +0000 (Thu, 24 Aug 2017) $ +# $Revision: 96869 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: arch.sh 96869 2017-08-24 22:05:14Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 + +## Abstract: +## Archive driver script +## EXPDIR : /full/path/to/config/files +## CDATE : current analysis date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +############################################################### + +############################################################### +# Source relevant configs +configs="base arch" +for config in $configs; do + . $EXPDIR/config.${config} + status=$? + [[ $status -ne 0 ]] && exit $status +done + +############################################################### +# Run relevant tasks + +# CURRENT CYCLE +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) +APREFIX="${CDUMP}.t${chh}z." +ASUFFIX=".nemsio" + +COMIN="$ROTDIR/$CDUMP.$cymd/$chh" + +DATA="$RUNDIR/$CDATE/$CDUMP/arch" +[[ -d $DATA ]] && rm -rf $DATA +mkdir -p $DATA +cd $DATA + +############################################################### +# Archive what is needed to restart the experiment +mkdir -p $DATA/${CDUMP}restart +cd $DATA/${CDUMP}restart + +restart_dir="$COMIN/RESTART" +if [ -d $restart_dir ]; then + mkdir -p RESTART + files=$(ls -1 $restart_dir) + for file in $files; do + $NCP $restart_dir/$file RESTART/$file + done +fi + +increment_file="$COMIN/${APREFIX}atminc.nc" +[[ -f $increment_file ]] && $NCP $increment_file . + +files="abias abias_pc abias_air radstat" +for file in $files; do + $NCP $COMIN/${APREFIX}$file . +done + +cd $DATA + +htar -P -cvf $ATARDIR/$CDATE/${CDUMP}restart.tar ${CDUMP}restart +status=$? +if [ $status -ne 0 ]; then + echo "HTAR $CDATE ${CDUMP}restart.tar failed" + exit $status +fi + +hsi ls -l $ATARDIR/$CDATE/${CDUMP}restart.tar +status=$? +if [ $status -ne 0 ]; then + echo "HSI $CDATE ${CDUMP}restart.tar failed" + exit $status +fi + +rm -rf ${CDUMP}restart + +############################################################### +# Archive extra information that is good to have +mkdir -p $DATA/$CDUMP +cd $DATA/$CDUMP + +files="gsistat cnvstat prepbufr prepbufr.acft_profiles" +for file in $files; do + $NCP $COMIN/${APREFIX}$file . +done + +$NCP $COMIN/${APREFIX}atmanl${ASUFFIX} . +$NCP $COMIN/${APREFIX}pgrb2.*.fanl* . +$NCP $COMIN/${APREFIX}pgrb2.*.f* . + +cd $DATA + +htar -P -cvf $ATARDIR/$CDATE/${CDUMP}.tar $CDUMP +status=$? +if [ $status -ne 0 ]; then + echo "HTAR $CDATE ${CDUMP}restart.tar failed" + exit $status +fi + +hsi ls -l $ATARDIR/$CDATE/${CDUMP}.tar +status=$? +if [ $status -ne 0 ]; then + echo "HSI $CDATE ${CDUMP}.tar failed" + exit $status +fi + +rm -rf $CDUMP + +############################################################### +# Archive online for verification and diagnostics +cd $COMIN + +[[ ! -d $ARCDIR ]] && mkdir -p $ARCDIR +$NCP ${APREFIX}gsistat $ARCDIR/gsistat.${CDUMP}.${CDATE} +$NCP ${APREFIX}pgrbanl $ARCDIR/pgbanl.${CDUMP}.${CDATE} + +# Archive 1 degree forecast GRIB1 files for verification +if [ $CDUMP = "gfs" ]; then + for fname in ${APREFIX}pgrbf*; do + fhr=$(echo $fname | cut -d. -f3 | cut -c 6-) + $NCP $fname $ARCDIR/pgbf${fhr}.${CDUMP}.${CDATE} + done +fi +if [ $CDUMP = "gdas" ]; then + flist="00 03 06 09" + for fhr in $flist; do + fname=${APREFIX}pgrbf${fhr} + $NCP $fname $ARCDIR/pgbf${fhr}.${CDUMP}.${CDATE} + done +fi + +# Temporary archive quarter degree GRIB1 files for precip verification +# and atmospheric nemsio gfs forecast files for fit2obs +VFYARC=$ROTDIR/vrfyarch +[[ ! -d $VFYARC ]] && mkdir -p $VFYARC +if [ $CDUMP = "gfs" ]; then + $NCP ${APREFIX}pgrbqnl $VFYARC/pgbqnl.${CDUMP}.${CDATE} + for fname in ${APREFIX}pgrbq*; do + fhr=$(echo $fname | cut -d. -f3 | cut -c 6-) + $NCP $fname $VFYARC/pgbq${fhr}.${CDUMP}.${CDATE} + done + + mkdir -p $VFYARC/${CDUMP}.$PDY/$cyc + fhmax=$FHMAX_GFS + fhr=0 + while [[ $fhr -le $fhmax ]]; do + fhr3=$(printf %03i $fhr) + sfcfile=${CDUMP}.t${cyc}z.sfcf${fhr3}.nemsio + sigfile=${CDUMP}.t${cyc}z.atmf${fhr3}.nemsio + $NCP $sfcfile $VFYARC/${CDUMP}.$PDY/$cyc/ + $NCP $sigfile $VFYARC/${CDUMP}.$PDY/$cyc/ + (( fhr = $fhr + 6 )) + done + +fi + +############################################################### +# Clean up previous cycles; various depths +# PRIOR CYCLE: Leave the prior cycle alone +GDATE=$($NDATE -$assim_freq $CDATE) + +# PREVIOUS to the PRIOR CYCLE +GDATE=$($NDATE -$assim_freq $GDATE) +gymd=$(echo $GDATE | cut -c1-8) +ghh=$(echo $GDATE | cut -c9-10) + +# Remove the TMPDIR directory +COMIN="$RUNDIR/$GDATE" +[[ -d $COMIN ]] && rm -rf $COMIN + +# Remove the hour directory +COMIN="$ROTDIR/$CDUMP.$gymd/$ghh" +[[ -d $COMIN ]] && rm -rf $COMIN + +# PREVIOUS 00Z day; remove the whole day +GDATE=$($NDATE -48 $CDATE) +gymd=$(echo $GDATE | cut -c1-8) +ghh=$(echo $GDATE | cut -c9-10) + +COMIN="$ROTDIR/$CDUMP.$gymd" +[[ -d $COMIN ]] && rm -rf $COMIN + +# Remove archived quarter degree GRIB1 files that are (48+$FHMAX_GFS) hrs behind +if [ $CDUMP = "gfs" ]; then + GDATE=$($NDATE -$FHMAX_GFS $GDATE) + rm -f $VFYARC/pgbq*.${CDUMP}.${GDATE} +fi + +############################################################### +# Exit out cleanly +exit 0 diff --git a/model/fv3gfs/jobs/earc.sh b/model/fv3gfs/jobs/earc.sh new file mode 100755 index 0000000..8e4e130 --- /dev/null +++ b/model/fv3gfs/jobs/earc.sh @@ -0,0 +1,185 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-08-04 03:29:01 +0000 (Fri, 04 Aug 2017) $ +# $Revision: 96274 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: earc.sh 96274 2017-08-04 03:29:01Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 + +## Abstract: +## Ensemble archive driver script +## EXPDIR : /full/path/to/config/files +## CDATE : current analysis date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +## ENSGRP : ensemble sub-group to archive (0, 1, 2, ...) +############################################################### + +############################################################### +# Source relevant configs +configs="base earc" +for config in $configs; do + . $EXPDIR/config.${config} + status=$? + [[ $status -ne 0 ]] && exit $status +done + +############################################################### +# Run relevant tasks + +# CURRENT CYCLE +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) +APREFIX="${CDUMP}.t${chh}z." +ASUFFIX=".nemsio" + +COMIN_ENS="$ROTDIR/enkf.$CDUMP.$cymd/$chh" + +DATA="$RUNDIR/$CDATE/$CDUMP/earc$ENSGRP" +[[ -d $DATA ]] && rm -rf $DATA +mkdir -p $DATA +cd $DATA + +############################################################### +# ENSGRP -gt 0 archives ensemble member restarts +if [ $ENSGRP -gt 0 ]; then + + mkdir -p $DATA/enkf.${CDUMP}restart + cd $DATA/enkf.${CDUMP}restart + + # Get ENSBEG/ENSEND from ENSGRP and NMEM_EARCGRP + ENSEND=$(echo "$NMEM_EARCGRP * $ENSGRP" | bc) + ENSBEG=$(echo "$ENSEND - $NMEM_EARCGRP + 1" | bc) + + for imem in `seq $ENSBEG $ENSEND`; do + + memchar="mem"`printf %03i $imem` + + memdir="$COMIN_ENS/$memchar" + tmpmemdir="$DATA/enkf.${CDUMP}restart/$memchar" + + mkdir -p $tmpmemdir + cd $tmpmemdir + + restart_dir="$memdir/RESTART" + if [ -d $restart_dir ]; then + mkdir -p RESTART + files=$(ls -1 $restart_dir) + for file in $files; do + $NCP $restart_dir/$file RESTART/$file + done + fi + + increment_file="$memdir/${APREFIX}atminc.nc" + [[ -f $increment_file ]] && $NCP $increment_file . + + cd $DATA/enkf.${CDUMP}restart + + htar -P -cvf $ATARDIR/$CDATE/enkf.${CDUMP}restart.$memchar.tar $memchar + status=$? + if [ $status -ne 0 ]; then + echo "HTAR $CDATE enkf.${CDUMP}restart.$memchar.tar failed" + exit $status + fi + + hsi ls -l $ATARDIR/$CDATE/enkf.${CDUMP}restart.$memchar.tar + status=$? + if [ $status -ne 0 ]; then + echo "HSI $CDATE enkf.${CDUMP}restart.$memchar.tar failed" + exit $status + fi + + rm -rf $tmpmemdir + + done + + cd $DATA + + rm -rf enkf.${CDUMP}restart + +else # ENSGRP 0 archives extra info, ensemble mean, verification stuff and cleans up + + ############################################################### + # Archive extra information that is good to have + mkdir -p $DATA/enkf.$CDUMP + cd $DATA/enkf.$CDUMP + + # Ensemble mean related files + files="gsistat.ensmean cnvstat.ensmean enkfstat atmf006.ensmean.nc4 atmf006.ensspread.nc4" + for file in $files; do + $NCP $COMIN_ENS/${APREFIX}$file . + done + + # Ensemble member related files + files="gsistat cnvstat" + for imem in `seq 1 $NMEM_ENKF`; do + + memchar="mem"`printf %03i $imem` + + memdir="$COMIN_ENS/$memchar" + tmpmemdir="$DATA/enkf.${CDUMP}/$memchar" + + mkdir -p $tmpmemdir + + for file in $files; do + $NCP $memdir/${APREFIX}$file $tmpmemdir/. + done + + cd $DATA/enkf.$CDUMP + + done + + cd $DATA + + htar -P -cvf $ATARDIR/$CDATE/enkf.${CDUMP}.tar enkf.$CDUMP + status=$? + if [ $status -ne 0 ]; then + echo "HTAR $CDATE enkf.${CDUMP}.tar failed" + exit $status + fi + + hsi ls -l $ATARDIR/$CDATE/enkf.${CDUMP}.tar + status=$? + if [ $status -ne 0 ]; then + echo "HSI $CDATE enkf.${CDUMP}.tar failed" + exit $status + fi + + rm -rf enkf.$CDUMP + + ############################################################### + # Archive online for verification and diagnostics + [[ ! -d $ARCDIR ]] && mkdir -p $ARCDIR + cd $ARCDIR + + $NCP $COMIN_ENS/${APREFIX}enkfstat enkfstat.${CDUMP}.$CDATE + $NCP $COMIN_ENS/${APREFIX}gsistat.ensmean gsistat.${CDUMP}.${CDATE}.ensmean + + ############################################################### + # Clean up previous cycles; various depths + # PRIOR CYCLE: Leave the prior cycle alone + GDATE=$($NDATE -$assim_freq $CDATE) + + # PREVIOUS to the PRIOR CYCLE + # Now go 2 cycles back and remove the directory + GDATE=$($NDATE -$assim_freq $GDATE) + gymd=$(echo $GDATE | cut -c1-8) + ghh=$(echo $GDATE | cut -c9-10) + + COMIN_ENS="$ROTDIR/enkf.$CDUMP.$gymd/$ghh" + [[ -d $COMIN_ENS ]] && rm -rf $COMIN_ENS + + # PREVIOUS day 00Z remove the whole day + GDATE=$($NDATE -48 $CDATE) + gymd=$(echo $GDATE | cut -c1-8) + ghh=$(echo $GDATE | cut -c9-10) + + COMIN_ENS="$ROTDIR/enkf.$CDUMP.$gymd" + [[ -d $COMIN_ENS ]] && rm -rf $COMIN_ENS + +fi + +exit 0 diff --git a/model/fv3gfs/jobs/ecen.sh b/model/fv3gfs/jobs/ecen.sh new file mode 100755 index 0000000..a14a1c9 --- /dev/null +++ b/model/fv3gfs/jobs/ecen.sh @@ -0,0 +1,63 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-08-16 21:42:24 +0000 (Wed, 16 Aug 2017) $ +# $Revision: 96658 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: ecen.sh 96658 2017-08-16 21:42:24Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 + +## Abstract: +## Ensemble recentering driver script +## EXPDIR : /full/path/to/config/files +## CDATE : current analysis date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +############################################################### + +############################################################### +# Source relevant configs +configs="base ecen" +for config in $configs; do + . $EXPDIR/config.${config} + status=$? + [[ $status -ne 0 ]] && exit $status +done + +############################################################### +# Source machine runtime environment +. $BASE_ENV/${machine}.env ecen +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Set script and dependency variables +export GDATE=$($NDATE -$assim_freq $CDATE) + +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) +gymd=$(echo $GDATE | cut -c1-8) +ghh=$(echo $GDATE | cut -c9-10) + +export APREFIX="${CDUMP}.t${chh}z." +export ASUFFIX=".nemsio" +export GPREFIX="${CDUMP}.t${ghh}z." +export GSUFFIX=".nemsio" + +export COMIN="$ROTDIR/$CDUMP.$cymd/$chh" +export COMIN_ENS="$ROTDIR/enkf.$CDUMP.$cymd/$chh" +export COMIN_GES_ENS="$ROTDIR/enkf.$CDUMP.$gymd/$ghh" +export DATA="$RUNDIR/$CDATE/$CDUMP/ecen" +[[ -d $DATA ]] && rm -rf $DATA + +############################################################### +# Run relevant exglobal script +$ENKFRECENSH +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Exit out cleanly +exit 0 diff --git a/model/fv3gfs/jobs/efcs.sh b/model/fv3gfs/jobs/efcs.sh new file mode 100755 index 0000000..591831f --- /dev/null +++ b/model/fv3gfs/jobs/efcs.sh @@ -0,0 +1,93 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-08-16 21:42:24 +0000 (Wed, 16 Aug 2017) $ +# $Revision: 96658 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: efcs.sh 96658 2017-08-16 21:42:24Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 + +## Abstract: +## Ensemble forecast driver script +## EXPDIR : /full/path/to/config/files +## CDATE : current analysis date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +## ENSGRP : ensemble sub-group to make forecasts (1, 2, ...) +############################################################### + +############################################################### +# Source relevant configs +configs="base fcst efcs" +for config in $configs; do + . $EXPDIR/config.${config} + status=$? + [[ $status -ne 0 ]] && exit $status +done + +############################################################### +# Source machine runtime environment +. $BASE_ENV/${machine}.env efcs +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Set script and dependency variables +export CASE=$CASE_ENKF +export DATA=$RUNDIR/$CDATE/$CDUMP/efcs.grp$ENSGRP +[[ -d $DATA ]] && rm -rf $DATA + +# Get ENSBEG/ENSEND from ENSGRP and NMEM_EFCSGRP +ENSEND=$(echo "$NMEM_EFCSGRP * $ENSGRP" | bc) +ENSBEG=$(echo "$ENSEND - $NMEM_EFCSGRP + 1" | bc) +export ENSBEG=$ENSBEG +export ENSEND=$ENSEND + +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) + +# Default warm_start is OFF +export warm_start=".false." + +# If RESTART conditions exist; warm start the model +memchar="mem"`printf %03i $ENSBEG` +if [ -f $ROTDIR/enkf.${CDUMP}.$cymd/$chh/$memchar/RESTART/${cymd}.${chh}0000.coupler.res ]; then + export warm_start=".true." + if [ -f $ROTDIR/enkf.${CDUMP}.$cymd/$chh/$memchar/${CDUMP}.t${chh}z.atminc.nc ]; then + export read_increment=".true." + else + echo "WARNING: WARM START $CDUMP $CDATE WITHOUT READING INCREMENT!" + fi +fi + +# Forecast length for EnKF forecast +export FHMIN=$FHMIN_ENKF +export FHOUT=$FHOUT_ENKF +export FHMAX=$FHMAX_ENKF + +############################################################### +# Run relevant exglobal script +$ENKFFCSTSH +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Double check the status of members in ENSGRP +EFCSGRP=$ROTDIR/enkf.${CDUMP}.$cymd/$chh/efcs.grp${ENSGRP} +if [ -f $EFCSGRP ]; then + npass=$(grep "PASS" $EFCSGRP | wc -l) +else + npass=0 +fi +echo "$npass/$NMEM_EFCSGRP members successfull in efcs.grp$ENSGRP" +if [ $npass -ne $NMEM_EFCSGRP ]; then + echo "ABORT!" + cat $EFCSGRP + exit 99 +fi + +############################################################### +# Exit out cleanly +exit 0 diff --git a/model/fv3gfs/jobs/eobs.sh b/model/fv3gfs/jobs/eobs.sh new file mode 100755 index 0000000..24453a4 --- /dev/null +++ b/model/fv3gfs/jobs/eobs.sh @@ -0,0 +1,120 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-08-16 21:42:24 +0000 (Wed, 16 Aug 2017) $ +# $Revision: 96658 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: eobs.sh 96658 2017-08-16 21:42:24Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 + +## Abstract: +## EnKF innovations for ensemble mean driver script +## EXPDIR : /full/path/to/config/files +## CDATE : current analysis date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +############################################################### + +############################################################### +# Source relevant configs +configs="base anal eobs" +for config in $configs; do + . $EXPDIR/config.${config} + status=$? + [[ $status -ne 0 ]] && exit $status +done + +############################################################### +# Source machine runtime environment +. $BASE_ENV/${machine}.env eobs +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Set script and dependency variables +export GDATE=$($NDATE -$assim_freq $CDATE) + +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) +gymd=$(echo $GDATE | cut -c1-8) +ghh=$(echo $GDATE | cut -c9-10) + +export OPREFIX="${CDUMP}.t${chh}z." +export APREFIX="${CDUMP}.t${chh}z." +export ASUFFIX=".nemsio" +export GPREFIX="${CDUMP}.t${ghh}z." +export GSUFFIX=".nemsio" + +export COMIN_OBS="$DMPDIR/$CDATE/$CDUMP" +export COMIN_GES="$ROTDIR/$CDUMP.$gymd/$ghh" +export COMIN_ANL="$ROTDIR/$CDUMP.$cymd/$chh" +export COMIN_GES_ENS="$ROTDIR/enkf.$CDUMP.$gymd/$ghh" +export COMOUT="$ROTDIR/enkf.$CDUMP.$cymd/$chh" +export DATA="$RUNDIR/$CDATE/$CDUMP/eobs" +[[ -d $DATA ]] && rm -rf $DATA + +export ATMGES_ENSMEAN="$COMIN_GES_ENS/${GPREFIX}atmf006.ensmean$GSUFFIX" +if [ ! -f $ATMGES_ENSMEAN ]; then + echo "FILE MISSING: ATMGES_ENSMEAN = $ATMGES_ENSMEAN" + exit 2 +fi + +export LEVS=$($NEMSIOGET $ATMGES_ENSMEAN dimz | awk '{print $2}') +status=$? +[[ $status -ne 0 ]] && exit $status + +# Link observational data +export PREPQC="$COMIN_ANL/${OPREFIX}prepbufr" +export PREPQCPF="$COMIN_ANL/${OPREFIX}prepbufr.acft_profiles" + +# Guess Bias correction coefficients related to control +export GBIAS=${COMIN_GES}/${GPREFIX}abias +export GBIASPC=${COMIN_GES}/${GPREFIX}abias_pc +export GBIASAIR=${COMIN_GES}/${GPREFIX}abias_air +export GRADSTAT=${COMIN_GES}/${GPREFIX}radstat + +# Bias correction coefficients related to ensemble mean +export ABIAS="$COMOUT/${APREFIX}abias.ensmean" +export ABIASPC="$COMOUT/${APREFIX}abias_pc.ensmean" +export ABIASAIR="$COMOUT/${APREFIX}abias_air.ensmean" +export ABIASe="$COMOUT/${APREFIX}abias_int.ensmean" + +# Diagnostics related to ensemble mean +export GSISTAT="$COMOUT/${APREFIX}gsistat.ensmean" +export CNVSTAT="$COMOUT/${APREFIX}cnvstat.ensmean" +export OZNSTAT="$COMOUT/${APREFIX}oznstat.ensmean" +export RADSTAT="$COMOUT/${APREFIX}radstat.ensmean" + +# Select observations based on ensemble mean +export RUN_SELECT="YES" +export USE_SELECT="NO" +export SELECT_OBS="$COMOUT/${APREFIX}obsinput.ensmean" + +export DIAG_SUFFIX="_ensmean" + +# GSI namelist options specific to eobs +export SETUP_INVOBS="passive_bc=.false." + +# Over-write variables +COMIN_GES_SAVE=$COMIN_GES +GSUFFIX_SAVE=$GSUFFIX +export COMIN_GES=$COMIN_GES_ENS +export GSUFFIX=".ensmean$GSUFFIX" + +############################################################### +# Ensure clean stat tarballs for ensemble mean +for fstat in $CNVSTAT $OZNSTAT $RADSTAT; do + [[ -f $fstat ]] && rm -f $fstat +done + +############################################################### +# Run relevant exglobal script +$INVOBSSH +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Exit out cleanly +exit 0 diff --git a/model/fv3gfs/jobs/eomg.sh b/model/fv3gfs/jobs/eomg.sh new file mode 100755 index 0000000..9604569 --- /dev/null +++ b/model/fv3gfs/jobs/eomg.sh @@ -0,0 +1,108 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-08-16 21:42:24 +0000 (Wed, 16 Aug 2017) $ +# $Revision: 96658 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: eomg.sh 96658 2017-08-16 21:42:24Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 + +## Abstract: +## EnKF innovations for ensemble members driver script +## EXPDIR : /full/path/to/config/files +## CDATE : current analysis date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +## ENSGRP : ensemble sub-group to compute innovations (1, 2, ...) +############################################################### + +############################################################### +# Source relevant configs +configs="base anal eobs" +for config in $configs; do + . $EXPDIR/config.${config} + status=$? + [[ $status -ne 0 ]] && exit $status +done + +############################################################### +# Source machine runtime environment +. $BASE_ENV/${machine}.env eobs +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Set script and dependency variables +export GDATE=$($NDATE -$assim_freq $CDATE) + +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) +gymd=$(echo $GDATE | cut -c1-8) +ghh=$(echo $GDATE | cut -c9-10) + +export OPREFIX="${CDUMP}.t${chh}z." +export APREFIX="${CDUMP}.t${chh}z." +export ASUFFIX=".nemsio" +export GPREFIX="${CDUMP}.t${ghh}z." +export GSUFFIX=".nemsio" + +export COMIN_OBS="$DMPDIR/$CDATE/$CDUMP" +export COMIN_GES="$ROTDIR/$CDUMP.$gymd/$ghh" +export COMIN_GES_ENS="$ROTDIR/enkf.$CDUMP.$gymd/$ghh" +export COMOUT="$ROTDIR/enkf.$CDUMP.$cymd/$chh" +export DATA="$RUNDIR/$CDATE/$CDUMP/eomg.grp$ENSGRP" +[[ -d $DATA ]] && rm -rf $DATA + +export ATMGES_ENSMEAN="$COMIN_GES_ENS/${GPREFIX}atmf006.ensmean$GSUFFIX" +if [ ! -f $ATMGES_ENSMEAN ]; then + echo "FILE MISSING: ATMGES_ENSMEAN = $ATMGES_ENSMEAN" + exit 1 +fi + +export LEVS=$($NEMSIOGET $ATMGES_ENSMEAN dimz | awk '{print $2}') +status=$? +[[ $status -ne 0 ]] && exit $status + +# Guess Bias correction coefficients related to control +export GBIAS=${COMIN_GES}/${GPREFIX}abias +export GBIASPC=${COMIN_GES}/${GPREFIX}abias_pc +export GBIASAIR=${COMIN_GES}/${GPREFIX}abias_air +export GRADSTAT=${COMIN_GES}/${GPREFIX}radstat + +# Use the selected observations from ensemble mean +export RUN_SELECT="NO" +export USE_SELECT="YES" +export SELECT_OBS="$COMOUT/${APREFIX}obsinput.ensmean" + +############################################################### +# Get ENSBEG/ENSEND from ENSGRP and NMEM_EOMGGRP +ENSEND=$(echo "$NMEM_EOMGGRP * $ENSGRP" | bc) +ENSBEG=$(echo "$ENSEND - $NMEM_EOMGGRP + 1" | bc) +export ENSBEG=$ENSBEG +export ENSEND=$ENSEND + +# Run relevant exglobal script +$ENKFINVOBSSH +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Double check the status of members in ENSGRP +EOMGGRP=$ROTDIR/enkf.${CDUMP}.$cymd/$chh/eomg.grp${ENSGRP} +if [ -f $EOMGGRP ]; then + npass=$(grep "PASS" $EOMGGRP | wc -l) +else + npass=0 +fi +echo "$npass/$NMEM_EOMGGRP members successfull in eomg.grp$ENSGRP" +if [ $npass -ne $NMEM_EOMGGRP ]; then + echo "ABORT!" + cat $EOMGGRP + exit 99 +fi + +############################################################### +# Exit out cleanly +exit 0 diff --git a/model/fv3gfs/jobs/epos.sh b/model/fv3gfs/jobs/epos.sh new file mode 100755 index 0000000..228987f --- /dev/null +++ b/model/fv3gfs/jobs/epos.sh @@ -0,0 +1,56 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-08-16 21:42:24 +0000 (Wed, 16 Aug 2017) $ +# $Revision: 96658 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: epos.sh 96658 2017-08-16 21:42:24Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 + +## Abstract: +## Ensemble post-processing driver script +## EXPDIR : /full/path/to/config/files +## CDATE : current analysis date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +############################################################### + +############################################################### +# Source relevant configs +configs="base epos" +for config in $configs; do + . $EXPDIR/config.${config} + status=$? + [[ $status -ne 0 ]] && exit $status +done + +############################################################### +# Source machine runtime environment +. $BASE_ENV/${machine}.env epos +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Set script and dependency variables +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) + +export PREFIX="${CDUMP}.t${chh}z." +export SUFFIX=".nemsio" + +export COMIN="$ROTDIR/enkf.$CDUMP.$cymd/$chh" +export COMOUT="$ROTDIR/enkf.$CDUMP.$cymd/$chh" +export DATA="$RUNDIR/$CDATE/$CDUMP/epos" +[[ -d $DATA ]] && rm -rf $DATA + +############################################################### +# Run relevant exglobal script +$ENKFPOSTSH +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Exit out cleanly +exit 0 diff --git a/model/fv3gfs/jobs/eupd.sh b/model/fv3gfs/jobs/eupd.sh new file mode 100755 index 0000000..039d9ff --- /dev/null +++ b/model/fv3gfs/jobs/eupd.sh @@ -0,0 +1,72 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-08-16 21:42:24 +0000 (Wed, 16 Aug 2017) $ +# $Revision: 96658 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: eupd.sh 96658 2017-08-16 21:42:24Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 + +## Abstract: +## EnKF update driver script +## EXPDIR : /full/path/to/config/files +## CDATE : current analysis date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +############################################################### + +############################################################### +# Source relevant configs +configs="base anal eupd" +for config in $configs; do + . $EXPDIR/config.${config} + status=$? + [[ $status -ne 0 ]] && exit $status +done + +############################################################### +# Source machine runtime environment +. $BASE_ENV/${machine}.env eupd +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Set script and dependency variables +export GDATE=$($NDATE -$assim_freq $CDATE) + +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) +gymd=$(echo $GDATE | cut -c1-8) +ghh=$(echo $GDATE | cut -c9-10) + +export GPREFIX="${CDUMP}.t${ghh}z." +export GSUFFIX=".nemsio" +export APREFIX="${CDUMP}.t${chh}z." +export ASUFFIX=".nemsio" + +export COMIN_GES_ENS="$ROTDIR/enkf.$CDUMP.$gymd/$ghh" +export COMOUT_ANL_ENS="$ROTDIR/enkf.$CDUMP.$cymd/$chh" +export DATA="$RUNDIR/$CDATE/$CDUMP/eupd" +[[ -d $DATA ]] && rm -rf $DATA + +export ATMGES_ENSMEAN="$COMIN_GES_ENS/${GPREFIX}atmf006.ensmean$GSUFFIX" +if [ ! -f $ATMGES_ENSMEAN ]; then + echo "FILE MISSING: ATMGES_ENSMEAN = $ATMGES_ENSMEAN" + exit 1 +fi + +export LEVS=$($NEMSIOGET $ATMGES_ENSMEAN dimz | awk '{print $2}') +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Run relevant exglobal script +$ENKFUPDSH +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Exit out cleanly +exit 0 diff --git a/model/fv3gfs/jobs/fcst.sh b/model/fv3gfs/jobs/fcst.sh new file mode 100755 index 0000000..0992895 --- /dev/null +++ b/model/fv3gfs/jobs/fcst.sh @@ -0,0 +1,106 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-07-26 15:16:25 +0000 (Wed, 26 Jul 2017) $ +# $Revision: 96049 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: fcst.sh 96049 2017-07-26 15:16:25Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 + +## Abstract: +## Model forecast driver script +## EXPDIR : /full/path/to/config/files +## CDATE : current analysis date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +############################################################### + +############################################################### +# Source relevant configs +configs="base fcst" +for config in $configs; do + . $EXPDIR/config.${config} + status=$? + [[ $status -ne 0 ]] && exit $status +done + +############################################################### +# Source machine runtime environment +. $BASE_ENV/${machine}.env fcst +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Set script and dependency variables +export DATA=$RUNDIR/$CDATE/$CDUMP/fcst +[[ -d $DATA ]] && rm -rf $DATA + +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) + +# Default warm_start is OFF +export warm_start=".false." + +# If RESTART conditions exist; warm start the model +# Restart conditions for GFS cycle come from GDAS +rCDUMP=$CDUMP +[[ $CDUMP = "gfs" ]] && rCDUMP="gdas" + +if [ -f $ROTDIR/${rCDUMP}.$cymd/$chh/RESTART/${cymd}.${chh}0000.coupler.res ]; then + export warm_start=".true." + if [ $CDUMP = "gfs" ]; then + mkdir -p $ROTDIR/${CDUMP}.$cymd/$chh/RESTART + cd $ROTDIR/${CDUMP}.$cymd/$chh/RESTART + $NCP $ROTDIR/${rCDUMP}.$cymd/$chh/RESTART/${cymd}.${chh}0000.* . + fi + if [ -f $ROTDIR/${CDUMP}.$cymd/$chh/${CDUMP}.t${chh}z.atminc.nc ]; then + export read_increment=".true." + else + echo "WARNING: WARM START $CDUMP $CDATE WITHOUT READING INCREMENT!" + fi +fi + +# Forecast length for GFS forecast +if [ $CDUMP = "gfs" ]; then + export FHMIN=$FHMIN_GFS + export FHOUT=$FHOUT_GFS + export FHMAX=$FHMAX_GFS +fi + +############################################################### +# Run relevant exglobal script +$FORECASTSH +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Convert model native history files to nemsio + +export DATA=$ROTDIR/${CDUMP}.$cymd/$chh + +if [ $CDUMP = "gdas" ]; then + + # Regrid 6-tile output to global array in NEMSIO gaussian grid for DA + $REGRID_NEMSIO_SH + status=$? + [[ $status -ne 0 ]] && exit $status + +elif [ $CDUMP = "gfs" ]; then + + # Remap 6-tile output to global array in NetCDF latlon + $REMAPSH + status=$? + [[ $status -ne 0 ]] && exit $status + + # Convert NetCDF to nemsio + $NC2NEMSIOSH + status=$? + [[ $status -ne 0 ]] && exit $status + +fi + +############################################################### +# Exit out cleanly +exit 0 diff --git a/model/fv3gfs/jobs/fv3ic.sh b/model/fv3gfs/jobs/fv3ic.sh new file mode 100755 index 0000000..6179909 --- /dev/null +++ b/model/fv3gfs/jobs/fv3ic.sh @@ -0,0 +1,73 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-08-04 03:29:01 +0000 (Fri, 04 Aug 2017) $ +# $Revision: 96274 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: fv3ic.sh 96274 2017-08-04 03:29:01Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Rahul Mahajan Org: NCEP/EMC Date: August 2017 + +## Abstract: +## Create FV3 initial conditions from GFS intitial conditions +## EXPDIR : /full/path/to/config/files +## CDATE : current date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +export EXPDIR=${1:-$EXPDIR} +export CDATE=${2:-$CDATE} +export CDUMP=${3:-$CDUMP} +############################################################### + +############################################################### +# Source relevant configs +configs="base fv3ic" +for config in $configs; do + . $EXPDIR/config.${config} + status=$? + [[ $status -ne 0 ]] && exit $status +done + +############################################################### +# Source machine runtime environment +. $BASE_ENV/${machine}.env fv3ic +status=$? +[[ $status -ne 0 ]] && exit $status + +# Temporary runtime directory +export DATA="$RUNDIR/$CDATE/$CDUMP/fv3ic$$" +[[ -d $DATA ]] && rm -rf $DATA + +# Input GFS initial condition files +export INIDIR="$ICSDIR/$CDATE/$CDUMP" +export ATMANL="$ICSDIR/$CDATE/$CDUMP/siganl.${CDUMP}.$CDATE" +export SFCANL="$ICSDIR/$CDATE/$CDUMP/sfcanl.${CDUMP}.$CDATE" +# global_chgres_driver.sh defines opsgfs as before the NEMSGFS was implemented. +# this bit is necessary, even though the NEMSGFS is operational, until +# Fanglin agrees to the updates for global_chgres_driver.sh and global_chgres.sh +# Till then, leave this hack of exporting icytype as opsgfs as default +# and if NSST file is found, call it nemsgfs +export ictype="opsgfs" +if [ -f $ICSDIR/$CDATE/$CDUMP/nstanl.${CDUMP}.$CDATE ]; then + export NSTANL="$ICSDIR/$CDATE/$CDUMP/nstanl.${CDUMP}.$CDATE" + export ictype="nemsgfs" +fi + +# Output FV3 initial condition files +export OUTDIR="$ICSDIR/$CDATE/$CDUMP/$CASE/INPUT" + +export OMP_NUM_THREADS_CH=$CHGRESTHREAD +export APRUNC=$APRUN_CHGRES + +# Call global_chgres_driver.sh +$BASE_GSM/ush/global_chgres_driver.sh +status=$? +if [ $status -ne 0 ]; then + echo "global_chgres_driver.sh returned with a non-zero exit code, ABORT!" + exit $status +fi + +############################################################### +# Exit cleanly +exit 0 diff --git a/model/fv3gfs/jobs/getic.sh b/model/fv3gfs/jobs/getic.sh new file mode 100755 index 0000000..27cb641 --- /dev/null +++ b/model/fv3gfs/jobs/getic.sh @@ -0,0 +1,178 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-08-04 03:29:01 +0000 (Fri, 04 Aug 2017) $ +# $Revision: 96274 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: getic.sh 96274 2017-08-04 03:29:01Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Rahul Mahajan Org: NCEP/EMC Date: August 2017 + +## Abstract: +## Get GFS intitial conditions +## EXPDIR : /full/path/to/config/files +## CDATE : current date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +############################################################### + +############################################################### +# Source relevant configs +configs="base getic" +for config in $configs; do + . $EXPDIR/config.${config} + status=$? + [[ $status -ne 0 ]] && exit $status +done + +############################################################### +# Source machine runtime environment +. $BASE_ENV/${machine}.env getic +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Set script and dependency variables + +yyyy=$(echo $CDATE | cut -c1-4) +mm=$(echo $CDATE | cut -c5-6) +dd=$(echo $CDATE | cut -c7-8) +hh=$(echo $CDATE | cut -c9-10) +cymd=$(echo $CDATE | cut -c1-8) + +############################################################### + +target_dir=$ICSDIR/$CDATE/$CDUMP +mkdir -p $target_dir +cd $target_dir + +# Save the files as legacy EMC filenames +ftanal[1]="siganl.${CDUMP}.$CDATE" +ftanal[2]="sfcanl.${CDUMP}.$CDATE" +ftanal[3]="nstanl.${CDUMP}.$CDATE" + +# Initialize return code to 0 +rc=0 + +if [ $ictype = "opsgfs" ]; then + + # Handle nemsio and pre-nemsio GFS filenames + if [ $CDATE -gt "2017072000" ]; then + nfanal=3 + fanal[1]="./${CDUMP}.t${hh}z.atmanl.nemsio" + fanal[2]="./${CDUMP}.t${hh}z.sfcanl.nemsio" + fanal[3]="./${CDUMP}.t${hh}z.nstanl.nemsio" + flanal="${fanal[1]} ${fanal[2]} ${fanal[3]}" + tarpref="gpfs_hps_nco_ops_com" + else + nfanal=2 + [[ $CDUMP = "gdas" ]] && str1=1 + fanal[1]="./${CDUMP}${str1}.t${hh}z.sanl" + fanal[2]="./${CDUMP}${str1}.t${hh}z.sfcanl" + flanal="${fanal[1]} ${fanal[2]}" + tarpref="com2" + fi + + # First check the COMROOT for files, if present copy over + if [ $machine = "WCOSS_C" ]; then + + # Need COMROOT + module load prod_envir >> /dev/null 2>&1 + + comdir="$COMROOT/$CDUMP/prod/$CDUMP.$cymd" + for i in `seq 1 $nfanal`; do + if [ -f $comdir/${fanal[i]} ]; then + $NCP $comdir/${fanal[i]} ${ftanal[i]} + else + rb=1 ; ((rc+=rb)) + fi + done + + # If found, exit out + [[ $rc -eq 0 ]] && exit 0 + + fi + + # Get initial conditions from HPSS + hpssdir="/NCEPPROD/hpssprod/runhistory/rh$yyyy/$yyyy$mm/$cymd" + if [ $CDUMP = "gdas" ]; then + tarball="$hpssdir/${tarpref}_gfs_prod_${CDUMP}.${CDATE}.tar" + elif [ $CDUMP = "gfs" ]; then + tarball="$hpssdir/${tarpref}_gfs_prod_${CDUMP}.${CDATE}.anl.tar" + fi + + # check if the tarball exists + hsi ls -l $tarball + rc=$? + if [ $rc -ne 0 ]; then + echo "$tarball does not exist and should, ABORT!" + exit $rc + fi + # get the tarball + htar -xvf $tarball $flanal + rc=$? + if [ $rc -ne 0 ]; then + echo "untarring $tarball failed, ABORT!" + exit $rc + fi + + # Move the files to legacy EMC filenames + for i in `seq 1 $nfanal`; do + $NMV ${fanal[i]} ${ftanal[i]} + done + + # If found, exit out + if [ $rc -ne 0 ]; then + echo "Unable to obtain operational GFS initial conditions, ABORT!" + exit 1 + fi + +elif [ $ictype = "nemsgfs" ]; then + + # Filenames in parallel + nfanal=3 + fanal[1]="gfnanl.${CDUMP}.$CDATE" + fanal[2]="sfnanl.${CDUMP}.$CDATE" + fanal[3]="nsnanl.${CDUMP}.$CDATE" + flanal="${fanal[1]} ${fanal[2]} ${fanal[3]}" + + # Get initial conditions from HPSS from retrospective parallel + tarball="$HPSS_PAR_PATH/${CDATE}${CDUMP}.tar" + + # check if the tarball exists + hsi ls -l $tarball + rc=$? + if [ $rc -ne 0 ]; then + echo "$tarball does not exist and should, ABORT!" + exit $rc + fi + # get the tarball + htar -xvf $tarball $flanal + rc=$? + if [ $rc -ne 0 ]; then + echo "untarring $tarball failed, ABORT!" + exit $rc + fi + + # Move the files to legacy EMC filenames + for i in `seq 1 $nfanal`; do + $NMV ${fanal[i]} ${ftanal[i]} + done + + # If found, exit out + if [ $rc -ne 0 ]; then + echo "Unable to obtain parallel GFS initial conditions, ABORT!" + exit 1 + fi + +else + + echo "ictype = $ictype, is not supported, ABORT!" + exit 1 + +fi + +############################################################### +# Exit out cleanly +exit 0 diff --git a/model/fv3gfs/jobs/post.sh b/model/fv3gfs/jobs/post.sh new file mode 100755 index 0000000..9ff4c7b --- /dev/null +++ b/model/fv3gfs/jobs/post.sh @@ -0,0 +1,75 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-08-24 22:05:14 +0000 (Thu, 24 Aug 2017) $ +# $Revision: 96869 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: post.sh 96869 2017-08-24 22:05:14Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 + +## Abstract: +## NCEP post driver script +## EXPDIR : /full/path/to/config/files +## CDATE : current analysis date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +############################################################### + +############################################################### +# Source relevant configs +configs="base post" +for config in $configs; do + . $EXPDIR/config.${config} + status=$? + [[ $status -ne 0 ]] && exit $status +done + +############################################################### +# Source machine runtime environment +. $BASE_ENV/${machine}.env post +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Set script and dependency variables +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) + +export COMROT=$ROTDIR/$CDUMP.$cymd/$chh + +res=$(echo $CASE | cut -c2-) +export JCAP=$((res*2-2)) +export LONB=$((4*res)) +export LATB=$((2*res)) + +export pgmout="/dev/null" # exgfs_nceppost.sh.ecf will hang otherwise +export PREFIX="$CDUMP.t${chh}z." +export SUFFIX=".nemsio" + +export DATA=$RUNDIR/$CDATE/$CDUMP/post +[[ -d $DATA ]] && rm -rf $DATA + +# Run post job to create analysis grib files +export ATMANL=$ROTDIR/$CDUMP.$cymd/$chh/${PREFIX}atmanl$SUFFIX +if [ -f $ATMANL ]; then + export ANALYSIS_POST="YES" + $POSTJJOBSH + status=$? + [[ $status -ne 0 ]] && exit $status +fi + +# Run post job to create forecast grib files +# Only for GFS cycles. +# We no longer do relocation, and thus GDAS cycle does not need forecast grib files +if [ $CDUMP = "gfs" ]; then + export ANALYSIS_POST="NO" + $POSTJJOBSH + status=$? + [[ $status -ne 0 ]] && exit $status +fi + +############################################################### +# Exit out cleanly +exit 0 diff --git a/model/fv3gfs/jobs/prep.sh b/model/fv3gfs/jobs/prep.sh new file mode 100755 index 0000000..58b0800 --- /dev/null +++ b/model/fv3gfs/jobs/prep.sh @@ -0,0 +1,66 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-08-16 21:42:24 +0000 (Wed, 16 Aug 2017) $ +# $Revision: 96658 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: prep.sh 96658 2017-08-16 21:42:24Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 + +## Abstract: +## Do prepatory tasks +## EXPDIR : /full/path/to/config/files +## CDATE : current analysis date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +############################################################### + +############################################################### +# Source relevant configs +configs="base prep" +for config in $configs; do + . $EXPDIR/config.${config} + status=$? + [[ $status -ne 0 ]] && exit $status +done + +############################################################### +# Source machine runtime environment +. $BASE_ENV/${machine}.env prep +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Set script and dependency variables + +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) + +export OPREFIX="${CDUMP}.t${chh}z." + +export COMIN_OBS="$DMPDIR/$CDATE/$CDUMP" +export COMOUT="$ROTDIR/$CDUMP.$cymd/$chh" +[[ ! -d $COMOUT ]] && mkdir -p $COMOUT + +# Do relocation +if [ $DO_RELOCATE = "YES" ]; then + $DRIVE_RELOCATESH + echo "RELOCATION IS TURNED OFF in FV3, DRIVE_RELOCATESH does not exist, ABORT!" + status=1 + [[ $status -ne 0 ]] && exit $status +fi + +# Generate prepbufr files from dumps or copy from OPS +if [ $DO_MAKEPREPBUFR = "YES" ]; then + $DRIVE_MAKEPREPBUFRSH + [[ $status -ne 0 ]] && exit $status +else + $NCP $COMIN_OBS/${OPREFIX}prepbufr $COMOUT/${OPREFIX}prepbufr + $NCP $COMIN_OBS/${OPREFIX}prepbufr.acft_profiles $COMOUT/${OPREFIX}prepbufr.acft_profiles +fi + +############################################################### +# Exit out cleanly +exit 0 diff --git a/model/fv3gfs/jobs/vrfy.sh b/model/fv3gfs/jobs/vrfy.sh new file mode 100755 index 0000000..5be8041 --- /dev/null +++ b/model/fv3gfs/jobs/vrfy.sh @@ -0,0 +1,132 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-08-24 22:05:14 +0000 (Thu, 24 Aug 2017) $ +# $Revision: 96869 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: vrfy.sh 96869 2017-08-24 22:05:14Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 + +## Abstract: +## Inline verification and diagnostics driver script +## EXPDIR : /full/path/to/config/files +## CDATE : current analysis date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +############################################################### + +############################################################### +# Source relevant configs +configs="base vrfy" +for config in $configs; do + . $EXPDIR/config.${config} + status=$? + [[ $status -ne 0 ]] && exit $status +done + +############################################################### +# Source machine runtime environment +. $BASE_ENV/${machine}.env vrfy +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### + +export PDY=$(echo $CDATE | cut -c1-8) +export cyc=$(echo $CDATE | cut -c9-10) +export CDATEm1=$($NDATE -24 $CDATE) +export PDYm1=$(echo $CDATEm1 | cut -c1-8) + +export COMIN="$ROTDIR/$CDUMP.$PDY/$cyc" +export DATAROOT="$STMP/RUNDIRS/$PSLOT/$CDATE/$CDUMP" +[[ -d $DATAROOT/vrfy ]] && rm -rf $DATAROOT/vrfy +mkdir -p $DATAROOT/vrfy +cd $DATAROOT/vrfy + +############################################################### +# Verify Fits +if [ $VRFYFITS = "YES" -a $CDUMP = $CDFNL ]; then + + export CDUMPFCST=$VDUMP + export TMPDIR="$RUNDIR/$CDUMP/$CDATE/vrfy/fit2obs/tmpdir" + [[ ! -d $TMPDIR ]] && mkdir -p $TMPDIR + + $PREPQFITSH $PSLOT $CDATE $ROTDIR $ARCDIR $TMPDIR + +fi + +############################################################### +# Run VSDB Step1, Verify precipitation and Grid2Obs +# VSDB_STEP1 and VRFYPRCP works +if [ $CDUMP = "gfs" ]; then + + if [ $VSDB_STEP1 = "YES" -o $VRFYPRCP = "YES" -o $VRFYG2OBS = "YES" ]; then + + xdate=$(echo $($NDATE -${BACKDATEVSDB} $CDATE) | cut -c1-8) + export ARCDIR1="$NOSCRUB/archive" + export rundir="$RUNDIR/$CDUMP/$CDATE/vrfy/vsdb_exp" + export COMROT="$ROTDIR/vrfyarch/dummy" # vrfyarch/dummy is required because of clumsiness in mkup_rain_stat.sh + + $VSDBSH $xdate $xdate $vlength $cyc $PSLOT $CDATE $CDUMP $gfs_cyc + + fi +fi + +############################################################### +# Run RadMon data extraction +if [ $VRFYRAD = "YES" -a $CDUMP = $CDFNL ]; then + + export EXP=$PSLOT + export COMOUT="$ROTDIR/$CDUMP.$PDY/$cyc" + export jlogfile="$ROTDIR/logs/$CDATE/${CDUMP}radmon.log" + export TANKverf_rad="$TANKverf/stats/$PSLOT/$CDUMP.$PDY" + export TANKverf_radM1="$TANKverf/stats/$PSLOT/$CDUMP.$PDYm1" + export MY_MACHINE=$machine + + $VRFYRADSH + +fi + +############################################################### +# Run MinMon +if [ $VRFYMINMON = "YES" ]; then + + export COMOUT="$ROTDIR/$CDUMP.$PDY/$cyc" + export DATA_IN="$DATAROOT/minmon.$CDATE" + export jlogfile="$ROTDIR/logs/$CDATE/${CDUMP}minmon.log" + export M_TANKverfM0="$M_TANKverf/stats/$PSLOT/$CDUMP.$PDY" + export M_TANKverfM1="$M_TANKverf/stats/$PSLOT/$CDUMP.$PDYm1" + export MY_MACHINE=$machine + + $VRFYMINSH + +fi + +################################################################################ +# Verify tracks +if [ $VRFYTRAK = "YES" ]; then + + export DATA="${DATAROOT}/tracker" + export COMOUT=$ARCDIR + + $TRACKERSH $CDATE $CDUMP $COMOUT $DATA + +fi + +################################################################################ +# Verify genesis +if [ $VRFYGENESIS = "YES" -a $CDUMP = "gfs" ]; then + + export DATA="${DATAROOT}/genesis_tracker" + export COMOUT=$ARCDIR + export gfspara=$COMIN + + $GENESISSH $CDATE $CDUMP $COMOUT $DATA + +fi + +############################################################### +# Force Exit out cleanly +exit 0 diff --git a/model/fv3gfs/runtime.yaml b/model/fv3gfs/runtime.yaml index c56d0aa..17019c4 100644 --- a/model/fv3gfs/runtime.yaml +++ b/model/fv3gfs/runtime.yaml @@ -7,6 +7,6 @@ clock: &clock !Clock end: 2017-08-20t18:00:00 step: !timedelta 06:00 now: !FirstTrue - - do: !calc tools.from_YMDH(tools.env('YMDH')) - when: !calc tools.have_env('YMDH') + - do: !calc tools.from_YMDH(tools.env('CDATE')) + when: !calc tools.have_env('CDATE') - otherwise: null diff --git a/model/fv3gfs/template.yaml b/model/fv3gfs/template.yaml index 0008dad..24357d0 100644 --- a/model/fv3gfs/template.yaml +++ b/model/fv3gfs/template.yaml @@ -159,19 +159,19 @@ VRFY_CDUMP_GFS_VARS: &VRFY_CDUMP_GFS_VARS !Template default: 24 vsdbsave: type: string - default: !expand "${NOSCRUB}/archive/vsdb_data" + default: !expand "{NOSCRUB}/archive/vsdb_data" vsdbhome: type: string - default: !expand "${BASE_VERIF}" + default: !expand "{BASE_VERIF}" VSDBSH=: type: string - default: !expand "${vsdbhome}/vsdbjob.sh" + default: !expand "{vsdbhome}/vsdbjob.sh" vlength=: type: string - default: !expand "${FHMAX_GFS}" + default: !expand "{FHMAX_GFS}" vhr_rain: type: string - default: !expand "${FHMAX_GFS}" + default: !expand "{FHMAX_GFS}" ftyplist: type: string default: "pgbq" From 9b809e7bc726388c2cc06f932e785aa1659352e7 Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Fri, 13 Oct 2017 16:23:45 +0000 Subject: [PATCH 121/487] minor change --- model/fv3gfs/actions.yaml | 12 ++++++++++-- model/fv3gfs/case.yaml | 8 ++++++++ 2 files changed, 18 insertions(+), 2 deletions(-) diff --git a/model/fv3gfs/actions.yaml b/model/fv3gfs/actions.yaml index 26edfde..af20dc8 100644 --- a/model/fv3gfs/actions.yaml +++ b/model/fv3gfs/actions.yaml @@ -8,9 +8,9 @@ ecen: &ecen_action !Action # ---------------------------------------- # From config.resources - walltime: !timedelta 00:30:00 + wtime_ecen: !timedelta 00:30:00 # was "walltime", renamed to align with resources: !calc run_ecen - rocoto_memory: "3072M" + memory_ecen: "3072M" # previously "rocoto_memory", renamed to align with current script # Each command (APRUN_whatever) in config.resources needs a # run_whatever entry in the corresponding action. @@ -20,10 +20,18 @@ ecen: &ecen_action !Action # From config.ecen ENKFRECENSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_recenter_fv3gfs.sh.ecf" nth_ecen: 2 + APRUN_CHGRES: "time" + APRUN_ECEN: "'mpirun -np 84'" + ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" + BASE_ENV: !expand "{BASE_FV3GFS}/gfs_workflow.v15.0.0/env" + BASE_JOB: !expand "{BASE_WORKFLOW}/jobs" CHGRESSH: !expand "{BASE_GSM}/ush/global_chgres_GSM.sh" CHGRESEXEC: !expand "{BASE_GSM}/exec/global_chgres_GSM" CHGRESVARS_ENKF: "use_ufo=.true.,nopdpvv=.true." CHGRESTHREAD: 12 + CHGRP_CMD: "'chgrp rstprod'" + DONST: NO + KEEPDATA: NO J_JOB: ecen accounting: !calc doc.case.cpu_project diff --git a/model/fv3gfs/case.yaml b/model/fv3gfs/case.yaml index e973a8c..8f0c5ad 100644 --- a/model/fv3gfs/case.yaml +++ b/model/fv3gfs/case.yaml @@ -59,12 +59,20 @@ options: &options FHMAX: 9 # GDAS forecast length in hours FHOUT: 3 # GDAS forecast output frequency in hours + # ENKF cycle info + FHMIN_ENKF: 3 + FHMAX_ENKF: 9 + FHOUT_ENKF: 3 + # GFS cycle info gfs_cyc: 4 # 0: no GFS cycle, 1: 00Z only, 2: 00Z and 12Z only, 4: all 4 cycles FHMIN_GFS: 0 # GFS forecast initial hour FHMAX_GFS: 120 # GFS forecast length in hours FHOUT_GFS: 6 # GFS forecast output frequency in hours + FHMAX_HF_GFS: 0 + FHOUT_HF_GFS: 1 + # Shared parameters/switches DOHYBVAR: "YES" NMEM_ENKF: 80 From 5fa1778251c2532d137324f263ecc0e76c16fcc4 Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Fri, 13 Oct 2017 16:38:14 +0000 Subject: [PATCH 122/487] adding variables --- model/fv3gfs/2016100200/gdasecen_00 | 24 ++++++++++++------------ model/fv3gfs/platform.yaml | 13 +++++++++++++ 2 files changed, 25 insertions(+), 12 deletions(-) diff --git a/model/fv3gfs/2016100200/gdasecen_00 b/model/fv3gfs/2016100200/gdasecen_00 index bb9e960..383cb4f 100644 --- a/model/fv3gfs/2016100200/gdasecen_00 +++ b/model/fv3gfs/2016100200/gdasecen_00 @@ -63,26 +63,26 @@ config="ecen" # shell-local #export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" in case.yaml #export KEEPDATA="NO" added to action.yaml #export LEVS="65" -export MPI_BUFS_PER_HOST="2048" -export MPI_BUFS_PER_PROC="2048" -export MPI_GROUP_MAX="256" -export MPI_MEMMAP_OFF="1" -export MP_STDOUTMODE="ORDERED" +#export MPI_BUFS_PER_HOST="2048" added to platform.yaml +#export MPI_BUFS_PER_PROC="2048" added to platform.yaml +#export MPI_GROUP_MAX="256" added to platform.yaml +#export MPI_MEMMAP_OFF="1" added to platform.yaml +#export MP_STDOUTMODE="ORDERED" added to platform.yaml #export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" +#export NCO_NAMING_CONV="YES" added to platform.yaml +#export NCP="'/bin/cp -p'" added to platform.yaml #export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" in platform.yaml #export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" in platform.yaml #export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" in platform.yaml -export NLN="'/bin/ln -sf'" +#export NLN="'/bin/ln -sf'" added to platform.yaml #export NMEM_ENKF="80" in case.yaml -export NMV="/bin/mv" +#export NMV="/bin/mv" added to platform.yaml #export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" in case.yaml #export NTHREADS_ECEN="2" in platform.yaml -export NTHSTACK="1024000000" +#export NTHSTACK="1024000000" added to platform.yaml #export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" in platform.yaml -export OMP_STACKSIZE="2048000" -export OUTPUT_GRID="gaussian_grid" +#export OMP_STACKSIZE="2048000" added to platform.yaml +#export OUTPUT_GRID="gaussian_grid" added to platform.yaml #export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" in platform.yaml #export PSLOT="wham" in case.yaml as experiment_name #export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" in case.yaml diff --git a/model/fv3gfs/platform.yaml b/model/fv3gfs/platform.yaml index 20db3cc..78e2e3e 100644 --- a/model/fv3gfs/platform.yaml +++ b/model/fv3gfs/platform.yaml @@ -9,7 +9,15 @@ resource_defaults: &resource_defaults # THEIA.env: nth_max=$(($npe_node_max / $npe_node_fcst)) # export NTHREADS_ECEN=$nth_max + MPI_BUFS_PER_HOST: 2048 + MPI_BUFS_PER_PROC: 2048 + MPI_GROUP_MAX: 256 + MPI_MEMMAP_OFF: 1 + MP_STDOUTMODE: "ORDERED" OMP_NUM_THREADS: max + NTHSTACK: 1024000000 + OMP_STACKSIZE: 2048000 + OUTPUT_GRID: "gaussian_grid" run_chgres: - exe: time @@ -138,6 +146,11 @@ theia: &theia !Platform GRBINDEX2: !expand "{NWPROD}/util/exec/grb2index" CNVGRIB: "/apps/cnvgrib/1.4.0/bin/cnvgrib" + NCO_NAMING_CONV: YES + NCP: "'/bin/cp -p'" + NLN: "'/bin/ln -sf'" + NMV: "/bin/mv" + default_cpu_project: fv3-cpu # Path to pan_df, the program used to get Panasas disk usage information: pan_df: pan_df From 5e822396edec11ead9b6cf6ec74acaf6a7bf2603 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 13 Oct 2017 18:16:01 +0000 Subject: [PATCH 123/487] remove scratch file #ecen.sh# --- model/fv3gfs/jobs/#ecen.sh# | 59 ------------------------------------- 1 file changed, 59 deletions(-) delete mode 100755 model/fv3gfs/jobs/#ecen.sh# diff --git a/model/fv3gfs/jobs/#ecen.sh# b/model/fv3gfs/jobs/#ecen.sh# deleted file mode 100755 index d935469..0000000 --- a/model/fv3gfs/jobs/#ecen.sh# +++ /dev/null @@ -1,59 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-08-16 21:42:24 +0000 (Wed, 16 Aug 2017) $ -# $Revision: 96658 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: ecen.sh 96658 2017-08-16 21:42:24Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 - -## Abstract: -## Ensemble recentering driver script -## EXPDIR : /full/path/to/config/files -## CDATE : current analysis date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -############################################################### - -############################################################### -# Source relevant configs - -$CROW_SH export:true scope:ecen "all:[A-Z][A-Z0-9_]+" - -############################################################### -# Source machine runtime environment -. $BASE_ENV/${machine}.env ecen -status=$? -[[ $status -ne 0 ]] && exit $status - -############################################################### -# Set script and dependency variables -export GDATE=$($NDATE -$assim_freq $CDATE) - -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) -gymd=$(echo $GDATE | cut -c1-8) -ghh=$(echo $GDATE | cut -c9-10) - -export APREFIX="${CDUMP}.t${chh}z." -export ASUFFIX=".nemsio" -export GPREFIX="${CDUMP}.t${ghh}z." -export GSUFFIX=".nemsio" - -export COMIN="$ROTDIR/$CDUMP.$cymd/$chh" -export COMIN_ENS="$ROTDIR/enkf.$CDUMP.$cymd/$chh" -export COMIN_GES_ENS="$ROTDIR/enkf.$CDUMP.$gymd/$ghh" -export DATA="$RUNDIR/$CDATE/$CDUMP/ecen" -[[ -d $DATA ]] && rm -rf $DATA - -############################################################### -# Run relevant exglobal script -$ENKFRECENSH -status=$? -[[ $status -ne 0 ]] && exit $status - -############################################################### -# Exit out cleanly -exit 0 From 6710b0e6206421cb4a569df94b4636668754a5d1 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 13 Oct 2017 18:49:18 +0000 Subject: [PATCH 124/487] rearrange and rename variables so that setup_expt.py runs --- model/fv3gfs/actions.yaml | 35 ++++++++++++++++++----------------- model/fv3gfs/case.yaml | 2 +- model/fv3gfs/platform.yaml | 26 ++++++++++++++++---------- model/fv3gfs/workflow.yaml | 2 +- 4 files changed, 36 insertions(+), 29 deletions(-) diff --git a/model/fv3gfs/actions.yaml b/model/fv3gfs/actions.yaml index af20dc8..52ebdfd 100644 --- a/model/fv3gfs/actions.yaml +++ b/model/fv3gfs/actions.yaml @@ -8,9 +8,10 @@ ecen: &ecen_action !Action # ---------------------------------------- # From config.resources - wtime_ecen: !timedelta 00:30:00 # was "walltime", renamed to align with - resources: !calc run_ecen - memory_ecen: "3072M" # previously "rocoto_memory", renamed to align with current script + walltime: !timedelta 00:30:00 # was "walltime", renamed to align with + resources: !calc run_ecen.resources + resource_env: !calc run_ecen.env + memory: "3072M" # previously "rocoto_memory", renamed to align with current script # Each command (APRUN_whatever) in config.resources needs a # run_whatever entry in the corresponding action. @@ -33,14 +34,14 @@ ecen: &ecen_action !Action DONST: NO KEEPDATA: NO J_JOB: ecen - accounting: !calc doc.case.cpu_project + accounting: !calc doc.options.cpu_project anal: &anal_action !Action <<: *action_template J_JOB: anal walltime: !timedelta 01:30:00 resources: !calc run_anal - rocoto_memory: "3072M" + memory: "3072M" ANALYSISSH: !expand "{BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" GSIEXEC: !expand "{BASE_GSI}/exec/global_gsi" npe_gsi: !expand "{npe_anal}" @@ -53,7 +54,7 @@ epos: &epos_action !Action J_JOB: epos walltime: !timedelta 00:15:00 resources: !calc run_epos - rocoto_memory: "3072M" + memory: "3072M" ENKFPOSTSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_post_fv3gfs.sh.ecf" nth_epos: 2 accounting: !calc doc.platform.parallel_accounting @@ -63,7 +64,7 @@ eobs: &eobs_action !Action J_JOB: eobs walltime: !timedelta 00:15:00 resources: !calc run_eobs - rocoto_memory: "3072M" + memory: "3072M" INVOBSSH: !expand "{BASE_GSI}/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" ENKFINVOBSSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" NMEM_EOMGGRP: 10 @@ -81,7 +82,7 @@ eomg: &eomg_action !Action J_JOB: eomg walltime: !timedelta 00:15:00 resources: !calc run_eomg - rocoto_memory: "3072M" + memory: "3072M" nth_eomg: 2 accounting: !calc doc.platform.parallel_accounting @@ -90,7 +91,7 @@ eupd: &eupd_action !Action J_JOB: eupd walltime: !timedelta 00:15:00 resources: !calc run_eupd - rocoto_memory: "3072M" + memory: "3072M" ENKFUPDSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_update_fv3gfs.sh.ecf" ENKFEXEC: !expand "{BASE_GSI}/exec/global_enkf" npe_enkf: !expand "{npe_eupd}" @@ -103,7 +104,7 @@ efcs: &efcs_action !Action J_JOB: efcs walltime: !timedelta 00:15:00 resources: !calc run_efcs - rocoto_memory: "3072M" + memory: "3072M" npe_fv3: !expand "{npe_efcs}" nth_fv3: 1 accounting: !calc doc.platform.parallel_accounting @@ -138,7 +139,7 @@ earc: &earc_action !Action J_JOB: earc walltime: !timedelta 00:15:00 resources: !calc run_earc - rocoto_memory: "3072M" + memory: "3072M" NMEM_EARCGRP: 10 nth_earc: 2 accounting: !calc doc.platform.transfer_accounting @@ -147,7 +148,7 @@ final: &final_action !Action <<: *action_template walltime: !timedelta 00:03:00 resources: !calc run_nothing - rocoto_memory: "100M" + memory: "100M" accounting: !calc doc.platform.serial_accounting J_JOB: /bin/true @@ -156,7 +157,7 @@ prep: &prep_action !Action J_JOB: prep walltime: !timedelta 00:10:00 resources: !calc run_prep - rocoto_memory: "3072M" + memory: "3072M" DO_RELOCATE: "NO" DO_MAKEPREPBUFR: "YES" # if NO, will copy prepbufr from globaldump DRIVE_MAKEPREPBUFRSH: !expand "{BASE_GSM}/ush/drive_makeprepbufr.sh" @@ -168,7 +169,7 @@ fcst: &fcst_action !Action J_JOB: fcst walltime: !timedelta 00:10:00 resources: !calc run_fcst - rocoto_memory: "3072M" + memory: "3072M" FORECASTSH: !expand "{BASE_GSM}/scripts/exglobal_fcst_nemsfv3gfs.sh" FCSTEXECDIR: !expand "{BASE_NEMSfv3gfs}/NEMS/exe" FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" @@ -216,7 +217,7 @@ post: &post_action !Action J_JOB: post walltime: !timedelta 00:15:00 resources: !calc run_post - rocoto_memory: "3072M" + memory: "3072M" POSTJJOBSH: !expand "{BASE_WORKFLOW}/jobs/JGFS_POST.sh" POSTGPSH: !expand "{BASE_POST}/ush/global_nceppost.sh" POSTGPEXEC: !expand "{BASE_POST}/exec/ncep_post" @@ -236,7 +237,7 @@ arch: &arch_action !Action J_JOB: arch walltime: !timedelta 06:00:00 resources: !calc run_arch - rocoto_memory: "3072M" + memory: "3072M" nth_arch: 2 accounting: !calc doc.platform.transfer_accounting @@ -246,7 +247,7 @@ vrfy: &vrfy_action !Action Template: *vrfy_template walltime: !timedelta 01:00:00 resources: !calc run_vrfy - rocoto_memory: "3072M" + memory: "3072M" accounting: !calc doc.platform.parallel_accounting # CDUMP: "gfs" VDUMP: "gfs" # verifying dump diff --git a/model/fv3gfs/case.yaml b/model/fv3gfs/case.yaml index 8f0c5ad..24e9e8c 100644 --- a/model/fv3gfs/case.yaml +++ b/model/fv3gfs/case.yaml @@ -1,5 +1,5 @@ places: &places - PROJECT_DIR: /scratch4/NCEPDEV/ocean/scrub + PROJECT_DIR: /scratch4/NCEPDEV/nems/ HOMEDIR: !expand "{PROJECT_DIR}/save/{tools.env('USER')}" STMP: !expand "{doc.platform.least_used_scrub}{tools.env('USER')}" PTMP: !expand "{doc.platform.least_used_scrub}{tools.env('USER')}" diff --git a/model/fv3gfs/platform.yaml b/model/fv3gfs/platform.yaml index 78e2e3e..b35bc9c 100644 --- a/model/fv3gfs/platform.yaml +++ b/model/fv3gfs/platform.yaml @@ -1,24 +1,30 @@ resource_defaults: &resource_defaults - # From if[[...ecen]] block in config.resources: - run_ecen: - - exe: placeholder - mpi_ranks: 84 - # max_ppn comes from THEIA.env: 84/12 = 7 - max_ppn: 7 - # THEIA.env: nth_max=$(($npe_node_max / $npe_node_fcst)) - # export NTHREADS_ECEN=$nth_max + mpi_tuning: &mpi_tuning MPI_BUFS_PER_HOST: 2048 MPI_BUFS_PER_PROC: 2048 MPI_GROUP_MAX: 256 MPI_MEMMAP_OFF: 1 MP_STDOUTMODE: "ORDERED" - OMP_NUM_THREADS: max NTHSTACK: 1024000000 OMP_STACKSIZE: 2048000 - OUTPUT_GRID: "gaussian_grid" + # From if[[...ecen]] block in config.resources: + run_ecen: + env: *mpi_tuning + resources: + - exe: placeholder + mpi_ranks: 84 + # max_ppn comes from THEIA.env: 84/12 = 7 + max_ppn: 7 + OMP_NUM_THREADS: max + + - exe: placeholder + mpi_ranks: 24 + max_ppn: 24 + OMP_NUM_THREADS: 1 + run_chgres: - exe: time OMP_NUM_THREADS: max diff --git a/model/fv3gfs/workflow.yaml b/model/fv3gfs/workflow.yaml index d89bdfb..d64bee6 100644 --- a/model/fv3gfs/workflow.yaml +++ b/model/fv3gfs/workflow.yaml @@ -29,7 +29,7 @@ Rocoto: &Rocoto {doc.options.experiment_name}{task_path_str}@@Y@m@d@H &LOG_DIR;/@Y@m@d@H/{task_path_var}.log {Perform.walltime} - {Perform.rocoto_memory} + {Perform.memory} {sched.rocoto_accounting(Perform.accounting)} {sched.rocoto_resources(Perform.resources)} CDATE@Y@m@d@H From 2d53fa6a648676ca9e6030564e67f6446d127b94 Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Fri, 13 Oct 2017 18:52:31 +0000 Subject: [PATCH 125/487] adding variables --- model/fv3gfs/actions.yaml | 18 ++++++++++++++++-- 1 file changed, 16 insertions(+), 2 deletions(-) diff --git a/model/fv3gfs/actions.yaml b/model/fv3gfs/actions.yaml index af20dc8..09fbc13 100644 --- a/model/fv3gfs/actions.yaml +++ b/model/fv3gfs/actions.yaml @@ -32,21 +32,35 @@ ecen: &ecen_action !Action CHGRP_CMD: "'chgrp rstprod'" DONST: NO KEEPDATA: NO + QUILTING: YES + OUTPUT_GRID: "gaussian_grid" + SMOOTH_ENKF: YES + VERBOSE: YES + WRITE_NEMSIOFILE: YES + assim_freq: 6 J_JOB: ecen accounting: !calc doc.case.cpu_project anal: &anal_action !Action <<: *action_template J_JOB: anal - walltime: !timedelta 01:30:00 + walltime: !timedelta 02:00:00 resources: !calc run_anal - rocoto_memory: "3072M" + memory: "3072M" ANALYSISSH: !expand "{BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" GSIEXEC: !expand "{BASE_GSI}/exec/global_gsi" npe_gsi: !expand "{npe_anal}" nth_gsi: 4 nth_anal: 2 accounting: !calc doc.platform.parallel_accounting + QUILTING: YES + SMOOTH_ENKF: YES + VERBOSE: YES + WRITE_NEMSIOFILE: YES + assim_freq: 6 + OUTPUT_GRID: "gaussian_grid" + NTHREADS_CALCINC: 1 + NTHREADS_GSI: 4 epos: &epos_action !Action <<: *action_template From 46cd433ec07212edb3b4d63ccd56dfcf46d80214 Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Fri, 13 Oct 2017 20:43:30 +0000 Subject: [PATCH 126/487] keep adding variables --- model/fv3gfs/2016100200/gdasanal_00 | 172 ++++++++++---------- model/fv3gfs/2016100200/gdasarch_00 | 118 +++++++------- model/fv3gfs/2016100200/gdasearc00_00 | 118 +++++++------- model/fv3gfs/2016100200/gdasearc00_00_test | 98 +++++++++++ model/fv3gfs/2016100200/gdasearc01_00 | 118 +++++++------- model/fv3gfs/2016100200/gdasearc02_00 | 118 +++++++------- model/fv3gfs/2016100200/gdasearc03_00 | 118 +++++++------- model/fv3gfs/2016100200/gdasearc04_00 | 118 +++++++------- model/fv3gfs/2016100200/gdasearc05_00 | 118 +++++++------- model/fv3gfs/2016100200/gdasearc06_00 | 118 +++++++------- model/fv3gfs/2016100200/gdasearc07_00 | 98 ----------- model/fv3gfs/2016100200/gdasearc08_00 | 118 +++++++------- model/fv3gfs/2016100200/gdasecen_00 | 166 +++++++++---------- model/fv3gfs/2016100200/gdasefcs01_00 | 132 +++++++-------- model/fv3gfs/2016100200/gdasefcs02_00 | 132 +++++++-------- model/fv3gfs/2016100200/gdasefcs03_00 | 132 +++++++-------- model/fv3gfs/2016100200/gdasefcs04_00 | 132 +++++++-------- model/fv3gfs/2016100200/gdasefcs05_00 | 132 +++++++-------- model/fv3gfs/2016100200/gdasefcs06_00 | 132 +++++++-------- model/fv3gfs/2016100200/gdasefcs07_00 | 132 +++++++-------- model/fv3gfs/2016100200/gdasefcs08_00 | 132 +++++++-------- model/fv3gfs/2016100200/gdaseobs_00 | 132 +++++++-------- model/fv3gfs/2016100200/gdaseomg01_00 | 132 +++++++-------- model/fv3gfs/2016100200/gdaseomg02_00 | 132 +++++++-------- model/fv3gfs/2016100200/gdaseomg03_00 | 132 +++++++-------- model/fv3gfs/2016100200/gdaseomg04_00 | 132 +++++++-------- model/fv3gfs/2016100200/gdaseomg05_00 | 132 +++++++-------- model/fv3gfs/2016100200/gdaseomg06_00 | 132 +++++++-------- model/fv3gfs/2016100200/gdaseomg07_00 | 132 +++++++-------- model/fv3gfs/2016100200/gdaseomg08_00 | 132 +++++++-------- model/fv3gfs/2016100200/gdasepos_00 | 148 +++++++++-------- model/fv3gfs/2016100200/gdasepos_00_defined | 110 +++++++++++++ model/fv3gfs/2016100200/gdaseupd_00 | 132 +++++++-------- model/fv3gfs/2016100200/gdasfcst_00 | 132 +++++++-------- model/fv3gfs/2016100200/gdaspost_00 | 132 +++++++-------- model/fv3gfs/2016100200/gdasprep_00 | 132 +++++++-------- model/fv3gfs/2016100200/gdasvrfy_00 | 132 +++++++-------- model/fv3gfs/2016100200/gfsanal_00 | 132 +++++++-------- model/fv3gfs/2016100200/gfsarch_00 | 118 +++++++------- model/fv3gfs/2016100200/gfsfcst_00 | 132 +++++++-------- model/fv3gfs/2016100200/gfspost_00 | 132 +++++++-------- model/fv3gfs/2016100200/gfsprep_00 | 132 +++++++-------- model/fv3gfs/2016100200/gfsvrfy_00 | 132 +++++++-------- model/fv3gfs/actions.yaml | 18 +- model/fv3gfs/platform.yaml | 7 +- 45 files changed, 2842 insertions(+), 2719 deletions(-) create mode 100644 model/fv3gfs/2016100200/gdasearc00_00_test create mode 100644 model/fv3gfs/2016100200/gdasepos_00_defined diff --git a/model/fv3gfs/2016100200/gdasanal_00 b/model/fv3gfs/2016100200/gdasanal_00 index be4cc99..a74b9c3 100644 --- a/model/fv3gfs/2016100200/gdasanal_00 +++ b/model/fv3gfs/2016100200/gdasanal_00 @@ -17,92 +17,92 @@ configs="'base anal'" # shell-local # Variable changes after modules: config="anal" # shell-local -export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" -export APRUN_CALCINC="'mpirun -np $ncmd'" -export APRUN_GSI="'mpirun -np 144'" -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" -export DONST="NO" -export EDATE="2016100200" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" -export LEVS="65" -export MPI_BUFS_PER_HOST="2048" -export MPI_BUFS_PER_PROC="2048" -export MPI_GROUP_MAX="256" -export MPI_MEMMAP_OFF="1" -export MP_STDOUTMODE="ORDERED" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NTHREADS_CALCINC="1" -export NTHREADS_GSI="4" -export NTHSTACK="1024000000" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OMP_STACKSIZE="2048000" -export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" -export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." -export assim_freq="6" +#export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" +#export APRUN_CALCINC="'mpirun -np $ncmd'" +#export APRUN_GSI="'mpirun -np 144'" +## in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +#export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +#export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +## in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +#export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +## in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +## in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +## in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +## in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +## in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +## in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +## in case.yaml export CASE="C192" in case.yaml +## in case.yaml export CASE_ENKF="C96" in case.yaml +#export CHGRP_CMD="'chgrp rstprod'" +## in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" in platform.yaml +## in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" in platform.yaml +## in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" in platform.yaml +## in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" in platform.yaml +## in case.yaml export DOHYBVAR="YES" in case.yaml +#export DONST="NO" +## in case.yaml export EDATE="2016100200" +## in case.yaml export FHCYC="24" +## in case.yaml export FHMAX="9" +## in case.yaml export FHMAX_ENKF="9" +## in case.yaml export FHMAX_GFS="240" +## in case.yaml export FHMAX_HF_GFS="0" +## in case.yaml export FHMIN="0" +## in case.yaml export FHMIN_ENKF="3" +## in case.yaml export FHMIN_GFS="0" +## in case.yaml export FHOUT="3" +## in case.yaml export FHOUT_ENKF="3" +## in case.yaml export FHOUT_GFS="6" +## in case.yaml export FHOUT_HF_GFS="1" +## in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +## in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +## in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +## in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +#export KEEPDATA="NO" +## in case.yaml export LEVS="65" +## in platform.yaml export MPI_BUFS_PER_HOST="2048" +## in platform.yaml export MPI_BUFS_PER_PROC="2048" +## in platform.yaml export MPI_GROUP_MAX="256" +## in platform.yaml export MPI_MEMMAP_OFF="1" +## in platform.yaml export MP_STDOUTMODE="ORDERED" +## in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +## in platform.yaml export NCO_NAMING_CONV="YES" in platform.yaml +## in platform.yaml export NCP="'/bin/cp -p'" +## in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +## in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +## in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +## in platform.yaml export NLN="'/bin/ln -sf'" +## in case.yaml export NMEM_ENKF="80" +## in platform.yaml export NMV="/bin/mv" +## in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +#export NTHREADS_CALCINC="1" in actions.yaml +#export NTHREADS_GSI="4" in actions.yaml +#export NTHSTACK="1024000000" +## in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +## in platform.yaml export OMP_STACKSIZE="2048000" +#export OUTPUT_GRID="gaussian_grid" in actions.yaml +## in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +## in case.yaml export PSLOT="wham" +## in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +#export QUILTING=".true." in action.yaml +## in case.yaml export REALTIME="NO" +## in case.yaml export RECENTER_ENKF="YES" +## in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +## in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +## in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +## in case.yaml export SDATE="2016100100" +#export SMOOTH_ENKF="YES" in actions.yaml +## in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +#export VERBOSE="YES" in actions.yaml +## in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +## in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +#export WRITE_NEMSIOFILE=".true." in actions.yaml +#export assim_freq="6" in actions.yaml exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export launcher="'mpirun -np'" -export lwrite4danl=".false." -export memory_anal="3072M" +## in case.yaml export gfs_cyc="1" in case.yaml +## in case.yaml export l4densvar=".false." in case.yaml +## in platform.yaml export launcher="'mpirun -np'" in platform.yaml +## in case.yaml export lwrite4danl=".false." in case.yaml +#export memory_anal="3072M" export npe_anal="144" export npe_gsi="144" export npe_node_anal="6" @@ -112,4 +112,4 @@ export nth_gsi="4" nth_max="4" # shell-local status="0" # shell-local step="anal" # shell-local -export wtime_anal="02:00:00" +#export wtime_anal="02:00:00" diff --git a/model/fv3gfs/2016100200/gdasarch_00 b/model/fv3gfs/2016100200/gdasarch_00 index f28f407..abc7441 100644 --- a/model/fv3gfs/2016100200/gdasarch_00 +++ b/model/fv3gfs/2016100200/gdasarch_00 @@ -17,78 +17,78 @@ configs="'base arch'" # shell-local # Variable changes after modules: config="arch" # shell-local -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" -export EDATE="2016100200" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in case.yaml export EDATE="2016100200" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export KEEPDATA="NO" -export LEVS="65" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in case.yaml export LEVS="65" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" +# in case.yaml export NMEM_ENKF="80" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_NEMSIOFILE=".true." export assim_freq="6" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export lwrite4danl=".false." +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in case.yaml export lwrite4danl=".false." export npe_arch="1" export npe_node_arch="1" export nst_anl=".true." diff --git a/model/fv3gfs/2016100200/gdasearc00_00 b/model/fv3gfs/2016100200/gdasearc00_00 index 873cfc7..2c03f80 100644 --- a/model/fv3gfs/2016100200/gdasearc00_00 +++ b/model/fv3gfs/2016100200/gdasearc00_00 @@ -17,79 +17,79 @@ configs="'base earc'" # shell-local # Variable changes after modules: config="earc" # shell-local -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" -export EDATE="2016100200" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in case.yaml export EDATE="2016100200" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export KEEPDATA="NO" -export LEVS="65" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" +# in case.yaml export LEVS="65" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" export NMEM_EARCGRP="10" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in case.yaml export NMEM_ENKF="80" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_NEMSIOFILE=".true." export assim_freq="6" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export lwrite4danl=".false." +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in case.yaml export lwrite4danl=".false." export npe_earc="1" export npe_node_earc="1" export nst_anl=".true." diff --git a/model/fv3gfs/2016100200/gdasearc00_00_test b/model/fv3gfs/2016100200/gdasearc00_00_test new file mode 100644 index 0000000..873cfc7 --- /dev/null +++ b/model/fv3gfs/2016100200/gdasearc00_00_test @@ -0,0 +1,98 @@ +# checkit.pl 2016100200%wham_gdasearc00_00 +# Variable changes before modules: +#export ACCOUNT="fv3-cpu" +#BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +#export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +#export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +#export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +#export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +#export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +#HPSS_PROJECT="emc-global" # shell-local +#export QUEUE="batch" +#export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base earc'" # shell-local +#export machine="THEIA" + + +# Variable changes after modules: +config="earc" # shell-local +export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +export CASE="C192" +export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +export DOHYBVAR="YES" +export DONST="NO" +export EDATE="2016100200" +export FHCYC="24" +export FHMAX="9" +export FHMAX_ENKF="9" +export FHMAX_GFS="240" +export FHMAX_HF_GFS="0" +export FHMIN="0" +export FHMIN_ENKF="3" +export FHMIN_GFS="0" +export FHOUT="3" +export FHOUT_ENKF="3" +export FHOUT_GFS="6" +export FHOUT_HF_GFS="1" +export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +export LEVS="65" +export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +export NCO_NAMING_CONV="YES" +export NCP="'/bin/cp -p'" +export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +export NLN="'/bin/ln -sf'" +export NMEM_EARCGRP="10" +export NMEM_ENKF="80" +export NMV="/bin/mv" +export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +export OUTPUT_GRID="gaussian_grid" +export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +export PSLOT="wham" +export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +export REALTIME="NO" +export RECENTER_ENKF="YES" +export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +export SDATE="2016100100" +export SMOOTH_ENKF="YES" +export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VERBOSE="YES" +export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +exetest="htar" # shell-local +export gfs_cyc="1" +export l4densvar=".false." +export lwrite4danl=".false." +export npe_earc="1" +export npe_node_earc="1" +export nst_anl=".true." +status="0" # shell-local +step="earc" # shell-local +export wtime_earc="03:00:00" diff --git a/model/fv3gfs/2016100200/gdasearc01_00 b/model/fv3gfs/2016100200/gdasearc01_00 index 28aec07..70f8aa1 100644 --- a/model/fv3gfs/2016100200/gdasearc01_00 +++ b/model/fv3gfs/2016100200/gdasearc01_00 @@ -17,79 +17,79 @@ export machine="THEIA" # Variable changes after modules: config="earc" # shell-local -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" -export EDATE="2016100200" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in case.yaml export EDATE="2016100200" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export KEEPDATA="NO" -export LEVS="65" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" +# in case.yaml export LEVS="65" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" export NMEM_EARCGRP="10" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in case.yaml export NMEM_ENKF="80" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_NEMSIOFILE=".true." export assim_freq="6" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export lwrite4danl=".false." +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in case.yaml export lwrite4danl=".false." export npe_earc="1" export npe_node_earc="1" export nst_anl=".true." diff --git a/model/fv3gfs/2016100200/gdasearc02_00 b/model/fv3gfs/2016100200/gdasearc02_00 index 2a91caa..a732b03 100644 --- a/model/fv3gfs/2016100200/gdasearc02_00 +++ b/model/fv3gfs/2016100200/gdasearc02_00 @@ -17,79 +17,79 @@ export machine="THEIA" # Variable changes after modules: config="earc" # shell-local -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml # in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" -export EDATE="2016100200" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in case.yaml export EDATE="2016100200" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export KEEPDATA="NO" -export LEVS="65" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" +# in case.yaml export LEVS="65" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" export NMEM_EARCGRP="10" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in case.yaml export NMEM_ENKF="80" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_NEMSIOFILE=".true." export assim_freq="6" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export lwrite4danl=".false." +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in case.yaml export lwrite4danl=".false." export npe_earc="1" export npe_node_earc="1" export nst_anl=".true." diff --git a/model/fv3gfs/2016100200/gdasearc03_00 b/model/fv3gfs/2016100200/gdasearc03_00 index 17e36b0..a70a55e 100644 --- a/model/fv3gfs/2016100200/gdasearc03_00 +++ b/model/fv3gfs/2016100200/gdasearc03_00 @@ -17,79 +17,79 @@ export machine="THEIA" # Variable changes after modules: config="earc" # shell-local -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" -export EDATE="2016100200" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in case.yaml export EDATE="2016100200" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export KEEPDATA="NO" -export LEVS="65" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" +# in case.yaml export LEVS="65" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" export NMEM_EARCGRP="10" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in case.yaml export NMEM_ENKF="80" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_NEMSIOFILE=".true." export assim_freq="6" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export lwrite4danl=".false." +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in case.yaml export lwrite4danl=".false." export npe_earc="1" export npe_node_earc="1" export nst_anl=".true." diff --git a/model/fv3gfs/2016100200/gdasearc04_00 b/model/fv3gfs/2016100200/gdasearc04_00 index 3acf507..9243f4b 100644 --- a/model/fv3gfs/2016100200/gdasearc04_00 +++ b/model/fv3gfs/2016100200/gdasearc04_00 @@ -17,79 +17,79 @@ export machine="THEIA" # Variable changes after modules: config="earc" # shell-local -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" -export EDATE="2016100200" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in case.yaml export EDATE="2016100200" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export KEEPDATA="NO" -export LEVS="65" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" +# in case.yaml export LEVS="65" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" export NMEM_EARCGRP="10" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in case.yaml export NMEM_ENKF="80" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_NEMSIOFILE=".true." export assim_freq="6" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export lwrite4danl=".false." +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in case.yaml export lwrite4danl=".false." export npe_earc="1" export npe_node_earc="1" export nst_anl=".true." diff --git a/model/fv3gfs/2016100200/gdasearc05_00 b/model/fv3gfs/2016100200/gdasearc05_00 index 7253ec7..956bf90 100644 --- a/model/fv3gfs/2016100200/gdasearc05_00 +++ b/model/fv3gfs/2016100200/gdasearc05_00 @@ -17,79 +17,79 @@ export machine="THEIA" # Variable changes after modules: config="earc" # shell-local -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" -export EDATE="2016100200" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in case.yaml export EDATE="2016100200" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export KEEPDATA="NO" -export LEVS="65" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" +# in case.yaml export LEVS="65" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" export NMEM_EARCGRP="10" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in case.yaml export NMEM_ENKF="80" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_NEMSIOFILE=".true." export assim_freq="6" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export lwrite4danl=".false." +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in case.yaml export lwrite4danl=".false." export npe_earc="1" export npe_node_earc="1" export nst_anl=".true." diff --git a/model/fv3gfs/2016100200/gdasearc06_00 b/model/fv3gfs/2016100200/gdasearc06_00 index b495ee9..bb3a5b1 100644 --- a/model/fv3gfs/2016100200/gdasearc06_00 +++ b/model/fv3gfs/2016100200/gdasearc06_00 @@ -17,79 +17,79 @@ export machine="THEIA" # Variable changes after modules: config="earc" # shell-local -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" -export EDATE="2016100200" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in case.yaml export EDATE="2016100200" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export KEEPDATA="NO" -export LEVS="65" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" +# in case.yaml export LEVS="65" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" export NMEM_EARCGRP="10" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in case.yaml export NMEM_ENKF="80" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_NEMSIOFILE=".true." export assim_freq="6" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export lwrite4danl=".false." +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in case.yaml export lwrite4danl=".false." export npe_earc="1" export npe_node_earc="1" export nst_anl=".true." diff --git a/model/fv3gfs/2016100200/gdasearc07_00 b/model/fv3gfs/2016100200/gdasearc07_00 index 5dbd2ce..e69de29 100644 --- a/model/fv3gfs/2016100200/gdasearc07_00 +++ b/model/fv3gfs/2016100200/gdasearc07_00 @@ -1,98 +0,0 @@ -# checkit.pl 2016100200%wham_gdasearc07_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base earc'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="earc" # shell-local -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" -export DONST="NO" -export EDATE="2016100200" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" -export LEVS="65" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" -export NMEM_EARCGRP="10" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" -export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." -export assim_freq="6" -exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export lwrite4danl=".false." -export npe_earc="1" -export npe_node_earc="1" -export nst_anl=".true." -status="0" # shell-local -step="earc" # shell-local -export wtime_earc="03:00:00" diff --git a/model/fv3gfs/2016100200/gdasearc08_00 b/model/fv3gfs/2016100200/gdasearc08_00 index dea8ac7..cde9cdf 100644 --- a/model/fv3gfs/2016100200/gdasearc08_00 +++ b/model/fv3gfs/2016100200/gdasearc08_00 @@ -17,79 +17,79 @@ export machine="THEIA" # Variable changes after modules: config="earc" # shell-local -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" -export EDATE="2016100200" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in case.yaml export EDATE="2016100200" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export KEEPDATA="NO" -export LEVS="65" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" +# in case.yaml export LEVS="65" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" export NMEM_EARCGRP="10" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in case.yaml export NMEM_ENKF="80" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_NEMSIOFILE=".true." export assim_freq="6" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export lwrite4danl=".false." +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in case.yaml export lwrite4danl=".false." export npe_earc="1" export npe_node_earc="1" export nst_anl=".true." diff --git a/model/fv3gfs/2016100200/gdasecen_00 b/model/fv3gfs/2016100200/gdasecen_00 index 383cb4f..9bffaa7 100644 --- a/model/fv3gfs/2016100200/gdasecen_00 +++ b/model/fv3gfs/2016100200/gdasecen_00 @@ -19,97 +19,97 @@ configs="'base ecen'" # shell-local config="ecen" # shell-local #export APRUN_CHGRES="time" added to action.yaml #export APRUN_ECEN="'mpirun -np 84'" added to action.yaml -#export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" in case.yaml +## in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" in case.yaml #export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" added to action.yaml #export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" added to action.yaml -#export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -#export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -#export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -#export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" in case.yaml -#export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -#export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -#export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -#export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -#export CASE="C192" -#export CASE_ENKF="C96" -#export CHGRESEXEC="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/exec/global_chgres_GSM" -#export CHGRESSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/global_chgres_GSM.sh" -#export CHGRESTHREAD="12" -#export CHGRESVARS_ENKF="use_ufo=.true.,nopdpvv=.true." +## in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" in case.yaml +#export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" in actions.yaml +## in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" in case.yaml +## in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" in case.yaml +## in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" in case.yaml +## in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" in case.yaml +## in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" in platform.yaml +## in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" in case.yaml +## in case.yaml export CASE="C192" in case.yaml +## in case.yaml export CASE_ENKF="C96" in case.yaml +#export CHGRESEXEC="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/exec/global_chgres_GSM" in actions.yaml +#export CHGRESSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/global_chgres_GSM.sh" in actions.yaml +#export CHGRESTHREAD="12" in actions.yaml +#export CHGRESVARS_ENKF="use_ufo=.true.,nopdpvv=.true." in actions.yaml #export CHGRP_CMD="'chgrp rstprod'" added to action.yaml -#export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" in platform.yaml -#export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" in platform.yaml -#export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" in platform.yaml -#export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" in platform.yaml -#export DOHYBVAR="YES" -#export DONST="NO" -#export EDATE="2016100200" -#export ENKFRECENSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_recenter_fv3gfs.sh.ecf" -#export FHCYC="24" -#export FHMAX="9" -#export FHMAX_ENKF="9" added to case.yaml -#export FHMAX_GFS="240" -#export FHMAX_HF_GFS="0" added to case.yaml -#export FHMIN="0" -#export FHMIN_ENKF="3" added to case.yaml -#export FHMIN_GFS="0" -#export FHOUT="3" in case.yaml -#export FHOUT_ENKF="3" added to case.yaml -#export FHOUT_GFS="6" -#export FHOUT_HF_GFS="1" added to case.yaml -#export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" in platform.yaml -#export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" in platform.yaml -#export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" in platform.yaml -#export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" in case.yaml +## in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" in platform.yaml +## in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" in platform.yaml +## in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" in platform.yaml +## in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" in platform.yaml +## in case.yaml export DOHYBVAR="YES" in case.yaml +#export DONST="NO" in actions.yaml +## in case.yaml export EDATE="2016100200" in case.yaml +#export ENKFRECENSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_recenter_fv3gfs.sh.ecf" in actions.yaml +## in case.yaml export FHCYC="24" in case.yaml +## in case.yaml export FHMAX="9" in case.yaml +## in case.yaml export FHMAX_ENKF="9" added to case.yaml +## in case.yaml export FHMAX_GFS="240" in case.yaml +## in case.yaml export FHMAX_HF_GFS="0" added to case.yaml +## in case.yaml export FHMIN="0" in case.yaml +## in case.yaml export FHMIN_ENKF="3" added to case.yaml +## in case.yaml export FHMIN_GFS="0" in case.yaml +## in case.yaml export FHOUT="3" in case.yaml +## in case.yaml export FHOUT_ENKF="3" added to case.yaml +## in case.yaml export FHOUT_GFS="6" in case.yaml +## in case.yaml export FHOUT_HF_GFS="1" added to case.yaml +## in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" in platform.yaml +## in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" in platform.yaml +## in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" in platform.yaml +## in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" in case.yaml #export KEEPDATA="NO" added to action.yaml -#export LEVS="65" -#export MPI_BUFS_PER_HOST="2048" added to platform.yaml -#export MPI_BUFS_PER_PROC="2048" added to platform.yaml -#export MPI_GROUP_MAX="256" added to platform.yaml -#export MPI_MEMMAP_OFF="1" added to platform.yaml -#export MP_STDOUTMODE="ORDERED" added to platform.yaml -#export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -#export NCO_NAMING_CONV="YES" added to platform.yaml -#export NCP="'/bin/cp -p'" added to platform.yaml -#export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" in platform.yaml -#export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" in platform.yaml -#export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" in platform.yaml -#export NLN="'/bin/ln -sf'" added to platform.yaml -#export NMEM_ENKF="80" in case.yaml -#export NMV="/bin/mv" added to platform.yaml -#export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" in case.yaml +## in case.yaml export LEVS="65" in case.yaml +## in platform.yaml export MPI_BUFS_PER_HOST="2048" added to platform.yaml +## in platform.yaml export MPI_BUFS_PER_PROC="2048" added to platform.yaml +## in platform.yaml export MPI_GROUP_MAX="256" added to platform.yaml +## in platform.yaml export MPI_MEMMAP_OFF="1" added to platform.yaml +## in platform.yaml export MP_STDOUTMODE="ORDERED" added to platform.yaml +## in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" in platform.yaml +## in platform.yaml export NCO_NAMING_CONV="YES" added to platform.yaml +## in platform.yaml export NCP="'/bin/cp -p'" added to platform.yaml +## in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" in platform.yaml +## in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" in platform.yaml +## in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" in platform.yaml +## in platform.yaml export NLN="'/bin/ln -sf'" added to platform.yaml +## in case.yaml export NMEM_ENKF="80" in case.yaml +## in platform.yaml export NMV="/bin/mv" added to platform.yaml +## in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" in case.yaml #export NTHREADS_ECEN="2" in platform.yaml #export NTHSTACK="1024000000" added to platform.yaml -#export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" in platform.yaml -#export OMP_STACKSIZE="2048000" added to platform.yaml -#export OUTPUT_GRID="gaussian_grid" added to platform.yaml -#export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" in platform.yaml -#export PSLOT="wham" in case.yaml as experiment_name -#export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" in case.yaml -export QUILTING=".true." -#export REALTIME="NO" in case.yaml -#export RECENTER_ENKF="YES" in case.yaml -#export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -#export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" in platform.yaml -#export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -#export SDATE="2016100100" -export SMOOTH_ENKF="YES" -#export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" in case.yaml -export VERBOSE="YES" -#export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" in platform.yaml -#export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" in platform.yaml -export WRITE_NEMSIOFILE=".true." -export assim_freq="6" +## in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" in platform.yaml +## in platform.yaml export OMP_STACKSIZE="2048000" added to platform.yaml +#export OUTPUT_GRID="gaussian_grid" added to actions.yaml +## in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" in platform.yaml +## in case.yaml export PSLOT="wham" in case.yaml as "experiment_name" +## in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" in case.yaml +#export QUILTING=".true." in actions.yaml +## in case.yaml export REALTIME="NO" in case.yaml +## in case.yaml export RECENTER_ENKF="YES" in case.yaml +## in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" in case.yaml +## in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" in platform.yaml +## in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" in case.yaml +## in case.yaml export SDATE="2016100100" in case.yaml +#export SMOOTH_ENKF="YES" in actions.yaml +## in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" in case.yaml +#export VERBOSE="YES" in actions.yaml +## in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" in platform.yaml +## in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" in platform.yaml +#export WRITE_NEMSIOFILE=".true." in actions.yaml +#export assim_freq="6" in actions.yaml exetest="htar" # shell-local -#export gfs_cyc="1" in case.yaml -#export l4densvar=".false." in case.yaml -export launcher="'mpirun -np'" -#export lwrite4danl=".false." in case.yaml +## in case.yaml export gfs_cyc="1" in case.yaml +## in case.yaml export l4densvar=".false." in case.yaml +## in platform.yaml export launcher="'mpirun -np'" in platform.yaml +## in case.yaml export lwrite4danl=".false." in case.yaml #export memory_ecen="3072M" in action.yaml -export npe_ecen="84" -export npe_node_ecen="12" -export npe_node_max="24" -export nst_anl=".true." +#export npe_ecen="84" added to platform.yaml +#export npe_node_ecen="12" added to platform.yaml +#export npe_node_max="24" added to platform.yaml +export nst_anl=".true." added to platform.yaml #export nth_ecen="2" in action.yaml nth_max="2" # shell-local status="0" # shell-local diff --git a/model/fv3gfs/2016100200/gdasefcs01_00 b/model/fv3gfs/2016100200/gdasefcs01_00 index b62e6c4..050ceb0 100644 --- a/model/fv3gfs/2016100200/gdasefcs01_00 +++ b/model/fv3gfs/2016100200/gdasefcs01_00 @@ -19,93 +19,93 @@ export machine="THEIA" config="efcs" # shell-local export APRUN_FV3="'mpirun -np 204'" export APRUN_REGRID_NEMSIO="'mpirun -np 65'" -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" export DELTIM="1800" export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2_da" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" export DO_SHUM=".false." export DO_SKEB=".false." export DO_SPPT=".false." -export EDATE="2016100200" +# in case.yaml export EDATE="2016100200" export ENKFFCSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export KEEPDATA="NO" -export LEVS="65" +# in case.yaml export LEVS="65" export MONO="non-mono" -export MPI_BUFS_PER_HOST="2048" -export MPI_BUFS_PER_PROC="2048" -export MPI_GROUP_MAX="256" -export MPI_MEMMAP_OFF="1" -export MP_STDOUTMODE="ORDERED" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export MPI_BUFS_PER_HOST="2048" +# in platform.yaml export MPI_BUFS_PER_PROC="2048" +# in platform.yaml export MPI_GROUP_MAX="256" +# in platform.yaml export MPI_MEMMAP_OFF="1" +# in platform.yaml export MP_STDOUTMODE="ORDERED" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" export NMEM_EFCSGRP="10" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in case.yaml export NMEM_ENKF="80" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" export NTHREADS_FV3="1" export NTHREADS_REGRID_NEMSIO="1" export NTHSTACK="1024000000" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OMP_STACKSIZE="2048000" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in platform.yaml export OMP_STACKSIZE="2048000" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" export RERUN_EFCSGRP="NO" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SET_STP_SEED="YES" export SHUM="0.006" export SHUM_LSCALE="250000." @@ -118,12 +118,12 @@ export SMOOTH_ENKF="YES" export SPPT="0.8" export SPPT_LSCALE="500000." export SPPT_TAU="21600." -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export TYPE="nh" export USE_COUPLER_RES="NO" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_GROUP="1" export WRITE_NEMSIOFILE=".true." export WRTTASK_PER_GROUP="12" @@ -132,12 +132,12 @@ case_in="C96" # shell-local export cdmbgwd="0.125,3.0" export cores_per_node="24" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export launcher="'mpirun -np'" +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in platform.yaml export launcher="'mpirun -np'" export layout_x="4" export layout_y="8" -export lwrite4danl=".false." +# in case.yaml export lwrite4danl=".false." export master_grid="0p25deg" export memory_efcs="254M" export memory_fcst="1024M" diff --git a/model/fv3gfs/2016100200/gdasefcs02_00 b/model/fv3gfs/2016100200/gdasefcs02_00 index 1a4412b..f58d893 100644 --- a/model/fv3gfs/2016100200/gdasefcs02_00 +++ b/model/fv3gfs/2016100200/gdasefcs02_00 @@ -19,93 +19,93 @@ export machine="THEIA" config="efcs" # shell-local export APRUN_FV3="'mpirun -np 204'" export APRUN_REGRID_NEMSIO="'mpirun -np 65'" -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" export DELTIM="1800" export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2_da" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" export DO_SHUM=".false." export DO_SKEB=".false." export DO_SPPT=".false." -export EDATE="2016100200" +# in case.yaml export EDATE="2016100200" export ENKFFCSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export KEEPDATA="NO" -export LEVS="65" +# in case.yaml export LEVS="65" export MONO="non-mono" -export MPI_BUFS_PER_HOST="2048" -export MPI_BUFS_PER_PROC="2048" -export MPI_GROUP_MAX="256" -export MPI_MEMMAP_OFF="1" -export MP_STDOUTMODE="ORDERED" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export MPI_BUFS_PER_HOST="2048" +# in platform.yaml export MPI_BUFS_PER_PROC="2048" +# in platform.yaml export MPI_GROUP_MAX="256" +# in platform.yaml export MPI_MEMMAP_OFF="1" +# in platform.yaml export MP_STDOUTMODE="ORDERED" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" export NMEM_EFCSGRP="10" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in case.yaml export NMEM_ENKF="80" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" export NTHREADS_FV3="1" export NTHREADS_REGRID_NEMSIO="1" export NTHSTACK="1024000000" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OMP_STACKSIZE="2048000" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in platform.yaml export OMP_STACKSIZE="2048000" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" export RERUN_EFCSGRP="NO" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SET_STP_SEED="YES" export SHUM="0.006" export SHUM_LSCALE="250000." @@ -118,12 +118,12 @@ export SMOOTH_ENKF="YES" export SPPT="0.8" export SPPT_LSCALE="500000." export SPPT_TAU="21600." -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export TYPE="nh" export USE_COUPLER_RES="NO" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_GROUP="1" export WRITE_NEMSIOFILE=".true." export WRTTASK_PER_GROUP="12" @@ -132,12 +132,12 @@ case_in="C96" # shell-local export cdmbgwd="0.125,3.0" export cores_per_node="24" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export launcher="'mpirun -np'" +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in platform.yaml export launcher="'mpirun -np'" export layout_x="4" export layout_y="8" -export lwrite4danl=".false." +# in case.yaml export lwrite4danl=".false." export master_grid="0p25deg" export memory_efcs="254M" export memory_fcst="1024M" diff --git a/model/fv3gfs/2016100200/gdasefcs03_00 b/model/fv3gfs/2016100200/gdasefcs03_00 index cf6e8d4..61a676c 100644 --- a/model/fv3gfs/2016100200/gdasefcs03_00 +++ b/model/fv3gfs/2016100200/gdasefcs03_00 @@ -19,93 +19,93 @@ export machine="THEIA" config="efcs" # shell-local export APRUN_FV3="'mpirun -np 204'" export APRUN_REGRID_NEMSIO="'mpirun -np 65'" -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" export DELTIM="1800" export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2_da" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" export DO_SHUM=".false." export DO_SKEB=".false." export DO_SPPT=".false." -export EDATE="2016100200" +# in case.yaml export EDATE="2016100200" export ENKFFCSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export KEEPDATA="NO" -export LEVS="65" +# in case.yaml export LEVS="65" export MONO="non-mono" -export MPI_BUFS_PER_HOST="2048" -export MPI_BUFS_PER_PROC="2048" -export MPI_GROUP_MAX="256" -export MPI_MEMMAP_OFF="1" -export MP_STDOUTMODE="ORDERED" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export MPI_BUFS_PER_HOST="2048" +# in platform.yaml export MPI_BUFS_PER_PROC="2048" +# in platform.yaml export MPI_GROUP_MAX="256" +# in platform.yaml export MPI_MEMMAP_OFF="1" +# in platform.yaml export MP_STDOUTMODE="ORDERED" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" export NMEM_EFCSGRP="10" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in case.yaml export NMEM_ENKF="80" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" export NTHREADS_FV3="1" export NTHREADS_REGRID_NEMSIO="1" export NTHSTACK="1024000000" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OMP_STACKSIZE="2048000" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in platform.yaml export OMP_STACKSIZE="2048000" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" export RERUN_EFCSGRP="NO" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SET_STP_SEED="YES" export SHUM="0.006" export SHUM_LSCALE="250000." @@ -118,12 +118,12 @@ export SMOOTH_ENKF="YES" export SPPT="0.8" export SPPT_LSCALE="500000." export SPPT_TAU="21600." -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export TYPE="nh" export USE_COUPLER_RES="NO" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_GROUP="1" export WRITE_NEMSIOFILE=".true." export WRTTASK_PER_GROUP="12" @@ -132,12 +132,12 @@ case_in="C96" # shell-local export cdmbgwd="0.125,3.0" export cores_per_node="24" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export launcher="'mpirun -np'" +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in platform.yaml export launcher="'mpirun -np'" export layout_x="4" export layout_y="8" -export lwrite4danl=".false." +# in case.yaml export lwrite4danl=".false." export master_grid="0p25deg" export memory_efcs="254M" export memory_fcst="1024M" diff --git a/model/fv3gfs/2016100200/gdasefcs04_00 b/model/fv3gfs/2016100200/gdasefcs04_00 index d5e224c..9535aaf 100644 --- a/model/fv3gfs/2016100200/gdasefcs04_00 +++ b/model/fv3gfs/2016100200/gdasefcs04_00 @@ -19,93 +19,93 @@ export machine="THEIA" config="efcs" # shell-local export APRUN_FV3="'mpirun -np 204'" export APRUN_REGRID_NEMSIO="'mpirun -np 65'" -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" export DELTIM="1800" export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2_da" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" export DO_SHUM=".false." export DO_SKEB=".false." export DO_SPPT=".false." -export EDATE="2016100200" +# in case.yaml export EDATE="2016100200" export ENKFFCSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export KEEPDATA="NO" -export LEVS="65" +# in case.yaml export LEVS="65" export MONO="non-mono" -export MPI_BUFS_PER_HOST="2048" -export MPI_BUFS_PER_PROC="2048" -export MPI_GROUP_MAX="256" -export MPI_MEMMAP_OFF="1" -export MP_STDOUTMODE="ORDERED" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export MPI_BUFS_PER_HOST="2048" +# in platform.yaml export MPI_BUFS_PER_PROC="2048" +# in platform.yaml export MPI_GROUP_MAX="256" +# in platform.yaml export MPI_MEMMAP_OFF="1" +# in platform.yaml export MP_STDOUTMODE="ORDERED" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" export NMEM_EFCSGRP="10" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in case.yaml export NMEM_ENKF="80" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" export NTHREADS_FV3="1" export NTHREADS_REGRID_NEMSIO="1" export NTHSTACK="1024000000" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OMP_STACKSIZE="2048000" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in platform.yaml export OMP_STACKSIZE="2048000" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" export RERUN_EFCSGRP="NO" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SET_STP_SEED="YES" export SHUM="0.006" export SHUM_LSCALE="250000." @@ -118,12 +118,12 @@ export SMOOTH_ENKF="YES" export SPPT="0.8" export SPPT_LSCALE="500000." export SPPT_TAU="21600." -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export TYPE="nh" export USE_COUPLER_RES="NO" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_GROUP="1" export WRITE_NEMSIOFILE=".true." export WRTTASK_PER_GROUP="12" @@ -132,12 +132,12 @@ case_in="C96" # shell-local export cdmbgwd="0.125,3.0" export cores_per_node="24" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export launcher="'mpirun -np'" +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in platform.yaml export launcher="'mpirun -np'" export layout_x="4" export layout_y="8" -export lwrite4danl=".false." +# in case.yaml export lwrite4danl=".false." export master_grid="0p25deg" export memory_efcs="254M" export memory_fcst="1024M" diff --git a/model/fv3gfs/2016100200/gdasefcs05_00 b/model/fv3gfs/2016100200/gdasefcs05_00 index 3f6bbe2..6cb2882 100644 --- a/model/fv3gfs/2016100200/gdasefcs05_00 +++ b/model/fv3gfs/2016100200/gdasefcs05_00 @@ -19,93 +19,93 @@ export machine="THEIA" config="efcs" # shell-local export APRUN_FV3="'mpirun -np 204'" export APRUN_REGRID_NEMSIO="'mpirun -np 65'" -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" export DELTIM="1800" export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2_da" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" export DO_SHUM=".false." export DO_SKEB=".false." export DO_SPPT=".false." -export EDATE="2016100200" +# in case.yaml export EDATE="2016100200" export ENKFFCSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export KEEPDATA="NO" -export LEVS="65" +# in case.yaml export LEVS="65" export MONO="non-mono" -export MPI_BUFS_PER_HOST="2048" -export MPI_BUFS_PER_PROC="2048" -export MPI_GROUP_MAX="256" -export MPI_MEMMAP_OFF="1" -export MP_STDOUTMODE="ORDERED" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export MPI_BUFS_PER_HOST="2048" +# in platform.yaml export MPI_BUFS_PER_PROC="2048" +# in platform.yaml export MPI_GROUP_MAX="256" +# in platform.yaml export MPI_MEMMAP_OFF="1" +# in platform.yaml export MP_STDOUTMODE="ORDERED" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" export NMEM_EFCSGRP="10" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in case.yaml export NMEM_ENKF="80" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" export NTHREADS_FV3="1" export NTHREADS_REGRID_NEMSIO="1" export NTHSTACK="1024000000" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OMP_STACKSIZE="2048000" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in platform.yaml export OMP_STACKSIZE="2048000" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" export RERUN_EFCSGRP="NO" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SET_STP_SEED="YES" export SHUM="0.006" export SHUM_LSCALE="250000." @@ -118,12 +118,12 @@ export SMOOTH_ENKF="YES" export SPPT="0.8" export SPPT_LSCALE="500000." export SPPT_TAU="21600." -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export TYPE="nh" export USE_COUPLER_RES="NO" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_GROUP="1" export WRITE_NEMSIOFILE=".true." export WRTTASK_PER_GROUP="12" @@ -132,12 +132,12 @@ case_in="C96" # shell-local export cdmbgwd="0.125,3.0" export cores_per_node="24" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export launcher="'mpirun -np'" +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in platform.yaml export launcher="'mpirun -np'" export layout_x="4" export layout_y="8" -export lwrite4danl=".false." +# in case.yaml export lwrite4danl=".false." export master_grid="0p25deg" export memory_efcs="254M" export memory_fcst="1024M" diff --git a/model/fv3gfs/2016100200/gdasefcs06_00 b/model/fv3gfs/2016100200/gdasefcs06_00 index b2f3b45..7c03b54 100644 --- a/model/fv3gfs/2016100200/gdasefcs06_00 +++ b/model/fv3gfs/2016100200/gdasefcs06_00 @@ -19,93 +19,93 @@ export machine="THEIA" config="efcs" # shell-local export APRUN_FV3="'mpirun -np 204'" export APRUN_REGRID_NEMSIO="'mpirun -np 65'" -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" export DELTIM="1800" export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2_da" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" export DO_SHUM=".false." export DO_SKEB=".false." export DO_SPPT=".false." -export EDATE="2016100200" +# in case.yaml export EDATE="2016100200" export ENKFFCSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export KEEPDATA="NO" -export LEVS="65" +# in case.yaml export LEVS="65" export MONO="non-mono" -export MPI_BUFS_PER_HOST="2048" -export MPI_BUFS_PER_PROC="2048" -export MPI_GROUP_MAX="256" -export MPI_MEMMAP_OFF="1" -export MP_STDOUTMODE="ORDERED" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export MPI_BUFS_PER_HOST="2048" +# in platform.yaml export MPI_BUFS_PER_PROC="2048" +# in platform.yaml export MPI_GROUP_MAX="256" +# in platform.yaml export MPI_MEMMAP_OFF="1" +# in platform.yaml export MP_STDOUTMODE="ORDERED" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" export NMEM_EFCSGRP="10" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in case.yaml export NMEM_ENKF="80" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" export NTHREADS_FV3="1" export NTHREADS_REGRID_NEMSIO="1" export NTHSTACK="1024000000" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OMP_STACKSIZE="2048000" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in platform.yaml export OMP_STACKSIZE="2048000" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" export RERUN_EFCSGRP="NO" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SET_STP_SEED="YES" export SHUM="0.006" export SHUM_LSCALE="250000." @@ -118,12 +118,12 @@ export SMOOTH_ENKF="YES" export SPPT="0.8" export SPPT_LSCALE="500000." export SPPT_TAU="21600." -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export TYPE="nh" export USE_COUPLER_RES="NO" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_GROUP="1" export WRITE_NEMSIOFILE=".true." export WRTTASK_PER_GROUP="12" @@ -132,12 +132,12 @@ case_in="C96" # shell-local export cdmbgwd="0.125,3.0" export cores_per_node="24" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export launcher="'mpirun -np'" +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in platform.yaml export launcher="'mpirun -np'" export layout_x="4" export layout_y="8" -export lwrite4danl=".false." +# in case.yaml export lwrite4danl=".false." export master_grid="0p25deg" export memory_efcs="254M" export memory_fcst="1024M" diff --git a/model/fv3gfs/2016100200/gdasefcs07_00 b/model/fv3gfs/2016100200/gdasefcs07_00 index a229333..785f784 100644 --- a/model/fv3gfs/2016100200/gdasefcs07_00 +++ b/model/fv3gfs/2016100200/gdasefcs07_00 @@ -19,93 +19,93 @@ export machine="THEIA" config="efcs" # shell-local export APRUN_FV3="'mpirun -np 204'" export APRUN_REGRID_NEMSIO="'mpirun -np 65'" -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" export DELTIM="1800" export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2_da" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" export DO_SHUM=".false." export DO_SKEB=".false." export DO_SPPT=".false." -export EDATE="2016100200" +# in case.yaml export EDATE="2016100200" export ENKFFCSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export KEEPDATA="NO" -export LEVS="65" +# in case.yaml export LEVS="65" export MONO="non-mono" -export MPI_BUFS_PER_HOST="2048" -export MPI_BUFS_PER_PROC="2048" -export MPI_GROUP_MAX="256" -export MPI_MEMMAP_OFF="1" -export MP_STDOUTMODE="ORDERED" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export MPI_BUFS_PER_HOST="2048" +# in platform.yaml export MPI_BUFS_PER_PROC="2048" +# in platform.yaml export MPI_GROUP_MAX="256" +# in platform.yaml export MPI_MEMMAP_OFF="1" +# in platform.yaml export MP_STDOUTMODE="ORDERED" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" export NMEM_EFCSGRP="10" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in case.yaml export NMEM_ENKF="80" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" export NTHREADS_FV3="1" export NTHREADS_REGRID_NEMSIO="1" export NTHSTACK="1024000000" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OMP_STACKSIZE="2048000" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in platform.yaml export OMP_STACKSIZE="2048000" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" export RERUN_EFCSGRP="NO" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SET_STP_SEED="YES" export SHUM="0.006" export SHUM_LSCALE="250000." @@ -118,12 +118,12 @@ export SMOOTH_ENKF="YES" export SPPT="0.8" export SPPT_LSCALE="500000." export SPPT_TAU="21600." -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export TYPE="nh" export USE_COUPLER_RES="NO" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_GROUP="1" export WRITE_NEMSIOFILE=".true." export WRTTASK_PER_GROUP="12" @@ -132,12 +132,12 @@ case_in="C96" # shell-local export cdmbgwd="0.125,3.0" export cores_per_node="24" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export launcher="'mpirun -np'" +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in platform.yaml export launcher="'mpirun -np'" export layout_x="4" export layout_y="8" -export lwrite4danl=".false." +# in case.yaml export lwrite4danl=".false." export master_grid="0p25deg" export memory_efcs="254M" export memory_fcst="1024M" diff --git a/model/fv3gfs/2016100200/gdasefcs08_00 b/model/fv3gfs/2016100200/gdasefcs08_00 index ca33cea..dba11be 100644 --- a/model/fv3gfs/2016100200/gdasefcs08_00 +++ b/model/fv3gfs/2016100200/gdasefcs08_00 @@ -19,93 +19,93 @@ export machine="THEIA" config="efcs" # shell-local export APRUN_FV3="'mpirun -np 204'" export APRUN_REGRID_NEMSIO="'mpirun -np 65'" -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" export DELTIM="1800" export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2_da" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" export DO_SHUM=".false." export DO_SKEB=".false." export DO_SPPT=".false." -export EDATE="2016100200" +# in case.yaml export EDATE="2016100200" export ENKFFCSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export KEEPDATA="NO" -export LEVS="65" +# in case.yaml export LEVS="65" export MONO="non-mono" -export MPI_BUFS_PER_HOST="2048" -export MPI_BUFS_PER_PROC="2048" -export MPI_GROUP_MAX="256" -export MPI_MEMMAP_OFF="1" -export MP_STDOUTMODE="ORDERED" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export MPI_BUFS_PER_HOST="2048" +# in platform.yaml export MPI_BUFS_PER_PROC="2048" +# in platform.yaml export MPI_GROUP_MAX="256" +# in platform.yaml export MPI_MEMMAP_OFF="1" +# in platform.yaml export MP_STDOUTMODE="ORDERED" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" export NMEM_EFCSGRP="10" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in case.yaml export NMEM_ENKF="80" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" export NTHREADS_FV3="1" export NTHREADS_REGRID_NEMSIO="1" export NTHSTACK="1024000000" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OMP_STACKSIZE="2048000" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in platform.yaml export OMP_STACKSIZE="2048000" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" export RERUN_EFCSGRP="NO" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SET_STP_SEED="YES" export SHUM="0.006" export SHUM_LSCALE="250000." @@ -118,12 +118,12 @@ export SMOOTH_ENKF="YES" export SPPT="0.8" export SPPT_LSCALE="500000." export SPPT_TAU="21600." -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export TYPE="nh" export USE_COUPLER_RES="NO" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_GROUP="1" export WRITE_NEMSIOFILE=".true." export WRTTASK_PER_GROUP="12" @@ -132,12 +132,12 @@ case_in="C96" # shell-local export cdmbgwd="0.125,3.0" export cores_per_node="24" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export launcher="'mpirun -np'" +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in platform.yaml export launcher="'mpirun -np'" export layout_x="4" export layout_y="8" -export lwrite4danl=".false." +# in case.yaml export lwrite4danl=".false." export master_grid="0p25deg" export memory_efcs="254M" export memory_fcst="1024M" diff --git a/model/fv3gfs/2016100200/gdaseobs_00 b/model/fv3gfs/2016100200/gdaseobs_00 index c1895cd..4199f35 100644 --- a/model/fv3gfs/2016100200/gdaseobs_00 +++ b/model/fv3gfs/2016100200/gdaseobs_00 @@ -19,93 +19,93 @@ export machine="THEIA" config="eobs" # shell-local export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" export APRUN_GSI="'mpirun -np 144'" -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" -export EDATE="2016100200" +# in case.yaml export EDATE="2016100200" export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export INVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" export KEEPDATA="NO" -export LEVS="65" -export MPI_BUFS_PER_HOST="2048" -export MPI_BUFS_PER_PROC="2048" -export MPI_GROUP_MAX="256" -export MPI_MEMMAP_OFF="1" -export MP_STDOUTMODE="ORDERED" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" -export NMEM_ENKF="80" +# in case.yaml export LEVS="65" +# in platform.yaml export MPI_BUFS_PER_HOST="2048" +# in platform.yaml export MPI_BUFS_PER_PROC="2048" +# in platform.yaml export MPI_GROUP_MAX="256" +# in platform.yaml export MPI_MEMMAP_OFF="1" +# in platform.yaml export MP_STDOUTMODE="ORDERED" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" +# in case.yaml export NMEM_ENKF="80" export NMEM_EOMGGRP="10" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" export NTHREADS_GSI="4" export NTHSTACK="1024000000" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" export OBSINPUT_INVOBS="'dmesh(1)=225.0,dmesh(2)=225.0'" export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" -export OMP_STACKSIZE="2048000" +# in platform.yaml export OMP_STACKSIZE="2048000" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" export RERUN_EOMGGRP="YES" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_NEMSIOFILE=".true." export assim_freq="6" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export launcher="'mpirun -np'" -export lwrite4danl=".false." +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in platform.yaml export launcher="'mpirun -np'" +# in case.yaml export lwrite4danl=".false." export memory_anal="3072M" export memory_eobs="3072M" export npe_anal="144" diff --git a/model/fv3gfs/2016100200/gdaseomg01_00 b/model/fv3gfs/2016100200/gdaseomg01_00 index 6182f5a..d57ff9f 100644 --- a/model/fv3gfs/2016100200/gdaseomg01_00 +++ b/model/fv3gfs/2016100200/gdaseomg01_00 @@ -19,93 +19,93 @@ export machine="THEIA" config="eobs" # shell-local export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" export APRUN_GSI="'mpirun -np 144'" -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" -export EDATE="2016100200" +# in case.yaml export EDATE="2016100200" export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export INVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" export KEEPDATA="NO" -export LEVS="65" -export MPI_BUFS_PER_HOST="2048" -export MPI_BUFS_PER_PROC="2048" -export MPI_GROUP_MAX="256" -export MPI_MEMMAP_OFF="1" -export MP_STDOUTMODE="ORDERED" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" -export NMEM_ENKF="80" +# in case.yaml export LEVS="65" +# in platform.yaml export MPI_BUFS_PER_HOST="2048" +# in platform.yaml export MPI_BUFS_PER_PROC="2048" +# in platform.yaml export MPI_GROUP_MAX="256" +# in platform.yaml export MPI_MEMMAP_OFF="1" +# in platform.yaml export MP_STDOUTMODE="ORDERED" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" +# in case.yaml export NMEM_ENKF="80" export NMEM_EOMGGRP="10" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" export NTHREADS_GSI="4" export NTHSTACK="1024000000" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" export OBSINPUT_INVOBS="'dmesh(1)=225.0,dmesh(2)=225.0'" export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" -export OMP_STACKSIZE="2048000" +# in platform.yaml export OMP_STACKSIZE="2048000" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" export RERUN_EOMGGRP="YES" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_NEMSIOFILE=".true." export assim_freq="6" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export launcher="'mpirun -np'" -export lwrite4danl=".false." +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in platform.yaml export launcher="'mpirun -np'" +# in case.yaml export lwrite4danl=".false." export memory_anal="3072M" export memory_eobs="3072M" export npe_anal="144" diff --git a/model/fv3gfs/2016100200/gdaseomg02_00 b/model/fv3gfs/2016100200/gdaseomg02_00 index 51d1c10..aa99f7d 100644 --- a/model/fv3gfs/2016100200/gdaseomg02_00 +++ b/model/fv3gfs/2016100200/gdaseomg02_00 @@ -19,93 +19,93 @@ export machine="THEIA" config="eobs" # shell-local export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" export APRUN_GSI="'mpirun -np 144'" -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" -export EDATE="2016100200" +# in case.yaml export EDATE="2016100200" export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export INVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" export KEEPDATA="NO" -export LEVS="65" -export MPI_BUFS_PER_HOST="2048" -export MPI_BUFS_PER_PROC="2048" -export MPI_GROUP_MAX="256" -export MPI_MEMMAP_OFF="1" -export MP_STDOUTMODE="ORDERED" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" -export NMEM_ENKF="80" +# in case.yaml export LEVS="65" +# in platform.yaml export MPI_BUFS_PER_HOST="2048" +# in platform.yaml export MPI_BUFS_PER_PROC="2048" +# in platform.yaml export MPI_GROUP_MAX="256" +# in platform.yaml export MPI_MEMMAP_OFF="1" +# in platform.yaml export MP_STDOUTMODE="ORDERED" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" +# in case.yaml export NMEM_ENKF="80" export NMEM_EOMGGRP="10" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" export NTHREADS_GSI="4" export NTHSTACK="1024000000" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" export OBSINPUT_INVOBS="'dmesh(1)=225.0,dmesh(2)=225.0'" export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" -export OMP_STACKSIZE="2048000" +# in platform.yaml export OMP_STACKSIZE="2048000" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" export RERUN_EOMGGRP="YES" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_NEMSIOFILE=".true." export assim_freq="6" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export launcher="'mpirun -np'" -export lwrite4danl=".false." +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in platform.yaml export launcher="'mpirun -np'" +# in case.yaml export lwrite4danl=".false." export memory_anal="3072M" export memory_eobs="3072M" export npe_anal="144" diff --git a/model/fv3gfs/2016100200/gdaseomg03_00 b/model/fv3gfs/2016100200/gdaseomg03_00 index c395cee..384bbe4 100644 --- a/model/fv3gfs/2016100200/gdaseomg03_00 +++ b/model/fv3gfs/2016100200/gdaseomg03_00 @@ -19,93 +19,93 @@ export machine="THEIA" config="eobs" # shell-local export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" export APRUN_GSI="'mpirun -np 144'" -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" -export EDATE="2016100200" +# in case.yaml export EDATE="2016100200" export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export INVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" export KEEPDATA="NO" -export LEVS="65" -export MPI_BUFS_PER_HOST="2048" -export MPI_BUFS_PER_PROC="2048" -export MPI_GROUP_MAX="256" -export MPI_MEMMAP_OFF="1" -export MP_STDOUTMODE="ORDERED" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" -export NMEM_ENKF="80" +# in case.yaml export LEVS="65" +# in platform.yaml export MPI_BUFS_PER_HOST="2048" +# in platform.yaml export MPI_BUFS_PER_PROC="2048" +# in platform.yaml export MPI_GROUP_MAX="256" +# in platform.yaml export MPI_MEMMAP_OFF="1" +# in platform.yaml export MP_STDOUTMODE="ORDERED" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" +# in case.yaml export NMEM_ENKF="80" export NMEM_EOMGGRP="10" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" export NTHREADS_GSI="4" export NTHSTACK="1024000000" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" export OBSINPUT_INVOBS="'dmesh(1)=225.0,dmesh(2)=225.0'" export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" -export OMP_STACKSIZE="2048000" +# in platform.yaml export OMP_STACKSIZE="2048000" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" export RERUN_EOMGGRP="YES" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_NEMSIOFILE=".true." export assim_freq="6" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export launcher="'mpirun -np'" -export lwrite4danl=".false." +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in platform.yaml export launcher="'mpirun -np'" +# in case.yaml export lwrite4danl=".false." export memory_anal="3072M" export memory_eobs="3072M" export npe_anal="144" diff --git a/model/fv3gfs/2016100200/gdaseomg04_00 b/model/fv3gfs/2016100200/gdaseomg04_00 index e8cbe86..1c937d9 100644 --- a/model/fv3gfs/2016100200/gdaseomg04_00 +++ b/model/fv3gfs/2016100200/gdaseomg04_00 @@ -19,93 +19,93 @@ export machine="THEIA" config="eobs" # shell-local export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" export APRUN_GSI="'mpirun -np 144'" -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" -export EDATE="2016100200" +# in case.yaml export EDATE="2016100200" export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export INVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" export KEEPDATA="NO" -export LEVS="65" -export MPI_BUFS_PER_HOST="2048" -export MPI_BUFS_PER_PROC="2048" -export MPI_GROUP_MAX="256" -export MPI_MEMMAP_OFF="1" -export MP_STDOUTMODE="ORDERED" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" -export NMEM_ENKF="80" +# in case.yaml export LEVS="65" +# in platform.yaml export MPI_BUFS_PER_HOST="2048" +# in platform.yaml export MPI_BUFS_PER_PROC="2048" +# in platform.yaml export MPI_GROUP_MAX="256" +# in platform.yaml export MPI_MEMMAP_OFF="1" +# in platform.yaml export MP_STDOUTMODE="ORDERED" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" +# in case.yaml export NMEM_ENKF="80" export NMEM_EOMGGRP="10" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" export NTHREADS_GSI="4" export NTHSTACK="1024000000" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" export OBSINPUT_INVOBS="'dmesh(1)=225.0,dmesh(2)=225.0'" export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" -export OMP_STACKSIZE="2048000" +# in platform.yaml export OMP_STACKSIZE="2048000" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" export RERUN_EOMGGRP="YES" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_NEMSIOFILE=".true." export assim_freq="6" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export launcher="'mpirun -np'" -export lwrite4danl=".false." +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in platform.yaml export launcher="'mpirun -np'" +# in case.yaml export lwrite4danl=".false." export memory_anal="3072M" export memory_eobs="3072M" export npe_anal="144" diff --git a/model/fv3gfs/2016100200/gdaseomg05_00 b/model/fv3gfs/2016100200/gdaseomg05_00 index 6416314..b87c2e9 100644 --- a/model/fv3gfs/2016100200/gdaseomg05_00 +++ b/model/fv3gfs/2016100200/gdaseomg05_00 @@ -19,93 +19,93 @@ export machine="THEIA" config="eobs" # shell-local export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" export APRUN_GSI="'mpirun -np 144'" -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" -export EDATE="2016100200" +# in case.yaml export EDATE="2016100200" export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export INVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" export KEEPDATA="NO" -export LEVS="65" -export MPI_BUFS_PER_HOST="2048" -export MPI_BUFS_PER_PROC="2048" -export MPI_GROUP_MAX="256" -export MPI_MEMMAP_OFF="1" -export MP_STDOUTMODE="ORDERED" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" -export NMEM_ENKF="80" +# in case.yaml export LEVS="65" +# in platform.yaml export MPI_BUFS_PER_HOST="2048" +# in platform.yaml export MPI_BUFS_PER_PROC="2048" +# in platform.yaml export MPI_GROUP_MAX="256" +# in platform.yaml export MPI_MEMMAP_OFF="1" +# in platform.yaml export MP_STDOUTMODE="ORDERED" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" +# in case.yaml export NMEM_ENKF="80" export NMEM_EOMGGRP="10" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" export NTHREADS_GSI="4" export NTHSTACK="1024000000" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" export OBSINPUT_INVOBS="'dmesh(1)=225.0,dmesh(2)=225.0'" export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" -export OMP_STACKSIZE="2048000" +# in platform.yaml export OMP_STACKSIZE="2048000" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" export RERUN_EOMGGRP="YES" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_NEMSIOFILE=".true." export assim_freq="6" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export launcher="'mpirun -np'" -export lwrite4danl=".false." +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in platform.yaml export launcher="'mpirun -np'" +# in case.yaml export lwrite4danl=".false." export memory_anal="3072M" export memory_eobs="3072M" export npe_anal="144" diff --git a/model/fv3gfs/2016100200/gdaseomg06_00 b/model/fv3gfs/2016100200/gdaseomg06_00 index efbb9e2..6883448 100644 --- a/model/fv3gfs/2016100200/gdaseomg06_00 +++ b/model/fv3gfs/2016100200/gdaseomg06_00 @@ -19,93 +19,93 @@ export machine="THEIA" config="eobs" # shell-local export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" export APRUN_GSI="'mpirun -np 144'" -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" -export EDATE="2016100200" +# in case.yaml export EDATE="2016100200" export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export INVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" export KEEPDATA="NO" -export LEVS="65" -export MPI_BUFS_PER_HOST="2048" -export MPI_BUFS_PER_PROC="2048" -export MPI_GROUP_MAX="256" -export MPI_MEMMAP_OFF="1" -export MP_STDOUTMODE="ORDERED" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" -export NMEM_ENKF="80" +# in case.yaml export LEVS="65" +# in platform.yaml export MPI_BUFS_PER_HOST="2048" +# in platform.yaml export MPI_BUFS_PER_PROC="2048" +# in platform.yaml export MPI_GROUP_MAX="256" +# in platform.yaml export MPI_MEMMAP_OFF="1" +# in platform.yaml export MP_STDOUTMODE="ORDERED" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" +# in case.yaml export NMEM_ENKF="80" export NMEM_EOMGGRP="10" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" export NTHREADS_GSI="4" export NTHSTACK="1024000000" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" export OBSINPUT_INVOBS="'dmesh(1)=225.0,dmesh(2)=225.0'" export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" -export OMP_STACKSIZE="2048000" +# in platform.yaml export OMP_STACKSIZE="2048000" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" export RERUN_EOMGGRP="YES" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_NEMSIOFILE=".true." export assim_freq="6" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export launcher="'mpirun -np'" -export lwrite4danl=".false." +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in platform.yaml export launcher="'mpirun -np'" +# in case.yaml export lwrite4danl=".false." export memory_anal="3072M" export memory_eobs="3072M" export npe_anal="144" diff --git a/model/fv3gfs/2016100200/gdaseomg07_00 b/model/fv3gfs/2016100200/gdaseomg07_00 index 4d2b1ee..993ee96 100644 --- a/model/fv3gfs/2016100200/gdaseomg07_00 +++ b/model/fv3gfs/2016100200/gdaseomg07_00 @@ -19,93 +19,93 @@ export machine="THEIA" config="eobs" # shell-local export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" export APRUN_GSI="'mpirun -np 144'" -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" -export EDATE="2016100200" +# in case.yaml export EDATE="2016100200" export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export INVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" export KEEPDATA="NO" -export LEVS="65" -export MPI_BUFS_PER_HOST="2048" -export MPI_BUFS_PER_PROC="2048" -export MPI_GROUP_MAX="256" -export MPI_MEMMAP_OFF="1" -export MP_STDOUTMODE="ORDERED" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" -export NMEM_ENKF="80" +# in case.yaml export LEVS="65" +# in platform.yaml export MPI_BUFS_PER_HOST="2048" +# in platform.yaml export MPI_BUFS_PER_PROC="2048" +# in platform.yaml export MPI_GROUP_MAX="256" +# in platform.yaml export MPI_MEMMAP_OFF="1" +# in platform.yaml export MP_STDOUTMODE="ORDERED" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" +# in case.yaml export NMEM_ENKF="80" export NMEM_EOMGGRP="10" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" export NTHREADS_GSI="4" export NTHSTACK="1024000000" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" export OBSINPUT_INVOBS="'dmesh(1)=225.0,dmesh(2)=225.0'" export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" -export OMP_STACKSIZE="2048000" +# in platform.yaml export OMP_STACKSIZE="2048000" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" export RERUN_EOMGGRP="YES" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_NEMSIOFILE=".true." export assim_freq="6" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export launcher="'mpirun -np'" -export lwrite4danl=".false." +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in platform.yaml export launcher="'mpirun -np'" +# in case.yaml export lwrite4danl=".false." export memory_anal="3072M" export memory_eobs="3072M" export npe_anal="144" diff --git a/model/fv3gfs/2016100200/gdaseomg08_00 b/model/fv3gfs/2016100200/gdaseomg08_00 index 8847c8c..40726c0 100644 --- a/model/fv3gfs/2016100200/gdaseomg08_00 +++ b/model/fv3gfs/2016100200/gdaseomg08_00 @@ -19,93 +19,93 @@ export machine="THEIA" config="eobs" # shell-local export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" export APRUN_GSI="'mpirun -np 144'" -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" -export EDATE="2016100200" +# in case.yaml export EDATE="2016100200" export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export INVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" export KEEPDATA="NO" -export LEVS="65" -export MPI_BUFS_PER_HOST="2048" -export MPI_BUFS_PER_PROC="2048" -export MPI_GROUP_MAX="256" -export MPI_MEMMAP_OFF="1" -export MP_STDOUTMODE="ORDERED" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" -export NMEM_ENKF="80" +# in case.yaml export LEVS="65" +# in platform.yaml export MPI_BUFS_PER_HOST="2048" +# in platform.yaml export MPI_BUFS_PER_PROC="2048" +# in platform.yaml export MPI_GROUP_MAX="256" +# in platform.yaml export MPI_MEMMAP_OFF="1" +# in platform.yaml export MP_STDOUTMODE="ORDERED" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" +# in case.yaml export NMEM_ENKF="80" export NMEM_EOMGGRP="10" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" export NTHREADS_GSI="4" export NTHSTACK="1024000000" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" export OBSINPUT_INVOBS="'dmesh(1)=225.0,dmesh(2)=225.0'" export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" -export OMP_STACKSIZE="2048000" +# in platform.yaml export OMP_STACKSIZE="2048000" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" export RERUN_EOMGGRP="YES" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_NEMSIOFILE=".true." export assim_freq="6" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export launcher="'mpirun -np'" -export lwrite4danl=".false." +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in platform.yaml export launcher="'mpirun -np'" +# in case.yaml export lwrite4danl=".false." export memory_anal="3072M" export memory_eobs="3072M" export npe_anal="144" diff --git a/model/fv3gfs/2016100200/gdasepos_00 b/model/fv3gfs/2016100200/gdasepos_00 index cf4c4e9..da7fe53 100644 --- a/model/fv3gfs/2016100200/gdasepos_00 +++ b/model/fv3gfs/2016100200/gdasepos_00 @@ -17,89 +17,87 @@ export machine="THEIA" # Variable changes after modules: config="epos" # shell-local -export APRUN_EPOS="'mpirun -np 84'" -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" -export DONST="NO" -export EDATE="2016100200" -export ENKFPOSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_post_fv3gfs.sh.ecf" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +#export APRUN_EPOS="'mpirun -np 84'" +# in case.yaml # in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +#export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +#export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +# in case.yaml # in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +#export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +# in case.yaml # in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml # in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml # in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml # in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml # in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml # in case.yaml export CASE="C192" +# in case.yaml # in case.yaml export CASE_ENKF="C96" +# actions.yaml export CHGRP_CMD="'chgrp rstprod'" +# in platform.yaml # in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml # in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml # in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml # in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml # in case.yaml export DOHYBVAR="YES" +# actions.yaml export DONST="NO" +# in case.yaml # in case.yaml export EDATE="2016100200" +# in actions.yaml export ENKFPOSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_post_fv3gfs.sh.ecf" +# in case.yaml # in case.yaml export FHCYC="24" +# in case.yaml # in case.yaml export FHMAX="9" +# in case.yaml # in case.yaml export FHMAX_ENKF="9" +# in case.yaml # in case.yaml export FHMAX_GFS="240" +# in case.yaml # in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml # in case.yaml export FHMIN="0" +# in case.yaml # in case.yaml export FHMIN_ENKF="3" +# in case.yaml # in case.yaml export FHMIN_GFS="0" +# in case.yaml # in case.yaml export FHOUT="3" +# in case.yaml # in case.yaml export FHOUT_ENKF="3" +# in case.yaml # in case.yaml export FHOUT_GFS="6" +# in case.yaml # in case.yaml export FHOUT_HF_GFS="1" +# in platform.yaml # in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml # in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml # in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml # in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export KEEPDATA="NO" -export LEVS="65" -export MPI_BUFS_PER_HOST="2048" -export MPI_BUFS_PER_PROC="2048" -export MPI_GROUP_MAX="256" -export MPI_MEMMAP_OFF="1" -export MP_STDOUTMODE="ORDERED" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NTHREADS_EPOS="2" -export NTHSTACK="1024000000" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OMP_STACKSIZE="2048000" +# in case.yaml # in case.yaml export LEVS="65" +# in platform.yaml # in platform.yaml export MPI_BUFS_PER_HOST="2048" +# in platform.yaml # in platform.yaml export MPI_BUFS_PER_PROC="2048" +# in platform.yaml # in platform.yaml export MPI_GROUP_MAX="256" +# in platform.yaml # in platform.yaml export MPI_MEMMAP_OFF="1" +# in platform.yaml # in platform.yaml export MP_STDOUTMODE="ORDERED" +# in platform.yaml # in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml # in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml # in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml # in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml # in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml # in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml # in platform.yaml export NLN="'/bin/ln -sf'" +# in case.yaml # in case.yaml export NMEM_ENKF="80" +# in platform.yaml # in platform.yaml export NMV="/bin/mv" +# in case.yaml # in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in platform.yaml # in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in platform.yaml # in platform.yaml export OMP_STACKSIZE="2048000" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml # in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml as "experiment_name" # in case.yaml export PSLOT="wham" +# in case.yaml # in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml # in case.yaml export REALTIME="NO" +# in case.yaml # in case.yaml export RECENTER_ENKF="YES" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_NEMSIOFILE=".true." export assim_freq="6" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export launcher="'mpirun -np'" -export lwrite4danl=".false." +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in platform.yaml export launcher="'mpirun -np'" +# in case.yaml export lwrite4danl=".false." export memory_epos="254M" export npe_epos="84" export npe_node_epos="12" diff --git a/model/fv3gfs/2016100200/gdasepos_00_defined b/model/fv3gfs/2016100200/gdasepos_00_defined new file mode 100644 index 0000000..89e1ba7 --- /dev/null +++ b/model/fv3gfs/2016100200/gdasepos_00_defined @@ -0,0 +1,110 @@ +# checkit.pl 2016100200%wham_gdasepos_00 +# Variable changes before modules: +export ACCOUNT="fv3-cpu" +BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local +export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" +export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" +export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" +export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" +export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" +HPSS_PROJECT="emc-global" # shell-local +export QUEUE="batch" +export QUEUE_ARCH="service" +config="base" # shell-local +configs="'base epos'" # shell-local +export machine="THEIA" + + +# Variable changes after modules: +config="epos" # shell-local +export APRUN_EPOS="'mpirun -np 84'" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" +export CHGRP_CMD="'chgrp rstprod'" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" +export DONST="NO" +# in case.yaml export EDATE="2016100200" +export ENKFPOSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_post_fv3gfs.sh.ecf" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +export KEEPDATA="NO" +# in case.yaml export LEVS="65" +# in platform.yaml export MPI_BUFS_PER_HOST="2048" +# in platform.yaml export MPI_BUFS_PER_PROC="2048" +# in platform.yaml export MPI_GROUP_MAX="256" +# in platform.yaml export MPI_MEMMAP_OFF="1" +# in platform.yaml export MP_STDOUTMODE="ORDERED" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" +# in case.yaml export NMEM_ENKF="80" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in platform.yaml export OMP_STACKSIZE="2048000" +export OUTPUT_GRID="gaussian_grid" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml as "experiment_name" export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export QUILTING=".true." +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" +export SMOOTH_ENKF="YES" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +export VERBOSE="YES" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +export WRITE_NEMSIOFILE=".true." +export assim_freq="6" +exetest="htar" # shell-local +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in platform.yaml export launcher="'mpirun -np'" +# in case.yaml export lwrite4danl=".false." +export memory_epos="254M" +export npe_epos="84" +export npe_node_epos="12" +export npe_node_max="24" +export nst_anl=".true." +export nth_epos="2" +nth_max="2" # shell-local +status="0" # shell-local +step="epos" # shell-local +export wtime_epos="01:25:00" diff --git a/model/fv3gfs/2016100200/gdaseupd_00 b/model/fv3gfs/2016100200/gdaseupd_00 index 30085cb..18596eb 100644 --- a/model/fv3gfs/2016100200/gdaseupd_00 +++ b/model/fv3gfs/2016100200/gdaseupd_00 @@ -19,88 +19,88 @@ export machine="THEIA" config="eupd" # shell-local export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" export APRUN_ENKF="'mpirun -np 120'" -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" -export EDATE="2016100200" +# in case.yaml export EDATE="2016100200" export ENKFUPDSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_update_fv3gfs.sh.ecf" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export KEEPDATA="NO" -export LEVS="65" -export MPI_BUFS_PER_HOST="2048" -export MPI_BUFS_PER_PROC="2048" -export MPI_GROUP_MAX="256" -export MPI_MEMMAP_OFF="1" -export MP_STDOUTMODE="ORDERED" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in case.yaml export LEVS="65" +# in platform.yaml export MPI_BUFS_PER_HOST="2048" +# in platform.yaml export MPI_BUFS_PER_PROC="2048" +# in platform.yaml export MPI_GROUP_MAX="256" +# in platform.yaml export MPI_MEMMAP_OFF="1" +# in platform.yaml export MP_STDOUTMODE="ORDERED" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" +# in case.yaml export NMEM_ENKF="80" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" export NTHREADS_ENKF="2" export NTHSTACK="1024000000" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OMP_STACKSIZE="2048000" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in platform.yaml export OMP_STACKSIZE="2048000" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_NEMSIOFILE=".true." export assim_freq="6" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export launcher="'mpirun -np'" -export lwrite4danl=".false." +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in platform.yaml export launcher="'mpirun -np'" +# in case.yaml export lwrite4danl=".false." export memory_anal="3072M" export memory_eupd="3072M" export npe_anal="144" diff --git a/model/fv3gfs/2016100200/gdasfcst_00 b/model/fv3gfs/2016100200/gdasfcst_00 index 033df01..4ccfb9c 100644 --- a/model/fv3gfs/2016100200/gdasfcst_00 +++ b/model/fv3gfs/2016100200/gdasfcst_00 @@ -20,95 +20,95 @@ config="fcst" # shell-local export APRUN_FV3="'mpirun -np 216'" export APRUN_REGRID_NEMSIO="'mpirun -np 65'" export APRUN_REMAP="'mpirun -np 216'" -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" export DELTIM="900" export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2_da" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" -export EDATE="2016100200" +# in case.yaml export EDATE="2016100200" export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export KEEPDATA="NO" -export LEVS="65" +# in case.yaml export LEVS="65" export MONO="non-mono" -export MPI_BUFS_PER_HOST="2048" -export MPI_BUFS_PER_PROC="2048" -export MPI_GROUP_MAX="256" -export MPI_MEMMAP_OFF="1" -export MP_STDOUTMODE="ORDERED" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export MPI_BUFS_PER_HOST="2048" +# in platform.yaml export MPI_BUFS_PER_PROC="2048" +# in platform.yaml export MPI_GROUP_MAX="256" +# in platform.yaml export MPI_MEMMAP_OFF="1" +# in platform.yaml export MP_STDOUTMODE="ORDERED" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" +# in case.yaml export NMEM_ENKF="80" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" export NTHREADS_FV3="2" export NTHREADS_REGRID_NEMSIO="1" export NTHREADS_REMAP="2" export NTHSTACK="1024000000" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OMP_STACKSIZE="2048000" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in platform.yaml export OMP_STACKSIZE="2048000" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export TYPE="nh" export USE_COUPLER_RES="NO" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_GROUP="1" export WRITE_NEMSIOFILE=".true." export WRTTASK_PER_GROUP="24" @@ -117,12 +117,12 @@ case_in="C192" # shell-local export cdmbgwd="0.2,2.5" export cores_per_node="24" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export launcher="'mpirun -np'" +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in platform.yaml export launcher="'mpirun -np'" export layout_x="4" export layout_y="8" -export lwrite4danl=".false." +# in case.yaml export lwrite4danl=".false." export master_grid="0p25deg" export memory_fcst="1024M" export ncld="1" diff --git a/model/fv3gfs/2016100200/gdaspost_00 b/model/fv3gfs/2016100200/gdaspost_00 index adf9fb8..11e7673 100644 --- a/model/fv3gfs/2016100200/gdaspost_00 +++ b/model/fv3gfs/2016100200/gdaspost_00 @@ -19,100 +19,100 @@ export machine="THEIA" config="post" # shell-local export APRUN_DWN="'mpirun -np 72'" export APRUN_NP="'mpirun -np 72'" -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" export DO_GDAS_FCST_POST="YES" -export EDATE="2016100200" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" +# in case.yaml export EDATE="2016100200" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" export FLXF="YES" export GFSDOWNSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/ush/fv3gfs_downstream_nems.sh" export GFSDWNSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/ush/fv3gfs_dwn_nems.sh" export GFS_DOWNSTREAM="YES" export GOESF="NO" export GPOST="YES" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" export GTGF="NO" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export KEEPDATA="NO" -export LEVS="65" -export MPI_BUFS_PER_HOST="2048" -export MPI_BUFS_PER_PROC="2048" -export MPI_GROUP_MAX="256" -export MPI_MEMMAP_OFF="1" -export MP_STDOUTMODE="ORDERED" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in case.yaml export LEVS="65" +# in platform.yaml export MPI_BUFS_PER_HOST="2048" +# in platform.yaml export MPI_BUFS_PER_PROC="2048" +# in platform.yaml export MPI_GROUP_MAX="256" +# in platform.yaml export MPI_MEMMAP_OFF="1" +# in platform.yaml export MP_STDOUTMODE="ORDERED" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" +# in case.yaml export NMEM_ENKF="80" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" export NTHREADS_DWN="2" export NTHREADS_NP="1" export NTHSTACK="1024000000" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OMP_STACKSIZE="2048000" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in platform.yaml export OMP_STACKSIZE="2048000" export OUTPUT_GRID="gaussian_grid" export POSTGPEXEC="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2/exec/ncep_post" export POSTGPSH="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2/ush/global_nceppost.sh" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" export POSTJJOBSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs/JGFS_POST.sh" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_NEMSIOFILE=".true." export assim_freq="6" export downset="1" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export launcher="'mpirun -np'" -export lwrite4danl=".false." +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in platform.yaml export launcher="'mpirun -np'" +# in case.yaml export lwrite4danl=".false." export memory_post="3072M" export npe_dwn="72" export npe_node_max="24" diff --git a/model/fv3gfs/2016100200/gdasprep_00 b/model/fv3gfs/2016100200/gdasprep_00 index c3dc0c9..4afb6da 100644 --- a/model/fv3gfs/2016100200/gdasprep_00 +++ b/model/fv3gfs/2016100200/gdasprep_00 @@ -17,91 +17,91 @@ export machine="THEIA" # Variable changes after modules: config="prep" # shell-local -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BACK="YES" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" export DO_MAKEPREPBUFR="YES" export DO_RELOCATE="NO" export DRIVE_MAKEPREPBUFRSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/drive_makeprepbufr.sh" -export EDATE="2016100200" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in case.yaml export EDATE="2016100200" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export KEEPDATA="NO" -export LEVS="65" -export MPI_BUFS_PER_HOST="2048" -export MPI_BUFS_PER_PROC="2048" -export MPI_GROUP_MAX="256" -export MPI_MEMMAP_OFF="1" -export MP_STDOUTMODE="ORDERED" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in case.yaml export LEVS="65" +# in platform.yaml export MPI_BUFS_PER_HOST="2048" +# in platform.yaml export MPI_BUFS_PER_PROC="2048" +# in platform.yaml export MPI_GROUP_MAX="256" +# in platform.yaml export MPI_MEMMAP_OFF="1" +# in platform.yaml export MP_STDOUTMODE="ORDERED" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" +# in case.yaml export NMEM_ENKF="80" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" export NTHSTACK="1024000000" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OMP_STACKSIZE="2048000" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in platform.yaml export OMP_STACKSIZE="2048000" export OUTPUT_GRID="gaussian_grid" export POE="NO" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_NEMSIOFILE=".true." export assim_freq="6" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export launcher="'mpirun -np'" -export lwrite4danl=".false." +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in platform.yaml export launcher="'mpirun -np'" +# in case.yaml export lwrite4danl=".false." export npe_node_max="24" export npe_node_prep="12" export npe_prep="12" diff --git a/model/fv3gfs/2016100200/gdasvrfy_00 b/model/fv3gfs/2016100200/gdasvrfy_00 index 23025ee..a090eb5 100644 --- a/model/fv3gfs/2016100200/gdasvrfy_00 +++ b/model/fv3gfs/2016100200/gdasvrfy_00 @@ -17,79 +17,79 @@ export machine="THEIA" # Variable changes after modules: config="vrfy" # shell-local -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CDFNL="gdas" export CDUMPFCST="gdas" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" -export EDATE="2016100200" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export EDATE="2016100200" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" GRIB1_WORKS="NO" # shell-local -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export KEEPDATA="NO" -export LEVS="65" -export MPI_BUFS_PER_HOST="2048" -export MPI_BUFS_PER_PROC="2048" -export MPI_GROUP_MAX="256" -export MPI_MEMMAP_OFF="1" -export MP_STDOUTMODE="ORDERED" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in case.yaml export LEVS="65" +# in platform.yaml export MPI_BUFS_PER_HOST="2048" +# in platform.yaml export MPI_BUFS_PER_PROC="2048" +# in platform.yaml export MPI_GROUP_MAX="256" +# in platform.yaml export MPI_MEMMAP_OFF="1" +# in platform.yaml export MP_STDOUTMODE="ORDERED" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" +# in case.yaml export NMEM_ENKF="80" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" export NTHSTACK="1024000000" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OMP_STACKSIZE="2048000" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in platform.yaml export OMP_STACKSIZE="2048000" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export VDUMP="gfs" export VERBOSE="YES" export VRFYFITS="NO" @@ -103,15 +103,15 @@ export VRFYRAD="NO" export VRFYTRAK="NO" export VSDB_STEP1="YES" export VSDB_STEP2="NO" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_NEMSIOFILE=".true." export assim_freq="6" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export launcher="'mpirun -np'" -export lwrite4danl=".false." +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in platform.yaml export launcher="'mpirun -np'" +# in case.yaml export lwrite4danl=".false." export memory_vrfy="16384M" export npe_node_max="24" export npe_node_vrfy="1" diff --git a/model/fv3gfs/2016100200/gfsanal_00 b/model/fv3gfs/2016100200/gfsanal_00 index a532553..c205f1c 100644 --- a/model/fv3gfs/2016100200/gfsanal_00 +++ b/model/fv3gfs/2016100200/gfsanal_00 @@ -20,88 +20,88 @@ config="anal" # shell-local export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" export APRUN_CALCINC="'mpirun -np $ncmd'" export APRUN_GSI="'mpirun -np 144'" -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" -export EDATE="2016100200" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in case.yaml export EDATE="2016100200" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export KEEPDATA="NO" -export LEVS="65" -export MPI_BUFS_PER_HOST="2048" -export MPI_BUFS_PER_PROC="2048" -export MPI_GROUP_MAX="256" -export MPI_MEMMAP_OFF="1" -export MP_STDOUTMODE="ORDERED" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in case.yaml export LEVS="65" +# in platform.yaml export MPI_BUFS_PER_HOST="2048" +# in platform.yaml export MPI_BUFS_PER_PROC="2048" +# in platform.yaml export MPI_GROUP_MAX="256" +# in platform.yaml export MPI_MEMMAP_OFF="1" +# in platform.yaml export MP_STDOUTMODE="ORDERED" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" +# in case.yaml export NMEM_ENKF="80" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" export NTHREADS_CALCINC="1" export NTHREADS_GSI="4" export NTHSTACK="1024000000" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OMP_STACKSIZE="2048000" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in platform.yaml export OMP_STACKSIZE="2048000" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_NEMSIOFILE=".true." export assim_freq="6" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export launcher="'mpirun -np'" -export lwrite4danl=".false." +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in platform.yaml export launcher="'mpirun -np'" +# in case.yaml export lwrite4danl=".false." export memory_anal="3072M" export npe_anal="144" export npe_gsi="144" diff --git a/model/fv3gfs/2016100200/gfsarch_00 b/model/fv3gfs/2016100200/gfsarch_00 index 5937045..9656d67 100644 --- a/model/fv3gfs/2016100200/gfsarch_00 +++ b/model/fv3gfs/2016100200/gfsarch_00 @@ -17,78 +17,78 @@ export machine="THEIA" # Variable changes after modules: config="arch" # shell-local -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" -export EDATE="2016100200" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in case.yaml export EDATE="2016100200" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export KEEPDATA="NO" -export LEVS="65" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in case.yaml export LEVS="65" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" +# in case.yaml export NMEM_ENKF="80" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_NEMSIOFILE=".true." export assim_freq="6" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export lwrite4danl=".false." +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in case.yaml export lwrite4danl=".false." export npe_arch="1" export npe_node_arch="1" export nst_anl=".true." diff --git a/model/fv3gfs/2016100200/gfsfcst_00 b/model/fv3gfs/2016100200/gfsfcst_00 index 35fe233..db3151a 100644 --- a/model/fv3gfs/2016100200/gfsfcst_00 +++ b/model/fv3gfs/2016100200/gfsfcst_00 @@ -20,95 +20,95 @@ config="fcst" # shell-local export APRUN_FV3="'mpirun -np 216'" export APRUN_REGRID_NEMSIO="'mpirun -np 65'" export APRUN_REMAP="'mpirun -np 216'" -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" export DELTIM="900" export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" -export EDATE="2016100200" +# in case.yaml export EDATE="2016100200" export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export KEEPDATA="NO" -export LEVS="65" +# in case.yaml export LEVS="65" export MONO="non-mono" -export MPI_BUFS_PER_HOST="2048" -export MPI_BUFS_PER_PROC="2048" -export MPI_GROUP_MAX="256" -export MPI_MEMMAP_OFF="1" -export MP_STDOUTMODE="ORDERED" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export MPI_BUFS_PER_HOST="2048" +# in platform.yaml export MPI_BUFS_PER_PROC="2048" +# in platform.yaml export MPI_GROUP_MAX="256" +# in platform.yaml export MPI_MEMMAP_OFF="1" +# in platform.yaml export MP_STDOUTMODE="ORDERED" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" +# in case.yaml export NMEM_ENKF="80" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" export NTHREADS_FV3="2" export NTHREADS_REGRID_NEMSIO="1" export NTHREADS_REMAP="2" export NTHSTACK="1024000000" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OMP_STACKSIZE="2048000" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in platform.yaml export OMP_STACKSIZE="2048000" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export TYPE="nh" export USE_COUPLER_RES="NO" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_GROUP="1" export WRITE_NEMSIOFILE=".true." export WRTTASK_PER_GROUP="24" @@ -117,12 +117,12 @@ case_in="C192" # shell-local export cdmbgwd="0.2,2.5" export cores_per_node="24" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export launcher="'mpirun -np'" +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in platform.yaml export launcher="'mpirun -np'" export layout_x="4" export layout_y="8" -export lwrite4danl=".false." +# in case.yaml export lwrite4danl=".false." export master_grid="0p25deg" export memory_fcst="1024M" export ncld="1" diff --git a/model/fv3gfs/2016100200/gfspost_00 b/model/fv3gfs/2016100200/gfspost_00 index 425f20c..9082d28 100644 --- a/model/fv3gfs/2016100200/gfspost_00 +++ b/model/fv3gfs/2016100200/gfspost_00 @@ -19,100 +19,100 @@ export machine="THEIA" config="post" # shell-local export APRUN_DWN="'mpirun -np 72'" export APRUN_NP="'mpirun -np 72'" -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" export DO_GDAS_FCST_POST="YES" -export EDATE="2016100200" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" +# in case.yaml export EDATE="2016100200" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" export FLXF="YES" export GFSDOWNSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/ush/fv3gfs_downstream_nems.sh" export GFSDWNSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/ush/fv3gfs_dwn_nems.sh" export GFS_DOWNSTREAM="YES" export GOESF="NO" export GPOST="YES" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" export GTGF="NO" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export KEEPDATA="NO" -export LEVS="65" -export MPI_BUFS_PER_HOST="2048" -export MPI_BUFS_PER_PROC="2048" -export MPI_GROUP_MAX="256" -export MPI_MEMMAP_OFF="1" -export MP_STDOUTMODE="ORDERED" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in case.yaml export LEVS="65" +# in platform.yaml export MPI_BUFS_PER_HOST="2048" +# in platform.yaml export MPI_BUFS_PER_PROC="2048" +# in platform.yaml export MPI_GROUP_MAX="256" +# in platform.yaml export MPI_MEMMAP_OFF="1" +# in platform.yaml export MP_STDOUTMODE="ORDERED" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" +# in case.yaml export NMEM_ENKF="80" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" export NTHREADS_DWN="2" export NTHREADS_NP="1" export NTHSTACK="1024000000" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OMP_STACKSIZE="2048000" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in platform.yaml export OMP_STACKSIZE="2048000" export OUTPUT_GRID="gaussian_grid" export POSTGPEXEC="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2/exec/ncep_post" export POSTGPSH="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2/ush/global_nceppost.sh" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" export POSTJJOBSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs/JGFS_POST.sh" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_NEMSIOFILE=".true." export assim_freq="6" export downset="1" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export launcher="'mpirun -np'" -export lwrite4danl=".false." +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in platform.yaml export launcher="'mpirun -np'" +# in case.yaml export lwrite4danl=".false." export memory_post="3072M" export npe_dwn="72" export npe_node_max="24" diff --git a/model/fv3gfs/2016100200/gfsprep_00 b/model/fv3gfs/2016100200/gfsprep_00 index 2852668..45f15f2 100644 --- a/model/fv3gfs/2016100200/gfsprep_00 +++ b/model/fv3gfs/2016100200/gfsprep_00 @@ -17,91 +17,91 @@ export machine="THEIA" # Variable changes after modules: config="prep" # shell-local -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BACK="YES" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" export DO_MAKEPREPBUFR="YES" export DO_RELOCATE="NO" export DRIVE_MAKEPREPBUFRSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/drive_makeprepbufr.sh" -export EDATE="2016100200" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in case.yaml export EDATE="2016100200" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export KEEPDATA="NO" -export LEVS="65" -export MPI_BUFS_PER_HOST="2048" -export MPI_BUFS_PER_PROC="2048" -export MPI_GROUP_MAX="256" -export MPI_MEMMAP_OFF="1" -export MP_STDOUTMODE="ORDERED" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in case.yaml export LEVS="65" +# in platform.yaml export MPI_BUFS_PER_HOST="2048" +# in platform.yaml export MPI_BUFS_PER_PROC="2048" +# in platform.yaml export MPI_GROUP_MAX="256" +# in platform.yaml export MPI_MEMMAP_OFF="1" +# in platform.yaml export MP_STDOUTMODE="ORDERED" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" +# in case.yaml export NMEM_ENKF="80" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" export NTHSTACK="1024000000" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OMP_STACKSIZE="2048000" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in platform.yaml export OMP_STACKSIZE="2048000" export OUTPUT_GRID="gaussian_grid" export POE="NO" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_NEMSIOFILE=".true." export assim_freq="6" exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export launcher="'mpirun -np'" -export lwrite4danl=".false." +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in platform.yaml export launcher="'mpirun -np'" +# in case.yaml export lwrite4danl=".false." export npe_node_max="24" export npe_node_prep="12" export npe_prep="12" diff --git a/model/fv3gfs/2016100200/gfsvrfy_00 b/model/fv3gfs/2016100200/gfsvrfy_00 index 7b2735d..96412db 100644 --- a/model/fv3gfs/2016100200/gfsvrfy_00 +++ b/model/fv3gfs/2016100200/gfsvrfy_00 @@ -17,80 +17,80 @@ export machine="THEIA" # Variable changes after modules: config="vrfy" # shell-local -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" +# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" export BACKDATEVSDB="24" export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" +# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" +# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" +# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" +# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" +# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" +# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" +# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" +# in case.yaml export CASE="C192" +# in case.yaml export CASE_ENKF="C96" export CDFNL="gdas" export CDUMPFCST="gdas" export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" +# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" +# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" +# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" +# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" +# in case.yaml export DOHYBVAR="YES" export DONST="NO" -export EDATE="2016100200" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in case.yaml export EDATE="2016100200" +# in case.yaml export FHCYC="24" +# in case.yaml export FHMAX="9" +# in case.yaml export FHMAX_ENKF="9" +# in case.yaml export FHMAX_GFS="240" +# in case.yaml export FHMAX_HF_GFS="0" +# in case.yaml export FHMIN="0" +# in case.yaml export FHMIN_ENKF="3" +# in case.yaml export FHMIN_GFS="0" +# in case.yaml export FHOUT="3" +# in case.yaml export FHOUT_ENKF="3" +# in case.yaml export FHOUT_GFS="6" +# in case.yaml export FHOUT_HF_GFS="1" +# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" +# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" +# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" GRIB1_WORKS="NO" # shell-local -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" +# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" export KEEPDATA="NO" -export LEVS="65" -export MPI_BUFS_PER_HOST="2048" -export MPI_BUFS_PER_PROC="2048" -export MPI_GROUP_MAX="256" -export MPI_MEMMAP_OFF="1" -export MP_STDOUTMODE="ORDERED" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" +# in case.yaml export LEVS="65" +# in platform.yaml export MPI_BUFS_PER_HOST="2048" +# in platform.yaml export MPI_BUFS_PER_PROC="2048" +# in platform.yaml export MPI_GROUP_MAX="256" +# in platform.yaml export MPI_MEMMAP_OFF="1" +# in platform.yaml export MP_STDOUTMODE="ORDERED" +# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" +# in platform.yaml export NCO_NAMING_CONV="YES" +# in platform.yaml export NCP="'/bin/cp -p'" +# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" +# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" +# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" +# in platform.yaml export NLN="'/bin/ln -sf'" +# in case.yaml export NMEM_ENKF="80" +# in platform.yaml export NMV="/bin/mv" +# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" export NTHSTACK="1024000000" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OMP_STACKSIZE="2048000" +# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" +# in platform.yaml export OMP_STACKSIZE="2048000" export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" +# in case.yaml export PSLOT="wham" +# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" +# in case.yaml export REALTIME="NO" +# in case.yaml export RECENTER_ENKF="YES" +# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" +# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" +# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" +# in case.yaml export SDATE="2016100100" export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" +# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" export VBACKUP_PRCP="24" export VDUMP="gfs" export VERBOSE="YES" @@ -106,16 +106,16 @@ export VRFYTRAK="NO" export VSDBSH="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb/vsdbjob.sh" export VSDB_STEP1="YES" export VSDB_STEP2="NO" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" +# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" +# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" export WRITE_NEMSIOFILE=".true." export assim_freq="6" exetest="htar" # shell-local export ftyplist="pgbq" -export gfs_cyc="1" -export l4densvar=".false." -export launcher="'mpirun -np'" -export lwrite4danl=".false." +# in case.yaml export gfs_cyc="1" +# in case.yaml export l4densvar=".false." +# in platform.yaml export launcher="'mpirun -np'" +# in case.yaml export lwrite4danl=".false." export memory_vrfy="16384M" export npe_node_max="24" export npe_node_vrfy="1" diff --git a/model/fv3gfs/actions.yaml b/model/fv3gfs/actions.yaml index 1c7ef7d..04ba455 100644 --- a/model/fv3gfs/actions.yaml +++ b/model/fv3gfs/actions.yaml @@ -48,12 +48,16 @@ anal: &anal_action !Action walltime: !timedelta 02:00:00 resources: !calc run_anal memory: "3072M" + APRUN_CALCINC: "'mpirun -np $ncmd'" + APRUN_GSI: "'mpirun -np 144'" + ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" + BASE_ENV: !expand "{BASE_FV3GFS}/gfs_workflow.v15.0.0/env" + BASE_JOB: !expand "{BASE_WORKFLOW}/jobs" + CHGRP_CMD: "'chgrp rstprod'" + DONST: NO ANALYSISSH: !expand "{BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" GSIEXEC: !expand "{BASE_GSI}/exec/global_gsi" - npe_gsi: !expand "{npe_anal}" - nth_gsi: 4 - nth_anal: 2 - accounting: !calc doc.platform.parallel_accounting + KEEPDATA: NO QUILTING: YES SMOOTH_ENKF: YES VERBOSE: YES @@ -65,10 +69,16 @@ anal: &anal_action !Action epos: &epos_action !Action <<: *action_template + APRUN_EPOS: "'mpirun -np 84'" J_JOB: epos walltime: !timedelta 00:15:00 resources: !calc run_epos memory: "3072M" + ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" + BASE_ENV: !expand "{BASE_FV3GFS}/gfs_workflow.v15.0.0/env" + BASE_JOB: !expand "{BASE_WORKFLOW}/jobs" + CHGRP_CMD: "'chgrp rstprod'" + DONST: NO ENKFPOSTSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_post_fv3gfs.sh.ecf" nth_epos: 2 accounting: !calc doc.platform.parallel_accounting diff --git a/model/fv3gfs/platform.yaml b/model/fv3gfs/platform.yaml index b35bc9c..96493ae 100644 --- a/model/fv3gfs/platform.yaml +++ b/model/fv3gfs/platform.yaml @@ -2,6 +2,10 @@ resource_defaults: &resource_defaults mpi_tuning: &mpi_tuning + npe_ecen="84" added to platform.yaml + npe_node_ecen="12" added to platform.yaml + npe_node_max: 24 + nst_anl: YES MPI_BUFS_PER_HOST: 2048 MPI_BUFS_PER_PROC: 2048 MPI_GROUP_MAX: 256 @@ -13,7 +17,8 @@ resource_defaults: &resource_defaults # From if[[...ecen]] block in config.resources: run_ecen: env: *mpi_tuning - resources: + + resource: - exe: placeholder mpi_ranks: 84 # max_ppn comes from THEIA.env: 84/12 = 7 From 81f74714f5f7003a450b20614e415e49ba95e56e Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Sat, 14 Oct 2017 04:33:04 +0000 Subject: [PATCH 127/487] Updated the yaml so that the jobs will actually run --- crow/config/__init__.py | 2 + crow/config/eval_tools.py | 12 +++- crow/config/tasks.py | 22 +++++-- crow/config/template.py | 4 +- crow/config/to_yaml.py | 48 ++++++++++++--- model/fv3gfs/actions.yaml | 25 ++++++-- model/fv3gfs/case.yaml | 7 +-- model/fv3gfs/job_wrapper.sh | 11 +++- model/fv3gfs/jobs/anal.sh | 40 +++--------- model/fv3gfs/jobs/arch.sh | 18 +++--- model/fv3gfs/jobs/earc.sh | 18 +++--- model/fv3gfs/jobs/ecen.sh | 24 +++----- model/fv3gfs/jobs/efcs.sh | 24 +++----- model/fv3gfs/jobs/eobs.sh | 24 +++----- model/fv3gfs/jobs/eomg.sh | 24 +++----- model/fv3gfs/jobs/epos.sh | 24 +++----- model/fv3gfs/jobs/eupd.sh | 24 +++----- model/fv3gfs/jobs/fcst.sh | 24 +++----- model/fv3gfs/jobs/fv3ic.sh | 24 +++----- model/fv3gfs/jobs/getic.sh | 24 +++----- model/fv3gfs/jobs/post.sh | 24 +++----- model/fv3gfs/jobs/prep.sh | 24 +++----- model/fv3gfs/jobs/vrfy.sh | 24 +++----- model/fv3gfs/platform.yaml | 30 ++++----- model/fv3gfs/resolution.yaml | 78 +++++++++++++++++++++++ model/fv3gfs/setup_expt.py | 6 +- model/fv3gfs/workflow.yaml | 116 +++++++++++++++++------------------ to_sh.py | 16 +++-- 28 files changed, 399 insertions(+), 342 deletions(-) create mode 100644 model/fv3gfs/resolution.yaml diff --git a/crow/config/__init__.py b/crow/config/__init__.py index 9efccec..1943147 100644 --- a/crow/config/__init__.py +++ b/crow/config/__init__.py @@ -34,6 +34,7 @@ def expand_text(text,scope): evaluate_immediates=_evaluate_immediates def from_string(s,evaluate_immediates=True,validation_stage=None): + if not s: raise TypeError('Cannot parse null string') c=ConvertFromYAML(yaml.load(s),CONFIG_TOOLS,ENV) result=c.convert(validation_stage=validation_stage) if evaluate_immediates: @@ -41,6 +42,7 @@ def from_string(s,evaluate_immediates=True,validation_stage=None): return result def from_file(*args,evaluate_immediates=True,validation_stage=None): + if not args: raise TypeError('Specify which files to read.') data=list() for file in args: with open(file,'rt') as fopen: diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 299973a..b2680f0 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -133,11 +133,11 @@ class dict_eval(MutableMapping): * __getitem__(b) + __getitem__(c) """ - def __init__(self,child,path=''): + def __init__(self,child,path='',globals=None): #assert(not isinstance(child,dict_eval)) self.__child=copy(child) self.__cache=copy(child) - self.__globals={} + self.__globals={} if globals is None else globals self._path=path def __contains__(self,k): return k in self.__child def __len__(self): return len(self.__child) @@ -189,7 +189,11 @@ def __iter__(self): def _validate(self,stage): """!Validates this dict_eval using its embedded Template object, if present """ if 'Template' in self: - self.Template._check_scope(self,stage) + tmpl=self.Template + if not hasattr(tmpl,'_check_scope'): + tmpl=Template(self.Template,self._path+'.Template', + self.__globals) + tmpl._check_scope(self,stage) def __getitem__(self,key): val=self.__cache[key] if hasattr(val,'_result'): @@ -370,3 +374,5 @@ def evaluate_immediates(obj,recurse=False): return memo=set() if recurse else None evaluate_immediates_impl(obj,memo) + +from crow.config.template import Template diff --git a/crow/config/tasks.py b/crow/config/tasks.py index c25410a..223f968 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -25,7 +25,8 @@ 'StateDependency', 'Dependable', 'Taskable', 'Task', 'Family', 'Cycle', 'RUNNING', 'COMPLETED', 'FAILED', 'TRUE_DEPENDENCY', 'FALSE_DEPENDENCY', 'SuitePath', - 'CycleExistsDependency' ] + 'CycleExistsDependency', 'FamilyView', 'TaskView', + 'CycleView' ] class StateConstant(object): def __init__(self,name): @@ -130,7 +131,8 @@ def is_task(self): return isinstance(self.viewed,Task) def at(self,dt): dt=to_timedelta(dt) - ret=SuiteView(self.suite,self.viewed, + cls=type(self) + ret=cls(self.suite,self.viewed, [self.path[0]+dt]+self.path[1:],self) return ret @@ -156,16 +158,21 @@ def __getitem__(self,key): return val def __wrap(self,key,obj): - if isinstance(obj,Taskable): + if isinstance(obj,Task): # Add to path when recursing into a family or task obj=copy(obj) self.viewed[key]=obj - return SuiteView(self.suite,obj,self.path+[key],self) + return TaskView(self.suite,obj,self.path+[key],self) + if isinstance(obj,Family): + # Add to path when recursing into a family or task + obj=copy(obj) + self.viewed[key]=obj + return FamilyView(self.suite,obj,self.path+[key],self) if isinstance(obj,Cycle): # Reset path when we see a cycle obj=copy(obj) self.viewed[key]=obj - return SuiteView(self.suite,obj,self.path[:1],self) + return CycleView(self.suite,obj,self.path[:1],self) return obj # Dependency handling. When this SuiteView is wrapped around a @@ -188,6 +195,10 @@ def is_failed(self): def is_completed(self): return StateDependency(self,COMPLETED) +class CycleView(SuiteView): pass +class TaskView(SuiteView): pass +class FamilyView(SuiteView): pass + class Suite(SuiteView): def __init__(self,suite,more_globals=EMPTY_DICT): if not isinstance(suite,Cycle): @@ -343,6 +354,7 @@ def __init__(self,view,state): if state not in [ COMPLETED, RUNNING, FAILED ]: raise TypeError('Invalid state. Must be one of the constants ' 'COMPLETED, RUNNING, or FAILED') + typecheck('view',view,SuiteView) self.view=view self.state=state @property diff --git a/crow/config/template.py b/crow/config/template.py index 81fd8ce..69800d6 100644 --- a/crow/config/template.py +++ b/crow/config/template.py @@ -31,6 +31,7 @@ def _check_scope(self,scope,stage): # Templates as they become available via is_present. while did_something: did_something=False + assert(hasattr(template,'_check_scope')) # Inner validation loop. Validate based on all Templates # found thus far. Add new templates if found via @@ -56,7 +57,7 @@ def _check_scope(self,scope,stage): ip=from_config( var,scheme._raw('if_present'),self._globals(),scope) if not ip: continue - new_template=copy(ip) + new_template=Template(ip._raw_child()) new_template.update(template) template=new_template except (IndexError,AttributeError) as pye: @@ -184,3 +185,4 @@ def validate_var(path,scheme,var,val): if not isinstance(allowed,list) and not isinstance(allowed,list_eval): raise InvalidConfigTemplate(var+'.allowed: must be a list') validate_type(path,var,typ,val,allowed) + diff --git a/crow/config/to_yaml.py b/crow/config/to_yaml.py index cd729c3..3343730 100644 --- a/crow/config/to_yaml.py +++ b/crow/config/to_yaml.py @@ -17,11 +17,9 @@ import crow.config.from_yaml def to_yaml(yml): - simple=dict([ (k,v) for k,v in yml._raw_cache().items() ]) - #print('INPUT: '+repr(simple)) - result=yaml.dump(simple) - #print('OUTPUT: '+result) - return result + if hasattr(yml,'_raw_cache'): + yml=yml._raw_cache().copy() + return yaml.dump(yml) ######################################################################## @@ -46,6 +44,7 @@ def add_yaml_dict_eval(key,cls): """!Generates and registers a representer for a custom YAML mapping type """ def representer(dumper,data): + assert('up' not in data) if key is None: return dumper.represent_data(data._raw_child()) else: @@ -58,6 +57,7 @@ def representer(dumper,data): add_yaml_dict_eval(u'!Template',Template) add_yaml_dict_eval(u'!Eval',Eval) + ######################################################################## def represent_ordered_mapping(dumper, tag, mapping, flow_style=None): @@ -83,19 +83,47 @@ def represent_ordered_mapping(dumper, tag, mapping, flow_style=None): node.flow_style = best_style return node -def add_yaml_OrderedDict_eval(key,cls): +######################################################################## + +NONE=object() + +def add_yaml_taskable(key,cls): """!Generates and registers a representer for a custom YAML mapping type """ def representer(dumper,data): simple=data._raw_cache() + up=simple['up'] if 'up' in simple else NONE + if up is not NONE: del simple['up'] if not isinstance(simple,OrderedDict): simple=OrderedDict([ (k,v) for k,v in simple.items() ]) - return represent_ordered_mapping(dumper,key,simple) + rep=represent_ordered_mapping(dumper,key,simple) + if up is not NONE: simple['up']=up + return rep + yaml.add_representer(cls,representer) + +add_yaml_taskable(u'!Task',Task) +add_yaml_taskable(u'!Family',Family) +add_yaml_taskable(u'!Cycle',Cycle) + +######################################################################## + +def add_yaml_suite_view(key,cls): + """!Generates and registers a representer for a custom YAML mapping + type """ + def representer(dumper,data): + d=data.viewed._raw_child() + up=d['up'] + del d['up'] + assert('up' not in d) + rep=dumper.represent_ordered_mapping(dumper,key,d) + d['up']=up + return rep yaml.add_representer(cls,representer) -add_yaml_OrderedDict_eval(u'!Task',Task) -add_yaml_OrderedDict_eval(u'!Family',Family) -add_yaml_OrderedDict_eval(u'!Cycle',Cycle) +add_yaml_suite_view(u'!Task',TaskView) +add_yaml_suite_view(u'!Family',FamilyView) +add_yaml_suite_view(u'!Cycle',CycleView) +add_yaml_suite_view(u'!Cycle',Suite) ######################################################################## diff --git a/model/fv3gfs/actions.yaml b/model/fv3gfs/actions.yaml index 52ebdfd..d40ab50 100644 --- a/model/fv3gfs/actions.yaml +++ b/model/fv3gfs/actions.yaml @@ -1,7 +1,12 @@ action_template: &action_template <<: *resource_defaults - BASE_GSI: !calc doc.platform.places.BASE_GSI - BASE_GSM: !calc doc.platform.places.BASE_GSM + Template: *fv3_resolution + BASE_NEMSfv3gfs: !calc doc.case.BASE_NEMSfv3gfs + CASE: !calc doc.case.CASE + BASE_GSI: !calc doc.case.BASE_GSI + BASE_GSM: !calc doc.case.BASE_GSM + shell_vars: [ "[A-Z][A-Z0-9_]*$", "nth.*", "npe.*" ] + resource_env: {} # overridden by actions as needed ecen: &ecen_action !Action <<: *action_template @@ -34,7 +39,7 @@ ecen: &ecen_action !Action DONST: NO KEEPDATA: NO J_JOB: ecen - accounting: !calc doc.options.cpu_project + accounting: !calc doc.case.cpu_project anal: &anal_action !Action <<: *action_template @@ -44,13 +49,14 @@ anal: &anal_action !Action memory: "3072M" ANALYSISSH: !expand "{BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" GSIEXEC: !expand "{BASE_GSI}/exec/global_gsi" - npe_gsi: !expand "{npe_anal}" + npe_gsi: !calc npe_anal nth_gsi: 4 nth_anal: 2 accounting: !calc doc.platform.parallel_accounting epos: &epos_action !Action <<: *action_template + CASE: !calc doc.case.CASE_ENKF J_JOB: epos walltime: !timedelta 00:15:00 resources: !calc run_epos @@ -62,6 +68,7 @@ epos: &epos_action !Action eobs: &eobs_action !Action <<: *anal_action J_JOB: eobs + CASE: !calc doc.case.CASE_ENKF walltime: !timedelta 00:15:00 resources: !calc run_eobs memory: "3072M" @@ -80,6 +87,7 @@ eobs: &eobs_action !Action eomg: &eomg_action !Action <<: *action_template J_JOB: eomg + CASE: !calc doc.case.CASE_ENKF walltime: !timedelta 00:15:00 resources: !calc run_eomg memory: "3072M" @@ -89,6 +97,7 @@ eomg: &eomg_action !Action eupd: &eupd_action !Action <<: *action_template J_JOB: eupd + CASE: !calc doc.case.CASE_ENKF walltime: !timedelta 00:15:00 resources: !calc run_eupd memory: "3072M" @@ -102,10 +111,12 @@ eupd: &eupd_action !Action efcs: &efcs_action !Action <<: *action_template J_JOB: efcs + CASE: !calc doc.case.CASE_ENKF walltime: !timedelta 00:15:00 resources: !calc run_efcs memory: "3072M" - npe_fv3: !expand "{npe_efcs}" + npe_efcs: !calc "layout_x*layout_y*6" + npe_fv3: !calc npe_efcs nth_fv3: 1 accounting: !calc doc.platform.parallel_accounting @@ -136,6 +147,7 @@ efcs: &efcs_action !Action earc: &earc_action !Action <<: *action_template + CASE: !calc doc.case.CASE_ENKF J_JOB: earc walltime: !timedelta 00:15:00 resources: !calc run_earc @@ -244,7 +256,8 @@ arch: &arch_action !Action vrfy: &vrfy_action !Action <<: *action_template J_JOB: vrfy - Template: *vrfy_template + Template: + <<: [ *vrfy_template, *fv3_resolution ] walltime: !timedelta 01:00:00 resources: !calc run_vrfy memory: "3072M" diff --git a/model/fv3gfs/case.yaml b/model/fv3gfs/case.yaml index 24e9e8c..89aa2d8 100644 --- a/model/fv3gfs/case.yaml +++ b/model/fv3gfs/case.yaml @@ -1,4 +1,4 @@ -places: &places +case: &case PROJECT_DIR: /scratch4/NCEPDEV/nems/ HOMEDIR: !expand "{PROJECT_DIR}/save/{tools.env('USER')}" STMP: !expand "{doc.platform.least_used_scrub}{tools.env('USER')}" @@ -7,10 +7,8 @@ places: &places # Locations of CROW and this test suite. HOMEcrow: !calc tools.crow_install_dir() - HOMEtest: !calc tools.crow_install_dir('examples/real-workflow') + HOMEgfs: !calc tools.crow_install_dir('model/fv3gfs') -options: &options - <<: *places # Project for CPU accounting. cpu_project: marine-cpu # cpu_project: !calc doc.platform.default_cpu_project #Formerly known as ACCOUNT @@ -35,6 +33,7 @@ options: &options # CDUMP: "gfs" # Component paths + BASE_SVN: !calc doc.platform.BASE_SVN MYBASE_SVN: !expand "{NOSCRUB}/{tools.env('USER')}/svn" BASE_FV3GFS: !expand "{BASE_SVN}/fv3gfs" BASE_GSM: !expand "{BASE_FV3GFS}/global_shared.v15.0.0" diff --git a/model/fv3gfs/job_wrapper.sh b/model/fv3gfs/job_wrapper.sh index 43e46f0..0b73daa 100755 --- a/model/fv3gfs/job_wrapper.sh +++ b/model/fv3gfs/job_wrapper.sh @@ -11,8 +11,6 @@ source /apps/lmod/lmod/init/sh module purge module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/python/modulefiles/ module load python/3.6.1-emc -module load intel -module load impi # Restore stack soft limit: ulimit -S -s "$ulimit_s" @@ -24,4 +22,11 @@ export PYTHONPATH="$HOMEcrow${PYTHONPATH:+:$PYTHONPATH}" python3.6 -c 'import crow ; print(f"CROW library version {crow.version}")' -"$HOMEtest/jobs/$1" +if [[ "${1:0:1}" == "/" ]] ; then + exec "$@" +fi + +# Relative path is from j-jobs directory +prog=$1 +shift +exec "$HOMEgfs/jobs/$prog.sh" "$@" diff --git a/model/fv3gfs/jobs/anal.sh b/model/fv3gfs/jobs/anal.sh index b6e1979..1c86447 100755 --- a/model/fv3gfs/jobs/anal.sh +++ b/model/fv3gfs/jobs/anal.sh @@ -17,38 +17,14 @@ ## CDUMP : cycle name (gdas / gfs) ############################################################### -# CONFIG_SCOPE=gfs.fcst.Perform -export CROW2SH="$CROW/to_sh.py scope:$CONFIG_SCOPE" - -eval $( $CROWSH SHELL_VARNAME=YAML_VARNAME \ - import:"DOG_[0-9]+" ) # DOG_03 DOG_1 DOG_12345 - -# YAML action panel: -# some_action: !Action -# ... variables ... -# var1: val1 -# var2: val2 -# var3: val3 -# env_export: [ var1, var2, var3 ] -# - -eval $( $CROWSH from:doc.action.some_action.env_export ) - -############################################################### -# !! Getting rid of these !! -# Source relevant configs -#configs="base anal" -#for config in $configs; do -# . $EXPDIR/config.${config} -# status=$? -# [[ $status -ne 0 ]] && exit $status -#done - -############################################################### -# Source machine runtime environment -. $BASE_ENV/${machine}.env anal -status=$? -[[ $status -ne 0 ]] && exit $status +set -e +JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) +( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.env all:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) +( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) +unset JOBNAME +echo just testing ; exit 0 ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/arch.sh b/model/fv3gfs/jobs/arch.sh index fc37581..0c7f2d0 100755 --- a/model/fv3gfs/jobs/arch.sh +++ b/model/fv3gfs/jobs/arch.sh @@ -1,4 +1,4 @@ -#!/bin/ksh -x +#!/bin/bash ############################################################### # < next few lines under version control, D O N O T E D I T > # $Date: 2017-08-24 22:05:14 +0000 (Thu, 24 Aug 2017) $ @@ -17,14 +17,14 @@ ## CDUMP : cycle name (gdas / gfs) ############################################################### -############################################################### -# Source relevant configs -configs="base arch" -for config in $configs; do - . $EXPDIR/config.${config} - status=$? - [[ $status -ne 0 ]] && exit $status -done +set -e +JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) +( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.env all:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) +( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) +unset JOBNAME +echo just testing ; exit 0 ############################################################### # Run relevant tasks diff --git a/model/fv3gfs/jobs/earc.sh b/model/fv3gfs/jobs/earc.sh index 8e4e130..c04e582 100755 --- a/model/fv3gfs/jobs/earc.sh +++ b/model/fv3gfs/jobs/earc.sh @@ -1,4 +1,4 @@ -#!/bin/ksh -x +#!/bin/bash ############################################################### # < next few lines under version control, D O N O T E D I T > # $Date: 2017-08-04 03:29:01 +0000 (Fri, 04 Aug 2017) $ @@ -18,14 +18,14 @@ ## ENSGRP : ensemble sub-group to archive (0, 1, 2, ...) ############################################################### -############################################################### -# Source relevant configs -configs="base earc" -for config in $configs; do - . $EXPDIR/config.${config} - status=$? - [[ $status -ne 0 ]] && exit $status -done +set -e +JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) +( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.env all:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) +( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) +unset JOBNAME +echo just testing ; exit 0 ############################################################### # Run relevant tasks diff --git a/model/fv3gfs/jobs/ecen.sh b/model/fv3gfs/jobs/ecen.sh index a14a1c9..361edcd 100755 --- a/model/fv3gfs/jobs/ecen.sh +++ b/model/fv3gfs/jobs/ecen.sh @@ -1,4 +1,4 @@ -#!/bin/ksh -x +#!/bin/bash ############################################################### # < next few lines under version control, D O N O T E D I T > # $Date: 2017-08-16 21:42:24 +0000 (Wed, 16 Aug 2017) $ @@ -17,20 +17,14 @@ ## CDUMP : cycle name (gdas / gfs) ############################################################### -############################################################### -# Source relevant configs -configs="base ecen" -for config in $configs; do - . $EXPDIR/config.${config} - status=$? - [[ $status -ne 0 ]] && exit $status -done - -############################################################### -# Source machine runtime environment -. $BASE_ENV/${machine}.env ecen -status=$? -[[ $status -ne 0 ]] && exit $status +set -e +JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) +( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.env all:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) +( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) +unset JOBNAME +echo just testing ; exit 0 ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/efcs.sh b/model/fv3gfs/jobs/efcs.sh index 591831f..390ba63 100755 --- a/model/fv3gfs/jobs/efcs.sh +++ b/model/fv3gfs/jobs/efcs.sh @@ -1,4 +1,4 @@ -#!/bin/ksh -x +#!/bin/bash ############################################################### # < next few lines under version control, D O N O T E D I T > # $Date: 2017-08-16 21:42:24 +0000 (Wed, 16 Aug 2017) $ @@ -18,20 +18,14 @@ ## ENSGRP : ensemble sub-group to make forecasts (1, 2, ...) ############################################################### -############################################################### -# Source relevant configs -configs="base fcst efcs" -for config in $configs; do - . $EXPDIR/config.${config} - status=$? - [[ $status -ne 0 ]] && exit $status -done - -############################################################### -# Source machine runtime environment -. $BASE_ENV/${machine}.env efcs -status=$? -[[ $status -ne 0 ]] && exit $status +set -ex +JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) +( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.env all:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) +( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) +unset JOBNAME +echo just testing ; exit 0 ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/eobs.sh b/model/fv3gfs/jobs/eobs.sh index 24453a4..e1ef92c 100755 --- a/model/fv3gfs/jobs/eobs.sh +++ b/model/fv3gfs/jobs/eobs.sh @@ -1,4 +1,4 @@ -#!/bin/ksh -x +#!/bin/bash ############################################################### # < next few lines under version control, D O N O T E D I T > # $Date: 2017-08-16 21:42:24 +0000 (Wed, 16 Aug 2017) $ @@ -17,20 +17,14 @@ ## CDUMP : cycle name (gdas / gfs) ############################################################### -############################################################### -# Source relevant configs -configs="base anal eobs" -for config in $configs; do - . $EXPDIR/config.${config} - status=$? - [[ $status -ne 0 ]] && exit $status -done - -############################################################### -# Source machine runtime environment -. $BASE_ENV/${machine}.env eobs -status=$? -[[ $status -ne 0 ]] && exit $status +set -e +JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) +( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.env all:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) +( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) +unset JOBNAME +echo just testing ; exit 0 ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/eomg.sh b/model/fv3gfs/jobs/eomg.sh index 9604569..dcffbc0 100755 --- a/model/fv3gfs/jobs/eomg.sh +++ b/model/fv3gfs/jobs/eomg.sh @@ -1,4 +1,4 @@ -#!/bin/ksh -x +#!/bin/bash ############################################################### # < next few lines under version control, D O N O T E D I T > # $Date: 2017-08-16 21:42:24 +0000 (Wed, 16 Aug 2017) $ @@ -18,20 +18,14 @@ ## ENSGRP : ensemble sub-group to compute innovations (1, 2, ...) ############################################################### -############################################################### -# Source relevant configs -configs="base anal eobs" -for config in $configs; do - . $EXPDIR/config.${config} - status=$? - [[ $status -ne 0 ]] && exit $status -done - -############################################################### -# Source machine runtime environment -. $BASE_ENV/${machine}.env eobs -status=$? -[[ $status -ne 0 ]] && exit $status +set -e +JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) +( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.env all:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) +( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) +unset JOBNAME +echo just testing ; exit 0 ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/epos.sh b/model/fv3gfs/jobs/epos.sh index 228987f..676378c 100755 --- a/model/fv3gfs/jobs/epos.sh +++ b/model/fv3gfs/jobs/epos.sh @@ -1,4 +1,4 @@ -#!/bin/ksh -x +#!/bin/bash ############################################################### # < next few lines under version control, D O N O T E D I T > # $Date: 2017-08-16 21:42:24 +0000 (Wed, 16 Aug 2017) $ @@ -17,20 +17,14 @@ ## CDUMP : cycle name (gdas / gfs) ############################################################### -############################################################### -# Source relevant configs -configs="base epos" -for config in $configs; do - . $EXPDIR/config.${config} - status=$? - [[ $status -ne 0 ]] && exit $status -done - -############################################################### -# Source machine runtime environment -. $BASE_ENV/${machine}.env epos -status=$? -[[ $status -ne 0 ]] && exit $status +set -e +JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) +( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.env all:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) +( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) +unset JOBNAME +echo just testing ; exit 0 ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/eupd.sh b/model/fv3gfs/jobs/eupd.sh index 039d9ff..a786b4e 100755 --- a/model/fv3gfs/jobs/eupd.sh +++ b/model/fv3gfs/jobs/eupd.sh @@ -1,4 +1,4 @@ -#!/bin/ksh -x +#!/bin/bash ############################################################### # < next few lines under version control, D O N O T E D I T > # $Date: 2017-08-16 21:42:24 +0000 (Wed, 16 Aug 2017) $ @@ -17,20 +17,14 @@ ## CDUMP : cycle name (gdas / gfs) ############################################################### -############################################################### -# Source relevant configs -configs="base anal eupd" -for config in $configs; do - . $EXPDIR/config.${config} - status=$? - [[ $status -ne 0 ]] && exit $status -done - -############################################################### -# Source machine runtime environment -. $BASE_ENV/${machine}.env eupd -status=$? -[[ $status -ne 0 ]] && exit $status +set -e +JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) +( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.env all:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) +( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) +unset JOBNAME +echo just testing ; exit 0 ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/fcst.sh b/model/fv3gfs/jobs/fcst.sh index 0992895..23a5bc7 100755 --- a/model/fv3gfs/jobs/fcst.sh +++ b/model/fv3gfs/jobs/fcst.sh @@ -1,4 +1,4 @@ -#!/bin/ksh -x +#!/bin/bash ############################################################### # < next few lines under version control, D O N O T E D I T > # $Date: 2017-07-26 15:16:25 +0000 (Wed, 26 Jul 2017) $ @@ -17,20 +17,14 @@ ## CDUMP : cycle name (gdas / gfs) ############################################################### -############################################################### -# Source relevant configs -configs="base fcst" -for config in $configs; do - . $EXPDIR/config.${config} - status=$? - [[ $status -ne 0 ]] && exit $status -done - -############################################################### -# Source machine runtime environment -. $BASE_ENV/${machine}.env fcst -status=$? -[[ $status -ne 0 ]] && exit $status +set -ex +JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) +( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.env all:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) +( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) +unset JOBNAME +echo just testing ; exit 0 ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/fv3ic.sh b/model/fv3gfs/jobs/fv3ic.sh index 6179909..0c4f88b 100755 --- a/model/fv3gfs/jobs/fv3ic.sh +++ b/model/fv3gfs/jobs/fv3ic.sh @@ -1,4 +1,4 @@ -#!/bin/ksh -x +#!/bin/bash ############################################################### # < next few lines under version control, D O N O T E D I T > # $Date: 2017-08-04 03:29:01 +0000 (Fri, 04 Aug 2017) $ @@ -20,20 +20,14 @@ export CDATE=${2:-$CDATE} export CDUMP=${3:-$CDUMP} ############################################################### -############################################################### -# Source relevant configs -configs="base fv3ic" -for config in $configs; do - . $EXPDIR/config.${config} - status=$? - [[ $status -ne 0 ]] && exit $status -done - -############################################################### -# Source machine runtime environment -. $BASE_ENV/${machine}.env fv3ic -status=$? -[[ $status -ne 0 ]] && exit $status +set -e +JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) +( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.env all:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) +( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) +unset JOBNAME +echo just testing ; exit 0 # Temporary runtime directory export DATA="$RUNDIR/$CDATE/$CDUMP/fv3ic$$" diff --git a/model/fv3gfs/jobs/getic.sh b/model/fv3gfs/jobs/getic.sh index 27cb641..e9c1ed6 100755 --- a/model/fv3gfs/jobs/getic.sh +++ b/model/fv3gfs/jobs/getic.sh @@ -1,4 +1,4 @@ -#!/bin/ksh -x +#!/bin/bash ############################################################### # < next few lines under version control, D O N O T E D I T > # $Date: 2017-08-04 03:29:01 +0000 (Fri, 04 Aug 2017) $ @@ -17,20 +17,14 @@ ## CDUMP : cycle name (gdas / gfs) ############################################################### -############################################################### -# Source relevant configs -configs="base getic" -for config in $configs; do - . $EXPDIR/config.${config} - status=$? - [[ $status -ne 0 ]] && exit $status -done - -############################################################### -# Source machine runtime environment -. $BASE_ENV/${machine}.env getic -status=$? -[[ $status -ne 0 ]] && exit $status +set -e +JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) +( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.env all:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) +( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) +unset JOBNAME +echo just testing ; exit 0 ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/post.sh b/model/fv3gfs/jobs/post.sh index 9ff4c7b..82f879b 100755 --- a/model/fv3gfs/jobs/post.sh +++ b/model/fv3gfs/jobs/post.sh @@ -1,4 +1,4 @@ -#!/bin/ksh -x +#!/bin/bash ############################################################### # < next few lines under version control, D O N O T E D I T > # $Date: 2017-08-24 22:05:14 +0000 (Thu, 24 Aug 2017) $ @@ -17,20 +17,14 @@ ## CDUMP : cycle name (gdas / gfs) ############################################################### -############################################################### -# Source relevant configs -configs="base post" -for config in $configs; do - . $EXPDIR/config.${config} - status=$? - [[ $status -ne 0 ]] && exit $status -done - -############################################################### -# Source machine runtime environment -. $BASE_ENV/${machine}.env post -status=$? -[[ $status -ne 0 ]] && exit $status +set -e +JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) +( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.env all:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) +( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) +unset JOBNAME +echo just testing ; exit 0 ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/prep.sh b/model/fv3gfs/jobs/prep.sh index 58b0800..264fe87 100755 --- a/model/fv3gfs/jobs/prep.sh +++ b/model/fv3gfs/jobs/prep.sh @@ -1,4 +1,4 @@ -#!/bin/ksh -x +#!/bin/bash ############################################################### # < next few lines under version control, D O N O T E D I T > # $Date: 2017-08-16 21:42:24 +0000 (Wed, 16 Aug 2017) $ @@ -17,20 +17,14 @@ ## CDUMP : cycle name (gdas / gfs) ############################################################### -############################################################### -# Source relevant configs -configs="base prep" -for config in $configs; do - . $EXPDIR/config.${config} - status=$? - [[ $status -ne 0 ]] && exit $status -done - -############################################################### -# Source machine runtime environment -. $BASE_ENV/${machine}.env prep -status=$? -[[ $status -ne 0 ]] && exit $status +set -e +JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) +( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.env all:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) +( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) +unset JOBNAME +echo just testing ; exit 0 ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/vrfy.sh b/model/fv3gfs/jobs/vrfy.sh index 5be8041..6d2d353 100755 --- a/model/fv3gfs/jobs/vrfy.sh +++ b/model/fv3gfs/jobs/vrfy.sh @@ -1,4 +1,4 @@ -#!/bin/ksh -x +#!/bin/bash ############################################################### # < next few lines under version control, D O N O T E D I T > # $Date: 2017-08-24 22:05:14 +0000 (Thu, 24 Aug 2017) $ @@ -17,20 +17,14 @@ ## CDUMP : cycle name (gdas / gfs) ############################################################### -############################################################### -# Source relevant configs -configs="base vrfy" -for config in $configs; do - . $EXPDIR/config.${config} - status=$? - [[ $status -ne 0 ]] && exit $status -done - -############################################################### -# Source machine runtime environment -. $BASE_ENV/${machine}.env vrfy -status=$? -[[ $status -ne 0 ]] && exit $status +set -e +JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) +( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.env all:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) +( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) +unset JOBNAME +echo just testing ; exit 0 ############################################################### diff --git a/model/fv3gfs/platform.yaml b/model/fv3gfs/platform.yaml index b35bc9c..8a68799 100644 --- a/model/fv3gfs/platform.yaml +++ b/model/fv3gfs/platform.yaml @@ -131,7 +131,7 @@ theia: &theia !Platform # svn, keep it or not? # Base directories for various builds BASE_SVN: "/scratch4/NCEPDEV/global/save/glopara/svn" - MYBASE_SVN: "/scratch4/NCEPDEV/global/save/{tools.env('USER')}/svn" + MYBASE_SVN: !expand "/scratch4/NCEPDEV/global/save/{tools.env('USER')}/svn" BASE_GFS: "/scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17/global_shared.v14.1.0" BASE_GDAS: "/scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17/global_shared.v14.1.0" @@ -140,16 +140,16 @@ theia: &theia !Platform # Utilities needed in the scripts (mostly post) exes: - NEMSIOGET: !expand "{NWPROD}/util/exec/nemsio_get" - NDATE: !expand "{NWPROD}/util/exec/ndate" + NEMSIOGET: !expand "{doc.platform.NWPROD}/util/exec/nemsio_get" + NDATE: !expand "{doc.platform.NWPROD}/util/exec/ndate" NHOUR: !expand "{$NWPROD}/util/exec/nhour" WGRIB: !expand "{$NWPROD}/util/exec/wgrib" - WGRIB2: !expand "{NWPROD}/util/exec/wgrib2" - COPYGB: !expand "{NWPROD}/util/exec/copygb" - COPYGB2: !expand "{NWPROD}/util/exec/copygb2" - GRBINDEX: !expand "{NWPROD}/util/exec/grbindex" - GRB2INDEX: !expand "{NWPROD}/util/exec/grb2index" - GRBINDEX2: !expand "{NWPROD}/util/exec/grb2index" + WGRIB2: !expand "{doc.platform.NWPROD}/util/exec/wgrib2" + COPYGB: !expand "{doc.platform.NWPROD}/util/exec/copygb" + COPYGB2: !expand "{doc.platform.NWPROD}/util/exec/copygb2" + GRBINDEX: !expand "{doc.platform.NWPROD}/util/exec/grbindex" + GRB2INDEX: !expand "{doc.platform.NWPROD}/util/exec/grb2index" + GRBINDEX2: !expand "{doc.platform.NWPROD}/util/exec/grb2index" CNVGRIB: "/apps/cnvgrib/1.4.0/bin/cnvgrib" NCO_NAMING_CONV: YES @@ -162,13 +162,13 @@ theia: &theia !Platform pan_df: pan_df serial_accounting: queue: debug - project: !calc doc.options.cpu_project + project: !calc doc.case.cpu_project transfer_accounting: queue: batch - project: !calc doc.options.cpu_project + project: !calc doc.case.cpu_project parallel_accounting: queue: batch - project: !calc doc.options.cpu_project + project: !calc doc.case.cpu_project scheduler: &theia_scheduler name: MoabTorque physical_cores_per_node: 24 @@ -199,13 +199,13 @@ wcoss_cray: &wcoss_cray !Platform POSTGRB2TBL: "/gpfs/hps/nco/ops/nwprod/lib/g2tmpl/v1.3.0/src/params_grib2_tbl_new" serial_accounting: queue: dev - project: !calc doc.options.cpu_project + project: !calc doc.case.cpu_project transfer_accounting: queue: transfer - project: !calc doc.options.cpu_project + project: !calc doc.case.cpu_project parallel_accounting: queue: dev - project: !calc doc.options.cpu_project + project: !calc doc.case.cpu_project scheduler: &wcoss_cray_scheduler name: LSFAlps physical_cores_per_node: 24 diff --git a/model/fv3gfs/resolution.yaml b/model/fv3gfs/resolution.yaml new file mode 100644 index 0000000..f8d0a59 --- /dev/null +++ b/model/fv3gfs/resolution.yaml @@ -0,0 +1,78 @@ +fv3_resolution: &fv3_resolution !Template + CASE: + type: string + allowed: [ 'C48', 'C96', 'C192', 'C384', 'C768', 'C1152', 'C3072' ] + if_present: !FirstTrue + - when: !calc CASE=='C48' + do: + DELTIM: { default: 3600, type: int } + layout_x: { default: 4, type: int } + layout_y: { default: 8, type: int } + WRITE_GROUP: { default: 1, type: int } + WRTTASK_PER_GROUP: { default: 12, type: int } + + - when: !calc CASE=='C96' + do: + DELTIM: { default: 1800, type: int } + layout_x: { default: 4, type: int } + layout_y: { default: 8, type: int } + cdmbgwd: + default: "0.125,3.0" + type: string + description: "mountain blocking and gravity wave drag" + WRITE_GROUP: { default: 1, type: int } + WRTTASK_PER_GROUP: { default: 12, type: int } + + - when: !calc CASE=='C192' + do: + DELTIM: { default: 900, type: int } + layout_x: { default: 4, type: int } + layout_y: { default: 8, type: int } + cdmbgwd: + default: "0.2,2.5" + type: string + description: "mountain blocking and gravity wave drag" + WRITE_GROUP: { default: 1, type: int } + WRTTASK_PER_GROUP: { default: 24, type: int } + + - when: !calc CASE=='C384' + do: + DELTIM: { default: 450, type: int } + layout_x: { default: 4, type: int } + layout_y: { default: 8, type: int } + cdmbgwd: + default: "1.0,1.2" + type: string + description: "mountain blocking and gravity wave drag" + WRITE_GROUP: { default: 2, type: int } + WRTTASK_PER_GROUP: { default: 48, type: int } + + - when: !calc CASE=='C768' + do: + DELTIM: { default: 225, type: int } + layout_x: { default: 8, type: int } + layout_y: { default: 16, type: int } + cdmbgwd: + default: "3.5,0.25" + type: string + description: 'mountain blocking and gravity wave drag' + WRITE_GROUP: { default: 2, type: int } + WRTTASK_PER_GROUP: { default: 96, type: int } + + - when: !calc CASE=='C1152' + do: + DELTIM: { default: 150, type: int } + layout_x: { default: 8, type: int } + layout_y: { default: 16, type: int } + WRITE_GROUP: { default: 2, type: int } + WRTTASK_PER_GROUP: { default: 120, type: int } + + - when: !calc CASE=='C3072' + do: + DELTIM: { default: 90, type: int } + layout_x: { default: 16, type: int } + layout_y: { default: 32, type: int } + WRITE_GROUP: { default: 4, type: int } + WRTTASK_PER_GROUP: { default: 120, type: int } + + - otherwise: null diff --git a/model/fv3gfs/setup_expt.py b/model/fv3gfs/setup_expt.py index c1efabd..333c172 100755 --- a/model/fv3gfs/setup_expt.py +++ b/model/fv3gfs/setup_expt.py @@ -24,7 +24,7 @@ logger.error('Format: setup_expt.py case.yaml') exit(1) -yamls=[ 'platform.yaml','template.yaml' ] + \ +yamls=[ 'platform.yaml','template.yaml', 'resolution.yaml' ] + \ sys.argv[1:] + ['runtime.yaml','actions.yaml','workflow.yaml'] conf=crow.config.from_file(*yamls) @@ -33,7 +33,7 @@ if isinstance(conf[key],Platform) and key!='platform': del conf[key] -EXPDIR=conf.options.EXPDIR +EXPDIR=conf.case.EXPDIR logger.info(f'Run directory: {EXPDIR}') config_yaml=os.path.join(EXPDIR,'config.yaml') @@ -49,7 +49,7 @@ suite=conf.workflow -expname=conf.options.experiment_name +expname=conf.case.experiment_name logger.info(f'Experiment name: {expname}') rocoto_suite, rocoto_xml=crow.metascheduler.to_rocoto(suite) diff --git a/model/fv3gfs/workflow.yaml b/model/fv3gfs/workflow.yaml index d64bee6..e75eb7e 100644 --- a/model/fv3gfs/workflow.yaml +++ b/model/fv3gfs/workflow.yaml @@ -1,7 +1,7 @@ Rocoto: &Rocoto scheduler: !calc doc.platform.scheduler parallelism: !calc doc.platform.parallelism - EXPDIR: !calc doc.options.EXPDIR + EXPDIR: !calc doc.case.EXPDIR max_tries: 3 workflow_xml: !expand | @@ -25,10 +25,10 @@ Rocoto: &Rocoto task_template: &task_template !expand | - $HOMEtest/workflow/job_wrapper.sh {Perform.J_JOB} - {doc.options.experiment_name}{task_path_str}@@Y@m@d@H + $HOMEgfs/job_wrapper.sh {Perform.J_JOB} + {doc.case.experiment_name}{task_path_str}@@Y@m@d@H &LOG_DIR;/@Y@m@d@H/{task_path_var}.log - {Perform.walltime} + 00:03:00 {Perform.memory} {sched.rocoto_accounting(Perform.accounting)} {sched.rocoto_resources(Perform.resources)} @@ -48,19 +48,19 @@ Rocoto: &Rocoto TASK_PATH - {task_path_str} + {task_path_var}.Perform CONFIG_YAML - {doc.options.EXPDIR}/config.yaml + {doc.case.EXPDIR}/config.yaml - HOMEfv3gfs - {doc.options.HOMEcrow} + HOMEcrow + {doc.case.HOMEcrow} - HOMEtest - {doc.options.HOMEtest} + HOMEgfs + {doc.case.HOMEgfs} efcs_task_template: &efcs_task_template !expand | @@ -118,8 +118,8 @@ workflow: !Cycle grp1: !Task Perform: <<: *eomg_action - NMEM_ENKF: !calc doc.options.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 1 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template @@ -128,8 +128,8 @@ workflow: !Cycle grp2: !Task Perform: <<: *eomg_action - NMEM_ENKF: !calc doc.options.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 2 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template @@ -138,8 +138,8 @@ workflow: !Cycle grp3: !Task Perform: <<: *eomg_action - NMEM_ENKF: !calc doc.options.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 3 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template @@ -148,8 +148,8 @@ workflow: !Cycle grp4: !Task Perform: <<: *eomg_action - NMEM_ENKF: !calc doc.options.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 4 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template @@ -158,8 +158,8 @@ workflow: !Cycle grp5: !Task Perform: <<: *eomg_action - NMEM_ENKF: !calc doc.options.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 5 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template @@ -168,8 +168,8 @@ workflow: !Cycle grp6: !Task Perform: <<: *eomg_action - NMEM_ENKF: !calc doc.options.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 6 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template @@ -178,8 +178,8 @@ workflow: !Cycle grp7: !Task Perform: <<: *eomg_action - NMEM_ENKF: !calc doc.options.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 7 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template @@ -188,8 +188,8 @@ workflow: !Cycle grp8: !Task Perform: <<: *eomg_action - NMEM_ENKF: !calc doc.options.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 8 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template @@ -233,8 +233,8 @@ workflow: !Cycle grp1: !Task Perform: <<: *efcs_action - NMEM_ENKF: !calc doc.options.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.options.NMEM_ENKF_GRP_EFMN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.case.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 1 # Convert to ENSGRP %02d task_template: *task_template ens_more: *efcs_task_template @@ -243,8 +243,8 @@ workflow: !Cycle grp2: !Task Perform: <<: *efcs_action - NMEM_ENKF: !calc doc.options.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.options.NMEM_ENKF_GRP_EFMN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.case.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 2 # Convert to ENSGRP %02d task_template: *task_template ens_more: *efcs_task_template @@ -253,8 +253,8 @@ workflow: !Cycle grp3: !Task Perform: <<: *efcs_action - NMEM_ENKF: !calc doc.options.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.options.NMEM_ENKF_GRP_EFMN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.case.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 3 # Convert to ENSGRP %02d task_template: *task_template ens_more: *efcs_task_template @@ -263,8 +263,8 @@ workflow: !Cycle grp4: !Task Perform: <<: *efcs_action - NMEM_ENKF: !calc doc.options.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.options.NMEM_ENKF_GRP_EFMN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.case.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 4 # Convert to ENSGRP %02d task_template: *task_template ens_more: *efcs_task_template @@ -273,8 +273,8 @@ workflow: !Cycle grp5: !Task Perform: <<: *efcs_action - NMEM_ENKF: !calc doc.options.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.options.NMEM_ENKF_GRP_EFMN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.case.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 5 # Convert to ENSGRP %02d task_template: *task_template ens_more: *efcs_task_template @@ -283,8 +283,8 @@ workflow: !Cycle grp6: !Task Perform: <<: *efcs_action - NMEM_ENKF: !calc doc.options.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.options.NMEM_ENKF_GRP_EFMN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.case.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 6 # Convert to ENSGRP %02d task_template: *task_template ens_more: *efcs_task_template @@ -293,8 +293,8 @@ workflow: !Cycle grp7: !Task Perform: <<: *efcs_action - NMEM_ENKF: !calc doc.options.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.options.NMEM_ENKF_GRP_EFMN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.case.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 7 # Convert to ENSGRP %02d task_template: *task_template ens_more: *efcs_task_template @@ -303,8 +303,8 @@ workflow: !Cycle grp8: !Task Perform: <<: *efcs_action - NMEM_ENKF: !calc doc.options.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.options.NMEM_ENKF_GRP_EFMN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.case.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 8 # Convert to ENSGRP %02d task_template: *task_template ens_more: *efcs_task_template @@ -402,8 +402,8 @@ workflow: !Cycle grp1: !Task Perform: <<: *earc_action - NMEM_ENKF: !calc doc.options.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 1 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template @@ -411,8 +411,8 @@ workflow: !Cycle grp2: !Task Perform: <<: *earc_action - NMEM_ENKF: !calc doc.options.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 2 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template @@ -420,8 +420,8 @@ workflow: !Cycle grp3: !Task Perform: <<: *earc_action - NMEM_ENKF: !calc doc.options.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 3 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template @@ -429,8 +429,8 @@ workflow: !Cycle grp4: !Task Perform: <<: *earc_action - NMEM_ENKF: !calc doc.options.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 4 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template @@ -438,8 +438,8 @@ workflow: !Cycle grp5: !Task Perform: <<: *earc_action - NMEM_ENKF: !calc doc.options.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 5 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template @@ -447,8 +447,8 @@ workflow: !Cycle grp6: !Task Perform: <<: *earc_action - NMEM_ENKF: !calc doc.options.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 6 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template @@ -456,8 +456,8 @@ workflow: !Cycle grp7: !Task Perform: <<: *earc_action - NMEM_ENKF: !calc doc.options.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 7 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template @@ -465,8 +465,8 @@ workflow: !Cycle grp8: !Task Perform: <<: *earc_action - NMEM_ENKF: !calc doc.options.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.options.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 8 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template diff --git a/to_sh.py b/to_sh.py index 8b0193b..9712e66 100755 --- a/to_sh.py +++ b/to_sh.py @@ -178,6 +178,7 @@ def process_arg(self,arg): elif command=='run_ignore': self.run_expr(value,False) elif command=='run': self.run_expr(value,True) elif command=='apply': self.exec_str(value) + elif command=='export': self.set_export_vars(value) elif command=='import': for k,v in self.import_all(value): yield k,v @@ -230,7 +231,12 @@ def import_from(self,var): for varname in the_list: if not isinstance(varname,str): logger.warning("from:{var}:{varname}: variable names must be strings") - yield self.express_var(varname,varname) + elif not re.match('[A-Za-z_][A-Za-z0-9_]*$',varname): + # Probably a regex + for v,k in self.import_all(varname): + yield v,k + else: # Just a variable name + yield self.express_var(varname,varname) def express_var(self,var,expr): if self.have_expanded: @@ -241,9 +247,10 @@ def express_var(self,var,expr): result=self.eval_expr(expr) formatted=self.format_object(result) if formatted is NotImplemented: - raise TypeError( - f'cannot convert a {type(result).__name__} ' + logger.warning( + f'{var}={expr}: cannot convert a {type(result).__name__} ' 'to a shell expression.') + return var,crow.config.to_yaml(result) if formatted is UNSET_VARIABLE: return 'unset '+var return var, formatted @@ -255,8 +262,9 @@ def express_var(self,var,expr): try: verbose=sys.argv[1]=='-v' pa=ProcessArgs(not verbose,sys.argv[verbose+1:]) - writeme=' '.join(pa.process_args()) + writeme=' ; '.join(pa.process_args()) sys.stdout.write(writeme) except EpicFail: + sys.stdout.write('/bin/false failure- see prior errors.') sys.stderr.write('Failure; see prior errors.\n') exit(1) From 68a8182f767c996f1914096cbaa3c8d6409fa017 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Sat, 14 Oct 2017 04:51:23 +0000 Subject: [PATCH 128/487] fix errors in platform.yaml from prior commits so that workflow runs again --- model/fv3gfs/platform.yaml | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/model/fv3gfs/platform.yaml b/model/fv3gfs/platform.yaml index 8109633..037f8fb 100644 --- a/model/fv3gfs/platform.yaml +++ b/model/fv3gfs/platform.yaml @@ -2,8 +2,8 @@ resource_defaults: &resource_defaults mpi_tuning: &mpi_tuning - npe_ecen="84" added to platform.yaml - npe_node_ecen="12" added to platform.yaml + npe_ecen: "84" + npe_node_ecen: "12" npe_node_max: 24 nst_anl: YES MPI_BUFS_PER_HOST: 2048 @@ -18,7 +18,7 @@ resource_defaults: &resource_defaults run_ecen: env: *mpi_tuning - resource: + resources: - exe: placeholder mpi_ranks: 84 # max_ppn comes from THEIA.env: 84/12 = 7 From e8ea0513856f14d610d319d37106017f9485ebdb Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 16 Oct 2017 14:44:30 +0000 Subject: [PATCH 129/487] to_sh.py writes "/bin/false" on error so that $( eval to_sh.py ... ) will exit with non-zero status on error. --- to_sh.py | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/to_sh.py b/to_sh.py index 9712e66..30980d2 100755 --- a/to_sh.py +++ b/to_sh.py @@ -268,3 +268,7 @@ def express_var(self,var,expr): sys.stdout.write('/bin/false failure- see prior errors.') sys.stderr.write('Failure; see prior errors.\n') exit(1) + except: + sys.stdout.write('/bin/false failure- see prior errors.') + sys.stderr.write('Failure; see prior errors.\n') + raise From be2136162e712846f77727e2584380a0127702bc Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Mon, 16 Oct 2017 18:28:41 +0000 Subject: [PATCH 130/487] adding variabels --- model/fv3gfs/2016100200/gdasepos_00 | 16 ++++++------- model/fv3gfs/2016100200/gfsanal_00 | 36 ++++++++++++++--------------- model/fv3gfs/actions.yaml | 19 ++++++++++----- 3 files changed, 39 insertions(+), 32 deletions(-) diff --git a/model/fv3gfs/2016100200/gdasepos_00 b/model/fv3gfs/2016100200/gdasepos_00 index da7fe53..ef3ab32 100644 --- a/model/fv3gfs/2016100200/gdasepos_00 +++ b/model/fv3gfs/2016100200/gdasepos_00 @@ -56,7 +56,7 @@ config="epos" # shell-local # in platform.yaml # in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" # in platform.yaml # in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" # in case.yaml # in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" +#export KEEPDATA="NO" # in case.yaml # in case.yaml export LEVS="65" # in platform.yaml # in platform.yaml export MPI_BUFS_PER_HOST="2048" # in platform.yaml # in platform.yaml export MPI_BUFS_PER_PROC="2048" @@ -75,25 +75,25 @@ export KEEPDATA="NO" # in case.yaml # in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" # in platform.yaml # in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" # in platform.yaml # in platform.yaml export OMP_STACKSIZE="2048000" -export OUTPUT_GRID="gaussian_grid" +#export OUTPUT_GRID="gaussian_grid" # in platform.yaml # in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" # in case.yaml as "experiment_name" # in case.yaml export PSLOT="wham" # in case.yaml # in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." +#export QUILTING=".true." # in case.yaml # in case.yaml export REALTIME="NO" # in case.yaml # in case.yaml export RECENTER_ENKF="YES" # in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" # in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" # in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" # in case.yaml export SDATE="2016100100" -export SMOOTH_ENKF="YES" +#export SMOOTH_ENKF="YES" # in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VERBOSE="YES" +#export VERBOSE="YES" # in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" # in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." -export assim_freq="6" -exetest="htar" # shell-local +#export WRITE_NEMSIOFILE=".true." +#export assim_freq="6" +#exetest="htar" # shell-local # in case.yaml export gfs_cyc="1" # in case.yaml export l4densvar=".false." # in platform.yaml export launcher="'mpirun -np'" diff --git a/model/fv3gfs/2016100200/gfsanal_00 b/model/fv3gfs/2016100200/gfsanal_00 index c205f1c..4d9a78c 100644 --- a/model/fv3gfs/2016100200/gfsanal_00 +++ b/model/fv3gfs/2016100200/gfsanal_00 @@ -17,14 +17,14 @@ export machine="THEIA" # Variable changes after modules: config="anal" # shell-local -export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" -export APRUN_CALCINC="'mpirun -np $ncmd'" -export APRUN_GSI="'mpirun -np 144'" +#export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" +#export APRUN_CALCINC="'mpirun -np $ncmd'" +#export APRUN_GSI="'mpirun -np 144'" # in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +#export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +#export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" # in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +#export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" # in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" # in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" # in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" @@ -33,13 +33,13 @@ export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_wor # in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" # in case.yaml export CASE="C192" # in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" +#export CHGRP_CMD="'chgrp rstprod'" # in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" # in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" # in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" # in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" # in case.yaml export DOHYBVAR="YES" -export DONST="NO" +#export DONST="NO" # in case.yaml export EDATE="2016100200" # in case.yaml export FHCYC="24" # in case.yaml export FHMAX="9" @@ -57,7 +57,7 @@ export DONST="NO" # in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" # in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" # in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" +#export KEEPDATA="NO" # in case.yaml export LEVS="65" # in platform.yaml export MPI_BUFS_PER_HOST="2048" # in platform.yaml export MPI_BUFS_PER_PROC="2048" @@ -74,29 +74,29 @@ export KEEPDATA="NO" # in case.yaml export NMEM_ENKF="80" # in platform.yaml export NMV="/bin/mv" # in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NTHREADS_CALCINC="1" -export NTHREADS_GSI="4" -export NTHSTACK="1024000000" +#export NTHREADS_CALCINC="1" +#export NTHREADS_GSI="4" +#export NTHSTACK="1024000000" # in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" # in platform.yaml export OMP_STACKSIZE="2048000" -export OUTPUT_GRID="gaussian_grid" +#export OUTPUT_GRID="gaussian_grid" # in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" # in case.yaml export PSLOT="wham" # in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." +#export QUILTING=".true." # in case.yaml export REALTIME="NO" # in case.yaml export RECENTER_ENKF="YES" # in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" # in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" # in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" # in case.yaml export SDATE="2016100100" -export SMOOTH_ENKF="YES" +#export SMOOTH_ENKF="YES" # in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VERBOSE="YES" +#export VERBOSE="YES" # in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" # in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." -export assim_freq="6" +#export WRITE_NEMSIOFILE=".true." +#export assim_freq="6" exetest="htar" # shell-local # in case.yaml export gfs_cyc="1" # in case.yaml export l4densvar=".false." diff --git a/model/fv3gfs/actions.yaml b/model/fv3gfs/actions.yaml index 68b66f2..3c0084c 100644 --- a/model/fv3gfs/actions.yaml +++ b/model/fv3gfs/actions.yaml @@ -53,28 +53,29 @@ anal: &anal_action !Action walltime: !timedelta 02:00:00 resources: !calc run_anal memory: "3072M" + accounting: !calc doc.platform.parallel_accounting + assim_freq: 6 APRUN_CALCINC: "'mpirun -np $ncmd'" APRUN_GSI: "'mpirun -np 144'" + ANALYSISSH: !expand "{BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" BASE_ENV: !expand "{BASE_FV3GFS}/gfs_workflow.v15.0.0/env" BASE_JOB: !expand "{BASE_WORKFLOW}/jobs" CHGRP_CMD: "'chgrp rstprod'" DONST: NO - ANALYSISSH: !expand "{BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" GSIEXEC: !expand "{BASE_GSI}/exec/global_gsi" npe_gsi: !calc npe_anal nth_gsi: 4 nth_anal: 2 - accounting: !calc doc.platform.parallel_accounting KEEPDATA: NO + NTHREADS_CALCINC: 1 + NTHREADS_GSI: 4 + NTHSTACK: 1024000000 + OUTPUT_GRID: "gaussian_grid" QUILTING: YES SMOOTH_ENKF: YES VERBOSE: YES WRITE_NEMSIOFILE: YES - assim_freq: 6 - OUTPUT_GRID: "gaussian_grid" - NTHREADS_CALCINC: 1 - NTHREADS_GSI: 4 epos: &epos_action !Action <<: *action_template @@ -90,7 +91,13 @@ epos: &epos_action !Action CHGRP_CMD: "'chgrp rstprod'" DONST: NO ENKFPOSTSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_post_fv3gfs.sh.ecf" + KEEPDATA: NO nth_epos: 2 + OUTPUT_GRID: "gaussian_grid" + QUILTING: YES + SMOOTH_ENKF: YES + VERBOSE: YES + WRITE_NEMSIOFILE: YES accounting: !calc doc.platform.parallel_accounting eobs: &eobs_action !Action From aeb1869530b6590f12a5baab7fe4f1513ec4a3e4 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 16 Oct 2017 18:51:33 +0000 Subject: [PATCH 131/487] Update model/fv3gfs so workflow can run --- model/fv3gfs/actions.yaml | 136 +++++++++++++------------ model/fv3gfs/case.yaml | 37 +------ model/fv3gfs/jobs/anal.sh | 4 +- model/fv3gfs/jobs/arch.sh | 4 +- model/fv3gfs/jobs/earc.sh | 4 +- model/fv3gfs/jobs/ecen.sh | 4 +- model/fv3gfs/jobs/efcs.sh | 2 +- model/fv3gfs/jobs/eobs.sh | 4 +- model/fv3gfs/jobs/eomg.sh | 4 +- model/fv3gfs/jobs/epos.sh | 4 +- model/fv3gfs/jobs/eupd.sh | 4 +- model/fv3gfs/jobs/fcst.sh | 2 +- model/fv3gfs/jobs/fv3ic.sh | 4 +- model/fv3gfs/jobs/getic.sh | 4 +- model/fv3gfs/jobs/post.sh | 4 +- model/fv3gfs/jobs/prep.sh | 4 +- model/fv3gfs/jobs/vrfy.sh | 4 +- model/fv3gfs/places.yaml | 39 +++++++ model/fv3gfs/platform.yaml | 196 +++++++----------------------------- model/fv3gfs/resources.yaml | 111 ++++++++++++++++++++ model/fv3gfs/settings.yaml | 2 + model/fv3gfs/setup_expt.py | 4 +- model/fv3gfs/template.yaml | 68 ++++++++----- model/fv3gfs/workflow.yaml | 6 +- 24 files changed, 342 insertions(+), 313 deletions(-) create mode 100644 model/fv3gfs/places.yaml create mode 100644 model/fv3gfs/resources.yaml create mode 100644 model/fv3gfs/settings.yaml diff --git a/model/fv3gfs/actions.yaml b/model/fv3gfs/actions.yaml index 68b66f2..03c4be3 100644 --- a/model/fv3gfs/actions.yaml +++ b/model/fv3gfs/actions.yaml @@ -7,6 +7,7 @@ action_template: &action_template BASE_GSM: !calc doc.case.BASE_GSM shell_vars: [ "[A-Z][A-Z0-9_]*$", "nth.*", "npe.*" ] resource_env: {} # overridden by actions as needed + accounting: !calc doc.platform.parallel_accounting ecen: &ecen_action !Action <<: *action_template @@ -14,7 +15,8 @@ ecen: &ecen_action !Action # ---------------------------------------- # From config.resources walltime: !timedelta 00:30:00 # was "walltime", renamed to align with - resources: !calc run_ecen.resources +# resources: !calc run_ecen.resources + resources: !calc run_test.resources resource_env: !calc run_ecen.env memory: "3072M" # previously "rocoto_memory", renamed to align with current script @@ -24,15 +26,15 @@ ecen: &ecen_action !Action # Use "placeholder" for exe name # ---------------------------------------- # From config.ecen - ENKFRECENSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_recenter_fv3gfs.sh.ecf" + ENKFRECENSH: !expand "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_recenter_fv3gfs.sh.ecf" nth_ecen: 2 APRUN_CHGRES: "time" APRUN_ECEN: "'mpirun -np 84'" ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" - BASE_ENV: !expand "{BASE_FV3GFS}/gfs_workflow.v15.0.0/env" - BASE_JOB: !expand "{BASE_WORKFLOW}/jobs" - CHGRESSH: !expand "{BASE_GSM}/ush/global_chgres_GSM.sh" - CHGRESEXEC: !expand "{BASE_GSM}/exec/global_chgres_GSM" + BASE_ENV: !expand "{doc.case.HOMEgfs}/gfs_workflow.v15.0.0/env" + BASE_JOB: !expand "{doc.case.BASE_WORKFLOW}/jobs" + CHGRESSH: !expand "{doc.case.BASE_GSM}/ush/global_chgres_GSM.sh" + CHGRESEXEC: !expand "{doc.case.BASE_GSM}/exec/global_chgres_GSM" CHGRESVARS_ENKF: "use_ufo=.true.,nopdpvv=.true." CHGRESTHREAD: 12 CHGRP_CMD: "'chgrp rstprod'" @@ -45,27 +47,27 @@ ecen: &ecen_action !Action WRITE_NEMSIOFILE: YES assim_freq: 6 J_JOB: ecen - accounting: !calc doc.case.cpu_project anal: &anal_action !Action <<: *action_template J_JOB: anal walltime: !timedelta 02:00:00 - resources: !calc run_anal +# resources: !calc run_anal + resources: !calc run_test.resources memory: "3072M" APRUN_CALCINC: "'mpirun -np $ncmd'" APRUN_GSI: "'mpirun -np 144'" ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" - BASE_ENV: !expand "{BASE_FV3GFS}/gfs_workflow.v15.0.0/env" - BASE_JOB: !expand "{BASE_WORKFLOW}/jobs" + BASE_ENV: !expand "{doc.case.HOMEgfs}/gfs_workflow.v15.0.0/env" + BASE_JOB: !expand "{doc.case.BASE_WORKFLOW}/jobs" CHGRP_CMD: "'chgrp rstprod'" DONST: NO - ANALYSISSH: !expand "{BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" - GSIEXEC: !expand "{BASE_GSI}/exec/global_gsi" + ANALYSISSH: !expand "{doc.case.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" + GSIEXEC: !expand "{doc.case.BASE_GSI}/exec/global_gsi" + npe_anal: resources[0].mpi_ranks npe_gsi: !calc npe_anal nth_gsi: 4 nth_anal: 2 - accounting: !calc doc.platform.parallel_accounting KEEPDATA: NO QUILTING: YES SMOOTH_ENKF: YES @@ -82,73 +84,75 @@ epos: &epos_action !Action CASE: !calc doc.case.CASE_ENKF J_JOB: epos walltime: !timedelta 00:15:00 - resources: !calc run_epos +# resources: !calc run_epos + resources: !calc run_test.resources memory: "3072M" ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" - BASE_ENV: !expand "{BASE_FV3GFS}/gfs_workflow.v15.0.0/env" - BASE_JOB: !expand "{BASE_WORKFLOW}/jobs" + BASE_ENV: !expand "{doc.case.HOMEgfs}/gfs_workflow.v15.0.0/env" + BASE_JOB: !expand "{doc.case.BASE_WORKFLOW}/jobs" CHGRP_CMD: "'chgrp rstprod'" DONST: NO - ENKFPOSTSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_post_fv3gfs.sh.ecf" + ENKFPOSTSH: !expand "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_post_fv3gfs.sh.ecf" nth_epos: 2 - accounting: !calc doc.platform.parallel_accounting eobs: &eobs_action !Action <<: *anal_action J_JOB: eobs CASE: !calc doc.case.CASE_ENKF walltime: !timedelta 00:15:00 - resources: !calc run_eobs +# resources: !calc run_eobs + resources: !calc run_test.resources memory: "3072M" - INVOBSSH: !expand "{BASE_GSI}/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" - ENKFINVOBSSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" + INVOBSSH: !expand "{doc.case.BASE_GSI}/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" + ENKFINVOBSSH: !expand "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" NMEM_EOMGGRP: 10 RERUN_EOMGGRP: "YES" - npe_gsi: !expand "{npe_eobs}" + npe_eobs: !calc resources[0].mpi_ranks + npe_gsi: !calc npe_eobs nth_gsi: 4 # GSI namelist options related to observer for EnKF OBSINPUT_INVOBS: "dmesh(1)=225.0,dmesh(2)=225.0" OBSQC_INVOBS: "tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" nth_eobs: 2 - accounting: !calc doc.platform.parallel_accounting eomg: &eomg_action !Action <<: *action_template J_JOB: eomg CASE: !calc doc.case.CASE_ENKF walltime: !timedelta 00:15:00 - resources: !calc run_eomg +# resources: !calc run_eomg + resources: !calc run_test.resources memory: "3072M" nth_eomg: 2 - accounting: !calc doc.platform.parallel_accounting eupd: &eupd_action !Action <<: *action_template J_JOB: eupd CASE: !calc doc.case.CASE_ENKF walltime: !timedelta 00:15:00 - resources: !calc run_eupd +# resources: !calc run_eupd + resources: !calc run_test.resources memory: "3072M" - ENKFUPDSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_update_fv3gfs.sh.ecf" - ENKFEXEC: !expand "{BASE_GSI}/exec/global_enkf" - npe_enkf: !expand "{npe_eupd}" + ENKFUPDSH: !expand "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_update_fv3gfs.sh.ecf" + ENKFEXEC: !expand "{doc.case.BASE_GSI}/exec/global_enkf" + npe_eupd: !calc resources[0].mpi_ranks + npe_enkf: !calc npe_eupd nth_enkf: 4 nth_eupd: 2 - accounting: !calc doc.platform.parallel_accounting efcs: &efcs_action !Action <<: *action_template J_JOB: efcs CASE: !calc doc.case.CASE_ENKF walltime: !timedelta 00:15:00 - resources: !calc run_efcs +# resources: !calc run_efcs + resources: !calc run_test.resources memory: "3072M" npe_efcs: !calc "layout_x*layout_y*6" npe_fv3: !calc npe_efcs nth_fv3: 1 - accounting: !calc doc.platform.parallel_accounting - ENKFFCSTSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" + ENKFFCSTSH: !expand "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" NMEM_EFCSGRP: 10 RERUN_EFCSGRP: "NO" @@ -168,7 +172,7 @@ efcs: &efcs_action !Action SPPT_TAU: 21600. SPPT_LSCALE: 500000. - DIAG_TABLE: !expand "{BASE_GSM}/parm/parm_fv3diag/diag_table_da" + DIAG_TABLE: !expand "{doc.case.BASE_GSM}/parm/parm_fv3diag/diag_table_da" restart_interval: 6 nth_efcs: 2 @@ -178,7 +182,8 @@ earc: &earc_action !Action CASE: !calc doc.case.CASE_ENKF J_JOB: earc walltime: !timedelta 00:15:00 - resources: !calc run_earc +# resources: !calc run_earc + resources: !calc run_test.resources memory: "3072M" NMEM_EARCGRP: 10 nth_earc: 2 @@ -187,7 +192,8 @@ earc: &earc_action !Action final: &final_action !Action <<: *action_template walltime: !timedelta 00:03:00 - resources: !calc run_nothing +# resources: !calc run_nothing + resources: !calc run_test.resources memory: "100M" accounting: !calc doc.platform.serial_accounting J_JOB: /bin/true @@ -196,24 +202,26 @@ prep: &prep_action !Action <<: *action_template J_JOB: prep walltime: !timedelta 00:10:00 - resources: !calc run_prep +# resources: !calc run_prep + resources: !calc run_test.resources memory: "3072M" DO_RELOCATE: "NO" DO_MAKEPREPBUFR: "YES" # if NO, will copy prepbufr from globaldump - DRIVE_MAKEPREPBUFRSH: !expand "{BASE_GSM}/ush/drive_makeprepbufr.sh" + DRIVE_MAKEPREPBUFRSH: !expand "{doc.case.BASE_GSM}/ush/drive_makeprepbufr.sh" nth_prep: 2 - accounting: !calc doc.platform.parallel_accounting fcst: &fcst_action !Action <<: *action_template J_JOB: fcst + npe_fcst: !calc "layout_x*layout_y*6" walltime: !timedelta 00:10:00 - resources: !calc run_fcst +# resources: !calc run_fcst + resources: !calc run_test.resources memory: "3072M" - FORECASTSH: !expand "{BASE_GSM}/scripts/exglobal_fcst_nemsfv3gfs.sh" - FCSTEXECDIR: !expand "{BASE_NEMSfv3gfs}/NEMS/exe" + FORECASTSH: !expand "{doc.case.BASE_GSM}/scripts/exglobal_fcst_nemsfv3gfs.sh" + FCSTEXECDIR: !expand "{doc.case.BASE_NEMSfv3gfs}/NEMS/exe" FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" - npe_fv3: !expand "{npe_fcst}" # This is model resolution dependent, see note above + npe_fv3: !calc npe_fcst # This is model resolution dependent, see note above nth_fv3: 2 TYPE: "nh" MONO: "non-mono" @@ -223,7 +231,6 @@ fcst: &fcst_action !Action dspheat: ".false." # dissipative heating shal_cnv: ".true." # shallow convection agrid_vel_rst: ".true." # write velocity restarts on agrid? - accounting: !calc doc.platform.parallel_accounting # Disable the use of coupler.res; get model start time from model_configure # export USE_COUPLER_RES="NO" @@ -237,57 +244,60 @@ fcst: &fcst_action !Action DIAG_TABLE: !FirstTrue - when: !calc CDUMP=="gdas" - do: !expand "{BASE_GSM}/parm/parm_fv3diag/diag_table_da" + do: !expand "{doc.case.BASE_GSM}/parm/parm_fv3diag/diag_table_da" - when: !calc CDUMP=="gfs" - do: !expand "{BASE_GSM}/parm/parm_fv3diag/diag_table" + do: !expand "{doc.case.BASE_GSM}/parm/parm_fv3diag/diag_table" - otherwise: !error "Do not know DIAG_TABLE for CDUMP={CDUMP}" - REGRID_NEMSIO_SH: !expand "{BASE_GSM}/ush/fv3gfs_regrid_nemsio.sh" - REGRID_NEMSIO_TBL: !expand "{BASE_GSM}/parm/parm_fv3diag/variable_table_da.txt" + REGRID_NEMSIO_SH: !expand "{doc.case.BASE_GSM}/ush/fv3gfs_regrid_nemsio.sh" + REGRID_NEMSIO_TBL: !expand "{doc.case.BASE_GSM}/parm/parm_fv3diag/variable_table_da.txt" - REMAPSH: !expand "{BASE_GSM}/ush/fv3gfs_remap.sh" + REMAPSH: !expand "{doc.case.BASE_GSM}/ush/fv3gfs_remap.sh" master_grid: "0p5deg" # 1deg 0p5deg 0p25deg 0p125deg etc - npe_remap: !expand "{npe_fcst}" + npe_remap: !calc npe_fcst nth_remap: 2 - NC2NEMSIOSH: !expand "{BASE_GSM}/ush/fv3gfs_nc2nemsio.sh" + NC2NEMSIOSH: !expand "{doc.case.BASE_GSM}/ush/fv3gfs_nc2nemsio.sh" nth_fcst: 2 post: &post_action !Action <<: *action_template J_JOB: post walltime: !timedelta 00:15:00 - resources: !calc run_post +# resources: !calc run_post + resources: !calc run_test.resources memory: "3072M" - POSTJJOBSH: !expand "{BASE_WORKFLOW}/jobs/JGFS_POST.sh" - POSTGPSH: !expand "{BASE_POST}/ush/global_nceppost.sh" - POSTGPEXEC: !expand "{BASE_POST}/exec/ncep_post" - npe_postgp: !expand "{npe_post}" + POSTJJOBSH: !expand "{doc.case.BASE_WORKFLOW}/jobs/JGFS_POST.sh" + POSTGPSH: !expand "{doc.case.BASE_POST}/ush/global_nceppost.sh" + POSTGPEXEC: !expand "{doc.case.BASE_POST}/exec/ncep_post" + npe_post: !calc resources[0].mpi_ranks + npe_postgp: !calc npe_post nth_postgp: 1 GFS_DOWNSTREAM: "YES" - GFSDOWNSH: !expand "{BASE_WORKFLOW}/ush/fv3gfs_downstream_nems.sh" - GFSDWNSH: !expand "{BASE_WORKFLOW}/ush/fv3gfs_dwn_nems.sh" + GFSDOWNSH: !expand "{doc.case.BASE_WORKFLOW}/ush/fv3gfs_downstream_nems.sh" + GFSDWNSH: !expand "{doc.case.BASE_WORKFLOW}/ush/fv3gfs_dwn_nems.sh" downset: 1 - npe_dwn: !expand "{npe_post}" + npe_dwn: !calc npe_post nth_dwn: 2 nth_post: 2 - accounting: !calc doc.platform.parallel_accounting arch: &arch_action !Action <<: *action_template J_JOB: arch walltime: !timedelta 06:00:00 - resources: !calc run_arch +# resources: !calc run_arch + resources: !calc run_test.resources memory: "3072M" nth_arch: 2 accounting: !calc doc.platform.transfer_accounting vrfy: &vrfy_action !Action - <<: *action_template + <<: [ *case, *action_template ] J_JOB: vrfy Template: <<: [ *vrfy_template, *fv3_resolution ] walltime: !timedelta 01:00:00 - resources: !calc run_vrfy +# resources: !calc run_vrfy + resources: !calc run_test.resources memory: "3072M" accounting: !calc doc.platform.parallel_accounting # CDUMP: "gfs" diff --git a/model/fv3gfs/case.yaml b/model/fv3gfs/case.yaml index 89aa2d8..2aa4d12 100644 --- a/model/fv3gfs/case.yaml +++ b/model/fv3gfs/case.yaml @@ -1,19 +1,9 @@ case: &case - PROJECT_DIR: /scratch4/NCEPDEV/nems/ - HOMEDIR: !expand "{PROJECT_DIR}/save/{tools.env('USER')}" - STMP: !expand "{doc.platform.least_used_scrub}{tools.env('USER')}" - PTMP: !expand "{doc.platform.least_used_scrub}{tools.env('USER')}" - NOSCRUB: !expand "{PROJECT_DIR}/noscrub/{tools.env('USER')}" - - # Locations of CROW and this test suite. - HOMEcrow: !calc tools.crow_install_dir() - HOMEgfs: !calc tools.crow_install_dir('model/fv3gfs') + <<: [ *default_places, *default_settings ] # Project for CPU accounting. - cpu_project: marine-cpu -# cpu_project: !calc doc.platform.default_cpu_project #Formerly known as ACCOUNT - - hpss_project: emc-global # Project for storing HPSS data. + cpu_project: nems + hpss_project: emc-hwrf # Project for storing HPSS data. noscrub_project: nems # Project for storing non-scrubbed data. # User-defined experiment name; should be a-z followed by alphanumeric: @@ -24,25 +14,6 @@ case: &case SDATE: 2016100100 EDATE: 2016100112 - # User paths - EXPDIR: !expand "{HOMEDIR}/{experiment_name}" - ROTDIR: !expand "{PTMP}/{experiment_name}" - RUNDIR: !expand "{STMP}/RUNDIRS/{experiment_name}" - ARCDIR: !expand "{NOSCRUB}/archive/{experiment_name}" - ATARDIR: !expand "/NCEPDEV/{hpss_project}/1year/{tools.env('USER')}/{machine}/scratch/{experiment_name}" -# CDUMP: "gfs" - - # Component paths - BASE_SVN: !calc doc.platform.BASE_SVN - MYBASE_SVN: !expand "{NOSCRUB}/{tools.env('USER')}/svn" - BASE_FV3GFS: !expand "{BASE_SVN}/fv3gfs" - BASE_GSM: !expand "{BASE_FV3GFS}/global_shared.v15.0.0" - BASE_GSI: !expand "{BASE_GSM}/sorc/gsi.fd" - BASE_NEMSfv3gfs: !expand "{BASE_GSM}/sorc/fv3gfs.fd" - BASE_POST: !expand "{BASE_SVN}/fv3gfs/tags/post4fv3" - BASE_PREP: !expand "{BASE_SVN}/obsproc/releases/obsproc_prep_RB-4.0.0" - BASE_PREP_GLOBAL: !expand "{BASE_SVN}/obsproc/releases/obsproc_global_RB-3.0.0" - BASE_VERIF: !expand "{BASE_SVN}/verif/global/tags/vsdb" # Experiment settings # Resolution @@ -58,6 +29,8 @@ case: &case FHMAX: 9 # GDAS forecast length in hours FHOUT: 3 # GDAS forecast output frequency in hours + FHMAX_GFS: 240 # Last GFS forecast hour + # ENKF cycle info FHMIN_ENKF: 3 FHMAX_ENKF: 9 diff --git a/model/fv3gfs/jobs/anal.sh b/model/fv3gfs/jobs/anal.sh index 1c86447..656b8ae 100755 --- a/model/fv3gfs/jobs/anal.sh +++ b/model/fv3gfs/jobs/anal.sh @@ -17,10 +17,10 @@ ## CDUMP : cycle name (gdas / gfs) ############################################################### -set -e +set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.env all:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.resource_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/arch.sh b/model/fv3gfs/jobs/arch.sh index 0c7f2d0..645a3c1 100755 --- a/model/fv3gfs/jobs/arch.sh +++ b/model/fv3gfs/jobs/arch.sh @@ -17,10 +17,10 @@ ## CDUMP : cycle name (gdas / gfs) ############################################################### -set -e +set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.env all:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.resource_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/earc.sh b/model/fv3gfs/jobs/earc.sh index c04e582..6c7b212 100755 --- a/model/fv3gfs/jobs/earc.sh +++ b/model/fv3gfs/jobs/earc.sh @@ -18,10 +18,10 @@ ## ENSGRP : ensemble sub-group to archive (0, 1, 2, ...) ############################################################### -set -e +set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.env all:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.resource_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/ecen.sh b/model/fv3gfs/jobs/ecen.sh index 361edcd..2e6ed8e 100755 --- a/model/fv3gfs/jobs/ecen.sh +++ b/model/fv3gfs/jobs/ecen.sh @@ -17,10 +17,10 @@ ## CDUMP : cycle name (gdas / gfs) ############################################################### -set -e +set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.env all:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.resource_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/efcs.sh b/model/fv3gfs/jobs/efcs.sh index 390ba63..ad8e87a 100755 --- a/model/fv3gfs/jobs/efcs.sh +++ b/model/fv3gfs/jobs/efcs.sh @@ -21,7 +21,7 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.env all:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.resource_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/eobs.sh b/model/fv3gfs/jobs/eobs.sh index e1ef92c..4efc209 100755 --- a/model/fv3gfs/jobs/eobs.sh +++ b/model/fv3gfs/jobs/eobs.sh @@ -17,10 +17,10 @@ ## CDUMP : cycle name (gdas / gfs) ############################################################### -set -e +set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.env all:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.resource_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/eomg.sh b/model/fv3gfs/jobs/eomg.sh index dcffbc0..c2cf1ec 100755 --- a/model/fv3gfs/jobs/eomg.sh +++ b/model/fv3gfs/jobs/eomg.sh @@ -18,10 +18,10 @@ ## ENSGRP : ensemble sub-group to compute innovations (1, 2, ...) ############################################################### -set -e +set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.env all:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.resource_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/epos.sh b/model/fv3gfs/jobs/epos.sh index 676378c..0973bdd 100755 --- a/model/fv3gfs/jobs/epos.sh +++ b/model/fv3gfs/jobs/epos.sh @@ -17,10 +17,10 @@ ## CDUMP : cycle name (gdas / gfs) ############################################################### -set -e +set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.env all:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.resource_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/eupd.sh b/model/fv3gfs/jobs/eupd.sh index a786b4e..70563aa 100755 --- a/model/fv3gfs/jobs/eupd.sh +++ b/model/fv3gfs/jobs/eupd.sh @@ -17,10 +17,10 @@ ## CDUMP : cycle name (gdas / gfs) ############################################################### -set -e +set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.env all:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.resource_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/fcst.sh b/model/fv3gfs/jobs/fcst.sh index 23a5bc7..bc7ac87 100755 --- a/model/fv3gfs/jobs/fcst.sh +++ b/model/fv3gfs/jobs/fcst.sh @@ -20,7 +20,7 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.env all:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.resource_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/fv3ic.sh b/model/fv3gfs/jobs/fv3ic.sh index 0c4f88b..13be3f9 100755 --- a/model/fv3gfs/jobs/fv3ic.sh +++ b/model/fv3gfs/jobs/fv3ic.sh @@ -20,10 +20,10 @@ export CDATE=${2:-$CDATE} export CDUMP=${3:-$CDUMP} ############################################################### -set -e +set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.env all:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.resource_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/getic.sh b/model/fv3gfs/jobs/getic.sh index e9c1ed6..9955ead 100755 --- a/model/fv3gfs/jobs/getic.sh +++ b/model/fv3gfs/jobs/getic.sh @@ -17,10 +17,10 @@ ## CDUMP : cycle name (gdas / gfs) ############################################################### -set -e +set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.env all:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.resource_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/post.sh b/model/fv3gfs/jobs/post.sh index 82f879b..9fdbd6e 100755 --- a/model/fv3gfs/jobs/post.sh +++ b/model/fv3gfs/jobs/post.sh @@ -17,10 +17,10 @@ ## CDUMP : cycle name (gdas / gfs) ############################################################### -set -e +set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.env all:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.resource_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/prep.sh b/model/fv3gfs/jobs/prep.sh index 264fe87..f21c3a3 100755 --- a/model/fv3gfs/jobs/prep.sh +++ b/model/fv3gfs/jobs/prep.sh @@ -17,10 +17,10 @@ ## CDUMP : cycle name (gdas / gfs) ############################################################### -set -e +set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.env all:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.resource_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/vrfy.sh b/model/fv3gfs/jobs/vrfy.sh index 6d2d353..6deed42 100755 --- a/model/fv3gfs/jobs/vrfy.sh +++ b/model/fv3gfs/jobs/vrfy.sh @@ -17,10 +17,10 @@ ## CDUMP : cycle name (gdas / gfs) ############################################################### -set -e +set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.env all:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.resource_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/places.yaml b/model/fv3gfs/places.yaml new file mode 100644 index 0000000..e9d4b4a --- /dev/null +++ b/model/fv3gfs/places.yaml @@ -0,0 +1,39 @@ +default_places: &default_places + PROJECT_DIR: /scratch4/NCEPDEV/nems/ + + # Locations of CROW and this test suite. + HOMEcrow: !calc tools.crow_install_dir() + + # NOTE: These two directories will need to be changed for the real + # fv3gfs workflow: + HOMEgfs: !calc tools.crow_install_dir('model/fv3gfs') + HOMEgdas: !calc HOMEgfs + + HOMEDIR: !expand "{PROJECT_DIR}/save/{tools.env('USER')}" + STMP: !expand "{doc.platform.least_used_scrub}{tools.env('USER')}" + PTMP: !expand "{doc.platform.least_used_scrub}{tools.env('USER')}" + NOSCRUB: !expand "{PROJECT_DIR}/noscrub/{tools.env('USER')}" + + BASE_WORKFLOW: !expand "{HOMEgfs}/gfs_workflow.v15.0.0" + BASE_GSM: !expand "{HOMEgfs}/global_shared.v15.0.0" + BASE_MODULES: !expand "{HOMEgfs}/global_shared.v15.0.0/modulefiles" + + # User paths + EXPDIR: !expand "{HOMEDIR}/{experiment_name}" + ROTDIR: !expand "{PTMP}/{experiment_name}" + RUNDIR: !expand "{STMP}/RUNDIRS/{experiment_name}" + ARCDIR: !expand "{NOSCRUB}/archive/{experiment_name}" + ATARDIR: !expand "/NCEPDEV/{hpss_project}/1year/{tools.env('USER')}/{doc.platform.name}/scratch/{experiment_name}" +# CDUMP: "gfs" + + # Component paths + BASE_SVN: !calc doc.platform.BASE_SVN + MYBASE_SVN: !expand "{NOSCRUB}/{tools.env('USER')}/svn" + BASE_GSM: !expand "{HOMEgfs}/global_shared.v15.0.0" + BASE_GSI: !expand "{BASE_GSM}/sorc/gsi.fd" + BASE_NEMSfv3gfs: !expand "{BASE_GSM}/sorc/fv3gfs.fd" + BASE_POST: !expand "{BASE_SVN}/fv3gfs/tags/post4fv3" + BASE_PREP: !expand "{BASE_SVN}/obsproc/releases/obsproc_prep_RB-4.0.0" + BASE_PREP_GLOBAL: !expand "{BASE_SVN}/obsproc/releases/obsproc_global_RB-3.0.0" + BASE_VERIF: !expand "{BASE_SVN}/verif/global/tags/vsdb" + diff --git a/model/fv3gfs/platform.yaml b/model/fv3gfs/platform.yaml index 037f8fb..8750e08 100644 --- a/model/fv3gfs/platform.yaml +++ b/model/fv3gfs/platform.yaml @@ -1,115 +1,3 @@ -resource_defaults: &resource_defaults - - - mpi_tuning: &mpi_tuning - npe_ecen: "84" - npe_node_ecen: "12" - npe_node_max: 24 - nst_anl: YES - MPI_BUFS_PER_HOST: 2048 - MPI_BUFS_PER_PROC: 2048 - MPI_GROUP_MAX: 256 - MPI_MEMMAP_OFF: 1 - MP_STDOUTMODE: "ORDERED" - NTHSTACK: 1024000000 - OMP_STACKSIZE: 2048000 - - # From if[[...ecen]] block in config.resources: - run_ecen: - env: *mpi_tuning - - resources: - - exe: placeholder - mpi_ranks: 84 - # max_ppn comes from THEIA.env: 84/12 = 7 - max_ppn: 7 - OMP_NUM_THREADS: max - - - exe: placeholder - mpi_ranks: 24 - max_ppn: 24 - OMP_NUM_THREADS: 1 - - run_chgres: - - exe: time - OMP_NUM_THREADS: max - args: - - placeholder - - run_nothing: # Special placeholder for "do nothing" - - exe: nothing - - run_eobs: - - exe: placeholder - mpi_ranks: 24 - max_ppn: 6 - OMP_NUM_THREADS: max - - run_eomg: - - exe: placeholder - mpi_ranks: 24 - max_ppn: 6 - OMP_NUM_THREADS: max - - run_eupd: - - exe: placeholder - mpi_ranks: 10 - max_ppn: 12 - OMP_NUM_THREADS: max - - run_efcs: - - exe: placeholder - mpi_ranks: 8 - max_ppn: 24 - OMP_NUM_THREADS: max - - run_epos: - - exe: placeholder - mpi_ranks: 7 - max_ppn: 12 - OMP_NUM_THREADS: max - - run_prep: - - exe: placeholder - mpi_ranks: 1 - max_ppn: 12 - OMP_NUM_THREADS: max - - run_anal: - - exe: placeholder - mpi_ranks: 24 - max_ppn: 6 - OMP_NUM_THREADS: max - - run_fcst: - - exe: placeholder - mpi_ranks: 16 - max_ppn: 12 - OMP_NUM_THREADS: max - - run_post: - - exe: placeholder - mpi_ranks: 6 - max_ppn: 12 - OMP_NUM_THREADS: max - - run_vrfy: - - exe: placeholder - mpi_ranks: 1 - max_ppn: 1 - OMP_NUM_THREADS: max - - run_arch: - - exe: placeholder - mpi_ranks: 1 - max_ppn: 1 - OMP_NUM_THREADS: max - - run_earc: - - exe: placeholder - mpi_ranks: 1 - max_ppn: 1 - OMP_NUM_THREADS: max theia: &theia !Platform <<: *resource_defaults @@ -117,54 +5,34 @@ theia: &theia !Platform name: THEIA detect: !calc tools.isdir('/scratch4') and tools.isdir('/scratch3') - # here or options.yaml? - # queues and accounts - QUEUE: batch - QUEUE_ARCH: service - BASE_FV3GFS: "/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # need user input - -# Directories relative to installation areas: - BASE_WORKFLOW: !expand "{BASE_FV3GFS}/gfs_workflow.v15.0.0" - BASE_GSM: !expand "{BASE_FV3GFS}/global_shared.v15.0.0" - BASE_MODULES: !expand "{BASE_FV3GFS}/global_shared.v15.0.0/modulefiles" - - # GLOBAL static environment parameters - NWPROD: "/scratch4/NCEPDEV/global/save/glopara/nwpara" - DMPDIR: "/scratch4/NCEPDEV/global/noscrub/dump" - RTMFIX: "/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" - - # svn, keep it or not? - # Base directories for various builds BASE_SVN: "/scratch4/NCEPDEV/global/save/glopara/svn" - MYBASE_SVN: !expand "/scratch4/NCEPDEV/global/save/{tools.env('USER')}/svn" - BASE_GFS: "/scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17/global_shared.v14.1.0" - BASE_GDAS: "/scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17/global_shared.v14.1.0" - - # Post requires grib2 table - POSTGRB2TBL: "/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" - # Utilities needed in the scripts (mostly post) - exes: - NEMSIOGET: !expand "{doc.platform.NWPROD}/util/exec/nemsio_get" - NDATE: !expand "{doc.platform.NWPROD}/util/exec/ndate" - NHOUR: !expand "{$NWPROD}/util/exec/nhour" - WGRIB: !expand "{$NWPROD}/util/exec/wgrib" - WGRIB2: !expand "{doc.platform.NWPROD}/util/exec/wgrib2" - COPYGB: !expand "{doc.platform.NWPROD}/util/exec/copygb" - COPYGB2: !expand "{doc.platform.NWPROD}/util/exec/copygb2" - GRBINDEX: !expand "{doc.platform.NWPROD}/util/exec/grbindex" - GRB2INDEX: !expand "{doc.platform.NWPROD}/util/exec/grb2index" - GRBINDEX2: !expand "{doc.platform.NWPROD}/util/exec/grb2index" + # Environment variables to set in all jobs + general_env: + POSTGRB2TBL: "/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" + NWPROD: "/scratch4/NCEPDEV/global/save/glopara/nwpara" + DMPDIR: "/scratch4/NCEPDEV/global/noscrub/dump" + RTMFIX: "/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" + NEMSIOGET: !expand "{NWPROD}/util/exec/nemsio_get" + NDATE: !expand "{NWPROD}/util/exec/ndate" + NHOUR: !expand "{NWPROD}/util/exec/nhour" + WGRIB: !expand "{NWPROD}/util/exec/wgrib" + WGRIB2: !expand "{NWPROD}/util/exec/wgrib2" + COPYGB: !expand "{NWPROD}/util/exec/copygb" + COPYGB2: !expand "{NWPROD}/util/exec/copygb2" + GRBINDEX: !expand "{NWPROD}/util/exec/grbindex" + GRB2INDEX: !expand "{NWPROD}/util/exec/grb2index" + GRBINDEX2: !expand "{NWPROD}/util/exec/grb2index" CNVGRIB: "/apps/cnvgrib/1.4.0/bin/cnvgrib" - NCO_NAMING_CONV: YES - NCP: "'/bin/cp -p'" - NLN: "'/bin/ln -sf'" - NMV: "/bin/mv" + prep_step: !expand "{NWPROD}/prod_util.v1.0.15/ush/prep_step" + + NCP: "'/bin/cp -p'" + NLN: "'/bin/ln -sf'" + NMV: "/bin/mv" default_cpu_project: fv3-cpu - # Path to pan_df, the program used to get Panasas disk usage information: - pan_df: pan_df + serial_accounting: queue: debug project: !calc doc.case.cpu_project @@ -183,6 +51,9 @@ theia: &theia !Platform parallelism: <<: *theia_scheduler name: HydraIMPI + + # Path to pan_df, the program used to get Panasas disk usage information: + pan_df: pan_df least_used_scrub: !Immediate - !FirstMax - do: /scratch3/NCEPDEV/stmp1 @@ -196,12 +67,12 @@ theia: &theia !Platform wcoss_cray: &wcoss_cray !Platform <<: *resource_defaults + Evaluate: false - detect: !calc tools.isdir('/scratch4') and tools.isdir('/scratch3') + detect: !calc | + tools.isdir('/gpfs/hps') and \ + tools.isfile('/etc/SuSE-release') default_cpu_project: GFS-T2O - # Path to mmlsquota, the program used to get GPFS disk usage information: - mmlsquota: '/usr/lpp/mmfs/bin/mmlsquota' - POSTGRB2TBL: "/gpfs/hps/nco/ops/nwprod/lib/g2tmpl/v1.3.0/src/params_grib2_tbl_new" serial_accounting: queue: dev project: !calc doc.case.cpu_project @@ -220,13 +91,20 @@ wcoss_cray: &wcoss_cray !Platform parallelism: <<: *wcoss_cray_scheduler name: LSFAlps + + BASE_SVN: "/gpfs/hps3/emc/global/noscrub/emc.glopara/svn" + + general_env: + POSTGRB2TBL: "/gpfs/hps/nco/ops/nwprod/lib/g2tmpl/v1.3.0/src/params_grib2_tbl_new" + + # Path to mmlsquota, the program used to get GPFS disk usage information: + mmlsquota: '/usr/lpp/mmfs/bin/mmlsquota' least_used_scrub: !Immediate - !FirstMax - do: /gpfs/hps2/ptmp when: !calc tools.gpfs_gb(do,'hps2-ptmp','hps2',mmlsquota) - do: /gpfs/hps3/ptmp when: !calc tools.gpfs_gb(do,'hps3-ptmp','hps3',mmlsquota) - detect: !calc tools.isdir('/gpfs/hps') and tools.isfile('/etc/SuSE-release') platform: !Immediate - !FirstTrue diff --git a/model/fv3gfs/resources.yaml b/model/fv3gfs/resources.yaml new file mode 100644 index 0000000..17204e8 --- /dev/null +++ b/model/fv3gfs/resources.yaml @@ -0,0 +1,111 @@ +resource_defaults: &resource_defaults + + mpi_tuning: &mpi_tuning + npe_ecen: "84" + npe_node_ecen: "12" + npe_node_max: 24 + nst_anl: YES + MPI_BUFS_PER_HOST: 2048 + MPI_BUFS_PER_PROC: 2048 + MPI_GROUP_MAX: 256 + MPI_MEMMAP_OFF: 1 + MP_STDOUTMODE: "ORDERED" + NTHSTACK: 1024000000 + OMP_STACKSIZE: 2048000 + + run_test: + resources: + - exe: nothing + mpi_ranks: 1 + + # From if[[...ecen]] block in config.resources: + run_ecen: + env: *mpi_tuning + + resources: + - exe: placeholder + mpi_ranks: 84 + # max_ppn comes from THEIA.env: 84/12 = 7 + max_ppn: 7 + OMP_NUM_THREADS: max + + run_chgres: + - exe: time + OMP_NUM_THREADS: max + args: + - placeholder + + run_nothing: # Special placeholder for "do nothing" + - exe: nothing + + run_eobs: + - exe: placeholder + mpi_ranks: !calc 24*6 + max_ppn: 6 + OMP_NUM_THREADS: max + + run_eomg: + - exe: placeholder + mpi_ranks: !calc 6*24 + max_ppn: 6 + OMP_NUM_THREADS: max + + run_eupd: + - exe: placeholder + mpi_ranks: !calc 10*12 + max_ppn: 12 + OMP_NUM_THREADS: max + + run_efcs: + - exe: placeholder + mpi_ranks: !calc 8*24 + max_ppn: 24 + OMP_NUM_THREADS: max + + run_epos: + - exe: placeholder + mpi_ranks: !calc 7*12 + max_ppn: 12 + OMP_NUM_THREADS: max + + run_prep: + - exe: placeholder + mpi_ranks: 12 + max_ppn: 12 + OMP_NUM_THREADS: max + + run_anal: + - exe: placeholder + mpi_ranks: !calc 24*6 + max_ppn: 6 + OMP_NUM_THREADS: max + + run_fcst: + - exe: placeholder + mpi_ranks: !calc 18*12 + max_ppn: 12 + OMP_NUM_THREADS: max + + run_post: + - exe: placeholder + mpi_ranks: !calc 6*12 + max_ppn: 12 + OMP_NUM_THREADS: max + + run_vrfy: + - exe: placeholder + mpi_ranks: 1 + max_ppn: 1 + OMP_NUM_THREADS: max + + run_arch: + - exe: placeholder + mpi_ranks: 1 + max_ppn: 1 + OMP_NUM_THREADS: max + + run_earc: + - exe: placeholder + mpi_ranks: 1 + max_ppn: 1 + OMP_NUM_THREADS: max diff --git a/model/fv3gfs/settings.yaml b/model/fv3gfs/settings.yaml new file mode 100644 index 0000000..51ae58b --- /dev/null +++ b/model/fv3gfs/settings.yaml @@ -0,0 +1,2 @@ +default_settings: &default_settings + NCO_NAMING_CONV: YES diff --git a/model/fv3gfs/setup_expt.py b/model/fv3gfs/setup_expt.py index 333c172..13842ec 100755 --- a/model/fv3gfs/setup_expt.py +++ b/model/fv3gfs/setup_expt.py @@ -24,7 +24,9 @@ logger.error('Format: setup_expt.py case.yaml') exit(1) -yamls=[ 'platform.yaml','template.yaml', 'resolution.yaml' ] + \ +yamls=[ + 'resources.yaml','platform.yaml','template.yaml','resolution.yaml', + 'places.yaml', 'settings.yaml' ]+ \ sys.argv[1:] + ['runtime.yaml','actions.yaml','workflow.yaml'] conf=crow.config.from_file(*yamls) diff --git a/model/fv3gfs/template.yaml b/model/fv3gfs/template.yaml index 24357d0..3f68fba 100644 --- a/model/fv3gfs/template.yaml +++ b/model/fv3gfs/template.yaml @@ -11,37 +11,37 @@ CYC_TRACK_VARS: &CYC_TRACK_VARS !Template default: !expand "{BASE_GSM}/exec/gettrk" GETTX: type: string - default: !expand "{GETTRKEXEC}" + default: !calc GETTRKEXEC SUPVX: type: string default: !expand "{BASE_GSM}/exec/supvit" HOMERELO: type: string - default: !expand "{BASE_GSM}" + default: !calc BASE_GSM homesyndir: type: string - default: !expand "{BASE_GSM}" - prep_step: - type: string - default: !expand "{NWPROD}/prod_util.v1.0.15/ush/prep_step" + default: !calc BASE_GSM FHOUT: - type: string + type: int default: !FirstTrue - when: !calc CDUMP=="gfs" - do: !expand "{FHOUT_GFS}" + do: !calc FHOUT_GFS - otherwise: !error "FHOUT undefined!" + FHMIN: { type: int, default: 0 } + FHMIN_ENKF: { type: int, default: 3 } + FHMAX_ENKF: { type: int, default: 9 } + FHOUT_ENKF: { type: int, default: 3 } + FHMAX_GFS: { type: int, default: 384 } # 384 = operational value + FHMAX2_GFS: { type: int, default: !calc FHMAX_GFS } FHMAX: - type: string + type: int default: !FirstTrue - when: !calc CDUMP=="gfs" - do: !expand "{FHMAX_GFS}" + do: !calc FHMAX2_GFS - otherwise: !error "FHMAX undefined!" - FHMAX2: - type: string - default: !FirstTrue - - when: !calc CDUMP=="gfs" - do: !expand "{FHMAX2_GFS}" - - otherwise: !error "FHMAX2 undefined!" + + FHMAX2: { type: int, default: !calc FHMAX2_GFS } + COMROOTp1: type: string default: !FirstTrue @@ -91,10 +91,10 @@ MIN_VARS: &MIN_VARS !Template default: !expand "{BASE_SVN}/fv3gfs/trunk/gfs.v15.0.0" HOMEminmon: type: string - default: !expand "{BASE_GSM}" + default: !calc BASE_GSM MINMON_SUFFIX: type: string - default: !expand "{PSLOT}" + default: !calc experiment_name M_TANKverf: type: string default: !expand "{NOSCRUB}/minmon" @@ -111,10 +111,10 @@ MIN_VARS: &MIN_VARS !Template RAD_VARS: &RAD_VARS !Template HOMEradmon: type: string - default: !expand "{BASE_GSM}" + default: !calc BASE_GSM RADMON_SUFFIX: type: string - default: !expand "{PSLOT}" + default: !calc experiment_name TANKverf: type: string default: !expand "{NOSCRUB}/radmon" @@ -125,6 +125,7 @@ RAD_VARS: &RAD_VARS !Template do: !expand "{HOMEgdas}/jobs/JGDAS_VERFRAD" - when: !calc ( not doc.platform.name=="WCOSS_C" and not doc.platform.name=="THEIA" ) message: !expand "WARNING: Radiance monitoring is not enabled on {doc.platform.name}!" + do: null - otherwise: null OZN_VARS: &OZN_VARS !Template @@ -134,7 +135,7 @@ OZN_VARS: &OZN_VARS !Template default: "NO" OZNDIR: type: string - default: !expand "{NOSCRUB}/$LOGNAME/ozone/stats/$PSLOT" + default: !expand "{NOSCRUB}/{LOGNAME}/ozone/stats/{experiment_name}" VRFYOZNSH: type: string default: "" @@ -145,7 +146,7 @@ OZN_VARS: &OZN_VARS !Template MIN_RAD_OZN_VARS: &MIN_RAD_OZN_VARS !Template HOMEgdas: type: string - default: !expand "{BASE_GDAS}" + default: !calc BASE_GDAS envir: type: string default: "para" @@ -162,16 +163,16 @@ VRFY_CDUMP_GFS_VARS: &VRFY_CDUMP_GFS_VARS !Template default: !expand "{NOSCRUB}/archive/vsdb_data" vsdbhome: type: string - default: !expand "{BASE_VERIF}" + default: !calc BASE_VERIF VSDBSH=: type: string default: !expand "{vsdbhome}/vsdbjob.sh" vlength=: type: string - default: !expand "{FHMAX_GFS}" + default: !calc FHMAX_GFS vhr_rain: type: string - default: !expand "{FHMAX_GFS}" + default: !calc FHMAX_GFS ftyplist: type: string default: "pgbq" @@ -235,7 +236,7 @@ vrfy_template: &vrfy_template !Template allowed: [ "YES", "NO" ] default: "NO" if_present: !FirstTrue - - when: !calc VRFYOZN=='YES' + - when: !calc VRFYOZN=="YES" message: "WARNING: Ozone Monitoring is just a stub for now!" do: *OZN_VARS - otherwise: null @@ -288,14 +289,19 @@ vrfy_template: &vrfy_template !Template do: !expand "{fitdir}/subfits_theia_nems" message: "THEIA fits file" - when: !calc VRFYFITS!="YES" - do: '/dev/null' + do: "/dev/null" message: !expand vrfyfits = {VRFYFITS} - otherwise: !error "Do not know subfits file (PREPQFITSH) for {doc.platform.name}" VRFY_CDUMP_GFS: type: string allowed: [ "YES", "NO" ] + # FIXME: NEED DEFAULT default: !FirstTrue + - when: !calc ( CDUMP=="gfs" and ( VSDB_STEP1=="YES" or VRFYPRCP=="YES" or VRFYG2OBS=="YES" ) ) + do: "YES" + - otherwise: "NO" + if_present: !FirstTrue - when: !calc ( CDUMP=="gfs" and ( VSDB_STEP1=="YES" or VRFYPRCP=="YES" or VRFYG2OBS=="YES" ) ) do: *VRFY_CDUMP_GFS_VARS - otherwise: null @@ -314,6 +320,10 @@ vrfy_template: &vrfy_template !Template type: string allowed: [ "YES", "NO" ] default: !FirstTrue + - when: !calc ( VRFYRAD=="YES" or VRFYMINMON=="YES" or VRFYOZN=="YES" ) + do: "YES" + - otherwise: "NO" + if_present: !FirstTrue - when: !calc ( VRFYRAD=="YES" or VRFYMINMON=="YES" or VRFYOZN=="YES" ) do: *MIN_RAD_OZN_VARS - otherwise: null @@ -322,6 +332,10 @@ vrfy_template: &vrfy_template !Template type: string allowed: [ "YES", "NO" ] default: !FirstTrue + - when: !calc ( VRFYRAD=="YES" and CDUMP==CDFNL ) + do: "YES" + - otherwise: "NO" + if_present: !FirstTrue - when: !calc ( VRFYRAD=="YES" and CDUMP==CDFNL ) do: *RAD_VARS - otherwise: null diff --git a/model/fv3gfs/workflow.yaml b/model/fv3gfs/workflow.yaml index e75eb7e..9d3516a 100644 --- a/model/fv3gfs/workflow.yaml +++ b/model/fv3gfs/workflow.yaml @@ -2,7 +2,7 @@ Rocoto: &Rocoto scheduler: !calc doc.platform.scheduler parallelism: !calc doc.platform.parallelism EXPDIR: !calc doc.case.EXPDIR - max_tries: 3 + max_tries: 99 workflow_xml: !expand | @@ -13,7 +13,7 @@ Rocoto: &Rocoto - + ]> Date: Mon, 16 Oct 2017 18:52:23 +0000 Subject: [PATCH 132/487] crow.config: If a template reduces to "override: null" then do not apply the override --- crow/config/template.py | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/crow/config/template.py b/crow/config/template.py index 69800d6..49f7575 100644 --- a/crow/config/template.py +++ b/crow/config/template.py @@ -88,7 +88,8 @@ def _check_scope(self,scope,stage): # Override any variables if requested via "override" clauses. for var in template: if var in scope and 'override' in template[var]: - scope[var]=template[var].override + override=template[var].override + if override is not None: scope[var]=override if errors: raise TemplateErrors(errors) From 798d42bf716210fcd08cb4910614adf8ab74ed24 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 16 Oct 2017 18:54:07 +0000 Subject: [PATCH 133/487] crow.config: bug fix to "message" capability in conditionals. Error message was wrong. --- crow/config/represent.py | 5 +++-- 1 file changed, 3 insertions(+), 2 deletions(-) diff --git a/crow/config/represent.py b/crow/config/represent.py index 4a94434..6e578e3 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -67,8 +67,9 @@ def _gather_keys_and_values(self,globals,locals): keys.append(from_config('when',vk._raw('when'),globals,vk_locals)) else: raise ConditionalMissingDoWhen( - f'{self._path}[{i}]: entries must have "do" and "when"' - 'or "otherwise". Saw keys: '+', '.join(list(vk.keys()))) + f'{self._path}[{i}]: entries must have both "do" and "when"' + 'or "otherwise" (or "message"). Saw keys: '+ + ', '.join(list(vk.keys()))) return keys, values, otherwise_idx def _result(self,globals,locals): From 9c0d90223e5f296b63607769e37a6c5bf0079301 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 16 Oct 2017 18:55:01 +0000 Subject: [PATCH 134/487] fv3gfs model: add an example of the actual fv3gfs rocoto xml --- model/fv3gfs/example.xml | 902 +++++++++++++++++++++++++++++---------- 1 file changed, 668 insertions(+), 234 deletions(-) diff --git a/model/fv3gfs/example.xml b/model/fv3gfs/example.xml index 70bbfc6..fa238f2 100644 --- a/model/fv3gfs/example.xml +++ b/model/fv3gfs/example.xml @@ -1,245 +1,679 @@ - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 1:ppn=12"> + + + + + 24:ppn=6"> + + + + + 18:ppn=12"> + + + + + 6:ppn=12"> + + + + + 1:ppn=1"> + + + + + 1:ppn=1"> + + + + + + + + + 24:ppn=6"> + + + + + 24:ppn=6"> + + + + + 10:ppn=12"> + + + + + 7:ppn=12"> + + + + + 8:ppn=24"> + + + + + 7:ppn=12"> + + + + + 1:ppn=1"> + + + + + + + + + 1:ppn=12"> + + + + + 24:ppn=6"> + + + + + 18:ppn=12"> + + + + + 6:ppn=12"> + + + + + 1:ppn=1"> + + + + + 1:ppn=1"> + + + - ]> - - - - 201708150000 201708201800 &CYCLE_INTERVAL; - - - &LOG_DIR;/rocoto_@Y@m@d@H.log - - - set -xue ; mkdir -p $COM_DIR - start_cycle_@Y@m@d@H/ - &ACCOUNT; - 00:05:00 - - - &SERIAL_QUEUE; - 100M - 1 - - - COM_DIR - &COM_DIR;/@Y@m@d@H - - &LOG_DIR;/@Y@m@d@H/start_cycle.log - - - - - - set -xue ; echo Would do something to prepare for ensemble here - ens_prep_@Y@m@d@H/ - &ACCOUNT; - 00:05:00 - - - &SERIAL_QUEUE; - - - - 2 - - - COM_DIR - &COM_DIR;/@Y@m@d@H - - &LOG_DIR;/@Y@m@d@H/ens_prep.log - - - - - - - - - - - - - - - - - - 001 002 003 004 005 006 007 008 009 010 - 011 012 013 014 015 016 017 018 019 020 - 021 022 023 024 025 026 027 028 029 030 - 031 032 033 034 035 036 037 038 039 040 - 041 042 043 044 045 046 047 048 049 050 - 051 052 053 054 055 056 057 058 059 060 - 061 062 063 064 065 066 067 068 069 070 - 071 072 073 074 075 076 077 078 079 080 - 081 082 083 084 085 086 087 088 089 090 - 091 092 093 094 095 096 097 098 099 100 - - - - - set -xue ; echo Run ensemble forecast #MEMBER# > $COM_DIR/ensfcst#MEMBER#.out - &LOG_DIR;/@Y@m@d@H/ens_fcst_#MEMBER#.log - ens_fcst_#MEMBER#_@Y@m@d@H/ - &ACCOUNT; - 00:05:00 - - &PARALLEL_QUEUE; - - - - 2:ppn=24+4:ppn=8 - - - COM_DIR - &COM_DIR;/@Y@m@d@H - - - - - - - - - - - set -xue ; cat $COM_DIR/ensfcst*.out > $COM_DIR/gsi.out - &LOG_DIR;/@Y@m@d@H/gsi.log - gsi - &ACCOUNT; - 00:05:00 - - - &PARALLEL_QUEUE; - - 48 - - - COM_DIR - &COM_DIR;/@Y@m@d@H - - - - - - - - - - - set -xue ; sort $COM_DIR/gsi.out > $COM_DIR/fcst.out ; sleep 180 ; echo done - &LOG_DIR;/@Y@m@d@H/fcst.log - fcst_@Y@m@d@H/ - &ACCOUNT; - 00:05:00 - - &PARALLEL_QUEUE; - - 3:ppn=12 - - - COM_DIR - &COM_DIR;/@Y@m@d@H - - - - - - - - - - - set -xue ; echo would run post job > $COM_DIR/post.out ; sleep 180 ; echo done - &LOG_DIR;/@Y@m@d@H/post.log - post - &ACCOUNT; - 00:05:00 - - &PARALLEL_QUEUE; - - 2:ppn=12 - - - COM_DIR - &COM_DIR;/@Y@m@d@H - - - - - - - - - - - - set -xue ; echo would archive results > $COM_DIR/archive.out - &LOG_DIR;/@Y@m@d@H/archive.log - archive_@Y@m@d@H/ - &ACCOUNT; - 00:05:00 - - &TRANSFER_QUEUE; - 100M - 1 - - - COM_DIR - &COM_DIR;/@Y@m@d@H - - - - - - - - - - - - + + + &EXPDIR;/logs/@Y@m@d@H.log + + + &SDATE; &SDATE; 06:00:00 + &SDATE; &EDATE; 06:00:00 + &SDATE_GFS; &EDATE_GFS; &INTERVAL_GFS; + + + + &JOBS_DIR;/prep.sh + + &PSLOT;_gdasprep_@H + &ACCOUNT; + &QUEUE_PREP_GDAS; + &RESOURCES_PREP_GDAS; + &WALLTIME_PREP_GDAS; + &NATIVE_PREP_GDAS; + + &ROTDIR;/logs/@Y@m@d@H/gdasprep.log + + EXPDIR&EXPDIR; + CDATE@Y@m@d@H + CDUMPgdas + + + + + &DMPDIR;/@Y@m@d@H/gdas/gdas.t@Hz.updated.status.tm00.bufr_d + + + + + + + + &JOBS_DIR;/anal.sh + + &PSLOT;_gdasanal_@H + &ACCOUNT; + &QUEUE_ANAL_GDAS; + &RESOURCES_ANAL_GDAS; + &WALLTIME_ANAL_GDAS; + &NATIVE_ANAL_GDAS; + + &ROTDIR;/logs/@Y@m@d@H/gdasanal.log + + EXPDIR&EXPDIR; + CDATE@Y@m@d@H + CDUMPgdas + + + + + + + + + + + + + &JOBS_DIR;/fcst.sh + + &PSLOT;_gdasfcst_@H + &ACCOUNT; + &QUEUE_FCST_GDAS; + &RESOURCES_FCST_GDAS; + &WALLTIME_FCST_GDAS; + &NATIVE_FCST_GDAS; + + &ROTDIR;/logs/@Y@m@d@H/gdasfcst.log + + EXPDIR&EXPDIR; + CDATE@Y@m@d@H + CDUMPgdas + + + + + + + + + + + + + &JOBS_DIR;/post.sh + + &PSLOT;_gdaspost_@H + &ACCOUNT; + &QUEUE_POST_GDAS; + &RESOURCES_POST_GDAS; + &WALLTIME_POST_GDAS; + &NATIVE_POST_GDAS; + + &ROTDIR;/logs/@Y@m@d@H/gdaspost.log + + EXPDIR&EXPDIR; + CDATE@Y@m@d@H + CDUMPgdas + + + + + + + + + + &JOBS_DIR;/vrfy.sh + + &PSLOT;_gdasvrfy_@H + &ACCOUNT; + &QUEUE_VRFY_GDAS; + &RESOURCES_VRFY_GDAS; + &WALLTIME_VRFY_GDAS; + &NATIVE_VRFY_GDAS; + + &ROTDIR;/logs/@Y@m@d@H/gdasvrfy.log + + EXPDIR&EXPDIR; + CDATE@Y@m@d@H + CDUMPgdas + + + + + + + + + + &JOBS_DIR;/arch.sh + + &PSLOT;_gdasarch_@H + &ACCOUNT; + &QUEUE_ARCH_GDAS; + &RESOURCES_ARCH_GDAS; + &WALLTIME_ARCH_GDAS; + &NATIVE_ARCH_GDAS; + + &ROTDIR;/logs/@Y@m@d@H/gdasarch.log + + EXPDIR&EXPDIR; + CDATE@Y@m@d@H + CDUMPgdas + + + + + &ARCHIVE_TO_HPSS;YES + + + + + + + + &JOBS_DIR;/eobs.sh + + &PSLOT;_gdaseobs_@H + &ACCOUNT; + &QUEUE_EOBS_GDAS; + &RESOURCES_EOBS_GDAS; + &WALLTIME_EOBS_GDAS; + &NATIVE_EOBS_GDAS; + + &ROTDIR;/logs/@Y@m@d@H/gdaseobs.log + + EXPDIR&EXPDIR; + CDATE@Y@m@d@H + CDUMPgdas + + + + + + + + + + + + + 01 02 03 04 05 06 07 08 + + + + &JOBS_DIR;/eomg.sh + + &PSLOT;_gdaseomg#grp#_@H + &ACCOUNT; + &QUEUE_EOMG_GDAS; + &RESOURCES_EOMG_GDAS; + &WALLTIME_EOMG_GDAS; + &NATIVE_EOMG_GDAS; + + &ROTDIR;/logs/@Y@m@d@H/gdaseomg#grp#.log + EXPDIR&EXPDIR; + CDATE@Y@m@d@H + CDUMPgdas + ENSGRP#grp# + + + + + + + + + + &JOBS_DIR;/eupd.sh + + &PSLOT;_gdaseupd_@H + &ACCOUNT; + &QUEUE_EUPD_GDAS; + &RESOURCES_EUPD_GDAS; + &WALLTIME_EUPD_GDAS; + &NATIVE_EUPD_GDAS; + + &ROTDIR;/logs/@Y@m@d@H/gdaseupd.log + + EXPDIR&EXPDIR; + CDATE@Y@m@d@H + CDUMPgdas + + + + + + + + + + &JOBS_DIR;/ecen.sh + + &PSLOT;_gdasecen_@H + &ACCOUNT; + &QUEUE_ECEN_GDAS; + &RESOURCES_ECEN_GDAS; + &WALLTIME_ECEN_GDAS; + &NATIVE_ECEN_GDAS; + + &ROTDIR;/logs/@Y@m@d@H/gdasecen.log + + EXPDIR&EXPDIR; + CDATE@Y@m@d@H + CDUMPgdas + + + + + + + + + + + + + 01 02 03 04 05 06 07 08 + + + + &JOBS_DIR;/efcs.sh + + &PSLOT;_gdasefcs#grp#_@H + &ACCOUNT; + &QUEUE_EFCS_GDAS; + &RESOURCES_EFCS_GDAS; + &WALLTIME_EFCS_GDAS; + &NATIVE_EFCS_GDAS; + + &ROTDIR;/logs/@Y@m@d@H/gdasefcs#grp#.log + + EXPDIR&EXPDIR; + CDATE@Y@m@d@H + CDUMPgdas + ENSGRP#grp# + + + + + + + + + + + + + + + &JOBS_DIR;/epos.sh + + &PSLOT;_gdasepos_@H + &ACCOUNT; + &QUEUE_EPOS_GDAS; + &RESOURCES_EPOS_GDAS; + &WALLTIME_EPOS_GDAS; + &NATIVE_EPOS_GDAS; + + &ROTDIR;/logs/@Y@m@d@H/gdasepos.log + + EXPDIR&EXPDIR; + CDATE@Y@m@d@H + CDUMPgdas + + + + + + + + + + 00 01 02 03 04 05 06 07 08 + + + + &JOBS_DIR;/earc.sh + + &PSLOT;_gdasearc#grp#_@H + &ACCOUNT; + &QUEUE_EARC_GDAS; + &RESOURCES_EARC_GDAS; + &WALLTIME_EARC_GDAS; + &NATIVE_EARC_GDAS; + + &ROTDIR;/logs/@Y@m@d@H/gdasearc#grp#.log + + EXPDIR&EXPDIR; + CDATE@Y@m@d@H + CDUMPgdas + ENSGRP#grp# + + + + + + + + + + + + &JOBS_DIR;/prep.sh + + &PSLOT;_gfsprep_@H + &ACCOUNT; + &QUEUE_PREP_GFS; + &RESOURCES_PREP_GFS; + &WALLTIME_PREP_GFS; + &NATIVE_PREP_GFS; + + &ROTDIR;/logs/@Y@m@d@H/gfsprep.log + + EXPDIR&EXPDIR; + CDATE@Y@m@d@H + CDUMPgfs + + + + + &DMPDIR;/@Y@m@d@H/gfs/gfs.t@Hz.updated.status.tm00.bufr_d + + + + + + + + &JOBS_DIR;/anal.sh + + &PSLOT;_gfsanal_@H + &ACCOUNT; + &QUEUE_ANAL_GFS; + &RESOURCES_ANAL_GFS; + &WALLTIME_ANAL_GFS; + &NATIVE_ANAL_GFS; + + &ROTDIR;/logs/@Y@m@d@H/gfsanal.log + + EXPDIR&EXPDIR; + CDATE@Y@m@d@H + CDUMPgfs + + + + + + + + + + + + + &JOBS_DIR;/fcst.sh + + &PSLOT;_gfsfcst_@H + &ACCOUNT; + &QUEUE_FCST_GFS; + &RESOURCES_FCST_GFS; + &WALLTIME_FCST_GFS; + &NATIVE_FCST_GFS; + + &ROTDIR;/logs/@Y@m@d@H/gfsfcst.log + + EXPDIR&EXPDIR; + CDATE@Y@m@d@H + CDUMPgfs + + + + + + + + + + &JOBS_DIR;/post.sh + + &PSLOT;_gfspost_@H + &ACCOUNT; + &QUEUE_POST_GFS; + &RESOURCES_POST_GFS; + &WALLTIME_POST_GFS; + &NATIVE_POST_GFS; + + &ROTDIR;/logs/@Y@m@d@H/gfspost.log + + EXPDIR&EXPDIR; + CDATE@Y@m@d@H + CDUMPgfs + + + + + + + + + + &JOBS_DIR;/vrfy.sh + + &PSLOT;_gfsvrfy_@H + &ACCOUNT; + &QUEUE_VRFY_GFS; + &RESOURCES_VRFY_GFS; + &WALLTIME_VRFY_GFS; + &NATIVE_VRFY_GFS; + + &ROTDIR;/logs/@Y@m@d@H/gfsvrfy.log + + EXPDIR&EXPDIR; + CDATE@Y@m@d@H + CDUMPgfs + + + + + + + + + + &JOBS_DIR;/arch.sh + + &PSLOT;_gfsarch_@H + &ACCOUNT; + &QUEUE_ARCH_GFS; + &RESOURCES_ARCH_GFS; + &WALLTIME_ARCH_GFS; + &NATIVE_ARCH_GFS; + + &ROTDIR;/logs/@Y@m@d@H/gfsarch.log + + EXPDIR&EXPDIR; + CDATE@Y@m@d@H + CDUMPgfs + + + + + &ARCHIVE_TO_HPSS;YES + + + + + + + + sleep 1 + + &PSLOT;_firstcyc_@H + &ACCOUNT; + &QUEUE_ARCH; + &RESOURCES_ARCH_GDAS; + &WALLTIME_ARCH_GDAS; + &NATIVE_ARCH_GDAS; + + &ROTDIR;/logs/@Y@m@d@H/firstcyc.log + + + + &EXPDIR;/logs/@Y@m@d@H.log + + + + + + + From 38211b6f4abfd1b3e0fea9b77004efae87c816c5 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 16 Oct 2017 19:16:39 +0000 Subject: [PATCH 135/487] fv3gfs model: reduce max tries to 1 for all jobs --- model/fv3gfs/workflow.yaml | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/model/fv3gfs/workflow.yaml b/model/fv3gfs/workflow.yaml index 9d3516a..274548b 100644 --- a/model/fv3gfs/workflow.yaml +++ b/model/fv3gfs/workflow.yaml @@ -2,7 +2,7 @@ Rocoto: &Rocoto scheduler: !calc doc.platform.scheduler parallelism: !calc doc.platform.parallelism EXPDIR: !calc doc.case.EXPDIR - max_tries: 99 + max_tries: 1 workflow_xml: !expand | @@ -13,7 +13,7 @@ Rocoto: &Rocoto - + ]> Date: Mon, 16 Oct 2017 19:24:30 +0000 Subject: [PATCH 136/487] add a script to scan changes in the environment --- model/fv3gfs/scan-env-changes.pl | 72 ++++++++++++++++++++++++++++++++ 1 file changed, 72 insertions(+) create mode 100755 model/fv3gfs/scan-env-changes.pl diff --git a/model/fv3gfs/scan-env-changes.pl b/model/fv3gfs/scan-env-changes.pl new file mode 100755 index 0000000..d0680a8 --- /dev/null +++ b/model/fv3gfs/scan-env-changes.pl @@ -0,0 +1,72 @@ +#! /usr/bin/env perl + +use strict; +use warnings; + +sub readit { + my $file=$_[0]; + open(CFG,"$file") or die "$file"; + my @lines=; + close(CFG); + my %vars; + foreach (@lines) { + chomp; + /^BASH_/ and next; + /^([A-Za-z][A-Za-z0-9_]+)=(.*)/ or next; + $vars{$1}=$2; + } + return %vars; +} + +sub diffmod { + my %before=%{$_[0]}; + my %after=%{$_[1]}; + my %before_env=%{$_[2]}; + my %after_env=%{$_[3]}; + + foreach my $name (sort {$a cmp $b} keys(%before)) { + if(!defined($after{$name})) { + print("unset $name\n"); + next; + } + + if(defined($before_env{$name}) && !defined($after_env{$name})) { + print("export -n $name\n"); + } + + if($before{$name} ne $after{$name}) { + if(defined($after_env{$name})) { + print("export $name=\"$after{$name}\"\n"); + } else { + print("$name=\"$after{$name}\" # shell-local\n"); + } + } elsif(!defined($before_env{$name}) && defined($after_env{$name})) { + print("export $name\n"); + } + } + + foreach my $name (sort {$a cmp $b} keys(%after)) { + if(!defined($before{$name})) { + if(defined($after_env{$name})) { + print("export $name=\"$after{$name}\"\n"); + } else { + print("$name=\"$after{$name}\" # shell-local\n"); + } + } + } +} + +######################################################################## + +my $pre=$ARGV[0]; + +print("# checkit.pl $pre\n"); + +my %before_set=readit("$pre\%set\%before-to-sh"); +my %after_set=readit("$pre\%set\%after-to-sh"); + +my %before_env=readit("$pre\%env\%before-to-sh"); +my %after_env=readit("$pre\%env\%after-to-sh"); + +print("# Variable changes:\n"); +diffmod(\%before_set,\%after_set,\%before_env,\%after_env) From 34221f8be142a83b989a9511f99f4ff4fbd85175 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 16 Oct 2017 19:27:29 +0000 Subject: [PATCH 137/487] Change comment message in output of scan-env-changes.pl --- model/fv3gfs/scan-env-changes.pl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/model/fv3gfs/scan-env-changes.pl b/model/fv3gfs/scan-env-changes.pl index d0680a8..9537870 100755 --- a/model/fv3gfs/scan-env-changes.pl +++ b/model/fv3gfs/scan-env-changes.pl @@ -60,7 +60,7 @@ sub diffmod { my $pre=$ARGV[0]; -print("# checkit.pl $pre\n"); +print("# scan-env-changes.pl $pre\n"); my %before_set=readit("$pre\%set\%before-to-sh"); my %after_set=readit("$pre\%set\%after-to-sh"); From be16bf1fc7a594fff44cf3e26099b422450a7924 Mon Sep 17 00:00:00 2001 From: wx20st Date: Wed, 18 Oct 2017 17:17:37 +0000 Subject: [PATCH 138/487] Update toy yaml platform.yml to detect WCOSS IBM --- examples/toy-yaml/platform.yml | 34 ++++++++++++++++++++++++++++++++++ 1 file changed, 34 insertions(+) diff --git a/examples/toy-yaml/platform.yml b/examples/toy-yaml/platform.yml index 7335fa6..9807d7a 100644 --- a/examples/toy-yaml/platform.yml +++ b/examples/toy-yaml/platform.yml @@ -34,9 +34,43 @@ wcoss_cray: &wcoss_cray !Platform when: !calc tools.gpfs_gb(do,'hps3-ptmp','hps3') detect: !calc tools.isdir('/gpfs/hps') and tools.isfile('/etc/SuSE-release') +wcoss_ibm: &wcoss_ibm !Platform + queue: dev + machine: THEIA + ACCOUNT: fv3-cpu + QUEUE: batch + QUEUE_ARCH: service + HPSS_PROJECT: emc-global + BASE_SVN: /scratch4/NCEPDEV/global/save/glopara/svn + BASE_GFS: /scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17/global_shared.v14.1.0 + mmlsquota: /usr/lpp/mmfs/bin/mmlsquota + + tide_gyre_tg: !FirstTrue + - do: g + when: !calc tools.isdir('/gpfs/gd1') + - do: t + when: !calc tools.isdir('/gpfs/td1') + - otherwise: !error Cannot determine if this is Tide or Gyre + + scrub: !FirstMax + - do: /ptmpd1 + when: !calc tools.gpfs_gb(do,'ptmp-d1','gpfs-'+tide_gyre_tg+'d1',mmlsquota) + - do: /ptmpd2 + when: !calc tools.gpfs_gb(do,'ptmp-d2','gpfs-'+tide_gyre_tg+'d2',mmlsquota) + - do: /ptmpp1 + when: !calc tools.gpfs_gb(do,'ptmp-p1','gpfs-'+tide_gyre_tg+'p1',mmlsquota) + - do: /ptmpd3 + when: !calc tools.gpfs_gb(do,'ptmp-d3','gpfs-'+tide_gyre_tg+'d3',mmlsquota) + - do: /ptmpp2 + when: !calc tools.gpfs_gb(do,'ptmp-p2','gpfs-'+tide_gyre_tg+'p2',mmlsquota) + + detect: !calc tools.isdir('/gpfs/hps') and tools.isfile('/etc/redhat-release') + platform: !FirstTrue - do: *wcoss_cray when: !calc do.detect - do: *theia when: !calc do.detect + - do: *wcoss_ibm + when: !calc do.detect - otherwise: !error This platform is unsupported or unknown. From 67b37a331e7abce7490dc7ae241f32e03d295076 Mon Sep 17 00:00:00 2001 From: wx20st Date: Wed, 18 Oct 2017 17:19:05 +0000 Subject: [PATCH 139/487] Update yaml-read-write to remove platform specification. That does not work with read-write-read tests because some of the data is evaluated upon read. Only the active platform can be written out and read back in. We should ponder changing this. --- examples/yaml-read-write/original.yaml | 17 ----------------- 1 file changed, 17 deletions(-) diff --git a/examples/yaml-read-write/original.yaml b/examples/yaml-read-write/original.yaml index daf1abc..6f6e31d 100644 --- a/examples/yaml-read-write/original.yaml +++ b/examples/yaml-read-write/original.yaml @@ -15,23 +15,6 @@ action: &action !Action var1: 1 calc1: !calc var1 + var1 timedelta: !timedelta 03:15 -wcoss_cray: &wcoss_cray !Platform - detect: false -theia: &theia !Platform - detect: true - scrub: !FirstMax - - do: /scratch3/NCEPDEV/stmp1 - when: !calc tools.panasas_gb(do) - - do: /scratch3/NCEPDEV/stmp2 - when: !calc tools.panasas_gb(do) - - do: /scratch4/NCEPDEV/stmp3 - when: !calc tools.panasas_gb(do) - - do: /scratch4/NCEPDEV/stmp4 - when: !calc tools.panasas_gb(do) -platform: !FirstTrue - - { do: *theia, when: !calc theia.detect } - - { do: *wcoss_cray, when: !calc wcoss_cray.detect } - - { otherwise: !error unknown platform } testmax: !FirstMax - { do: a, when: 1 } From 47af90c915b4458c3052d842492401dc712b9c86 Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Wed, 18 Oct 2017 20:46:24 +0000 Subject: [PATCH 140/487] adding variables --- model/fv3gfs/2016100200/gdasearc01_00 | 24 +-- model/fv3gfs/2016100200/gdasefcs01_00 | 156 ++++++++--------- model/fv3gfs/2016100200/gdaseobs_00 | 42 ++--- model/fv3gfs/2016100200/gdaseomg01_00 | 20 +-- model/fv3gfs/2016100200/gdasepos_00 | 2 +- model/fv3gfs/2016100200/gdaseupd_00 | 30 ++-- model/fv3gfs/2016100200/gdasprep_00 | 18 +- model/fv3gfs/actions.yaml | 242 ++++++++++++++++++++++++-- model/fv3gfs/places.yaml | 4 +- 9 files changed, 375 insertions(+), 163 deletions(-) diff --git a/model/fv3gfs/2016100200/gdasearc01_00 b/model/fv3gfs/2016100200/gdasearc01_00 index 70f8aa1..731f6ff 100644 --- a/model/fv3gfs/2016100200/gdasearc01_00 +++ b/model/fv3gfs/2016100200/gdasearc01_00 @@ -18,10 +18,10 @@ export machine="THEIA" # Variable changes after modules: config="earc" # shell-local # in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +#export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +#export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" # in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +#export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" # in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" # in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" # in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" @@ -30,13 +30,13 @@ export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_wor # in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" # in case.yaml export CASE="C192" # in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" +#export CHGRP_CMD="'chgrp rstprod'" # in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" # in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" # in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" # in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" # in case.yaml export DOHYBVAR="YES" -export DONST="NO" +#export DONST="NO" # in case.yaml export EDATE="2016100200" # in case.yaml export FHCYC="24" # in case.yaml export FHMAX="9" @@ -54,7 +54,7 @@ export DONST="NO" # in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" # in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" # in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" +#export KEEPDATA="NO" # in case.yaml export LEVS="65" # in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" # in platform.yaml export NCO_NAMING_CONV="YES" @@ -63,28 +63,28 @@ export KEEPDATA="NO" # in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" # in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" # in platform.yaml export NLN="'/bin/ln -sf'" -export NMEM_EARCGRP="10" +#export NMEM_EARCGRP="10" # in case.yaml export NMEM_ENKF="80" # in platform.yaml export NMV="/bin/mv" # in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" # in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OUTPUT_GRID="gaussian_grid" +#export OUTPUT_GRID="gaussian_grid" # in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" # in case.yaml export PSLOT="wham" # in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." +#export QUILTING=".true." # in case.yaml export REALTIME="NO" # in case.yaml export RECENTER_ENKF="YES" # in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" # in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" # in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" # in case.yaml export SDATE="2016100100" -export SMOOTH_ENKF="YES" +#export SMOOTH_ENKF="YES" # in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VERBOSE="YES" +#export VERBOSE="YES" # in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" # in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." +#export WRITE_NEMSIOFILE=".true." export assim_freq="6" exetest="htar" # shell-local # in case.yaml export gfs_cyc="1" diff --git a/model/fv3gfs/2016100200/gdasefcs01_00 b/model/fv3gfs/2016100200/gdasefcs01_00 index 050ceb0..ea947e1 100644 --- a/model/fv3gfs/2016100200/gdasefcs01_00 +++ b/model/fv3gfs/2016100200/gdasefcs01_00 @@ -17,13 +17,13 @@ export machine="THEIA" # Variable changes after modules: config="efcs" # shell-local -export APRUN_FV3="'mpirun -np 204'" -export APRUN_REGRID_NEMSIO="'mpirun -np 65'" +#export APRUN_FV3="'mpirun -np 204'" +#export APRUN_REGRID_NEMSIO="'mpirun -np 65'" # in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +#export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +#export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" # in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +#export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" # in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" # in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" # in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" @@ -32,22 +32,22 @@ export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_wor # in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" # in case.yaml export CASE="C192" # in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" +#export CHGRP_CMD="'chgrp rstprod'" # in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" # in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" # in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DELTIM="1800" -export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2_da" +#export DELTIM="1800" +#export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2_da" # in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" # in case.yaml export DOHYBVAR="YES" -export DONST="NO" -export DO_SHUM=".false." -export DO_SKEB=".false." -export DO_SPPT=".false." +#export DONST="NO" +#export DO_SHUM=".false." +#export DO_SKEB=".false." +#export DO_SPPT=".false." # in case.yaml export EDATE="2016100200" -export ENKFFCSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" -export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" -export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" +#export ENKFFCSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" +#export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" +#export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" # in case.yaml export FHCYC="24" # in case.yaml export FHMAX="9" # in case.yaml export FHMAX_ENKF="9" @@ -60,104 +60,104 @@ export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/glob # in case.yaml export FHOUT_ENKF="3" # in case.yaml export FHOUT_GFS="6" # in case.yaml export FHOUT_HF_GFS="1" -export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" -export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" +#export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" +#export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" # in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" # in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" # in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" # in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" +#export KEEPDATA="NO" # in case.yaml export LEVS="65" -export MONO="non-mono" +#export MONO="non-mono" # in platform.yaml export MPI_BUFS_PER_HOST="2048" # in platform.yaml export MPI_BUFS_PER_PROC="2048" # in platform.yaml export MPI_GROUP_MAX="256" # in platform.yaml export MPI_MEMMAP_OFF="1" # in platform.yaml export MP_STDOUTMODE="ORDERED" # in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" +#export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" # in platform.yaml export NCO_NAMING_CONV="YES" # in platform.yaml export NCP="'/bin/cp -p'" # in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" # in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" # in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" # in platform.yaml export NLN="'/bin/ln -sf'" -export NMEM_EFCSGRP="10" +#export NMEM_EFCSGRP="10" # in case.yaml export NMEM_ENKF="80" # in platform.yaml export NMV="/bin/mv" # in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NTHREADS_FV3="1" -export NTHREADS_REGRID_NEMSIO="1" -export NTHSTACK="1024000000" +#export NTHREADS_FV3="1" +#export NTHREADS_REGRID_NEMSIO="1" +#export NTHSTACK="1024000000" # in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" # in platform.yaml export OMP_STACKSIZE="2048000" -export OUTPUT_GRID="gaussian_grid" +#export OUTPUT_GRID="gaussian_grid" # in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" # in case.yaml export PSLOT="wham" # in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." +#export QUILTING=".true." # in case.yaml export REALTIME="NO" # in case.yaml export RECENTER_ENKF="YES" -export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" -export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" -export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" -export RERUN_EFCSGRP="NO" +#export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" +#export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" +#export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" +#export RERUN_EFCSGRP="NO" # in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" # in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" # in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" # in case.yaml export SDATE="2016100100" -export SET_STP_SEED="YES" -export SHUM="0.006" -export SHUM_LSCALE="250000." -export SHUM_TAU="21600." -export SKEB="0.8" -export SKEBNORM="1" -export SKEB_LSCALE="500000." -export SKEB_TAU="21600." -export SMOOTH_ENKF="YES" -export SPPT="0.8" -export SPPT_LSCALE="500000." -export SPPT_TAU="21600." +#export SET_STP_SEED="YES" +#export SHUM="0.006" +#export SHUM_LSCALE="250000." +#export SHUM_TAU="21600." +#export SKEB="0.8" +#export SKEBNORM="1" +#export SKEB_LSCALE="500000." +#export SKEB_TAU="21600." +#export SMOOTH_ENKF="YES" +#export SPPT="0.8" +#export SPPT_LSCALE="500000." +#export SPPT_TAU="21600." # in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export TYPE="nh" -export USE_COUPLER_RES="NO" -export VERBOSE="YES" +#export TYPE="nh" +#export USE_COUPLER_RES="NO" +#export VERBOSE="YES" # in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" # in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_GROUP="1" -export WRITE_NEMSIOFILE=".true." -export WRTTASK_PER_GROUP="12" -export assim_freq="6" -case_in="C96" # shell-local -export cdmbgwd="0.125,3.0" -export cores_per_node="24" -exetest="htar" # shell-local +#export WRITE_GROUP="1" +#export WRITE_NEMSIOFILE=".true." +#export WRTTASK_PER_GROUP="12" +#export assim_freq="6" +#case_in="C96" # shell-local +#export cdmbgwd="0.125,3.0" +#export cores_per_node="24" +#exetest="htar" # shell-local # in case.yaml export gfs_cyc="1" # in case.yaml export l4densvar=".false." # in platform.yaml export launcher="'mpirun -np'" -export layout_x="4" -export layout_y="8" +#export layout_x="4" +#export layout_y="8" # in case.yaml export lwrite4danl=".false." -export master_grid="0p25deg" -export memory_efcs="254M" -export memory_fcst="1024M" -export ncld="1" -export npe_efcs="204" -export npe_fcst="216" -export npe_fv3="204" -export npe_node_efcs="24" -export npe_node_fcst="12" -export npe_node_max="24" -export npe_remap="216" -export nst_anl=".true." -export nth_fv3="1" -nth_max="1" # shell-local -export nth_remap="2" -export nwat="2" -export restart_interval="6" -status="0" # shell-local -step="efcs" # shell-local -export wtime_efcs="02:00:00" -export wtime_fcst="03:00:00" -export wtime_fcst_gfs="06:00:00" -export zhao_mic=".true." +#export master_grid="0p25deg" +#export memory_efcs="254M" +#export memory_fcst="1024M" +#export ncld="1" +#export npe_efcs="204" +#export npe_fcst="216" +#export npe_fv3="204" +#export npe_node_efcs="24" +#export npe_node_fcst="12" +#export npe_node_max="24" +#export npe_remap="216" +#export nst_anl=".true." +#export nth_fv3="1" +#nth_max="1" # shell-local +#export nth_remap="2" +#export nwat="2" +#export restart_interval="6" +#status="0" # shell-local +#step="efcs" # shell-local +#export wtime_efcs="02:00:00" +#export wtime_fcst="03:00:00" +#export wtime_fcst_gfs="06:00:00" +#export zhao_mic=".true." diff --git a/model/fv3gfs/2016100200/gdaseobs_00 b/model/fv3gfs/2016100200/gdaseobs_00 index 4199f35..8aa9231 100644 --- a/model/fv3gfs/2016100200/gdaseobs_00 +++ b/model/fv3gfs/2016100200/gdaseobs_00 @@ -17,13 +17,13 @@ export machine="THEIA" # Variable changes after modules: config="eobs" # shell-local -export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" -export APRUN_GSI="'mpirun -np 144'" +#export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" +#export APRUN_GSI="'mpirun -np 144'" # in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +#export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +#export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" # in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +#export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" # in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" # in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" # in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" @@ -32,15 +32,15 @@ export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_wor # in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" # in case.yaml export CASE="C192" # in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" +#export CHGRP_CMD="'chgrp rstprod'" # in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" # in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" # in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" # in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" # in case.yaml export DOHYBVAR="YES" -export DONST="NO" +#export DONST="NO" # in case.yaml export EDATE="2016100200" -export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" +#export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" # in case.yaml export FHCYC="24" # in case.yaml export FHMAX="9" # in case.yaml export FHMAX_ENKF="9" @@ -57,8 +57,8 @@ export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/glo # in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" # in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" # in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export INVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" -export KEEPDATA="NO" +#export INVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" +#export KEEPDATA="NO" # in case.yaml export LEVS="65" # in platform.yaml export MPI_BUFS_PER_HOST="2048" # in platform.yaml export MPI_BUFS_PER_PROC="2048" @@ -73,33 +73,33 @@ export KEEPDATA="NO" # in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" # in platform.yaml export NLN="'/bin/ln -sf'" # in case.yaml export NMEM_ENKF="80" -export NMEM_EOMGGRP="10" +#export NMEM_EOMGGRP="10" # in platform.yaml export NMV="/bin/mv" # in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NTHREADS_GSI="4" -export NTHSTACK="1024000000" +#export NTHREADS_GSI="4" +#export NTHSTACK="1024000000" # in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OBSINPUT_INVOBS="'dmesh(1)=225.0,dmesh(2)=225.0'" -export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" +#export OBSINPUT_INVOBS="'dmesh(1)=225.0,dmesh(2)=225.0'" +#export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" # in platform.yaml export OMP_STACKSIZE="2048000" -export OUTPUT_GRID="gaussian_grid" +#export OUTPUT_GRID="gaussian_grid" # in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" # in case.yaml export PSLOT="wham" # in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." +#export QUILTING=".true." # in case.yaml export REALTIME="NO" # in case.yaml export RECENTER_ENKF="YES" -export RERUN_EOMGGRP="YES" +#export RERUN_EOMGGRP="YES" # in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" # in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" # in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" # in case.yaml export SDATE="2016100100" -export SMOOTH_ENKF="YES" +#export SMOOTH_ENKF="YES" # in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VERBOSE="YES" +#export VERBOSE="YES" # in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" # in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." +#export WRITE_NEMSIOFILE=".true." export assim_freq="6" exetest="htar" # shell-local # in case.yaml export gfs_cyc="1" diff --git a/model/fv3gfs/2016100200/gdaseomg01_00 b/model/fv3gfs/2016100200/gdaseomg01_00 index d57ff9f..cc870e6 100644 --- a/model/fv3gfs/2016100200/gdaseomg01_00 +++ b/model/fv3gfs/2016100200/gdaseomg01_00 @@ -17,13 +17,13 @@ export machine="THEIA" # Variable changes after modules: config="eobs" # shell-local -export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" -export APRUN_GSI="'mpirun -np 144'" +#export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" +#export APRUN_GSI="'mpirun -np 144'" # in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +#export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +#export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" # in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +#export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" # in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" # in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" # in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" @@ -32,15 +32,15 @@ export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_wor # in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" # in case.yaml export CASE="C192" # in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" +#export CHGRP_CMD="'chgrp rstprod'" # in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" # in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" # in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" # in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" # in case.yaml export DOHYBVAR="YES" -export DONST="NO" +#export DONST="NO" # in case.yaml export EDATE="2016100200" -export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" +#export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" # in case.yaml export FHCYC="24" # in case.yaml export FHMAX="9" # in case.yaml export FHMAX_ENKF="9" @@ -57,8 +57,8 @@ export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/glo # in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" # in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" # in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export INVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" -export KEEPDATA="NO" +#export INVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" +#export KEEPDATA="NO" # in case.yaml export LEVS="65" # in platform.yaml export MPI_BUFS_PER_HOST="2048" # in platform.yaml export MPI_BUFS_PER_PROC="2048" diff --git a/model/fv3gfs/2016100200/gdasepos_00 b/model/fv3gfs/2016100200/gdasepos_00 index ef3ab32..4cec84d 100644 --- a/model/fv3gfs/2016100200/gdasepos_00 +++ b/model/fv3gfs/2016100200/gdasepos_00 @@ -98,7 +98,7 @@ config="epos" # shell-local # in case.yaml export l4densvar=".false." # in platform.yaml export launcher="'mpirun -np'" # in case.yaml export lwrite4danl=".false." -export memory_epos="254M" +#export memory_epos="254M" export npe_epos="84" export npe_node_epos="12" export npe_node_max="24" diff --git a/model/fv3gfs/2016100200/gdaseupd_00 b/model/fv3gfs/2016100200/gdaseupd_00 index 18596eb..6564342 100644 --- a/model/fv3gfs/2016100200/gdaseupd_00 +++ b/model/fv3gfs/2016100200/gdaseupd_00 @@ -17,13 +17,13 @@ export machine="THEIA" # Variable changes after modules: config="eupd" # shell-local -export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" -export APRUN_ENKF="'mpirun -np 120'" +#export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" +#export APRUN_ENKF="'mpirun -np 120'" # in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +#export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +#export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" # in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +#export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" # in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" # in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" # in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" @@ -32,15 +32,15 @@ export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_wor # in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" # in case.yaml export CASE="C192" # in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" +#export CHGRP_CMD="'chgrp rstprod'" # in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" # in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" # in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" # in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" # in case.yaml export DOHYBVAR="YES" -export DONST="NO" +#export DONST="NO" # in case.yaml export EDATE="2016100200" -export ENKFUPDSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_update_fv3gfs.sh.ecf" +#export ENKFUPDSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_update_fv3gfs.sh.ecf" # in case.yaml export FHCYC="24" # in case.yaml export FHMAX="9" # in case.yaml export FHMAX_ENKF="9" @@ -74,27 +74,27 @@ export KEEPDATA="NO" # in case.yaml export NMEM_ENKF="80" # in platform.yaml export NMV="/bin/mv" # in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NTHREADS_ENKF="2" -export NTHSTACK="1024000000" +#export NTHREADS_ENKF="2" +#export NTHSTACK="1024000000" # in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" # in platform.yaml export OMP_STACKSIZE="2048000" -export OUTPUT_GRID="gaussian_grid" +#export OUTPUT_GRID="gaussian_grid" # in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" # in case.yaml export PSLOT="wham" # in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." +#export QUILTING=".true." # in case.yaml export REALTIME="NO" # in case.yaml export RECENTER_ENKF="YES" # in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" # in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" # in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" # in case.yaml export SDATE="2016100100" -export SMOOTH_ENKF="YES" +#export SMOOTH_ENKF="YES" # in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VERBOSE="YES" +#export VERBOSE="YES" # in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" # in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." +#export WRITE_NEMSIOFILE=".true." export assim_freq="6" exetest="htar" # shell-local # in case.yaml export gfs_cyc="1" diff --git a/model/fv3gfs/2016100200/gdasprep_00 b/model/fv3gfs/2016100200/gdasprep_00 index 4afb6da..6ff32cd 100644 --- a/model/fv3gfs/2016100200/gdasprep_00 +++ b/model/fv3gfs/2016100200/gdasprep_00 @@ -18,11 +18,11 @@ export machine="THEIA" # Variable changes after modules: config="prep" # shell-local # in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BACK="YES" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" +#export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" +#export BACK="YES" +#export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" # in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" +#export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" # in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" # in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" # in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" @@ -31,16 +31,16 @@ export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_wor # in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" # in case.yaml export CASE="C192" # in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" +#export CHGRP_CMD="'chgrp rstprod'" # in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" # in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" # in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" # in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" # in case.yaml export DOHYBVAR="YES" -export DONST="NO" -export DO_MAKEPREPBUFR="YES" -export DO_RELOCATE="NO" -export DRIVE_MAKEPREPBUFRSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/drive_makeprepbufr.sh" +#export DONST="NO" +#export DO_MAKEPREPBUFR="YES" +#export DO_RELOCATE="NO" +#export DRIVE_MAKEPREPBUFRSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/drive_makeprepbufr.sh" # in case.yaml export EDATE="2016100200" # in case.yaml export FHCYC="24" # in case.yaml export FHMAX="9" diff --git a/model/fv3gfs/actions.yaml b/model/fv3gfs/actions.yaml index e1b9492..428f0cf 100644 --- a/model/fv3gfs/actions.yaml +++ b/model/fv3gfs/actions.yaml @@ -59,7 +59,7 @@ anal: &anal_action !Action assim_freq: 6 APRUN_CALCINC: "'mpirun -np $ncmd'" APRUN_GSI: "'mpirun -np 144'" - ANALYSISSH: !expand "{BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" + ANALYSISSH: !expand "{doc.case.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" BASE_ENV: !expand "{doc.case.HOMEgfs}/gfs_workflow.v15.0.0/env" BASE_JOB: !expand "{doc.case.BASE_WORKFLOW}/jobs" @@ -89,7 +89,7 @@ epos: &epos_action !Action walltime: !timedelta 00:15:00 # resources: !calc run_epos resources: !calc run_test.resources - memory: "3072M" + memory: "254M" ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" BASE_ENV: !expand "{doc.case.HOMEgfs}/gfs_workflow.v15.0.0/env" BASE_JOB: !expand "{doc.case.BASE_WORKFLOW}/jobs" @@ -113,22 +113,57 @@ eobs: &eobs_action !Action # resources: !calc run_eobs resources: !calc run_test.resources memory: "3072M" - INVOBSSH: !expand "{doc.case.BASE_GSI}/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" + ANALYSISSH: "{doc.case.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" + APRUN_GSI: "'mpirun -np 144'" + ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" + BASE_ENV: !expand "{doc.case.HOMEgfs}/gfs_workflow.v15.0.0/env" + BASE_JOB: !expand "{doc.case.BASE_WORKFLOW}/jobs" + CHGRP_CMD: "'chgrp rstprod'" + DONST: NO ENKFINVOBSSH: !expand "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" + INVOBSSH: !expand "{doc.case.BASE_GSI}/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" + KEEPDATA: NO NMEM_EOMGGRP: 10 - RERUN_EOMGGRP: "YES" + NTHREADS_GSI: 4 + NTHSTACK: 1024000000 npe_eobs: !calc resources[0].mpi_ranks npe_gsi: !calc npe_eobs nth_gsi: 4 # GSI namelist options related to observer for EnKF OBSINPUT_INVOBS: "dmesh(1)=225.0,dmesh(2)=225.0" OBSQC_INVOBS: "tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" + OUTPUT_GRID: "gaussian_grid" + QUILTING: YES + RERUN_EOMGGRP: "YES" + SMOOTH_ENKF: YES + VERBOSE: YES + WRITE_NEMSIOFILE: YES nth_eobs: 2 eomg: &eomg_action !Action <<: *action_template J_JOB: eomg + ANALYSISSH: "{doc.case.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" + APRUN_GSI: "'mpirun -np 144'" + BASE_ENV: !expand "{doc.case.HOMEgfs}/gfs_workflow.v15.0.0/env" + BASE_JOB: !expand "{doc.case.BASE_WORKFLOW}/jobs" CASE: !calc doc.case.CASE_ENKF + CHGRP_CMD: "'chgrp rstprod'" + DONST: NO + ENKFINVOBSSH: !expand "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" + INVOBSSH: !expand "{doc.case.BASE_GSI}/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" + KEEPDATA: NO + NMEM_EOMGGRP: 10 + NTHREADS_GSI: 4 + NTHSTACK: 1024000000 + OBSINPUT_INVOBS: "dmesh(1)=225.0,dmesh(2)=225.0" + OBSQC_INVOBS: "tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" + OUTPUT_GRID: "gaussian_grid" + QUILTING: YES + RERUN_EOMGGRP: "YES" + SMOOTH_ENKF: YES + VERBOSE: YES + WRITE_NEMSIOFILE: YES walltime: !timedelta 00:15:00 # resources: !calc run_eomg resources: !calc run_test.resources @@ -138,12 +173,27 @@ eomg: &eomg_action !Action eupd: &eupd_action !Action <<: *action_template J_JOB: eupd + ANALYSISSH: "{doc.case.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" + APRUN_ENKF: "'mpirun -np 120'" + ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" CASE: !calc doc.case.CASE_ENKF + BASE_ENV: !expand "{doc.case.HOMEgfs}/gfs_workflow.v15.0.0/env" + BASE_JOB: !expand "{doc.case.BASE_WORKFLOW}/jobs" + CHGRP_CMD: "'chgrp rstprod'" + DONST: NO + ENKFUPDSH: "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_update_fv3gfs.sh.ecf" + KEEPDATA: NO + NTHREADS_ENKF: 2 + NTHSTACK: 1024000000 + OUTPUT_GRID: "gaussian_grid" + QUILTING: YES + SMOOTH_ENKF: YES + VERBOSE: YES + WRITE_NEMSIOFILE: YES walltime: !timedelta 00:15:00 # resources: !calc run_eupd resources: !calc run_test.resources memory: "3072M" - ENKFUPDSH: !expand "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_update_fv3gfs.sh.ecf" ENKFEXEC: !expand "{doc.case.BASE_GSI}/exec/global_enkf" npe_eupd: !calc resources[0].mpi_ranks npe_enkf: !calc npe_eupd @@ -161,40 +211,113 @@ efcs: &efcs_action !Action npe_efcs: !calc "layout_x*layout_y*6" npe_fv3: !calc npe_efcs nth_fv3: 1 - + APRUN_FV3: "'mpirun -np 204'" + APRUN_REGRID_NEMSIO: "'mpirun -np 65'" + ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" + BASE_ENV: !expand "{doc.case.HOMEgfs}/gfs_workflow.v15.0.0/env" + BASE_JOB: !expand "{doc.case.BASE_WORKFLOW}/jobs" + CHGRP_CMD: "'chgrp rstprod'" + DELTIM: 1800 + DIAG_TABLE: !expand "{doc.case.BASE_GSM}/parm/parm_fv3diag/diag_table_da" + DONST: NO + DO_SHUM: NO + DO_SKEB: NO + DO_SPPT: NO ENKFFCSTSH: !expand "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" + FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" + FCSTEXECDIR: !expand "{doc.case.BASE_GSM}/sorc/fv3gfs.fd/NEMS/exe" + FIELD_TABLE: !expand "{doc.case.BASE_GSM}/parm/parm_fv3diag/field_table_ncld1" + FORECASTSH: !expand "{doc.case.BASE_GSM}/scripts/exglobal_fcst_nemsfv3gfs.sh" + KEEPDATA: NO + MONO: "non-mono" + NC2NEMSIOSH: !expand "{doc.case.BASE_GSM}/ush/fv3gfs_nc2nemsio.sh" NMEM_EFCSGRP: 10 + NTHREADS_FV3: 1 + NTHREADS_REGRID_NEMSIO: 1 + NTHSTACK: 1024000000 + OUTPUT_GRID: "gaussian_grid" + QUILTING: YES + REGRID_NEMSIO_SH: !expand "{doc.case.BASE_GSM}/ush/fv3gfs_regrid_nemsio.sh" + REGRID_NEMSIO_TBL: !expand "{doc.case.BASE_GSM}/parm/parm_fv3diag/variable_table_da_nonsst.txt" + REMAPSH: !expand "{doc.case.BASE_GSM}/ush/fv3gfs_remap.sh" RERUN_EFCSGRP: "NO" - # Stochastic physics parameters (only for ensemble forecasts) + DO_SHUM: NO + DO_SKEB: NO + DO_SPPT: NO SET_STP_SEED: "YES" - DO_SKEB: ".false." SKEB: 0.8 SKEB_TAU: 21600. SKEB_LSCALE: 500000. SKEBNORM: 1 - DO_SHUM: ".false." SHUM: 0.006 SHUM_TAU: 21600. SHUM_LSCALE: 250000. - DO_SPPT: ".false." SPPT: 0.8 SPPT_TAU: 21600. SPPT_LSCALE: 500000. - DIAG_TABLE: !expand "{doc.case.BASE_GSM}/parm/parm_fv3diag/diag_table_da" + SMOOTH_ENKF: YES + TYPE: "nh" + USE_COUPLER_RES: NO + VERBOSE: YES restart_interval: 6 - nth_efcs: 2 + WRITE_GROUP: 1 + WRITE_NEMSIOFILE: YES + WRTTASK_PER_GROUP: 12 + + assim_freq: 6 + cdmbgwd: "0.125,3.0" + cores_per_node: 24 + layout_x: 4" + layout_y: 8" + master_grid: "0p25deg" + memory_efcs: "254M" + memory_fcst: "1024M" + ncld: 1 + npe_efcs: 204 + npe_fcst: 216 + npe_fv3: 204 + npe_node_efcs: 24 + npe_node_fcst: 12 + npe_node_max: 24 + npe_remap: 216 + nst_anl: YES + nth_fv3: 1 + nth_remap: 2 + nwat: 2 + restart_interval: 6 + wtime_efcs: "02:00:00" + wtime_fcst: "03:00:00" + wtime_fcst_gfs: "06:00:00" + zhao_mic: YES earc: &earc_action !Action <<: *action_template - CASE: !calc doc.case.CASE_ENKF + ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" + BASE_ENV: !expand "{doc.case.HOMEgfs}/gfs_workflow.v15.0.0/env" + BASE_JOB: !expand "{doc.case.BASE_WORKFLOW}/jobs" + CASE: "C192" + CASE_ENKF: !calc doc.case.CASE_ENKF + CHGRP_CMD: "'chgrp rstprod'" + DONST: NO + KEEPDATA: NO + NMEM_EARCGRP: 10 + OUTPUT_GRID: "gaussian_grid" + QUILTING: YES + SMOOTH_ENKF: YES + VERBOSE: YES + WRITE_NEMSIOFILE: YES J_JOB: earc walltime: !timedelta 00:15:00 # resources: !calc run_earc resources: !calc run_test.resources memory: "3072M" + assim_freq: 6 + npe_earc: 1 + npe_node_earc: 1 + nst_anl: YES NMEM_EARCGRP: 10 nth_earc: 2 accounting: !calc doc.platform.transfer_accounting @@ -211,13 +334,95 @@ final: &final_action !Action prep: &prep_action !Action <<: *action_template J_JOB: prep - walltime: !timedelta 00:10:00 + walltime: !timedelta 01:00:00 # resources: !calc run_prep resources: !calc run_test.resources memory: "3072M" - DO_RELOCATE: "NO" - DO_MAKEPREPBUFR: "YES" # if NO, will copy prepbufr from globaldump + ARCDIR: !calc doc.case.ARCDIR + ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" + BACK: YES + BASE_ENV: !expand "{doc.case.HOMEgfs}/gfs_workflow.v15.0.0/env" + BASE_JOB: !expand "{doc.case.BASE_WORKFLOW}/jobs" + BASE_GSI: !calc doc.case.BASE_GSI + BASE_NEMSfv3gfs: !calc doc.case.BASE_NEMSfv3gfs + BASE_POST: !calc doc.case.BASE_POST + BASE_PREP: !calc doc.case.BASE_PREP + BASE_PREP_GLOBAL: !calc doc.case.BASE_PREP_GLOBAL + BASE_SVN: !calc doc.platform.BASE_SVN + BASE_VERIF: !calc doc.case.BASE_VERIF + CASE: "C192" + CASE_ENKF: !calc doc.case.CASE_ENKF + CHGRP_CMD: "'chgrp rstprod'" + CNVGRIB: !calc doc.platform.CNVGRIB + COPYGB: !calc doc.platform.COPYGB + COPYGB2: !calc doc.platform.COPYGB2 + DMPDIR: !calc doc.platform.DMPDIR + DOHYBVAR: !calc doc.case.DOHYBVAR + DONST: NO + DO_RELOCATE: NO + DO_MAKEPREPBUFR: YES # if NO, will copy prepbufr from globaldump DRIVE_MAKEPREPBUFRSH: !expand "{doc.case.BASE_GSM}/ush/drive_makeprepbufr.sh" + EDATE: "2016100200" + FHCYC: 24 + FHMAX: 9 + FHMAX_ENKF: 9 + FHMAX_GFS: 240 + FHMAX_HF_GFS: 0 + FHMIN: 0 + FHMIN_ENKF: 3 + FHMIN_GFS: 0 + FHOUT: 3 + FHOUT_ENKF: 3 + FHOUT_GFS: 6 + FHOUT_HF_GFS: 1 + GRB2INDEX: "/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" + GRBINDEX: "/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" + GRBINDEX2: "/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" + HOMEDIR: !calc doc.case.HOMEDIR + KEEPDATA: NO + LEVS: !calc doc.case.LEVS + MPI_BUFS_PER_HOST: !calc doc.platform.MPI_BUFS_PER_HOST + MPI_BUFS_PER_PROC: !calc doc.platform.MPI_BUFS_PER_PROC + MPI_GROUP_MAX: !calc doc.platform.MPI_GROUP_MAX + MPI_MEMMAP_OFF: !calc doc.platform.MPI_MEMMAP_OFF + MP_STDOUTMODE: !calc doc.platform.MP_STDOUTMODE + MYBASE_SVN: !calc doc.platform.MYBASE_SVN + NCO_NAMING_CONV: !calc doc.platform.NCO_NAMING_CONV + NCP: !calc doc.platform.NCP + NDATE: !calc doc.platform.NDATE + NEMSIOGET: !calc doc.platform.NEMSIOGET + NHOUR: !calc doc.platform.NHOUR + NLN: !calc doc.platform.NLN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMV: !calc doc.platform.NMV + NOSCRUB: !calc doc.case.NOSCRUB + NTHSTACK: 1024000000 + NWPROD: !calc doc.platform.NWPROD + OMP_STACKSIZE: !calc doc.platform.OMP_STACKSIZE + OUTPUT_GRID: "gaussian_grid" + POE: NO + POSTGRB2TBL: !calc doc.platform.POSTGRB2TBL + PSLOT: !calc doc.case.PSLOT + PTMP: !calc doc.case.PTMP + QUILTING: YES + REALTIME: !calc doc.case.REALTIME + RECENTER_ENKF: !calc doc.case.RECENTER_ENKF + ROTDIR: !calc doc.case.ROTDIR + RTMFIX: !calc doc.platform.RTMFIX + RUNDIR: !calc doc.case.RUNDIR + SDATE: !calc doc.case.SDATE + SMOOTH_ENKF: YES + STMP: !calc doc.case.STMP + VERBOSE: YES + WGRIB: !calc doc.platform.WGRIB + WGRIB2: !calc doc.platform.WGRIB2 + WRITE_NEMSIOFILE: YES + assim_freq: 6 + npe_node_max: 24 + npe_node_prep: 12 + npe_prep: 12 + nst_anl: YES + sys_tp: "Cray-CS400" nth_prep: 2 fcst: &fcst_action !Action @@ -228,6 +433,11 @@ fcst: &fcst_action !Action # resources: !calc run_fcst resources: !calc run_test.resources memory: "3072M" + APRUN_FV3: "'mpirun -np 216'" + APRUN_REGRID_NEMSIO: "'mpirun -np 65'" + APRUN_REMAP: "'mpirun -np 216'" + ARCDIR: !calc doc.case.ARCDIR + ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" FORECASTSH: !expand "{doc.case.BASE_GSM}/scripts/exglobal_fcst_nemsfv3gfs.sh" FCSTEXECDIR: !expand "{doc.case.BASE_NEMSfv3gfs}/NEMS/exe" FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" diff --git a/model/fv3gfs/places.yaml b/model/fv3gfs/places.yaml index e9d4b4a..34ffdd9 100644 --- a/model/fv3gfs/places.yaml +++ b/model/fv3gfs/places.yaml @@ -1,5 +1,5 @@ default_places: &default_places - PROJECT_DIR: /scratch4/NCEPDEV/nems/ + PROJECT_DIR: /scratch4/NCEPDEV/ocean # Locations of CROW and this test suite. HOMEcrow: !calc tools.crow_install_dir() @@ -17,6 +17,8 @@ default_places: &default_places BASE_WORKFLOW: !expand "{HOMEgfs}/gfs_workflow.v15.0.0" BASE_GSM: !expand "{HOMEgfs}/global_shared.v15.0.0" BASE_MODULES: !expand "{HOMEgfs}/global_shared.v15.0.0/modulefiles" + BASE_ENV: !expand "{HOMEgfs}/gfs_workflow.v15.0.0/env" + BASE_JOB: !expand "{BASE_WORKFLOW}/jobs" # User paths EXPDIR: !expand "{HOMEDIR}/{experiment_name}" From bd9bb7fb599653e02c959ef07691ebd798d794b1 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 19 Oct 2017 12:10:00 +0000 Subject: [PATCH 141/487] move parallelism and scheduler logic up to config level so the threads, mpi rank counts, etc. can be passed via environment variables to underlying scripts --- crow/config/eval_tools.py | 2 + crow/config/from_yaml.py | 5 + crow/config/represent.py | 23 ++- crow/config/to_yaml.py | 16 +- crow/config/tools.py | 4 + crow/metascheduler/rocoto.py | 13 +- crow/sysenv/__init__.py | 2 +- crow/sysenv/exceptions.py | 1 + crow/sysenv/jobs.py | 13 +- crow/sysenv/nodes.py | 5 + examples/real-workflow/scripts/exclim_init.py | 3 +- examples/real-workflow/scripts/exfcst.py | 3 +- examples/real-workflow/workflow/actions.yaml | 14 +- examples/real-workflow/workflow/platform.yaml | 32 +++- model/fv3gfs/actions.yaml | 110 ++++++------ model/fv3gfs/platform.yaml | 30 +++- model/fv3gfs/resources.yaml | 156 +++++++++++------- to_sh.py | 6 +- 18 files changed, 279 insertions(+), 159 deletions(-) diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index b2680f0..1e06a61 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -259,7 +259,9 @@ def __init__(self,child,locals,path=''): self._path=path def _raw_cache(self): return self.__cache def __len__(self): return len(self.__child) + def _get_globals(self): return self.__globals def _set_globals(self,g): self.__globals=g + def _get_locals(self): return self.__locals def _raw_child(self): return self.__child def _raw(self,i): """!Returns the value at index i without calling eval() on it""" diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index d46ffd2..10389b5 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -22,6 +22,7 @@ from crow.config.template import Template from crow.config.exceptions import * from crow.tools import to_timedelta +import crow.sysenv __all__=['ConvertFromYAML'] @@ -42,6 +43,7 @@ class ShellCommandYAML(dict): pass class TaskYAML(OrderedDict): pass class FamilyYAML(OrderedDict): pass class CycleYAML(OrderedDict): pass +class JobResourceSpecMakerYAML(list): pass # Mapping from YAML representation class to a pair: # * internal representation class @@ -134,6 +136,7 @@ def constructor(loader,node): add_yaml_sequence(u'!LastTrue',LastTrueYAML) add_yaml_sequence(u'!FirstTrue',FirstTrueYAML) add_yaml_sequence(u'!Immediate',ImmediateYAML) +add_yaml_sequence(u'!JobRequest',JobResourceSpecMakerYAML) ## @var CONDITIONALS # Used to handle custom yaml conditional types. Maps from conditional type @@ -217,6 +220,8 @@ def to_eval_impl(self,v,locals,path): return ClockMaker(self.from_dict(v,path=path)) elif cls is ImmediateYAML: return self.from_list(v,locals,Immediate,path) + elif cls is JobResourceSpecMakerYAML: + return self.from_list(v,locals,JobResourceSpecMaker,path) elif isinstance(v,list) and v and isinstance(v[0],tuple) \ or isinstance(v,OrderedDict): return self.from_ordered_dict(v,GenericOrderedDict,path) diff --git a/crow/config/represent.py b/crow/config/represent.py index 6e578e3..a5e8b92 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -2,19 +2,21 @@ embedded yaml calculations, as well as internal representations of all custom data types in the yaml files.""" -import re, abc, logging +import re, abc, logging, sys from datetime import timedelta from copy import deepcopy from crow.config.exceptions import * from crow.config.eval_tools import list_eval, dict_eval, multidict, from_config, strcalc from crow.tools import to_timedelta, Clock +from copy import copy +import crow.sysenv logger=logging.getLogger('crow.config') __all__=[ 'Action','Platform', 'Conditional', 'calc','FirstMin', 'FirstMax', 'LastTrue', 'FirstTrue', 'GenericList', 'GenericDict', 'GenericOrderedDict', 'ShellCommand', - 'Immediate', 'ClockMaker' ] + 'Immediate', 'ClockMaker', 'JobResourceSpecMaker' ] ######################################################################## @@ -27,6 +29,23 @@ class GenericList(list_eval): pass class Platform(dict_eval): pass class ShellCommand(dict_eval): pass +class JobResourceSpecMaker(list_eval): + def _result(self,globals,locals): + rank_specs=list() + for spec in self: + if not hasattr(spec,'_raw_child'): + rank_specs.append(spec) + continue + # Create a new dict_eval containing parent locals: + spec2dict=copy(locals) + spec2dict.update(spec._raw_child()) + spec2=dict_eval(spec2dict,spec._path,self._get_globals()) + + # Get the value, from that new dict_eval, of all keys in spec. + # Store it in the rank_specs list for the later constructor. + rank_specs.append(dict([ (k,spec2[k]) for k in spec ])) + return crow.sysenv.JobResourceSpec(rank_specs) + class ClockMaker(dict_eval): def _result(self,globals,locals): return Clock(start=self.start,step=self.step, diff --git a/crow/config/to_yaml.py b/crow/config/to_yaml.py index 3343730..df04124 100644 --- a/crow/config/to_yaml.py +++ b/crow/config/to_yaml.py @@ -1,5 +1,5 @@ import yaml - +import sys from yaml.nodes import MappingNode, ScalarNode, SequenceNode from collections import OrderedDict @@ -10,6 +10,7 @@ from crow.config.template import Template from crow.config.exceptions import * from crow.tools import to_timedelta +import crow.sysenv # We need to run the from_yaml module first, to initialize the yaml # representers for some types. This module does not actually use any @@ -36,6 +37,7 @@ def representer(dumper,data): add_yaml_list_eval(u'!LastTrue',LastTrue) add_yaml_list_eval(u'!FirstTrue',FirstTrue) add_yaml_list_eval(u'!Immediate',Immediate) +add_yaml_list_eval(u'!JobRequest',JobResourceSpecMaker) add_yaml_list_eval(None,GenericList) ######################################################################## @@ -57,7 +59,6 @@ def representer(dumper,data): add_yaml_dict_eval(u'!Template',Template) add_yaml_dict_eval(u'!Eval',Eval) - ######################################################################## def represent_ordered_mapping(dumper, tag, mapping, flow_style=None): @@ -148,6 +149,17 @@ def represent_omap(dumper, mapping, flow_style=None): ######################################################################## +def represent_JobResourceSpec(dumper,data): + return dumper.represent_sequence('!JobRequest',list(data)) +yaml.add_representer(crow.sysenv.JobResourceSpec, + represent_JobResourceSpec) + +def represent_JobRankSpec(dumper,data): + return dumper.represent_data(dict(data)) +yaml.add_representer(crow.sysenv.JobRankSpec,represent_JobRankSpec) + +######################################################################## + def represent_Clock(dumper,data): mapping={ 'start':data.start, 'step':data.step } if data.end is not None: mapping['end']=data.end diff --git a/crow/config/tools.py b/crow/config/tools.py index e2f382c..a817f3a 100644 --- a/crow/config/tools.py +++ b/crow/config/tools.py @@ -4,6 +4,7 @@ import datetime from collections import Sequence, Mapping from crow.config.exceptions import * +import crow.sysenv class Environment(dict): def __getattr__(self,key): @@ -93,4 +94,7 @@ def have_env(var): return var in os.environ 'to_YMDH':to_YMDH, 'from_YMDH':from_YMDH, 'to_YMD':to_YMD, 'from_YMD':from_YMD, 'join':join, + 'get_parallelism':crow.sysenv.get_parallelism, + 'get_scheduler':crow.sysenv.get_scheduler, + 'node_tool_for':crow.sysenv.node_tool_for, }) diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index c12ff7d..715ec0f 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -96,19 +96,16 @@ def __init__(self,suite): try: settings=suite.Rocoto.scheduler - scheduler_name=suite.Rocoto.scheduler.name + scheduler=suite.Rocoto.scheduler parallelism=suite.Rocoto.parallelism - parallelism_name=parallelism.name - sched=crow.sysenv.get_scheduler(scheduler_name,settings) - runner=crow.sysenv.get_parallelism(parallelism_name,settings) except(AttributeError,IndexError,TypeError,ValueError) as e: raise ValueError('A Suite must define a Rocoto section containing ' - 'the "parallelism" and "scheduler" settings.') + 'a "parallelism" and a "scheduler."') - self.suite=Suite(suite,{'sched':sched,'to_rocoto':self, - 'runner':runner}) + self.suite=Suite(suite,{'sched':scheduler,'to_rocoto':self, + 'runner':parallelism}) self.settings=self.suite.Rocoto - self.sched=sched + self.sched=scheduler self.__all_defined=set() self.__completes=dict() self.__families=set() diff --git a/crow/sysenv/__init__.py b/crow/sysenv/__init__.py index 46ba2c7..01811ac 100644 --- a/crow/sysenv/__init__.py +++ b/crow/sysenv/__init__.py @@ -1,5 +1,5 @@ from .jobs import JobResourceSpec, JobRankSpec, MAXIMUM_THREADS -from .nodes import NodeSpec, GenericNodeSpec +from .nodes import NodeSpec, GenericNodeSpec, node_tool_for from .shell import ShellCommand from .exceptions import UnknownSchedulerError from .schedulers import get_scheduler, has_scheduler diff --git a/crow/sysenv/exceptions.py b/crow/sysenv/exceptions.py index 6f047a8..8739d88 100644 --- a/crow/sysenv/exceptions.py +++ b/crow/sysenv/exceptions.py @@ -1,6 +1,7 @@ from crow.exceptions import CROWException class SysEnvConfigError(CROWException): pass class MachineTooSmallError(SysEnvConfigError): pass +class UnknownNodeType(SysEnvConfigError): pass class UnknownParallelismError(SysEnvConfigError): pass class UnknownSchedulerError(SysEnvConfigError): pass class InvalidJobResourceSpec(SysEnvConfigError): pass diff --git a/crow/sysenv/jobs.py b/crow/sysenv/jobs.py index 38127c9..8779360 100644 --- a/crow/sysenv/jobs.py +++ b/crow/sysenv/jobs.py @@ -54,10 +54,9 @@ def is_pure_serial(self): return not self.is_mpi() and not self.is_openmp() def want_max_threads(self): return self['OMP_NUM_THREADS']==MAXIMUM_THREADS - def is_openmp(self): - return self['OMP_NUM_THREADS']>0 - def is_mpi(self): - return self['mpi_ranks']>0 + + def is_openmp(self): return self['OMP_NUM_THREADS']>0 + def is_mpi(self): return self['mpi_ranks']>0 def simplify(self,adapt): js=JobRankSpec(**self.__spec) @@ -72,12 +71,12 @@ def new_with(self,*args,**kwargs): return JobRankSpec(**newspec) # Implement Mapping abstract methods: - def __getitem__(self,key): return self.__spec[key] - def __len__(self): return len(self.__spec) + def __getitem__(self,key): return self.__spec[key] + def __len__(self): return len(self.__spec) + def __contains__(self,key): return key in self.__spec def __iter__(self): for k in self.__spec: yield k - def __repr__(self): typ=type(self).__name__ return typ+'{'+\ diff --git a/crow/sysenv/nodes.py b/crow/sysenv/nodes.py index fa95c5b..56bc85a 100644 --- a/crow/sysenv/nodes.py +++ b/crow/sysenv/nodes.py @@ -8,6 +8,11 @@ def noop(*args,**kwargs): pass +def node_tool_for(node_type,settings): + if node_type != "generic": + raise UnknownNodeType(f"No such node type: {node_type}") + return GenericNodeSpec(settings) + ######################################################################## class NodeSpec(object): diff --git a/examples/real-workflow/scripts/exclim_init.py b/examples/real-workflow/scripts/exclim_init.py index 9bec827..ac5b057 100755 --- a/examples/real-workflow/scripts/exclim_init.py +++ b/examples/real-workflow/scripts/exclim_init.py @@ -8,8 +8,7 @@ def main(): conf=crow.config.from_file(os.environ['CONFIG_YAML']) conf.clock.now=datetime.datetime.strptime(os.environ['YMDH'],'%Y%m%d%H') - runner=crow.sysenv.get_parallelism( - conf.platform.parallelism.name,conf.platform.parallelism) + runner=conf.platform.parallelism namelist=conf.clim_init.namelist with open('climatology_init.nl','wt') as fd: fd.write(namelist) diff --git a/examples/real-workflow/scripts/exfcst.py b/examples/real-workflow/scripts/exfcst.py index aefbbf7..4700f2d 100755 --- a/examples/real-workflow/scripts/exfcst.py +++ b/examples/real-workflow/scripts/exfcst.py @@ -20,8 +20,7 @@ def main(): logger.info(f"{os.environ['CONFIG_YAML']}: read") conf=crow.config.from_file(os.environ['CONFIG_YAML']) conf.clock.now=datetime.datetime.strptime(os.environ['YMDH'],'%Y%m%d%H') - runner=crow.sysenv.get_parallelism( - conf.platform.parallelism.name,conf.platform.parallelism) + runner=conf.platform.parallelism scope_name=sys.argv[1] logger.info(f'{scope_name}: forecast in this scope') scope=conf[scope_name] diff --git a/examples/real-workflow/workflow/actions.yaml b/examples/real-workflow/workflow/actions.yaml index 8da31aa..9376290 100644 --- a/examples/real-workflow/workflow/actions.yaml +++ b/examples/real-workflow/workflow/actions.yaml @@ -35,7 +35,7 @@ shared_serial_action: &shared_serial_action prior_com: !calc "{doc.runtime.prior_com}" rocoto_memory: "2G" accounting: !calc doc.platform.serial_accounting - resources: [ { exe: placeholder } ] + resources: !JobRequest [ { exe: placeholder } ] walltime: !timedelta 00:10:00 parallel_unlimited_memory_action: ¶llel_unlimited_memory_action @@ -80,7 +80,7 @@ start_cycle: &start_cycle_action !Action clim_init: &clim_init_action !Action <<: *parallel_unlimited_memory_action J_JOB: JCLIM_INIT - resources: + resources: !JobRequest - exe: !calc doc.executables.climatology_init mpi_ranks: !calc doc.platform.init_ranks namelist: !expand | @@ -96,7 +96,7 @@ clim_fcst: &clim_fcst_action !Action <<: *generic_fcst_action Template: *non_ens_fcst_template J_JOB: JCLIM_FCST - resources: + resources: !JobRequest - exe: !calc doc.executables.fcst mpi_ranks: !calc doc.platform.fcst_ranks copy_glob: 'fcst*grid' @@ -106,7 +106,7 @@ ens_fcst: &ens_fcst_action !Action <<: *generic_fcst_action Template: *fcst_template J_JOB: JENS_FCST - resources: + resources: !JobRequest - exe: !calc doc.executables.fcst mpi_ranks: !calc doc.platform.ens_ranks @@ -125,7 +125,7 @@ fcst: &fcst_action !Action <<: *generic_fcst_action Template: *non_ens_fcst_template J_JOB: JFCST - resources: + resources: !JobRequest - exe: !calc doc.executables.fcst mpi_ranks: !calc doc.platform.fcst_ranks copy_glob: 'fcst*grid' @@ -134,7 +134,7 @@ fcst: &fcst_action !Action post: &post_action !Action <<: *parallel_unlimited_memory_action J_JOB: JPOST - resources: + resources: !JobRequest - exe: !calc doc.executables.post mpi_ranks: !calc doc.platform.fcst_ranks @@ -169,7 +169,7 @@ post: &post_action !Action analysis: &analysis_action !Action <<: *parallel_unlimited_memory_action J_JOB: JANALYSIS - resources: + resources: !JobRequest - exe: !calc doc.executables.analysis mpi_ranks: !calc doc.platform.fcst_ranks member_input: member_%06d.grid diff --git a/examples/real-workflow/workflow/platform.yaml b/examples/real-workflow/workflow/platform.yaml index 9e66ca9..c202bdb 100644 --- a/examples/real-workflow/workflow/platform.yaml +++ b/examples/real-workflow/workflow/platform.yaml @@ -20,15 +20,23 @@ theia: &theia !Platform parallel_accounting: queue: batch project: !calc doc.options.cpu_project - scheduler: &theia_scheduler + + scheduler_settings: &theia_scheduler name: MoabTorque physical_cores_per_node: 24 logical_cpus_per_core: 2 hyperthreading_allowed: true indent_text: " " - parallelism: - <<: *theia_scheduler - name: HydraIMPI + parallelism_settings: { <<: *theia_scheduler, name: HydraIMPI } + node_type_settings: { <<: *theia_scheduler, node_type: generic } + + scheduler: !calc | + tools.get_scheduler(scheduler_settings.name, scheduler_settings) + parallelism: !calc | + tools.get_parallelism(parallelism_settings.name, parallelism_settings) + node_tool: !calc | + tools.node_tool_for(node_type_settings.node_type, node_type_settings) + least_used_scrub: !Immediate - !FirstMax - do: /scratch3/NCEPDEV/stmp1 @@ -56,15 +64,23 @@ wcoss_cray: &wcoss_cray !Platform parallel_accounting: queue: dev project: !calc doc.options.cpu_project - scheduler: &wcoss_cray_scheduler + + scheduler_settings: &wcoss_cray_scheduler name: LSFAlps physical_cores_per_node: 24 logical_cpus_per_core: 2 hyperthreading_allowed: true indent_text: " " - parallelism: - <<: *wcoss_cray_scheduler - name: LSFAlps + parallelism_settings: { <<: *wcoss_cray_scheduler, name: LSFAlps } + node_type_settings: { <<: *wcoss_cray_scheduler, node_type: generic } + + scheduler: !calc | + tools.get_scheduler(scheduler_settings.name, scheduler_settings) + parallelism: !calc | + tools.get_parallelism(parallelism_settings.name, parallelism_settings) + node_tool: !calc | + tools.node_tool_for(node_type_settings.node_type, node_type_settings) + least_used_scrub: !Immediate - !FirstMax - do: /gpfs/hps2/ptmp diff --git a/model/fv3gfs/actions.yaml b/model/fv3gfs/actions.yaml index e1b9492..ec9ef0e 100644 --- a/model/fv3gfs/actions.yaml +++ b/model/fv3gfs/actions.yaml @@ -15,8 +15,8 @@ ecen: &ecen_action !Action # ---------------------------------------- # From config.resources walltime: !timedelta 00:30:00 # was "walltime", renamed to align with -# resources: !calc run_ecen.resources - resources: !calc run_test.resources + resources: !calc run_ecen.resources + chgres_resources: !calc run_chgres.resources resource_env: !calc run_ecen.env memory: "3072M" # previously "rocoto_memory", renamed to align with current script @@ -27,7 +27,7 @@ ecen: &ecen_action !Action # ---------------------------------------- # From config.ecen ENKFRECENSH: !expand "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_recenter_fv3gfs.sh.ecf" - nth_ecen: 2 + nth_ecen: !calc doc.platform.nodes.omp_threads_for(resources[0]) APRUN_CHGRES: "time" APRUN_ECEN: "'mpirun -np 84'" ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" @@ -36,7 +36,7 @@ ecen: &ecen_action !Action CHGRESSH: !expand "{doc.case.BASE_GSM}/ush/global_chgres_GSM.sh" CHGRESEXEC: !expand "{doc.case.BASE_GSM}/exec/global_chgres_GSM" CHGRESVARS_ENKF: "use_ufo=.true.,nopdpvv=.true." - CHGRESTHREAD: 12 + CHGRESTHREAD: !calc doc.platform.nodes.omp_threads_for(chgres_resources[0]) CHGRP_CMD: "'chgrp rstprod'" DONST: NO KEEPDATA: NO @@ -52,8 +52,10 @@ anal: &anal_action !Action <<: *action_template J_JOB: anal walltime: !timedelta 02:00:00 -# resources: !calc run_anal + resources: !calc run_anal.resources resources: !calc run_test.resources + anal_resources: !calc run_anal.resources + gsi_resources: !calc run_gsi.resources memory: "3072M" accounting: !calc doc.platform.parallel_accounting assim_freq: 6 @@ -67,13 +69,13 @@ anal: &anal_action !Action DONST: NO ANALYSISSH: !expand "{doc.case.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" GSIEXEC: !expand "{doc.case.BASE_GSI}/exec/global_gsi" - npe_anal: resources[0].mpi_ranks + npe_anal: !calc resources.total_ranks() npe_gsi: !calc npe_anal - nth_gsi: 4 - nth_anal: 2 + nth_gsi: !calc doc.platform.nodes.omp_threads_for(gsi_resources[0]) + NTHREADS_GSI: !calc nth_gsi + nth_anal: !calc doc.platform.nodes.omp_threads_for(anal_resources[0]) KEEPDATA: NO NTHREADS_CALCINC: 1 - NTHREADS_GSI: 4 NTHSTACK: 1024000000 OUTPUT_GRID: "gaussian_grid" QUILTING: YES @@ -87,8 +89,7 @@ epos: &epos_action !Action CASE: !calc doc.case.CASE_ENKF J_JOB: epos walltime: !timedelta 00:15:00 -# resources: !calc run_epos - resources: !calc run_test.resources + resources: !calc run_epos.resources memory: "3072M" ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" BASE_ENV: !expand "{doc.case.HOMEgfs}/gfs_workflow.v15.0.0/env" @@ -97,7 +98,7 @@ epos: &epos_action !Action DONST: NO ENKFPOSTSH: !expand "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_post_fv3gfs.sh.ecf" KEEPDATA: NO - nth_epos: 2 + nth_epos: !calc doc.platform.nodes.omp_threads_for(resources[0]) OUTPUT_GRID: "gaussian_grid" QUILTING: YES SMOOTH_ENKF: YES @@ -110,57 +111,60 @@ eobs: &eobs_action !Action J_JOB: eobs CASE: !calc doc.case.CASE_ENKF walltime: !timedelta 00:15:00 -# resources: !calc run_eobs - resources: !calc run_test.resources + resources: !calc run_eobs.resources + gsi_resources: !calc run_gsi.resources memory: "3072M" INVOBSSH: !expand "{doc.case.BASE_GSI}/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" ENKFINVOBSSH: !expand "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" NMEM_EOMGGRP: 10 RERUN_EOMGGRP: "YES" - npe_eobs: !calc resources[0].mpi_ranks + npe_eobs: !calc resources.total_ranks() npe_gsi: !calc npe_eobs - nth_gsi: 4 + nth_gsi: !calc doc.platform.nodes.omp_threads_for(gsi_resources[0]) # GSI namelist options related to observer for EnKF OBSINPUT_INVOBS: "dmesh(1)=225.0,dmesh(2)=225.0" OBSQC_INVOBS: "tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" - nth_eobs: 2 + nth_eobs: !calc doc.platform.nodes.omp_threads_for(resources[0]) eomg: &eomg_action !Action <<: *action_template J_JOB: eomg CASE: !calc doc.case.CASE_ENKF walltime: !timedelta 00:15:00 -# resources: !calc run_eomg - resources: !calc run_test.resources + resources: !calc run_eomg.resources memory: "3072M" - nth_eomg: 2 + nth_eomg: !calc doc.platform.nodes.omp_threads_for(resources[0]) eupd: &eupd_action !Action <<: *action_template J_JOB: eupd CASE: !calc doc.case.CASE_ENKF walltime: !timedelta 00:15:00 -# resources: !calc run_eupd - resources: !calc run_test.resources + resources: !calc run_eupd.resources + enkf_resources: !calc run_enkf.resources + eupd_resources: !calc run_eupd.resources memory: "3072M" ENKFUPDSH: !expand "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_update_fv3gfs.sh.ecf" ENKFEXEC: !expand "{doc.case.BASE_GSI}/exec/global_enkf" - npe_eupd: !calc resources[0].mpi_ranks + npe_eupd: !calc resources.total_ranks() npe_enkf: !calc npe_eupd - nth_enkf: 4 - nth_eupd: 2 + nth_enkf: !calc doc.platform.nodes.omp_threads_for(enkf_resources[0]) + nth_eupd: !calc doc.platform.nodes.omp_threads_for(eupd_resources[0]) efcs: &efcs_action !Action <<: *action_template J_JOB: efcs CASE: !calc doc.case.CASE_ENKF walltime: !timedelta 00:15:00 -# resources: !calc run_efcs - resources: !calc run_test.resources + efcs_resources: !JobRequest + - exe: placeholder + mpi_ranks: !calc "layout_x*layout_y*6" + OMP_NUM_THREADS: 1 + resources: !calc efcs_resources memory: "3072M" - npe_efcs: !calc "layout_x*layout_y*6" - npe_fv3: !calc npe_efcs - nth_fv3: 1 + npe_efcs: !calc efcs_resources.total_ranks() + npe_fv3: !calc efcs_resources.total_ranks() + nth_fv3: !calc doc.platform.nodes.omp_threads_for(resources[0]) ENKFFCSTSH: !expand "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" NMEM_EFCSGRP: 10 @@ -185,25 +189,23 @@ efcs: &efcs_action !Action DIAG_TABLE: !expand "{doc.case.BASE_GSM}/parm/parm_fv3diag/diag_table_da" restart_interval: 6 - nth_efcs: 2 + nth_efcs: !calc doc.platform.nodes.omp_threads_for(resources[0]) earc: &earc_action !Action <<: *action_template CASE: !calc doc.case.CASE_ENKF J_JOB: earc walltime: !timedelta 00:15:00 -# resources: !calc run_earc - resources: !calc run_test.resources + resources: !calc run_earc.resources memory: "3072M" NMEM_EARCGRP: 10 - nth_earc: 2 + nth_earc: !calc doc.platform.nodes.omp_threads_for(resources[0]) accounting: !calc doc.platform.transfer_accounting final: &final_action !Action <<: *action_template walltime: !timedelta 00:03:00 -# resources: !calc run_nothing - resources: !calc run_test.resources + resources: !calc run_nothing.resources memory: "100M" accounting: !calc doc.platform.serial_accounting J_JOB: /bin/true @@ -212,27 +214,30 @@ prep: &prep_action !Action <<: *action_template J_JOB: prep walltime: !timedelta 00:10:00 -# resources: !calc run_prep - resources: !calc run_test.resources + resources: !calc run_prep.resources memory: "3072M" DO_RELOCATE: "NO" DO_MAKEPREPBUFR: "YES" # if NO, will copy prepbufr from globaldump DRIVE_MAKEPREPBUFRSH: !expand "{doc.case.BASE_GSM}/ush/drive_makeprepbufr.sh" - nth_prep: 2 + nth_prep: !calc doc.platform.nodes.omp_threads_for(resources[0]) fcst: &fcst_action !Action <<: *action_template J_JOB: fcst - npe_fcst: !calc "layout_x*layout_y*6" + #npe_fcst: !calc "layout_x*layout_y*6" + fcst_resources: !JobRequest + - exe: placeholder + mpi_ranks: !calc "layout_x*layout_y*6" + OMP_NUM_THREADS: 2 + npe_fcst: !calc fcst_resources.total_ranks() walltime: !timedelta 00:10:00 -# resources: !calc run_fcst - resources: !calc run_test.resources + resources: !calc fcst_resources memory: "3072M" FORECASTSH: !expand "{doc.case.BASE_GSM}/scripts/exglobal_fcst_nemsfv3gfs.sh" FCSTEXECDIR: !expand "{doc.case.BASE_NEMSfv3gfs}/NEMS/exe" FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" npe_fv3: !calc npe_fcst # This is model resolution dependent, see note above - nth_fv3: 2 + nth_fv3: !calc doc.platform.nodes.omp_threads_for(resources[0]) TYPE: "nh" MONO: "non-mono" do_vort_damp: ".true." # vorticity and divergence damping @@ -273,13 +278,12 @@ post: &post_action !Action <<: *action_template J_JOB: post walltime: !timedelta 00:15:00 -# resources: !calc run_post - resources: !calc run_test.resources + resources: !calc run_post.resources memory: "3072M" POSTJJOBSH: !expand "{doc.case.BASE_WORKFLOW}/jobs/JGFS_POST.sh" POSTGPSH: !expand "{doc.case.BASE_POST}/ush/global_nceppost.sh" POSTGPEXEC: !expand "{doc.case.BASE_POST}/exec/ncep_post" - npe_post: !calc resources[0].mpi_ranks + npe_post: !calc resources.total_ranks() npe_postgp: !calc npe_post nth_postgp: 1 GFS_DOWNSTREAM: "YES" @@ -287,17 +291,16 @@ post: &post_action !Action GFSDWNSH: !expand "{doc.case.BASE_WORKFLOW}/ush/fv3gfs_dwn_nems.sh" downset: 1 npe_dwn: !calc npe_post - nth_dwn: 2 - nth_post: 2 + nth_dwn: !calc doc.platform.nodes.omp_threads_for(resources[0]) + nth_post: !calc doc.platform.nodes.omp_threads_for(resources[0]) arch: &arch_action !Action <<: *action_template J_JOB: arch walltime: !timedelta 06:00:00 -# resources: !calc run_arch - resources: !calc run_test.resources + resources: !calc run_arch.resources memory: "3072M" - nth_arch: 2 + nth_arch: !calc doc.platform.nodes.omp_threads_for(resources[0]) accounting: !calc doc.platform.transfer_accounting vrfy: &vrfy_action !Action @@ -306,8 +309,7 @@ vrfy: &vrfy_action !Action Template: <<: [ *vrfy_template, *fv3_resolution ] walltime: !timedelta 01:00:00 -# resources: !calc run_vrfy - resources: !calc run_test.resources + resources: !calc run_vrfy.resources memory: "3072M" accounting: !calc doc.platform.parallel_accounting # CDUMP: "gfs" @@ -325,4 +327,4 @@ vrfy: &vrfy_action !Action VRFYTRAK: "YES" # Hurricane track forecasts VRFYGENESIS: "YES" # Cyclone genesis VRFYGMPK: "NO" # Gempak verification - nth_vrfy: 2 + nth_vrfy: !calc doc.platform.nodes.omp_threads_for(resources[0]) diff --git a/model/fv3gfs/platform.yaml b/model/fv3gfs/platform.yaml index 8750e08..5b7d246 100644 --- a/model/fv3gfs/platform.yaml +++ b/model/fv3gfs/platform.yaml @@ -42,15 +42,22 @@ theia: &theia !Platform parallel_accounting: queue: batch project: !calc doc.case.cpu_project - scheduler: &theia_scheduler + + scheduler_settings: &theia_scheduler name: MoabTorque physical_cores_per_node: 24 logical_cpus_per_core: 2 hyperthreading_allowed: true indent_text: " " - parallelism: - <<: *theia_scheduler - name: HydraIMPI + parallelism_settings: { <<: *theia_scheduler, name: HydraIMPI } + node_type_settings: { <<: *theia_scheduler, node_type: generic } + + scheduler: !calc | + tools.get_scheduler(scheduler_settings.name, scheduler_settings) + parallelism: !calc | + tools.get_parallelism(parallelism_settings.name, parallelism_settings) + nodes: !calc | + tools.node_tool_for(node_type_settings.node_type, node_type_settings) # Path to pan_df, the program used to get Panasas disk usage information: pan_df: pan_df @@ -82,15 +89,22 @@ wcoss_cray: &wcoss_cray !Platform parallel_accounting: queue: dev project: !calc doc.case.cpu_project - scheduler: &wcoss_cray_scheduler + + scheduler_settings: &wcoss_cray_scheduler name: LSFAlps physical_cores_per_node: 24 logical_cpus_per_core: 2 hyperthreading_allowed: true indent_text: " " - parallelism: - <<: *wcoss_cray_scheduler - name: LSFAlps + parallelism_settings: { <<: *wcoss_cray_scheduler, name: LSFAlps } + node_type_settings: { <<: *wcoss_cray_scheduler, node_type: generic } + + scheduler: !calc | + tools.get_scheduler(scheduler_settings.name, scheduler_settings) + parallelism: !calc | + tools.get_parallelism(parallelism_settings.name, parallelism_settings) + nodes: !calc | + tools.node_tool_for(node_type_settings.node_type, node_type_settings) BASE_SVN: "/gpfs/hps3/emc/global/noscrub/emc.glopara/svn" diff --git a/model/fv3gfs/resources.yaml b/model/fv3gfs/resources.yaml index 17204e8..f5ed22b 100644 --- a/model/fv3gfs/resources.yaml +++ b/model/fv3gfs/resources.yaml @@ -14,7 +14,7 @@ resource_defaults: &resource_defaults OMP_STACKSIZE: 2048000 run_test: - resources: + resources: !JobRequest - exe: nothing mpi_ranks: 1 @@ -22,90 +22,134 @@ resource_defaults: &resource_defaults run_ecen: env: *mpi_tuning - resources: + resources: !JobRequest - exe: placeholder mpi_ranks: 84 # max_ppn comes from THEIA.env: 84/12 = 7 max_ppn: 7 - OMP_NUM_THREADS: max + OMP_NUM_THREADS: 2 run_chgres: - - exe: time - OMP_NUM_THREADS: max - args: - - placeholder + env: { } + resources: !JobRequest + - exe: time + OMP_NUM_THREADS: 12 + args: + - placeholder run_nothing: # Special placeholder for "do nothing" - - exe: nothing + env: { } + resources: !JobRequest + - exe: nothing run_eobs: - - exe: placeholder - mpi_ranks: !calc 24*6 - max_ppn: 6 - OMP_NUM_THREADS: max + env: { } + resources: !JobRequest + - exe: placeholder + mpi_ranks: !calc 24*6 + max_ppn: 6 + OMP_NUM_THREADS: 4 run_eomg: - - exe: placeholder - mpi_ranks: !calc 6*24 - max_ppn: 6 - OMP_NUM_THREADS: max + env: { } + resources: !JobRequest + - exe: placeholder + mpi_ranks: !calc 6*24 + max_ppn: 6 + OMP_NUM_THREADS: 2 run_eupd: - - exe: placeholder - mpi_ranks: !calc 10*12 - max_ppn: 12 - OMP_NUM_THREADS: max + env: { } + resources: !JobRequest + - exe: placeholder + mpi_ranks: !calc 10*12 + max_ppn: 12 + OMP_NUM_THREADS: 2 + + run_enkf: + env: { } + resources: !JobRequest + - exe: placeholder + mpi_ranks: !calc 10*12 + max_ppn: 12 + OMP_NUM_THREADS: 4 run_efcs: - - exe: placeholder - mpi_ranks: !calc 8*24 - max_ppn: 24 - OMP_NUM_THREADS: max + env: { } + resources: !JobRequest + - exe: placeholder + mpi_ranks: !calc 8*24 + max_ppn: 24 + OMP_NUM_THREADS: 2 run_epos: - - exe: placeholder - mpi_ranks: !calc 7*12 - max_ppn: 12 - OMP_NUM_THREADS: max + env: { } + resources: !JobRequest + - exe: placeholder + mpi_ranks: !calc 7*12 + max_ppn: 12 + OMP_NUM_THREADS: 2 run_prep: - - exe: placeholder - mpi_ranks: 12 - max_ppn: 12 - OMP_NUM_THREADS: max + env: { } + resources: !JobRequest + - exe: placeholder + mpi_ranks: 12 + max_ppn: 12 + OMP_NUM_THREADS: max run_anal: - - exe: placeholder - mpi_ranks: !calc 24*6 - max_ppn: 6 - OMP_NUM_THREADS: max + env: { } + resources: !JobRequest + - exe: placeholder + mpi_ranks: !calc 24*6 + max_ppn: 6 + OMP_NUM_THREADS: 2 + + run_gsi: + env: { } + resources: !JobRequest + - exe: placeholder + mpi_ranks: !calc 24*6 + max_ppn: 4 + OMP_NUM_THREADS: 4 run_fcst: - - exe: placeholder - mpi_ranks: !calc 18*12 - max_ppn: 12 - OMP_NUM_THREADS: max + env: { } + resources: !JobRequest + - exe: placeholder + mpi_ranks: !calc 18*12 + max_ppn: 12 + OMP_NUM_THREADS: max run_post: - - exe: placeholder - mpi_ranks: !calc 6*12 - max_ppn: 12 - OMP_NUM_THREADS: max + env: { } + resources: !JobRequest + - exe: placeholder + mpi_ranks: !calc 6*12 + max_ppn: 12 + OMP_NUM_THREADS: max run_vrfy: - - exe: placeholder - mpi_ranks: 1 - max_ppn: 1 - OMP_NUM_THREADS: max + env: { } + resources: !JobRequest + - exe: placeholder + mpi_ranks: 1 + max_ppn: 1 + OMP_NUM_THREADS: 2 run_arch: - - exe: placeholder - mpi_ranks: 1 - max_ppn: 1 - OMP_NUM_THREADS: max + env: { } + resources: !JobRequest + - exe: placeholder + mpi_ranks: 1 + max_ppn: 1 + OMP_NUM_THREADS: 2 run_earc: - - exe: placeholder - mpi_ranks: 1 - max_ppn: 1 - OMP_NUM_THREADS: max + env: { } + resources: !JobRequest + - exe: placeholder + mpi_ranks: 1 + max_ppn: 1 + OMP_NUM_THREADS: 2 diff --git a/to_sh.py b/to_sh.py index 30980d2..f1cff77 100755 --- a/to_sh.py +++ b/to_sh.py @@ -49,8 +49,10 @@ def set_bool_format(self,value): self.false_string=yes_no[1] def set_runner(self,expr='doc.platform.parallelism'): - settings=self.eval_expr(expr) - self.runner=crow.sysenv.get_parallelism(settings.name,settings) + runner=self.eval_expr(expr) + assert(runner is not None) + sys.stderr.write(repr(runner)+'\n') + self.runner=runner def run_expr(self,expr,check=False): cmd=self.eval_expr(expr) From d380f7de576d90ca4c24b0dccb5e6972efa394eb Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Thu, 19 Oct 2017 14:42:35 +0000 Subject: [PATCH 142/487] adding variables --- model/fv3gfs/actions.yaml | 408 +++++++++++++++++++++++++++++++++++--- model/fv3gfs/places.yaml | 3 + 2 files changed, 381 insertions(+), 30 deletions(-) diff --git a/model/fv3gfs/actions.yaml b/model/fv3gfs/actions.yaml index 428f0cf..096e51b 100644 --- a/model/fv3gfs/actions.yaml +++ b/model/fv3gfs/actions.yaml @@ -1,10 +1,22 @@ action_template: &action_template <<: *resource_defaults Template: *fv3_resolution + ACCOUNT: !calc doc.case.cpu_project + BASE_FV3GFS: !calc doc.places.BASE_FV3GFS + BASE_GDAS: !calc doc.places.BASE_GDAS + BASE_GFS: !calc doc.places.BASE_GFS + BASE_GSM: !calc doc.places.BASE_GSM + BASE_MODULES: !calc doc.places.BASE_MODULES + BASE_WORKFLOW: !calc doc.places.BASE_WORKFLOW + HPSS_PROJECT: !calc doc.case.hpss_project + QUEUE: !calc doc.platform.queue + QUEUE_ARCH: !calc doc.platform.queue_arch BASE_NEMSfv3gfs: !calc doc.case.BASE_NEMSfv3gfs CASE: !calc doc.case.CASE - BASE_GSI: !calc doc.case.BASE_GSI - BASE_GSM: !calc doc.case.BASE_GSM + BASE_GSI: !calc doc.places.BASE_GSI + BASE_GSM: !calc doc.places.BASE_GSM + BASE_ENV: !calc doc.places.BASE_ENV + BASE_JOB: !calc doc.places.BASE_JOB shell_vars: [ "[A-Z][A-Z0-9_]*$", "nth.*", "npe.*" ] resource_env: {} # overridden by actions as needed accounting: !calc doc.platform.parallel_accounting @@ -26,25 +38,100 @@ ecen: &ecen_action !Action # Use "placeholder" for exe name # ---------------------------------------- # From config.ecen - ENKFRECENSH: !expand "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_recenter_fv3gfs.sh.ecf" nth_ecen: 2 APRUN_CHGRES: "time" APRUN_ECEN: "'mpirun -np 84'" + ARCDIR: "/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" - BASE_ENV: !expand "{doc.case.HOMEgfs}/gfs_workflow.v15.0.0/env" - BASE_JOB: !expand "{doc.case.BASE_WORKFLOW}/jobs" + BASE_ENV: !calc doc.places.BASE_ENV + BASE_GSI: !calc doc.places.BASE_GSI + BASE_JOB: !calc doc.places.BASE_JOB + BASE_NEMSfv3gfs: !calc doc.places.BASE_NEMSfv3gfs + BASE_POST: !calc doc.places.BASE_POST + BASE_PREP: !calc doc.places.BASE_PREP + BASE_PREP_GLOBAL: !calc doc.places.BASE_PREP_GLOBAL + BASE_SVN: !calc doc.platform.BASE_SVN + BASE_VERIF: !calc doc.places.BASE_VERIF + CASE: !calc doc.case.CASE + CASE_ENKF: !calc doc.case.CASE_ENKF CHGRESSH: !expand "{doc.case.BASE_GSM}/ush/global_chgres_GSM.sh" CHGRESEXEC: !expand "{doc.case.BASE_GSM}/exec/global_chgres_GSM" CHGRESVARS_ENKF: "use_ufo=.true.,nopdpvv=.true." CHGRESTHREAD: 12 + CHGRESVARS_ENKF: "use_ufo=.true.,nopdpvv=.true." CHGRP_CMD: "'chgrp rstprod'" + CNVGRIB: !calc doc.platform.CNVGRIB + COPYGB: !calc doc.platform.COPYGB + COPYGB2: !calc doc.platform.COPYGB2 + DMPDIR: !calc doc.platform.DMPDIR + DOHYBVAR: !calc doc.case.DOHYBVAR DONST: NO + EDATE: !calc doc.case.EDATE + ENKFRECENSH: !expand "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_recenter_fv3gfs.sh.ecf" + FHCYC: !calc doc.case.FHCYC + FHMAX: !calc doc.case.FHMAX + FHMAX_ENKF: !calc doc.case.FHMAX_ENKF + FHMAX_GFS: !calc doc.case.FHMAX_GFS + FHMAX_HF_GFS: !calc doc.case.FHMAX_HF_GFS + FHMIN: !calc doc.case.FHMIN + FHMIN_ENKF: !calc doc.case.FHMIN_ENKF + FHMIN_GFS: !calc doc.case.FHMIN_GFS + FHOUT: !calc doc.case.FHOUT + FHOUT_ENKF: !calc doc.case.FHOUT_ENKF + FHOUT_GFS: !calc doc.case.FHOUT_GFS + FHOUT_HF_GFS: !calc doc.case.FHOUT_HF_GFS + GRB2INDEX: !calc doc.platform.GRB2INDEX + GRBINDEX: !calc doc.platform.GRBINDEX + GRBINDEX2: !calc doc.platform.GRBINDEX2 + HOMEDIR: !calc doc.places.HOMEDIR + LEVS: !calc doc.case.LEVS KEEPDATA: NO - QUILTING: YES + MPI_BUFS_PER_HOST: !calc doc.platform.MPI_BUFS_PER_HOST + MPI_BUFS_PER_PROC: !calc doc.platform.MPI_BUFS_PER_PROC + MPI_GROUP_MAX: !calc doc.platform.MPI_GROUP_MAX + MPI_MEMMAP_OFF: !calc doc.platform.MPI_MEMMAP_OFF + MP_STDOUTMODE: !calc doc.platform.MP_STDOUTMODE + MYBASE_SVN: !calc doc.places.MYBASE_SVN + NCO_NAMING_CONV: !calc doc.platform.NCO_NAMING_CONV + NCP: !calc doc.platform.NCP + NDATE: !calc doc.platform.NDATE + NEMSIOGET: !calc doc.platform.NEMSIOGET + NHOUR: !calc doc.platform.NHOUR + NLN: !calc doc.platform.NLN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMV: !calc doc.platform.NMV + NOSCRUB: !calc doc.case.NOSCRUB + NTHREADS_ECEN: 2 + NTHSTACK: 1024000000 + NWPROD: !calc doc.platform.NWPROD + OMP_STACKSIZE: !calc doc.platform.OMP_STACKSIZE OUTPUT_GRID: "gaussian_grid" + POSTJJOBSH: !expand "{doc.case.BASE_WORKFLOW}/jobs/JGFS_POST.sh" + PSLOT: !calc doc.places.PSLOT + PTMP: !calc doc.places.PTMP + QUILTING: YES + REALTIME: !calc doc.case.REALTIME + RECENTER_ENKF: !calc doc.case.RECENTER_ENKF + ROTDIR: !calc doc.places.ROTDIR + RTFMIX: !calc doc.places.RTMFIX + RUNDIR: !calc doc.places.RUNDIR + SDATE: !calc doc.case.SDATE SMOOTH_ENKF: YES + STMP: !calc doc.places.STMP VERBOSE: YES + WGRIB: !calc doc.places.WGRIB + WGRIB2: !calc doc.places.WGRIB2 WRITE_NEMSIOFILE: YES + gfs_cyc: 1 + l4densvar: NO + launcher: "'mpirun -np'" + lwrite4danl: NO + memory_ecen: "3072M" + npe_ecen: 84 + npe_node_ecen: 12 + npe_node_max: 24 + nst_anl: YES + nth_ecen: 2 assim_freq: 6 J_JOB: ecen @@ -438,13 +525,128 @@ fcst: &fcst_action !Action APRUN_REMAP: "'mpirun -np 216'" ARCDIR: !calc doc.case.ARCDIR ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" + BASE_GSI: !calc doc.case.BASE_GSI + BASE_NEMSfv3gfs: !calc doc.case.BASE_NEMSfv3gfs + BASE_POST: !calc doc.case.BASE_POST + BASE_PREP: !calc doc.case.BASE_PREP + BASE_PREP_GLOBAL: !calc doc.case.BASE_PREP_GLOBAL + BASE_SVN: !calc doc.platform.BASE_SVN + BASE_VERIF: !calc doc.case.BASE_VERIF + CASE: "C192" + CASE_ENKF: !calc doc.case.CASE_ENKF + CHGRP_CMD: "'chgrp rstprod'" + CNVGRIB: !calc doc.platform.CNVGRIB + COPYGB: !calc doc.platform.COPYGB + COPYGB2: !calc doc.platform.COPYGB2 + DELTIM: 900 + DIAG_TABLE: !FirstTrue + - when: !calc CDUMP=="gdas" + do: !expand "{doc.case.BASE_GSM}/parm/parm_fv3diag/diag_table_da" + - when: !calc CDUMP=="gfs" + do: !expand "{doc.case.BASE_GSM}/parm/parm_fv3diag/diag_table" + - otherwise: !error "Do not know DIAG_TABLE for CDUMP={CDUMP}" + DMPDIR: !calc doc.platform.DMPDIR + DOHYBVAR: !calc doc.case.DOHYBVAR + DONST: NO + EDATE: !calc doc.case.EDATE + FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" FORECASTSH: !expand "{doc.case.BASE_GSM}/scripts/exglobal_fcst_nemsfv3gfs.sh" FCSTEXECDIR: !expand "{doc.case.BASE_NEMSfv3gfs}/NEMS/exe" FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" + FHCYC: 24 + FHMAX: 9 + FHMAX_ENKF: 9 + FHMAX_GFS: 240 + FHMAX_HF_GFS: 0 + FHMIN: 0 + FHMIN_ENKF: 3 + FHMIN_GFS: 0 + FHOUT: 3 + FHOUT_ENKF: 3 + FHOUT_GFS: 6 + FHOUT_HF_GFS: 1 + FIELD_TABLE: !expand "{doc.case.BASE_GSM}/parm/parm_fv3diag/field_table_ncld1" + FORECASTSH: !expand "{doc.case.BASE_GSM}scripts/exglobal_fcst_nemsfv3gfs.sh" + GRB2INDEX: "/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" + GRBINDEX: "/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" + GRBINDEX2: "/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" + HOMEDIR: !calc doc.place.HOMEDIR + KEEPDATA: NO + LEVS: 65 + MONO: "non-mono" + MPI_BUFS_PER_HOST: !calc doc.platform.MPI_BUFS_PER_HOST + MPI_BUFS_PER_PROC: !calc doc.platform.MPI_BUFS_PER_PROC + MPI_GROUP_MAX: !calc doc.platform.MPI_GROUP_MAX + MPI_MEMMAP_OFF: !calc doc.platform.MPI_MEMMAP_OFF + MP_STDOUTMODE: !calc doc.platform.MP_STDOUTMODE + MYBASE_SVN: !calc doc.platform.MYBASE_SVN + NC2NEMSIOSH: !expand "{doc.places.BASE_GSM}/ush/fv3gfs_nc2nemsio.sh" + NCO_NAMING_CONV: !calc doc.platform.NCO_NAMING_CONV + NCP: !calc doc.platform.NCP + NDATE: !calc doc.platform.NDATE + NEMSIOGET: !calc doc.platform.NEMSIOGET + NHOUR: !calc doc.platform.NHOUR + NLN: !calc doc.platform.NLN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMV: !calc doc.platform.NMV + NOSCRUB: !calc doc.case.NOSCRUB + NTHREADS_FV3: 2 + NTHREADS_REGRID_NEMSIO: 1 + NTHREADS_REMAP: 2 + NTHSTACK: 1024000000 + NWPROD: !calc doc.platform.NWPROD + OMP_STACKSIZE: !calc doc.platform.OMP_STACKSIZE + OUTPUT_GRID: "gaussian_grid" + POSTGRB2TBL: !calc doc.platform.POSTGRB2TBL + PSLOT: !calc doc.case.PSLOT + PTMP: !calc doc.case.PTMP + QUILTING: YES + REALTIME: !calc doc.case.REALTIME + RECENTER_ENKF: !calc doc.case.RECENTER_ENKF + REGRID_NEMSIO_SH: !expand "{doc.places.BASE_GSM}/ush/fv3gfs_regrid_nemsio.sh" + REGRID_NEMSIO_TBL: !expand "{doc.places.BASE_GSM}/parm/parm_fv3diag/variable_table_da_nonsst.txt" + REMAPSH: !expand "{doc.places.BASE_GSM}/ush/fv3gfs_remap.sh" + ROTDIR: !calc doc.places.ROTDIR + RTMFIX: !calc doc.places.RTMFIX + RUNDIR: !calc doc.places.RUNDIR + SDATE: !calc doc.case.SDATE + SMOOTH_ENKF: YES + STMP: !calc doc.case.STMP + TYPE: "nh" + USE_COUPLER_RES: NO + VERBOSE: YES + WGRIB: !calc doc.places.WGRIB + WGRIB2: !calc doc.places.WGRIB2 + WRITE_GROUP: 1 + WRITE_NEMSIOFILE: YES + WRTTASK_PER_GROUP: 24 + assim_freq: 6 + cdmbgwd: "0.2,2.5" + cores_per_node: 24 + gfs_cyc: !calc doc.case.gfs_cyc + l4densvar: !calc doc.case.l4densvar + launcher: !calc doc.platform.launcher + layout_x: 4 + layout_y: 8 + lwrite4danl: NO + master_grid: "0p25deg" + memory_fcst: "1024M" + ncld: 1 + npe_fcst: 216 + npe_fv3: 216 + npe_node_fcst: 12 + npe_node_max: 24 + npe_remap: 216 + nst_anl: YES + nth_fv3: 2 + nth_remap: 2 + nwat: 2 + restart_interval: 6 + wtime_fcst: "03:00:00" + wtime_fcst_gfs: "06:00:00" + zhao_mic: YES npe_fv3: !calc npe_fcst # This is model resolution dependent, see note above nth_fv3: 2 - TYPE: "nh" - MONO: "non-mono" do_vort_damp: ".true." # vorticity and divergence damping consv_te: "0." # conserve total energy fv_sg_adj: 900 # time-scale to remove 2dz instability @@ -459,16 +661,9 @@ fcst: &fcst_action !Action restart_interval: !FirstTrue - when: !calc CDUMP=="gdas" - do: 6 + - otherwise: 0 - DIAG_TABLE: !FirstTrue - - when: !calc CDUMP=="gdas" - do: !expand "{doc.case.BASE_GSM}/parm/parm_fv3diag/diag_table_da" - - when: !calc CDUMP=="gfs" - do: !expand "{doc.case.BASE_GSM}/parm/parm_fv3diag/diag_table" - - otherwise: !error "Do not know DIAG_TABLE for CDUMP={CDUMP}" - REGRID_NEMSIO_SH: !expand "{doc.case.BASE_GSM}/ush/fv3gfs_regrid_nemsio.sh" REGRID_NEMSIO_TBL: !expand "{doc.case.BASE_GSM}/parm/parm_fv3diag/variable_table_da.txt" @@ -486,9 +681,89 @@ post: &post_action !Action # resources: !calc run_post resources: !calc run_test.resources memory: "3072M" + APRUN_DWN: "'mpirun -np 72'" + APRUN_NP: "'mpirun -np 72'" + ARCDIR: !calc doc.case.ARCDIR + ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" + BASE_ENV: !calc doc.places.BASE_ENV + BASE_GSI: !calc doc.places.BASE_GSI + BASE_JOB: !calc doc.places.BASE_JOB + BASE_NEMSfv3gfs: !calc doc.places.BASE_NEMSfv3gfs + BASE_POST: !calc doc.places.BASE_POST + BASE_PREP: !calc doc.places.BASE_PREP + BASE_PREP_GLOBAL: !calc doc.places.BASE_PREP_GLOBAL + BASE_SVN: !calc doc.places.BASE_SVN + BASE_VERIF: !calc doc.places.BASE_VERIF + CASE: !calc doc.case.CASE + CASE_ENKF: !calc doc.case.CASE_ENKF + CHGRP_CMD: "'chgrp rstprod'" + CNVGRIB: !calc doc.places.CNVGRIB + COPYGB: !calc doc.places.COPYGB + COPYGB2: !calc doc.places.COPYGB2 + DMPDIR: !calc doc.places.DMPDIR + DOHYBVAR: !calc doc.case.DOHYBVAR + DONST: NO + DO_GDAS_FCST_POST: YES + EDATE: !calc doc.case.EDATE + FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" + FORECASTSH: !expand "{doc.case.BASE_GSM}/scripts/exglobal_fcst_nemsfv3gfs.sh" + FCSTEXECDIR: !expand "{doc.case.BASE_NEMSfv3gfs}/NEMS/exe" + FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" + FHCYC: 24 + FHMAX: 9 + FHMAX_ENKF: 9 + FHMAX_GFS: 240 + FHMAX_HF_GFS: 0 + FHMIN: 0 + FHMIN_ENKF: 3 + FHMIN_GFS: 0 + FHOUT: 3 + FHOUT_ENKF: 3 + FHOUT_GFS: 6 + FHOUT_HF_GFS: 1 + FLXF: YES + GFSDOWNSH: !expand "{doc.case.BASE_GSM}/ush/fv3gfs_downstream_nems.sh" + GFSDWNSH: !expand "{doc.case.BASE_GSM}/ush/fv3gfs_dwn_nems.sh" + GFS_DOWNSTREAM: YES + GOESF: NO + GPOST: YES + GRB2INDEX: "/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" + GRBINDEX: "/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" + GRBINDEX2: "/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" + GTGF: NO + HOMEDIR: !calc doc.places.HOMEDIR + KEEPDATA: NO + LEVS: !calc doc.case.LEVS + MPI_BUFS_PER_HOST: !calc doc.platform.MPI_BUFS_PER_HOST + MPI_BUFS_PER_PROC: !calc doc.platform.MPI_BUFS_PER_PROC + MPI_GROUP_MAX: !calc doc.platform.MPI_GROUP_MAX + MPI_MEMMAP_OFF: !calc doc.platform.MPI_MEMMAP_OFF + MP_STDOUTMODE: !calc doc.platform.MP_STDOUTMODE + MYBASE_SVN: !calc doc.places.MYBASE_SVN + NCO_NAMING_CONV: !calc doc.platform.NCO_NAMING_CONV + NCP: !calc doc.platform.NCP + NDATE: !calc doc.platform.NDATE + NEMSIOGET: !calc doc.platform.NEMSIOGET + NHOUR: !calc doc.platform.NHOUR + NLN: !calc doc.platform.NLN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMV: !calc doc.platform.NMV + NOSCRUB: !calc doc.case.NOSCRUB + NTHREADS_DWN: 2 + NTHREADS_NP: 1 + NTHSTACK: 1024000000 + NWPROD: !calc doc.platform.NWPROD + OMP_STACKSIZE: !calc doc.platform.OMP_STACKSIZE + OUTPUT_GRID: "gaussian_grid" POSTJJOBSH: !expand "{doc.case.BASE_WORKFLOW}/jobs/JGFS_POST.sh" POSTGPSH: !expand "{doc.case.BASE_POST}/ush/global_nceppost.sh" + POSTGRB2TBL: !calc doc.places.POSTGRB2TBL POSTGPEXEC: !expand "{doc.case.BASE_POST}/exec/ncep_post" + PSLOT: !calc doc.places.PSLOT + QUILTING: YES + REALTIME: !calc doc.case.REALTIME + RECENTER_ENKF: !calc doc.case.RECENTER_ENKF + ROTDIR: !calc doc.case.rundir npe_post: !calc resources[0].mpi_ranks npe_postgp: !calc npe_post nth_postgp: 1 @@ -521,18 +796,91 @@ vrfy: &vrfy_action !Action memory: "3072M" accounting: !calc doc.platform.parallel_accounting # CDUMP: "gfs" - VDUMP: "gfs" # verifying dump + ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" + BASE_ENV: !calc doc.places.BASE_ENV + BASE_GSI: !calc doc.places.BASE_GSI + BASE_JOB: !calc doc.places.BASE_JOB + BASE_NEMSfv3gfs: !calc doc.places.BASE_NEMSfv3gfs + BASE_POST: !calc doc.places.BASE_POST + BASE_PREP: !calc doc.places.BASE_PREP + BASE_PREP_GLOBAL: !calc doc.places.BASE_PREP_GLOBAL + BASE_SVN: !calc doc.places.BASE_SVN + BASE_VERIF: !calc doc.places.BASE_VERIF + CASE: !calc doc.case.CASE + CASE_ENKF: !calc doc.case.CASE_ENKF + CHGRP_CMD: "'chgrp rstprod'" + CDFNL: "gdas" CDUMPFCST: "gdas" # Fit-to-obs with GDAS/GFS prepbufr - CDFNL: "gdas" # Scores verification against GDAS/GFS analysis - VSDB_STEP1: "YES" # populate VSDB database - VSDB_STEP2: "NO" - VRFYG2OBS: "YES" # Grid to observations - VRFYFITS: "YES" # Fit to observations - VRFYPRCP: "YES" # Precip threat scores - VRFYMINMON: "YES" # GSI minimization monitoring - VRFYRAD: "YES" # Radiance data assimilation monitoring - VRFYOZN: "NO" # Ozone data assimilation monitoring - VRFYTRAK: "YES" # Hurricane track forecasts - VRFYGENESIS: "YES" # Cyclone genesis - VRFYGMPK: "NO" # Gempak verification - nth_vrfy: 2 + CNVGRIB: !calc doc.places.CNVGRIB + COPYGB: !calc doc.places.COPYGB + COPYGB2: !calc doc.places.COPYGB2 + DMPDIR: !calc doc.places.DMPDIR + DOHYBVAR: !calc doc.case.DOHYBVAR + DONST: NO + EDATE: !calc doc.case.EDATE + FHCYC: !calc doc.case.FHCYC + FHMAX: !calc doc.case.FHMAX + FHMAX_ENKF: !calc doc.case.FHMAX_ENKF + FHMAX_GFS: !calc doc.case.FHMAX_GFS + FHMAX_HF_GFS: !calc doc.case.FHMAX_HF_GFS + FHMIN: !calc doc.case.FHMIN + FHMIN_ENKF: !calc doc.case.FHMIN_ENKF + FHMIN_GFS: !calc doc.case.FHMIN_GFS + FHOUT: !calc doc.case.FHOUT + FHOUT_ENKF: !calc doc.case.FHOUT_ENKF + FHOUT_GFS: !calc doc.case.FHOUT_GFS + FHOUT_HF_GFS: !calc doc.case.FHOUT_HF_GFS + GRB2INDEX: !calc doc.platform.GRB2INDEX + GRBINDEX: !calc doc.platform.GRBINDEX + GRBINDEX2: !calc doc.platform.GRBINDEX2 + GRIB1_WORKS: NO + HOMEDIR: !calc doc.case.HOMEDIR + LEVS: !calc doc.case.LEVS + KEEPDATA: NO + MPI_BUFS_PER_HOST: !calc doc.platform.MPI_BUFS_PER_HOST + MPI_BUFS_PER_PROC: !calc doc.platform.MPI_BUFS_PER_PROC + MPI_GROUP_MAX: !calc doc.platform.MPI_GROUP_MAX + MPI_MEMMAP_OFF: !calc doc.platform.MPI_MEMMAP_OFF + MP_STDOUTMODE: !calc doc.platform.MP_STDOUTMODE + MYBASE_SVN: !calc doc.places.MYBASE_SVN + NCO_NAMING_CONV: !calc doc.platform.NCO_NAMING_CONV + NCP: !calc doc.platform.NCP + NDATE: !calc doc.platform.NDATE + NEMSIOGET: !calc doc.platform.NEMSIOGET + NHOUR: !calc doc.platform.NHOUR + NLN: !calc doc.platform.NLN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMV: !calc doc.platform.NMV + NOSCRUB: !calc doc.case.NOSCRUB + NTHSTACK: 1024000000 + NWPROD: !calc doc.platform.NWPROD + OMP_STACKSIZE: !calc doc.platform.OMP_STACKSIZE + OUTPUT_GRID: "gaussian_grid" + POSTJJOBSH: !expand "{doc.case.BASE_WORKFLOW}/jobs/JGFS_POST.sh" + PSLOT: !calc doc.places.PSLOT + PTMP: !calc doc.places.PTMP + QUILTING: YES + REALTIME: !calc doc.case.REALTIME + RECENTER_ENKF: !calc doc.case.RECENTER_ENKF + ROTDIR: !calc doc.places.ROTDIR + RTFMIX: !calc doc.places.RTMFIX + RUNDIR: !calc doc.places.RUNDIR + SDATE: !calc doc.case.SDATE + SMOOTH_ENKF: YES + STMP: !calc doc.places.STMP + VERBOSE: YES + VRFYGENESIS: YES # Cyclone genesis + VRFYGMPK: NO # Gempak verification + WGRIB: !calc doc.places.WGRIB + WGRIB2: !calc doc.places.WGRIB2 + WRITE_NEMSIOFILE: YES + assim_freq: 6 + memory_vrfy: "16384M" + npe_node_max: 24 + npe_node_vrfy: 1 + npe_vrfy: 1 + nst_anl: YES + IB: !calc doc.places.WGRIB + WGRIB2: !calc doc.places.WGRIB2 + WRITE_NEMSIOFILE: YES + diff --git a/model/fv3gfs/places.yaml b/model/fv3gfs/places.yaml index 34ffdd9..43280a7 100644 --- a/model/fv3gfs/places.yaml +++ b/model/fv3gfs/places.yaml @@ -29,6 +29,9 @@ default_places: &default_places # CDUMP: "gfs" # Component paths + BASE_FV3GFS: "/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" + BASE_GDAS: "/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" + BASE_GFS: "/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" BASE_SVN: !calc doc.platform.BASE_SVN MYBASE_SVN: !expand "{NOSCRUB}/{tools.env('USER')}/svn" BASE_GSM: !expand "{HOMEgfs}/global_shared.v15.0.0" From 24c3beeceff2e128f381f9430bb0b8662c1b8b9c Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Thu, 19 Oct 2017 15:49:38 +0000 Subject: [PATCH 143/487] adding variables --- model/fv3gfs/actions.yaml | 232 +++++++++++++++++++++---------------- model/fv3gfs/places.yaml | 3 + model/fv3gfs/template.yaml | 18 +++ 3 files changed, 150 insertions(+), 103 deletions(-) diff --git a/model/fv3gfs/actions.yaml b/model/fv3gfs/actions.yaml index 096e51b..4648191 100644 --- a/model/fv3gfs/actions.yaml +++ b/model/fv3gfs/actions.yaml @@ -1,22 +1,16 @@ action_template: &action_template - <<: *resource_defaults + <<: [ *resource_defaults, *default_places ] Template: *fv3_resolution ACCOUNT: !calc doc.case.cpu_project - BASE_FV3GFS: !calc doc.places.BASE_FV3GFS - BASE_GDAS: !calc doc.places.BASE_GDAS - BASE_GFS: !calc doc.places.BASE_GFS - BASE_GSM: !calc doc.places.BASE_GSM - BASE_MODULES: !calc doc.places.BASE_MODULES - BASE_WORKFLOW: !calc doc.places.BASE_WORKFLOW + DONST: NO HPSS_PROJECT: !calc doc.case.hpss_project + KEEPDATA: NO + PSLOT: !calc doc.case.experiment_name QUEUE: !calc doc.platform.queue QUEUE_ARCH: !calc doc.platform.queue_arch BASE_NEMSfv3gfs: !calc doc.case.BASE_NEMSfv3gfs CASE: !calc doc.case.CASE - BASE_GSI: !calc doc.places.BASE_GSI - BASE_GSM: !calc doc.places.BASE_GSM - BASE_ENV: !calc doc.places.BASE_ENV - BASE_JOB: !calc doc.places.BASE_JOB + CHGRP_CMD: "'chgrp rstprod'" shell_vars: [ "[A-Z][A-Z0-9_]*$", "nth.*", "npe.*" ] resource_env: {} # overridden by actions as needed accounting: !calc doc.platform.parallel_accounting @@ -41,31 +35,17 @@ ecen: &ecen_action !Action nth_ecen: 2 APRUN_CHGRES: "time" APRUN_ECEN: "'mpirun -np 84'" - ARCDIR: "/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" - ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" - BASE_ENV: !calc doc.places.BASE_ENV - BASE_GSI: !calc doc.places.BASE_GSI - BASE_JOB: !calc doc.places.BASE_JOB - BASE_NEMSfv3gfs: !calc doc.places.BASE_NEMSfv3gfs - BASE_POST: !calc doc.places.BASE_POST - BASE_PREP: !calc doc.places.BASE_PREP - BASE_PREP_GLOBAL: !calc doc.places.BASE_PREP_GLOBAL - BASE_SVN: !calc doc.platform.BASE_SVN - BASE_VERIF: !calc doc.places.BASE_VERIF - CASE: !calc doc.case.CASE CASE_ENKF: !calc doc.case.CASE_ENKF CHGRESSH: !expand "{doc.case.BASE_GSM}/ush/global_chgres_GSM.sh" CHGRESEXEC: !expand "{doc.case.BASE_GSM}/exec/global_chgres_GSM" CHGRESVARS_ENKF: "use_ufo=.true.,nopdpvv=.true." CHGRESTHREAD: 12 CHGRESVARS_ENKF: "use_ufo=.true.,nopdpvv=.true." - CHGRP_CMD: "'chgrp rstprod'" CNVGRIB: !calc doc.platform.CNVGRIB COPYGB: !calc doc.platform.COPYGB COPYGB2: !calc doc.platform.COPYGB2 DMPDIR: !calc doc.platform.DMPDIR DOHYBVAR: !calc doc.case.DOHYBVAR - DONST: NO EDATE: !calc doc.case.EDATE ENKFRECENSH: !expand "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_recenter_fv3gfs.sh.ecf" FHCYC: !calc doc.case.FHCYC @@ -83,15 +63,12 @@ ecen: &ecen_action !Action GRB2INDEX: !calc doc.platform.GRB2INDEX GRBINDEX: !calc doc.platform.GRBINDEX GRBINDEX2: !calc doc.platform.GRBINDEX2 - HOMEDIR: !calc doc.places.HOMEDIR LEVS: !calc doc.case.LEVS - KEEPDATA: NO MPI_BUFS_PER_HOST: !calc doc.platform.MPI_BUFS_PER_HOST MPI_BUFS_PER_PROC: !calc doc.platform.MPI_BUFS_PER_PROC MPI_GROUP_MAX: !calc doc.platform.MPI_GROUP_MAX MPI_MEMMAP_OFF: !calc doc.platform.MPI_MEMMAP_OFF MP_STDOUTMODE: !calc doc.platform.MP_STDOUTMODE - MYBASE_SVN: !calc doc.places.MYBASE_SVN NCO_NAMING_CONV: !calc doc.platform.NCO_NAMING_CONV NCP: !calc doc.platform.NCP NDATE: !calc doc.platform.NDATE @@ -107,20 +84,12 @@ ecen: &ecen_action !Action OMP_STACKSIZE: !calc doc.platform.OMP_STACKSIZE OUTPUT_GRID: "gaussian_grid" POSTJJOBSH: !expand "{doc.case.BASE_WORKFLOW}/jobs/JGFS_POST.sh" - PSLOT: !calc doc.places.PSLOT - PTMP: !calc doc.places.PTMP QUILTING: YES REALTIME: !calc doc.case.REALTIME RECENTER_ENKF: !calc doc.case.RECENTER_ENKF - ROTDIR: !calc doc.places.ROTDIR - RTFMIX: !calc doc.places.RTMFIX - RUNDIR: !calc doc.places.RUNDIR SDATE: !calc doc.case.SDATE SMOOTH_ENKF: YES - STMP: !calc doc.places.STMP VERBOSE: YES - WGRIB: !calc doc.places.WGRIB - WGRIB2: !calc doc.places.WGRIB2 WRITE_NEMSIOFILE: YES gfs_cyc: 1 l4densvar: NO @@ -147,53 +116,136 @@ anal: &anal_action !Action APRUN_CALCINC: "'mpirun -np $ncmd'" APRUN_GSI: "'mpirun -np 144'" ANALYSISSH: !expand "{doc.case.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" - ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" - BASE_ENV: !expand "{doc.case.HOMEgfs}/gfs_workflow.v15.0.0/env" - BASE_JOB: !expand "{doc.case.BASE_WORKFLOW}/jobs" - CHGRP_CMD: "'chgrp rstprod'" - DONST: NO - ANALYSISSH: !expand "{doc.case.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" - GSIEXEC: !expand "{doc.case.BASE_GSI}/exec/global_gsi" + CASE_ENKF: !calc doc.case.CASE_ENKF + CNVGRIB: !calc doc.platform.CNVGRIB + COPYGB: !calc doc.platform.COPYGB + COPYGB2: !calc doc.platform.COPYGB2 + DMPDIR: !calc doc.platform.DMPDIR + DOHYBVAR: !calc doc.case.DOHYBVAR + EDATE: !calc doc.case.EDATE + FHCYC: !calc doc.case.FHCYC + FHMAX: !calc doc.case.FHMAX + FHMAX_ENKF: !calc doc.case.FHMAX_ENKF + FHMAX_GFS: !calc doc.case.FHMAX_GFS + FHMAX_HF_GFS: !calc doc.case.FHMAX_HF_GFS + FHMIN: !calc doc.case.FHMIN + FHMIN_ENKF: !calc doc.case.FHMIN_ENKF + FHMIN_GFS: !calc doc.case.FHMIN_GFS + FHOUT: !calc doc.case.FHOUT + FHOUT_ENKF: !calc doc.case.FHOUT_ENKF + FHOUT_GFS: !calc doc.case.FHOUT_GFS + FHOUT_HF_GFS: !calc doc.case.FHOUT_HF_GFS + GRB2INDEX: !calc doc.platform.GRB2INDEX + GRBINDEX: !calc doc.platform.GRBINDEX + GRBINDEX2: !calc doc.platform.GRBINDEX2 + MPI_BUFS_PER_HOST: !calc doc.platform.MPI_BUFS_PER_HOST + MPI_BUFS_PER_PROC: !calc doc.platform.MPI_BUFS_PER_PROC + MPI_GROUP_MAX: !calc doc.platform.MPI_GROUP_MAX + MPI_MEMMAP_OFF: !calc doc.platform.MPI_MEMMAP_OFF + MP_STDOUTMODE: !calc doc.platform.MP_STDOUTMODE + NCO_NAMING_CONV: !calc doc.platform.NCO_NAMING_CONV + NCP: !calc doc.platform.NCP + NDATE: !calc doc.platform.NDATE + NEMSIOGET: !calc doc.platform.NEMSIOGET + NHOUR: !calc doc.platform.NHOUR + NLN: !calc doc.platform.NLN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMV: !calc doc.platform.NMV + NOSCRUB: !calc doc.case.NOSCRUB + NTHSTACK: 1024000000 + NTHREADS_CALCINC: 1 + NTHREADS_GSI: 4 + NWPROD: !calc doc.platform.NWPROD + OMP_STACKSIZE: !calc doc.platform.OMP_STACKSIZE + OUTPUT_GRID: "gaussian_grid" + POSTGRB2TBL: "/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" + PSLOT: !calc doc.places.PSLOT + PTMP: !calc doc.places.PTMP + QUILTING: YES + REALTIME: !calc doc.case.REALTIME + RECENTER_ENKF: !calc doc.case.RECENTER_ENKF + ROTDIR: !calc doc.places.ROTDIR + RTFMIX: !calc doc.places.RTMFIX + RUNDIR: !calc doc.places.RUNDIR + SDATE: !calc doc.case.SDATE + SMOOTH_ENKF: YES + STMP: !calc doc.places.STMP + VERBOSE: YES + WGRIB: !calc doc.places.WGRIB + WGRIB2: !calc doc.places.WGRIB2 + WRITE_NEMSIOFILE: YES npe_anal: resources[0].mpi_ranks npe_gsi: !calc npe_anal nth_gsi: 4 nth_anal: 2 - KEEPDATA: NO NTHREADS_CALCINC: 1 NTHREADS_GSI: 4 NTHSTACK: 1024000000 - OUTPUT_GRID: "gaussian_grid" - QUILTING: YES SMOOTH_ENKF: YES - VERBOSE: YES - WRITE_NEMSIOFILE: YES + HOMEDIR: !calc doc.case.HOMEDIR epos: &epos_action !Action <<: *action_template APRUN_EPOS: "'mpirun -np 84'" - CASE: !calc doc.case.CASE_ENKF + CASE_ENKF: "C96" + CNVGRIB: !calc doc.platform.CNVGRIB + COPYGB: !calc doc.platform.COPYGB + COPYGB2: !calc doc.platform.COPYGB2 + DMPDIR: !calc doc.platform.DMPDIR + DOHYBVAR: !calc doc.case.DOHYBVAR + ENKFPOSTSH: !expand "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_post_fv3gfs.sh.ecf" + EDATE: !calc doc.case.EDATE + FHCYC: !calc doc.case.FHCYC + FHMAX: !calc doc.case.FHMAX + FHMAX_ENKF: !calc doc.case.FHMAX_ENKF + FHMAX_GFS: !calc doc.case.FHMAX_GFS + FHMAX_HF_GFS: !calc doc.case.FHMAX_HF_GFS + FHMIN: !calc doc.case.FHMIN + FHMIN_ENKF: !calc doc.case.FHMIN_ENKF + FHMIN_GFS: !calc doc.case.FHMIN_GFS + FHOUT: !calc doc.case.FHOUT + FHOUT_ENKF: !calc doc.case.FHOUT_ENKF + FHOUT_GFS: !calc doc.case.FHOUT_GFS + FHOUT_HF_GFS: !calc doc.case.FHOUT_HF_GFS + GRB2INDEX: !calc doc.platform.GRB2INDEX + GRBINDEX: !calc doc.platform.GRBINDEX + GRBINDEX2: !calc doc.platform.GRBINDEX2 + MPI_BUFS_PER_HOST: !calc doc.platform.MPI_BUFS_PER_HOST + MPI_BUFS_PER_PROC: !calc doc.platform.MPI_BUFS_PER_PROC + MPI_GROUP_MAX: !calc doc.platform.MPI_GROUP_MAX + MPI_MEMMAP_OFF: !calc doc.platform.MPI_MEMMAP_OFF + MP_STDOUTMODE: !calc doc.platform.MP_STDOUTMODE + NCO_NAMING_CONV: !calc doc.platform.NCO_NAMING_CONV + NCP: !calc doc.platform.NCP + NDATE: !calc doc.platform.NDATE + NEMSIOGET: !calc doc.platform.NEMSIOGET + NHOUR: !calc doc.platform.NHOUR + NLN: !calc doc.platform.NLN + NTHREADS_EPOS: 2 + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMV: !calc doc.platform.NMV + NOSCRUB: !calc doc.case.NOSCRUB + NTHSTACK: 1024000000 + NWPROD: !calc doc.platform.NWPROD + OMP_STACKSIZE: !calc doc.platform.OMP_STACKSIZE + POSTGRB2TBL: "/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" + REALTIME: !calc doc.case.REALTIME + RECENTER_ENKF: !calc doc.case.RECENTER_ENKF + SDATE: !calc doc.case.SDATE + SMOOTH_ENKF: YES + VERBOSE: YES + J_JOB: epos walltime: !timedelta 00:15:00 # resources: !calc run_epos resources: !calc run_test.resources memory: "254M" - ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" - BASE_ENV: !expand "{doc.case.HOMEgfs}/gfs_workflow.v15.0.0/env" - BASE_JOB: !expand "{doc.case.BASE_WORKFLOW}/jobs" - CHGRP_CMD: "'chgrp rstprod'" - DONST: NO - ENKFPOSTSH: !expand "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_post_fv3gfs.sh.ecf" - KEEPDATA: NO nth_epos: 2 - OUTPUT_GRID: "gaussian_grid" - QUILTING: YES - SMOOTH_ENKF: YES - VERBOSE: YES WRITE_NEMSIOFILE: YES accounting: !calc doc.platform.parallel_accounting eobs: &eobs_action !Action - <<: *anal_action + <<: *action_template J_JOB: eobs CASE: !calc doc.case.CASE_ENKF walltime: !timedelta 00:15:00 @@ -203,13 +255,8 @@ eobs: &eobs_action !Action ANALYSISSH: "{doc.case.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" APRUN_GSI: "'mpirun -np 144'" ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" - BASE_ENV: !expand "{doc.case.HOMEgfs}/gfs_workflow.v15.0.0/env" - BASE_JOB: !expand "{doc.case.BASE_WORKFLOW}/jobs" - CHGRP_CMD: "'chgrp rstprod'" - DONST: NO ENKFINVOBSSH: !expand "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" INVOBSSH: !expand "{doc.case.BASE_GSI}/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" - KEEPDATA: NO NMEM_EOMGGRP: 10 NTHREADS_GSI: 4 NTHSTACK: 1024000000 @@ -219,8 +266,6 @@ eobs: &eobs_action !Action # GSI namelist options related to observer for EnKF OBSINPUT_INVOBS: "dmesh(1)=225.0,dmesh(2)=225.0" OBSQC_INVOBS: "tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" - OUTPUT_GRID: "gaussian_grid" - QUILTING: YES RERUN_EOMGGRP: "YES" SMOOTH_ENKF: YES VERBOSE: YES @@ -428,18 +473,7 @@ prep: &prep_action !Action ARCDIR: !calc doc.case.ARCDIR ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" BACK: YES - BASE_ENV: !expand "{doc.case.HOMEgfs}/gfs_workflow.v15.0.0/env" - BASE_JOB: !expand "{doc.case.BASE_WORKFLOW}/jobs" - BASE_GSI: !calc doc.case.BASE_GSI - BASE_NEMSfv3gfs: !calc doc.case.BASE_NEMSfv3gfs - BASE_POST: !calc doc.case.BASE_POST - BASE_PREP: !calc doc.case.BASE_PREP - BASE_PREP_GLOBAL: !calc doc.case.BASE_PREP_GLOBAL - BASE_SVN: !calc doc.platform.BASE_SVN - BASE_VERIF: !calc doc.case.BASE_VERIF - CASE: "C192" CASE_ENKF: !calc doc.case.CASE_ENKF - CHGRP_CMD: "'chgrp rstprod'" CNVGRIB: !calc doc.platform.CNVGRIB COPYGB: !calc doc.platform.COPYGB COPYGB2: !calc doc.platform.COPYGB2 @@ -449,31 +483,28 @@ prep: &prep_action !Action DO_RELOCATE: NO DO_MAKEPREPBUFR: YES # if NO, will copy prepbufr from globaldump DRIVE_MAKEPREPBUFRSH: !expand "{doc.case.BASE_GSM}/ush/drive_makeprepbufr.sh" - EDATE: "2016100200" - FHCYC: 24 - FHMAX: 9 - FHMAX_ENKF: 9 - FHMAX_GFS: 240 - FHMAX_HF_GFS: 0 - FHMIN: 0 - FHMIN_ENKF: 3 - FHMIN_GFS: 0 - FHOUT: 3 - FHOUT_ENKF: 3 - FHOUT_GFS: 6 - FHOUT_HF_GFS: 1 - GRB2INDEX: "/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" - GRBINDEX: "/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" - GRBINDEX2: "/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" + EDATE: !calc doc.case.EDATE + FHCYC: !calc doc.case.FHCYC + FHMAX: !calc doc.case.FHMAX + FHMAX_ENKF: !calc doc.case.FHMAX_ENKF + FHMAX_GFS: !calc doc.case.FHMAX_GFS + FHMAX_HF_GFS: !calc doc.case.FHMAX_HF_GFS + FHMIN: !calc doc.case.FHMIN + FHMIN_ENKF: !calc doc.case.FHMIN_ENKF + FHMIN_GFS: !calc doc.case.FHMIN_GFS + FHOUT: !calc doc.case.FHOUT + FHOUT_ENKF: !calc doc.case.FHOUT_ENKF + FHOUT_GFS: !calc doc.case.FHOUT_GFS + FHOUT_HF_GFS: !calc doc.case.FHOUT_HF_GFS + GRB2INDEX: !calc doc.platform.GRB2INDEX + GRBINDEX: !calc doc.platform.GRBINDEX + GRBINDEX2: !calc doc.platform.GRBINDEX2 HOMEDIR: !calc doc.case.HOMEDIR - KEEPDATA: NO - LEVS: !calc doc.case.LEVS MPI_BUFS_PER_HOST: !calc doc.platform.MPI_BUFS_PER_HOST MPI_BUFS_PER_PROC: !calc doc.platform.MPI_BUFS_PER_PROC MPI_GROUP_MAX: !calc doc.platform.MPI_GROUP_MAX MPI_MEMMAP_OFF: !calc doc.platform.MPI_MEMMAP_OFF MP_STDOUTMODE: !calc doc.platform.MP_STDOUTMODE - MYBASE_SVN: !calc doc.platform.MYBASE_SVN NCO_NAMING_CONV: !calc doc.platform.NCO_NAMING_CONV NCP: !calc doc.platform.NCP NDATE: !calc doc.platform.NDATE @@ -490,16 +521,11 @@ prep: &prep_action !Action POE: NO POSTGRB2TBL: !calc doc.platform.POSTGRB2TBL PSLOT: !calc doc.case.PSLOT - PTMP: !calc doc.case.PTMP QUILTING: YES REALTIME: !calc doc.case.REALTIME RECENTER_ENKF: !calc doc.case.RECENTER_ENKF - ROTDIR: !calc doc.case.ROTDIR - RTMFIX: !calc doc.platform.RTMFIX - RUNDIR: !calc doc.case.RUNDIR SDATE: !calc doc.case.SDATE SMOOTH_ENKF: YES - STMP: !calc doc.case.STMP VERBOSE: YES WGRIB: !calc doc.platform.WGRIB WGRIB2: !calc doc.platform.WGRIB2 diff --git a/model/fv3gfs/places.yaml b/model/fv3gfs/places.yaml index 43280a7..2eecd14 100644 --- a/model/fv3gfs/places.yaml +++ b/model/fv3gfs/places.yaml @@ -24,6 +24,7 @@ default_places: &default_places EXPDIR: !expand "{HOMEDIR}/{experiment_name}" ROTDIR: !expand "{PTMP}/{experiment_name}" RUNDIR: !expand "{STMP}/RUNDIRS/{experiment_name}" + RTFMIX: "/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" ARCDIR: !expand "{NOSCRUB}/archive/{experiment_name}" ATARDIR: !expand "/NCEPDEV/{hpss_project}/1year/{tools.env('USER')}/{doc.platform.name}/scratch/{experiment_name}" # CDUMP: "gfs" @@ -42,3 +43,5 @@ default_places: &default_places BASE_PREP_GLOBAL: !expand "{BASE_SVN}/obsproc/releases/obsproc_global_RB-3.0.0" BASE_VERIF: !expand "{BASE_SVN}/verif/global/tags/vsdb" + WGRIB: "/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" + WGRIB2: "/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" diff --git a/model/fv3gfs/template.yaml b/model/fv3gfs/template.yaml index 3f68fba..5bb7d2f 100644 --- a/model/fv3gfs/template.yaml +++ b/model/fv3gfs/template.yaml @@ -1,3 +1,21 @@ +action_template: &action_template !Template + <<: [ *resource_defaults, *default_places ] + Template: *fv3_resolution + ACCOUNT: !calc doc.case.cpu_project + DONST: NO + HPSS_PROJECT: !calc doc.case.hpss_project + KEEPDATA: NO + PSLOT: !calc doc.case.experiment_name + QUEUE: !calc doc.platform.queue + QUEUE_ARCH: !calc doc.platform.queue_arch + QUILTING: YES + OUTPUT_GRID: "gaussian_grid" + BASE_NEMSfv3gfs: !calc doc.case.BASE_NEMSfv3gfs + CASE: !calc doc.case.CASE + CHGRP_CMD: "'chgrp rstprod'" + shell_vars: [ "[A-Z][A-Z0-9_]*$", "nth.*", "npe.*" ] + resource_env: {} # overridden by actions as needed + accounting: !calc doc.platform.parallel_accounting CYC_TRACK_VARS: &CYC_TRACK_VARS !Template TRACKERSH: From f4b9681f0fa0558b157cca8d6b685506d7a72fd1 Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Thu, 19 Oct 2017 17:00:13 +0000 Subject: [PATCH 144/487] revised --- model/fv3gfs/actions.yaml | 291 ++++++++++++++++++++++++-------------- 1 file changed, 181 insertions(+), 110 deletions(-) diff --git a/model/fv3gfs/actions.yaml b/model/fv3gfs/actions.yaml index 4648191..51394fd 100644 --- a/model/fv3gfs/actions.yaml +++ b/model/fv3gfs/actions.yaml @@ -254,22 +254,70 @@ eobs: &eobs_action !Action memory: "3072M" ANALYSISSH: "{doc.case.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" APRUN_GSI: "'mpirun -np 144'" - ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" - ENKFINVOBSSH: !expand "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" - INVOBSSH: !expand "{doc.case.BASE_GSI}/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" + CNVGRIB: !calc doc.platform.CNVGRIB + COPYGB: !calc doc.platform.COPYGB + COPYGB2: !calc doc.platform.COPYGB2 + DMPDIR: !calc doc.platform.DMPDIR + DOHYBVAR: !calc doc.case.DOHYBVAR + EDATE: !calc doc.case.EDATE + FHCYC: !calc doc.case.FHCYC + FHMAX: !calc doc.case.FHMAX + FHMAX_ENKF: !calc doc.case.FHMAX_ENKF + FHMAX_GFS: !calc doc.case.FHMAX_GFS + FHMAX_HF_GFS: !calc doc.case.FHMAX_HF_GFS + FHMIN: !calc doc.case.FHMIN + FHMIN_ENKF: !calc doc.case.FHMIN_ENKF + FHMIN_GFS: !calc doc.case.FHMIN_GFS + FHOUT: !calc doc.case.FHOUT + FHOUT_ENKF: !calc doc.case.FHOUT_ENKF + FHOUT_GFS: !calc doc.case.FHOUT_GFS + FHOUT_HF_GFS: !calc doc.case.FHOUT_HF_GFS + GRB2INDEX: !calc doc.platform.GRB2INDEX + GRBINDEX: !calc doc.platform.GRBINDEX + GRBINDEX2: !calc doc.platform.GRBINDEX2 + HOMEDIR: !calc doc.case.HOMEDIR + ENKFINVOBSSH: !expand "{doc.places.BASE_GSM}/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" + INVOBSSH: !expand "{doc.places.BASE_GSM}/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" + LEVS: !calc doc.case.LEVS + MPI_BUFS_PER_HOST: !calc doc.platform.MPI_BUFS_PER_HOST + MPI_BUFS_PER_PROC: !calc doc.platform.MPI_BUFS_PER_PROC + MPI_GROUP_MAX: !calc doc.platform.MPI_GROUP_MAX + MPI_MEMMAP_OFF: !calc doc.platform.MPI_MEMMAP_OFF + MP_STDOUTMODE: !calc doc.platform.MP_STDOUTMODE + NCO_NAMING_CONV: !calc doc.platform.NCO_NAMING_CONV + NCP: !calc doc.platform.NCP + NDATE: !calc doc.platform.NDATE + NEMSIOGET: !calc doc.platform.NEMSIOGET + NHOUR: !calc doc.platform.NHOUR + NLN: !calc doc.platform.NLN + NMEM_ENKF: !calc doc.case.NMEM_ENKF NMEM_EOMGGRP: 10 + NMV: !calc doc.platform.NMV + NOSCRUB: !calc doc.case.NOSCRUB NTHREADS_GSI: 4 NTHSTACK: 1024000000 - npe_eobs: !calc resources[0].mpi_ranks - npe_gsi: !calc npe_eobs - nth_gsi: 4 -# GSI namelist options related to observer for EnKF - OBSINPUT_INVOBS: "dmesh(1)=225.0,dmesh(2)=225.0" + NWPROD: !calc doc.platform.NWPROD + OBSINPUT_INVOBS: "'dmesh(1)=225.0,dmesh(2)=225.0'" OBSQC_INVOBS: "tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" - RERUN_EOMGGRP: "YES" + OMP_STACKSIZE: !calc doc.platform.OMP_STACKSIZE + POSTGRB2TBL: "/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" + QUILTING: YES + REALTIME: !calc doc.case.REALTIME + RECENTER_ENKF: !calc doc.case.RECENTER_ENKF + RERUN_EOMGGRP: YES + SDATE: !calc doc.case.SDATE SMOOTH_ENKF: YES VERBOSE: YES + WGRIB: !calc doc.places.WGRIB + WGRIB2: !calc doc.places.WGRIB2 WRITE_NEMSIOFILE: YES + + NTHREADS_GSI: 4 + NTHSTACK: 1024000000 + npe_eobs: !calc resources[0].mpi_ranks + npe_gsi: !calc npe_eobs + nth_gsi: 4 +# GSI namelist options related to observer for EnKF nth_eobs: 2 eomg: &eomg_action !Action @@ -277,24 +325,60 @@ eomg: &eomg_action !Action J_JOB: eomg ANALYSISSH: "{doc.case.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" APRUN_GSI: "'mpirun -np 144'" - BASE_ENV: !expand "{doc.case.HOMEgfs}/gfs_workflow.v15.0.0/env" - BASE_JOB: !expand "{doc.case.BASE_WORKFLOW}/jobs" - CASE: !calc doc.case.CASE_ENKF - CHGRP_CMD: "'chgrp rstprod'" - DONST: NO - ENKFINVOBSSH: !expand "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" + CNVGRIB: !calc doc.platform.CNVGRIB + COPYGB: !calc doc.platform.COPYGB + COPYGB2: !calc doc.platform.COPYGB2 + DMPDIR: !calc doc.platform.DMPDIR + DOHYBVAR: !calc doc.case.DOHYBVAR + ENKFINVOBSSH: !expand "{doc.places.BASE_GSM}/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" + EDATE: !calc doc.case.EDATE + FHCYC: !calc doc.case.FHCYC + FHMAX: !calc doc.case.FHMAX + FHMAX_ENKF: !calc doc.case.FHMAX_ENKF + FHMAX_GFS: !calc doc.case.FHMAX_GFS + FHMAX_HF_GFS: !calc doc.case.FHMAX_HF_GFS + FHMIN: !calc doc.case.FHMIN + FHMIN_ENKF: !calc doc.case.FHMIN_ENKF + FHMIN_GFS: !calc doc.case.FHMIN_GFS + FHOUT: !calc doc.case.FHOUT + FHOUT_ENKF: !calc doc.case.FHOUT_ENKF + FHOUT_GFS: !calc doc.case.FHOUT_GFS + FHOUT_HF_GFS: !calc doc.case.FHOUT_HF_GFS + GRB2INDEX: !calc doc.platform.GRB2INDEX + GRBINDEX: !calc doc.platform.GRBINDEX + GRBINDEX2: !calc doc.platform.GRBINDEX2 INVOBSSH: !expand "{doc.case.BASE_GSI}/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" - KEEPDATA: NO + LEVS: !calc doc.case.LEVS + MPI_BUFS_PER_HOST: !calc doc.platform.MPI_BUFS_PER_HOST + MPI_BUFS_PER_PROC: !calc doc.platform.MPI_BUFS_PER_PROC + MPI_GROUP_MAX: !calc doc.platform.MPI_GROUP_MAX + MPI_MEMMAP_OFF: !calc doc.platform.MPI_MEMMAP_OFF + MP_STDOUTMODE: !calc doc.platform.MP_STDOUTMODE + NCO_NAMING_CONV: !calc doc.platform.NCO_NAMING_CONV + NCP: !calc doc.platform.NCP + NDATE: !calc doc.platform.NDATE + NEMSIOGET: !calc doc.platform.NEMSIOGET + NHOUR: !calc doc.platform.NHOUR + NLN: !calc doc.platform.NLN + NMEM_ENKF: !calc doc.case.NMEM_ENKF NMEM_EOMGGRP: 10 + NMV: !calc doc.platform.NMV + NOSCRUB: !calc doc.case.NOSCRUB NTHREADS_GSI: 4 NTHSTACK: 1024000000 + NWPROD: !calc doc.platform.NWPROD + OMP_STACKSIZE: !calc doc.platform.OMP_STACKSIZE OBSINPUT_INVOBS: "dmesh(1)=225.0,dmesh(2)=225.0" OBSQC_INVOBS: "tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" - OUTPUT_GRID: "gaussian_grid" - QUILTING: YES - RERUN_EOMGGRP: "YES" + POSTGRB2TBL: "/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" + RERUN_EOMGGRP: YES + REALTIME: !calc doc.case.REALTIME + RECENTER_ENKF: !calc doc.case.RECENTER_ENKF + SDATE: !calc doc.case.SDATE SMOOTH_ENKF: YES VERBOSE: YES + WGRIB: !calc doc.places.WGRIB + WGRIB2: !calc doc.places.WGRIB2 WRITE_NEMSIOFILE: YES walltime: !timedelta 00:15:00 # resources: !calc run_eomg @@ -307,20 +391,55 @@ eupd: &eupd_action !Action J_JOB: eupd ANALYSISSH: "{doc.case.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" APRUN_ENKF: "'mpirun -np 120'" - ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" - CASE: !calc doc.case.CASE_ENKF - BASE_ENV: !expand "{doc.case.HOMEgfs}/gfs_workflow.v15.0.0/env" - BASE_JOB: !expand "{doc.case.BASE_WORKFLOW}/jobs" - CHGRP_CMD: "'chgrp rstprod'" - DONST: NO - ENKFUPDSH: "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_update_fv3gfs.sh.ecf" - KEEPDATA: NO + CASE_ENKF: "C96" + CNVGRIB: !calc doc.platform.CNVGRIB + COPYGB: !calc doc.platform.COPYGB + COPYGB2: !calc doc.platform.COPYGB2 + DMPDIR: !calc doc.platform.DMPDIR + DOHYBVAR: !calc doc.case.DOHYBVAR + ENKFUPDSH: !expand "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_update_fv3gfs.sh.ecf" + EDATE: !calc doc.case.EDATE + FHCYC: !calc doc.case.FHCYC + FHMAX: !calc doc.case.FHMAX + FHMAX_ENKF: !calc doc.case.FHMAX_ENKF + FHMAX_GFS: !calc doc.case.FHMAX_GFS + FHMAX_HF_GFS: !calc doc.case.FHMAX_HF_GFS + FHMIN: !calc doc.case.FHMIN + FHMIN_ENKF: !calc doc.case.FHMIN_ENKF + FHMIN_GFS: !calc doc.case.FHMIN_GFS + FHOUT: !calc doc.case.FHOUT + FHOUT_ENKF: !calc doc.case.FHOUT_ENKF + FHOUT_GFS: !calc doc.case.FHOUT_GFS + FHOUT_HF_GFS: !calc doc.case.FHOUT_HF_GFS + GRB2INDEX: !calc doc.platform.GRB2INDEX + GRBINDEX: !calc doc.platform.GRBINDEX + GRBINDEX2: !calc doc.platform.GRBINDEX2 + LEVS: !calc doc.case.LEVS + MPI_BUFS_PER_HOST: !calc doc.platform.MPI_BUFS_PER_HOST + MPI_BUFS_PER_PROC: !calc doc.platform.MPI_BUFS_PER_PROC + MPI_GROUP_MAX: !calc doc.platform.MPI_GROUP_MAX + MPI_MEMMAP_OFF: !calc doc.platform.MPI_MEMMAP_OFF + MP_STDOUTMODE: !calc doc.platform.MP_STDOUTMODE + NCO_NAMING_CONV: !calc doc.platform.NCO_NAMING_CONV + NCP: !calc doc.platform.NCP + NDATE: !calc doc.platform.NDATE + NEMSIOGET: !calc doc.platform.NEMSIOGET + NHOUR: !calc doc.platform.NHOUR + NLN: !calc doc.platform.NLN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMV: !calc doc.platform.NMV + NOSCRUB: !calc doc.case.NOSCRUB NTHREADS_ENKF: 2 NTHSTACK: 1024000000 - OUTPUT_GRID: "gaussian_grid" - QUILTING: YES + NWPROD: !calc doc.platform.NWPROD + OMP_STACKSIZE: !calc doc.platform.OMP_STACKSIZE + POSTGRB2TBL: "/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" + REALTIME: !calc doc.case.REALTIME + RECENTER_ENKF: !calc doc.case.RECENTER_ENKF + SDATE: !calc doc.case.SDATE SMOOTH_ENKF: YES - VERBOSE: YES + WGRIB: !calc doc.places.WGRIB + WGRIB2: !calc doc.places.WGRIB2 WRITE_NEMSIOFILE: YES walltime: !timedelta 00:15:00 # resources: !calc run_eupd @@ -335,7 +454,6 @@ eupd: &eupd_action !Action efcs: &efcs_action !Action <<: *action_template J_JOB: efcs - CASE: !calc doc.case.CASE_ENKF walltime: !timedelta 00:15:00 # resources: !calc run_efcs resources: !calc run_test.resources @@ -345,38 +463,58 @@ efcs: &efcs_action !Action nth_fv3: 1 APRUN_FV3: "'mpirun -np 204'" APRUN_REGRID_NEMSIO: "'mpirun -np 65'" - ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" - BASE_ENV: !expand "{doc.case.HOMEgfs}/gfs_workflow.v15.0.0/env" - BASE_JOB: !expand "{doc.case.BASE_WORKFLOW}/jobs" - CHGRP_CMD: "'chgrp rstprod'" DELTIM: 1800 DIAG_TABLE: !expand "{doc.case.BASE_GSM}/parm/parm_fv3diag/diag_table_da" - DONST: NO DO_SHUM: NO DO_SKEB: NO DO_SPPT: NO + CASE_ENKF: "C96" + CNVGRIB: !calc doc.platform.CNVGRIB + COPYGB: !calc doc.platform.COPYGB + COPYGB2: !calc doc.platform.COPYGB2 + DMPDIR: !calc doc.platform.DMPDIR + DOHYBVAR: !calc doc.case.DOHYBVAR ENKFFCSTSH: !expand "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" FCSTEXECDIR: !expand "{doc.case.BASE_GSM}/sorc/fv3gfs.fd/NEMS/exe" FIELD_TABLE: !expand "{doc.case.BASE_GSM}/parm/parm_fv3diag/field_table_ncld1" FORECASTSH: !expand "{doc.case.BASE_GSM}/scripts/exglobal_fcst_nemsfv3gfs.sh" - KEEPDATA: NO + GRB2INDEX: !calc doc.platform.GRB2INDEX + GRBINDEX: !calc doc.platform.GRBINDEX + GRBINDEX2: !calc doc.platform.GRBINDEX2 + LEVS: !calc doc.case.LEVS MONO: "non-mono" + MPI_BUFS_PER_HOST: !calc doc.platform.MPI_BUFS_PER_HOST + MPI_BUFS_PER_PROC: !calc doc.platform.MPI_BUFS_PER_PROC + MPI_GROUP_MAX: !calc doc.platform.MPI_GROUP_MAX + MPI_MEMMAP_OFF: !calc doc.platform.MPI_MEMMAP_OFF + MP_STDOUTMODE: !calc doc.platform.MP_STDOUTMODE NC2NEMSIOSH: !expand "{doc.case.BASE_GSM}/ush/fv3gfs_nc2nemsio.sh" + NCO_NAMING_CONV: !calc doc.platform.NCO_NAMING_CONV + NCP: !calc doc.platform.NCP + NDATE: !calc doc.platform.NDATE + NEMSIOGET: !calc doc.platform.NEMSIOGET + NHOUR: !calc doc.platform.NHOUR + NLN: !calc doc.platform.NLN NMEM_EFCSGRP: 10 + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMV: !calc doc.platform.NMV + NOSCRUB: !calc doc.case.NOSCRUB NTHREADS_FV3: 1 NTHREADS_REGRID_NEMSIO: 1 NTHSTACK: 1024000000 - OUTPUT_GRID: "gaussian_grid" - QUILTING: YES + NTHREADS_REGRID_NEMSIO: 1 + NWPROD: !calc doc.platform.NWPROD + OMP_STACKSIZE: !calc doc.platform.OMP_STACKSIZE + POSTGRB2TBL: "/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" + REALTIME: NO + RECENTER_ENKF: YES REGRID_NEMSIO_SH: !expand "{doc.case.BASE_GSM}/ush/fv3gfs_regrid_nemsio.sh" REGRID_NEMSIO_TBL: !expand "{doc.case.BASE_GSM}/parm/parm_fv3diag/variable_table_da_nonsst.txt" REMAPSH: !expand "{doc.case.BASE_GSM}/ush/fv3gfs_remap.sh" RERUN_EFCSGRP: "NO" + SDATE: !calc doc.case.SDATE # Stochastic physics parameters (only for ensemble forecasts) - DO_SHUM: NO - DO_SKEB: NO - DO_SPPT: NO SET_STP_SEED: "YES" SKEB: 0.8 SKEB_TAU: 21600. @@ -388,17 +526,13 @@ efcs: &efcs_action !Action SPPT: 0.8 SPPT_TAU: 21600. SPPT_LSCALE: 500000. - SMOOTH_ENKF: YES TYPE: "nh" USE_COUPLER_RES: NO - VERBOSE: YES restart_interval: 6 - WRITE_GROUP: 1 WRITE_NEMSIOFILE: YES WRTTASK_PER_GROUP: 12 - assim_freq: 6 cdmbgwd: "0.125,3.0" cores_per_node: 24 @@ -598,7 +732,7 @@ fcst: &fcst_action !Action GRBINDEX2: "/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" HOMEDIR: !calc doc.place.HOMEDIR KEEPDATA: NO - LEVS: 65 + LEVS: !calc doc.case.LEVS MONO: "non-mono" MPI_BUFS_PER_HOST: !calc doc.platform.MPI_BUFS_PER_HOST MPI_BUFS_PER_PROC: !calc doc.platform.MPI_BUFS_PER_PROC @@ -709,44 +843,18 @@ post: &post_action !Action memory: "3072M" APRUN_DWN: "'mpirun -np 72'" APRUN_NP: "'mpirun -np 72'" - ARCDIR: !calc doc.case.ARCDIR - ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" - BASE_ENV: !calc doc.places.BASE_ENV - BASE_GSI: !calc doc.places.BASE_GSI - BASE_JOB: !calc doc.places.BASE_JOB - BASE_NEMSfv3gfs: !calc doc.places.BASE_NEMSfv3gfs - BASE_POST: !calc doc.places.BASE_POST - BASE_PREP: !calc doc.places.BASE_PREP - BASE_PREP_GLOBAL: !calc doc.places.BASE_PREP_GLOBAL - BASE_SVN: !calc doc.places.BASE_SVN - BASE_VERIF: !calc doc.places.BASE_VERIF - CASE: !calc doc.case.CASE CASE_ENKF: !calc doc.case.CASE_ENKF - CHGRP_CMD: "'chgrp rstprod'" CNVGRIB: !calc doc.places.CNVGRIB COPYGB: !calc doc.places.COPYGB COPYGB2: !calc doc.places.COPYGB2 DMPDIR: !calc doc.places.DMPDIR DOHYBVAR: !calc doc.case.DOHYBVAR - DONST: NO DO_GDAS_FCST_POST: YES EDATE: !calc doc.case.EDATE FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" FORECASTSH: !expand "{doc.case.BASE_GSM}/scripts/exglobal_fcst_nemsfv3gfs.sh" FCSTEXECDIR: !expand "{doc.case.BASE_NEMSfv3gfs}/NEMS/exe" FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" - FHCYC: 24 - FHMAX: 9 - FHMAX_ENKF: 9 - FHMAX_GFS: 240 - FHMAX_HF_GFS: 0 - FHMIN: 0 - FHMIN_ENKF: 3 - FHMIN_GFS: 0 - FHOUT: 3 - FHOUT_ENKF: 3 - FHOUT_GFS: 6 - FHOUT_HF_GFS: 1 FLXF: YES GFSDOWNSH: !expand "{doc.case.BASE_GSM}/ush/fv3gfs_downstream_nems.sh" GFSDWNSH: !expand "{doc.case.BASE_GSM}/ush/fv3gfs_dwn_nems.sh" @@ -822,19 +930,7 @@ vrfy: &vrfy_action !Action memory: "3072M" accounting: !calc doc.platform.parallel_accounting # CDUMP: "gfs" - ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" - BASE_ENV: !calc doc.places.BASE_ENV - BASE_GSI: !calc doc.places.BASE_GSI - BASE_JOB: !calc doc.places.BASE_JOB - BASE_NEMSfv3gfs: !calc doc.places.BASE_NEMSfv3gfs - BASE_POST: !calc doc.places.BASE_POST - BASE_PREP: !calc doc.places.BASE_PREP - BASE_PREP_GLOBAL: !calc doc.places.BASE_PREP_GLOBAL - BASE_SVN: !calc doc.places.BASE_SVN - BASE_VERIF: !calc doc.places.BASE_VERIF - CASE: !calc doc.case.CASE CASE_ENKF: !calc doc.case.CASE_ENKF - CHGRP_CMD: "'chgrp rstprod'" CDFNL: "gdas" CDUMPFCST: "gdas" # Fit-to-obs with GDAS/GFS prepbufr CNVGRIB: !calc doc.places.CNVGRIB @@ -842,20 +938,6 @@ vrfy: &vrfy_action !Action COPYGB2: !calc doc.places.COPYGB2 DMPDIR: !calc doc.places.DMPDIR DOHYBVAR: !calc doc.case.DOHYBVAR - DONST: NO - EDATE: !calc doc.case.EDATE - FHCYC: !calc doc.case.FHCYC - FHMAX: !calc doc.case.FHMAX - FHMAX_ENKF: !calc doc.case.FHMAX_ENKF - FHMAX_GFS: !calc doc.case.FHMAX_GFS - FHMAX_HF_GFS: !calc doc.case.FHMAX_HF_GFS - FHMIN: !calc doc.case.FHMIN - FHMIN_ENKF: !calc doc.case.FHMIN_ENKF - FHMIN_GFS: !calc doc.case.FHMIN_GFS - FHOUT: !calc doc.case.FHOUT - FHOUT_ENKF: !calc doc.case.FHOUT_ENKF - FHOUT_GFS: !calc doc.case.FHOUT_GFS - FHOUT_HF_GFS: !calc doc.case.FHOUT_HF_GFS GRB2INDEX: !calc doc.platform.GRB2INDEX GRBINDEX: !calc doc.platform.GRBINDEX GRBINDEX2: !calc doc.platform.GRBINDEX2 @@ -868,7 +950,6 @@ vrfy: &vrfy_action !Action MPI_GROUP_MAX: !calc doc.platform.MPI_GROUP_MAX MPI_MEMMAP_OFF: !calc doc.platform.MPI_MEMMAP_OFF MP_STDOUTMODE: !calc doc.platform.MP_STDOUTMODE - MYBASE_SVN: !calc doc.places.MYBASE_SVN NCO_NAMING_CONV: !calc doc.platform.NCO_NAMING_CONV NCP: !calc doc.platform.NCP NDATE: !calc doc.platform.NDATE @@ -888,17 +969,11 @@ vrfy: &vrfy_action !Action QUILTING: YES REALTIME: !calc doc.case.REALTIME RECENTER_ENKF: !calc doc.case.RECENTER_ENKF - ROTDIR: !calc doc.places.ROTDIR - RTFMIX: !calc doc.places.RTMFIX - RUNDIR: !calc doc.places.RUNDIR SDATE: !calc doc.case.SDATE SMOOTH_ENKF: YES STMP: !calc doc.places.STMP - VERBOSE: YES VRFYGENESIS: YES # Cyclone genesis VRFYGMPK: NO # Gempak verification - WGRIB: !calc doc.places.WGRIB - WGRIB2: !calc doc.places.WGRIB2 WRITE_NEMSIOFILE: YES assim_freq: 6 memory_vrfy: "16384M" @@ -906,7 +981,3 @@ vrfy: &vrfy_action !Action npe_node_vrfy: 1 npe_vrfy: 1 nst_anl: YES - IB: !calc doc.places.WGRIB - WGRIB2: !calc doc.places.WGRIB2 - WRITE_NEMSIOFILE: YES - From aa9e780f6cad2282ab4cd5771e76f7a066b4bcb9 Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Fri, 20 Oct 2017 18:01:30 +0000 Subject: [PATCH 145/487] making actions.yaml sections --- model/fv3gfs/actions/010_post.yaml | 78 +++++------------------------- model/fv3gfs/actions/011_vrfy.yaml | 58 ++++++++++++++++++++++ model/fv3gfs/places.yaml | 35 +++++++------- 3 files changed, 88 insertions(+), 83 deletions(-) create mode 100644 model/fv3gfs/actions/011_vrfy.yaml diff --git a/model/fv3gfs/actions/010_post.yaml b/model/fv3gfs/actions/010_post.yaml index 679bc87..2fb6535 100644 --- a/model/fv3gfs/actions/010_post.yaml +++ b/model/fv3gfs/actions/010_post.yaml @@ -1,88 +1,34 @@ post: &post_action !Action - <<: *action_template + <<: *action_base J_JOB: post walltime: !timedelta 00:15:00 resources: !calc run_post.resources memory: "3072M" + use_other_vars: + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.fv3_gfs_settings, ".*" ] + - [ doc.data_assimilation, ".*" ] + - [ doc.platform.general_env, ".*" ] + - [ doc.platform.mpi_tunning, ".*" ] + - [ doc.fv3_settings, "LEVS|QUILTING|nst_anl" ] + - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + - [ doc.places, "ARCDIR|ATARDIR|BASE_GSI|BASE_NEMSfv3gfs|BASE_ENV|BASE_JOB|BASE_POST|BASE_PREP|BASE_PREP_GLOBAL|BASE_SVN|BASE_VERIF|FORECASTSH|FCSTEXECDIR|HOMEDIR|MYBASE_SVN|NC2NEMSIOSH|NOSCRUB|REGRID_NEMSIO_SH|REGRID_NEMSIO_TBL|POSTGPSH|POSTGPEXEC|POSTJJOBSH|PTMP|ROTDIR|RUNDIR|STMP|REMAPSH|NC2NEMSIOSH" ] + APRUN_DWN: !calc tools.command_without_exe(par,resources,'placeholder') APRUN_NP: !calc tools.command_without_exe(par,resources,'placeholder') - ARCDIR: !calc doc.case.ARCDIR - ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" - BASE_ENV: !calc doc.places.BASE_ENV - BASE_GSI: !calc doc.places.BASE_GSI - BASE_JOB: !calc doc.places.BASE_JOB - BASE_NEMSfv3gfs: !calc doc.places.BASE_NEMSfv3gfs - BASE_POST: !calc doc.places.BASE_POST - BASE_PREP: !calc doc.places.BASE_PREP - BASE_PREP_GLOBAL: !calc doc.places.BASE_PREP_GLOBAL - BASE_SVN: !calc doc.places.BASE_SVN - BASE_VERIF: !calc doc.places.BASE_VERIF - CASE: !calc doc.case.CASE CASE_ENKF: !calc doc.case.CASE_ENKF - CHGRP_CMD: "'chgrp rstprod'" - CNVGRIB: !calc doc.places.CNVGRIB - COPYGB: !calc doc.places.COPYGB - COPYGB2: !calc doc.places.COPYGB2 - DOHYBVAR: !calc doc.case.DOHYBVAR - DONST: NO DO_GDAS_FCST_POST: YES - EDATE: !calc doc.case.EDATE - FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" - FORECASTSH: !expand "{doc.case.BASE_GSM}/scripts/exglobal_fcst_nemsfv3gfs.sh" - FCSTEXECDIR: !expand "{doc.case.BASE_NEMSfv3gfs}/NEMS/exe" + FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" - FHCYC: 24 - FHMAX: 9 - FHMAX_ENKF: 9 - FHMAX_GFS: 240 - FHMAX_HF_GFS: 0 - FHMIN: 0 - FHMIN_ENKF: 3 - FHMIN_GFS: 0 - FHOUT: 3 - FHOUT_ENKF: 3 - FHOUT_GFS: 6 - FHOUT_HF_GFS: 1 FLXF: YES GFSDOWNSH: !expand "{doc.case.BASE_GSM}/ush/fv3gfs_downstream_nems.sh" GFSDWNSH: !expand "{doc.case.BASE_GSM}/ush/fv3gfs_dwn_nems.sh" GFS_DOWNSTREAM: YES GOESF: NO GPOST: YES - GRB2INDEX: "/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" - GRBINDEX: "/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" - GRBINDEX2: "/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" GTGF: NO - HOMEDIR: !calc doc.places.HOMEDIR - KEEPDATA: NO - LEVS: !calc doc.case.LEVS - MPI_BUFS_PER_HOST: !calc doc.platform.MPI_BUFS_PER_HOST - MPI_BUFS_PER_PROC: !calc doc.platform.MPI_BUFS_PER_PROC - MPI_GROUP_MAX: !calc doc.platform.MPI_GROUP_MAX - MPI_MEMMAP_OFF: !calc doc.platform.MPI_MEMMAP_OFF - MP_STDOUTMODE: !calc doc.platform.MP_STDOUTMODE - MYBASE_SVN: !calc doc.places.MYBASE_SVN NCO_NAMING_CONV: !calc doc.platform.NCO_NAMING_CONV - NCP: !calc doc.platform.NCP - NLN: !calc doc.platform.NLN - NMEM_ENKF: !calc doc.case.NMEM_ENKF - NMV: !calc doc.platform.NMV - NOSCRUB: !calc doc.case.NOSCRUB NTHREADS_DWN: 2 NTHREADS_NP: 1 - NTHSTACK: 1024000000 - OMP_STACKSIZE: !calc doc.platform.OMP_STACKSIZE - OUTPUT_GRID: "gaussian_grid" - POSTJJOBSH: !expand "{doc.case.BASE_WORKFLOW}/jobs/JGFS_POST.sh" - POSTGPSH: !expand "{doc.case.BASE_POST}/ush/global_nceppost.sh" - POSTGPEXEC: !expand "{doc.case.BASE_POST}/exec/ncep_post" - PSLOT: !calc doc.places.PSLOT - QUILTING: YES - REALTIME: !calc doc.case.REALTIME - RECENTER_ENKF: !calc doc.case.RECENTER_ENKF - ROTDIR: !calc doc.case.rundir - GFS_DOWNSTREAM: "YES" - GFSDOWNSH: !expand "{doc.case.BASE_WORKFLOW}/ush/fv3gfs_downstream_nems.sh" - GFSDWNSH: !expand "{doc.case.BASE_WORKFLOW}/ush/fv3gfs_dwn_nems.sh" downset: 1 diff --git a/model/fv3gfs/actions/011_vrfy.yaml b/model/fv3gfs/actions/011_vrfy.yaml new file mode 100644 index 0000000..531492a --- /dev/null +++ b/model/fv3gfs/actions/011_vrfy.yaml @@ -0,0 +1,58 @@ + +vrfy: &vrfy_action !Action + <<: [ *case, *action_template ] + J_JOB: vrfy + Template: + <<: [ *vrfy_template, *fv3_resolution ] + walltime: !timedelta 01:00:00 + resources: !calc run_vrfy.resources + memory: "3072M" + accounting: !calc doc.platform.parallel_accounting +# CDUMP: "gfs" + CASE_ENKF: !calc doc.case.CASE_ENKF + CDFNL: "gdas" + CDUMPFCST: "gdas" # Fit-to-obs with GDAS/GFS prepbufr + CNVGRIB: !calc doc.places.CNVGRIB + COPYGB: !calc doc.places.COPYGB + COPYGB2: !calc doc.places.COPYGB2 + DOHYBVAR: !calc doc.case.DOHYBVAR + GRB2INDEX: !calc doc.platform.GRB2INDEX + GRBINDEX: !calc doc.platform.GRBINDEX + GRBINDEX2: !calc doc.platform.GRBINDEX2 + GRIB1_WORKS: NO + HOMEDIR: !calc doc.case.HOMEDIR + LEVS: !calc doc.case.LEVS + KEEPDATA: NO + MPI_BUFS_PER_HOST: !calc doc.platform.MPI_BUFS_PER_HOST + MPI_BUFS_PER_PROC: !calc doc.platform.MPI_BUFS_PER_PROC + MPI_GROUP_MAX: !calc doc.platform.MPI_GROUP_MAX + MPI_MEMMAP_OFF: !calc doc.platform.MPI_MEMMAP_OFF + MP_STDOUTMODE: !calc doc.platform.MP_STDOUTMODE + NCO_NAMING_CONV: !calc doc.platform.NCO_NAMING_CONV + NCP: !calc doc.platform.NCP + NLN: !calc doc.platform.NLN + NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMV: !calc doc.platform.NMV + NOSCRUB: !calc doc.case.NOSCRUB + NTHSTACK: 1024000000 + OMP_STACKSIZE: !calc doc.platform.OMP_STACKSIZE + OUTPUT_GRID: "gaussian_grid" + POSTJJOBSH: !expand "{doc.case.BASE_WORKFLOW}/jobs/JGFS_POST.sh" + PSLOT: !calc doc.places.PSLOT + PTMP: !calc doc.places.PTMP + QUILTING: YES + REALTIME: !calc doc.case.REALTIME + RECENTER_ENKF: !calc doc.case.RECENTER_ENKF + ROTDIR: !calc doc.places.ROTDIR + RUNDIR: !calc doc.places.RUNDIR + SDATE: !calc doc.case.SDATE + SMOOTH_ENKF: YES + STMP: !calc doc.places.STMP + VRFYGENESIS: YES # Cyclone genesis + VRFYGMPK: NO # Gempak verification + WRITE_NEMSIOFILE: YES + assim_freq: 6 + memory_vrfy: "16384M" + nst_anl: YES + WRITE_NEMSIOFILE: YES + diff --git a/model/fv3gfs/places.yaml b/model/fv3gfs/places.yaml index 4f91b91..c799a05 100644 --- a/model/fv3gfs/places.yaml +++ b/model/fv3gfs/places.yaml @@ -48,22 +48,23 @@ places: &places # Locations of specific scripts: - POSTJJOBSH: !expand "{doc.case.BASE_WORKFLOW}/jobs/JGFS_POST.sh" - ENKFFCSTSH: !expand "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" - ENKFRECENSH: !expand "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_recenter_fv3gfs.sh.ecf" - CHGRESSH: !expand "{doc.places.BASE_GSM}/ush/global_chgres_GSM.sh" - CHGRESEXEC: !expand "{doc.places.BASE_GSM}/exec/global_chgres_GSM" - ENKFINVOBSSH: !expand "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" - INVOBSSH: !expand "{doc.case.BASE_GSI}/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" - ENKFEXEC: !expand "{doc.case.BASE_GSI}/exec/global_enkf" + POSTJJOBSH: !expand "{BASE_WORKFLOW}/jobs/JGFS_POST.sh" + ENKFFCSTSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" + ENKFRECENSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_recenter_fv3gfs.sh.ecf" + CHGRESSH: !expand "{BASE_GSM}/ush/global_chgres_GSM.sh" + CHGRESEXEC: !expand "{BASE_GSM}/exec/global_chgres_GSM" + ENKFINVOBSSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" + INVOBSSH: !expand "{BASE_GSI}/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" + ENKFEXEC: !expand "{BASE_GSI}/exec/global_enkf" - FCSTEXECDIR: !expand "{doc.case.BASE_GSM}/sorc/fv3gfs.fd/NEMS/exe" - FORECASTSH: !expand "{doc.case.BASE_GSM}/scripts/exglobal_fcst_nemsfv3gfs.sh" + FCSTEXECDIR: !expand "{BASE_GSM}/sorc/fv3gfs.fd/NEMS/exe" + FORECASTSH: !expand "{BASE_GSM}/scripts/exglobal_fcst_nemsfv3gfs.sh" + POSTGPSH: !expand "{BASE_POST}/ush/global_nceppost.sh" + POSTGPEXEC: !expand "{BASE_POST}/exec/ncep_post" + FIELD_TABLE: !expand "{BASE_GSM}/parm/parm_fv3diag/field_table_ncld1" - FIELD_TABLE: !expand "{doc.case.BASE_GSM}/parm/parm_fv3diag/field_table_ncld1" - - REGRID_NEMSIO_SH: !expand "{doc.case.BASE_GSM}/ush/fv3gfs_regrid_nemsio.sh" - REGRID_NEMSIO_TBL: !expand "{doc.case.BASE_GSM}/parm/parm_fv3diag/variable_table_da_nonsst.txt" - NC2NEMSIOSH: !expand "{doc.case.BASE_GSM}/ush/fv3gfs_nc2nemsio.sh" - REMAPSH: !expand "{doc.case.BASE_GSM}/ush/fv3gfs_remap.sh" - DRIVE_MAKEPREPBUFRSH: !expand "{doc.case.BASE_GSM}/ush/drive_makeprepbufr.sh" + REGRID_NEMSIO_SH: !expand "{BASE_GSM}/ush/fv3gfs_regrid_nemsio.sh" + REGRID_NEMSIO_TBL: !expand "{BASE_GSM}/parm/parm_fv3diag/variable_table_da_nonsst.txt" + NC2NEMSIOSH: !expand "{BASE_GSM}/ush/fv3gfs_nc2nemsio.sh" + REMAPSH: !expand "{BASE_GSM}/ush/fv3gfs_remap.sh" + DRIVE_MAKEPREPBUFRSH: !expand "{BASE_GSM}/ush/drive_makeprepbufr.sh" From 82c08204f96c23c9ea2916e8a984cb886df175c2 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 20 Oct 2017 18:25:03 +0000 Subject: [PATCH 146/487] fv3gfs: Update jobs/*sh to point to new locations for shell variables. Remove one unneeded scope --- model/fv3gfs/actions/000_base.yaml | 1 - model/fv3gfs/jobs/anal.sh | 8 +------- model/fv3gfs/jobs/arch.sh | 2 +- model/fv3gfs/jobs/earc.sh | 2 +- model/fv3gfs/jobs/ecen.sh | 2 +- model/fv3gfs/jobs/efcs.sh | 2 +- model/fv3gfs/jobs/eobs.sh | 2 +- model/fv3gfs/jobs/eomg.sh | 2 +- model/fv3gfs/jobs/epos.sh | 2 +- model/fv3gfs/jobs/eupd.sh | 2 +- model/fv3gfs/jobs/fcst.sh | 2 +- model/fv3gfs/jobs/fv3ic.sh | 2 +- model/fv3gfs/jobs/getic.sh | 2 +- model/fv3gfs/jobs/post.sh | 2 +- model/fv3gfs/jobs/prep.sh | 2 +- model/fv3gfs/jobs/vrfy.sh | 2 +- 16 files changed, 15 insertions(+), 22 deletions(-) diff --git a/model/fv3gfs/actions/000_base.yaml b/model/fv3gfs/actions/000_base.yaml index ff732fc..8056b59 100644 --- a/model/fv3gfs/actions/000_base.yaml +++ b/model/fv3gfs/actions/000_base.yaml @@ -15,7 +15,6 @@ action_base: &action_base # Variables to import in shell: shell_vars: [ "[A-Z][A-Z0-9_]*$" ] - resource_env: {} # overridden by actions as needed # Used to convert resources to shell commands: accounting: !calc doc.platform.parallel_accounting diff --git a/model/fv3gfs/jobs/anal.sh b/model/fv3gfs/jobs/anal.sh index 0daeff1..9883c4d 100755 --- a/model/fv3gfs/jobs/anal.sh +++ b/model/fv3gfs/jobs/anal.sh @@ -20,13 +20,7 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.resource_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH SCOPES:shell_env_scopes ) -for scope in $SCOPES ; do - eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -done - -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:data_assimilation import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:use_other_vars ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/arch.sh b/model/fv3gfs/jobs/arch.sh index 645a3c1..73dd525 100755 --- a/model/fv3gfs/jobs/arch.sh +++ b/model/fv3gfs/jobs/arch.sh @@ -20,7 +20,7 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.resource_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:use_other_vars ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/earc.sh b/model/fv3gfs/jobs/earc.sh index 6c7b212..46ab2ce 100755 --- a/model/fv3gfs/jobs/earc.sh +++ b/model/fv3gfs/jobs/earc.sh @@ -21,7 +21,7 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.resource_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:use_other_vars ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/ecen.sh b/model/fv3gfs/jobs/ecen.sh index 2e6ed8e..e643997 100755 --- a/model/fv3gfs/jobs/ecen.sh +++ b/model/fv3gfs/jobs/ecen.sh @@ -20,7 +20,7 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.resource_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:use_other_vars ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/efcs.sh b/model/fv3gfs/jobs/efcs.sh index ad8e87a..4be77b8 100755 --- a/model/fv3gfs/jobs/efcs.sh +++ b/model/fv3gfs/jobs/efcs.sh @@ -21,7 +21,7 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.resource_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:use_other_vars ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/eobs.sh b/model/fv3gfs/jobs/eobs.sh index 4efc209..85be256 100755 --- a/model/fv3gfs/jobs/eobs.sh +++ b/model/fv3gfs/jobs/eobs.sh @@ -20,7 +20,7 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.resource_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:use_other_vars ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/eomg.sh b/model/fv3gfs/jobs/eomg.sh index c2cf1ec..b5c1a76 100755 --- a/model/fv3gfs/jobs/eomg.sh +++ b/model/fv3gfs/jobs/eomg.sh @@ -21,7 +21,7 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.resource_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:use_other_vars ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/epos.sh b/model/fv3gfs/jobs/epos.sh index 0973bdd..168ad03 100755 --- a/model/fv3gfs/jobs/epos.sh +++ b/model/fv3gfs/jobs/epos.sh @@ -20,7 +20,7 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.resource_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:use_other_vars ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/eupd.sh b/model/fv3gfs/jobs/eupd.sh index 70563aa..bfeaca7 100755 --- a/model/fv3gfs/jobs/eupd.sh +++ b/model/fv3gfs/jobs/eupd.sh @@ -20,7 +20,7 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.resource_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:use_other_vars ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/fcst.sh b/model/fv3gfs/jobs/fcst.sh index bc7ac87..52da916 100755 --- a/model/fv3gfs/jobs/fcst.sh +++ b/model/fv3gfs/jobs/fcst.sh @@ -20,7 +20,7 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.resource_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:use_other_vars ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/fv3ic.sh b/model/fv3gfs/jobs/fv3ic.sh index 13be3f9..f2ec442 100755 --- a/model/fv3gfs/jobs/fv3ic.sh +++ b/model/fv3gfs/jobs/fv3ic.sh @@ -23,7 +23,7 @@ export CDUMP=${3:-$CDUMP} set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.resource_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:use_other_vars ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/getic.sh b/model/fv3gfs/jobs/getic.sh index 9955ead..5bf9e3c 100755 --- a/model/fv3gfs/jobs/getic.sh +++ b/model/fv3gfs/jobs/getic.sh @@ -20,7 +20,7 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.resource_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:use_other_vars ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/post.sh b/model/fv3gfs/jobs/post.sh index 9fdbd6e..186be3c 100755 --- a/model/fv3gfs/jobs/post.sh +++ b/model/fv3gfs/jobs/post.sh @@ -20,7 +20,7 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.resource_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:use_other_vars ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/prep.sh b/model/fv3gfs/jobs/prep.sh index f21c3a3..2e79807 100755 --- a/model/fv3gfs/jobs/prep.sh +++ b/model/fv3gfs/jobs/prep.sh @@ -20,7 +20,7 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.resource_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:use_other_vars ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/vrfy.sh b/model/fv3gfs/jobs/vrfy.sh index 6deed42..7262467 100755 --- a/model/fv3gfs/jobs/vrfy.sh +++ b/model/fv3gfs/jobs/vrfy.sh @@ -20,7 +20,7 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH.resource_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:use_other_vars ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME From f5fada03c16f6e72b23a044fede50db95452c267 Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Fri, 20 Oct 2017 19:20:55 +0000 Subject: [PATCH 147/487] split action.yaml --- model/fv3gfs/actions/010_post.yaml | 4 +- model/fv3gfs/actions/011_vrfy.yaml | 104 ++++++++++++++++++----------- model/fv3gfs/places.yaml | 8 +++ model/fv3gfs/setup_expt.py | 2 +- 4 files changed, 74 insertions(+), 44 deletions(-) diff --git a/model/fv3gfs/actions/010_post.yaml b/model/fv3gfs/actions/010_post.yaml index 2fb6535..9623705 100644 --- a/model/fv3gfs/actions/010_post.yaml +++ b/model/fv3gfs/actions/010_post.yaml @@ -13,7 +13,7 @@ post: &post_action !Action - [ doc.platform.mpi_tunning, ".*" ] - [ doc.fv3_settings, "LEVS|QUILTING|nst_anl" ] - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - - [ doc.places, "ARCDIR|ATARDIR|BASE_GSI|BASE_NEMSfv3gfs|BASE_ENV|BASE_JOB|BASE_POST|BASE_PREP|BASE_PREP_GLOBAL|BASE_SVN|BASE_VERIF|FORECASTSH|FCSTEXECDIR|HOMEDIR|MYBASE_SVN|NC2NEMSIOSH|NOSCRUB|REGRID_NEMSIO_SH|REGRID_NEMSIO_TBL|POSTGPSH|POSTGPEXEC|POSTJJOBSH|PTMP|ROTDIR|RUNDIR|STMP|REMAPSH|NC2NEMSIOSH" ] + - [ doc.places, "ARCDIR|ATARDIR|BASE_GSI|BASE_NEMSfv3gfs|BASE_ENV|BASE_JOB|BASE_POST|BASE_PREP|BASE_PREP_GLOBAL|BASE_SVN|BASE_VERIF|FORECASTSH|FCSTEXECDIR|GFSDOWNSH|GFSDWNSH|HOMEDIR|MYBASE_SVN|NC2NEMSIOSH|NOSCRUB|REGRID_NEMSIO_SH|REGRID_NEMSIO_TBL|POSTGPSH|POSTGPEXEC|POSTJJOBSH|PTMP|ROTDIR|RUNDIR|STMP|REMAPSH|NC2NEMSIOSH" ] APRUN_DWN: !calc tools.command_without_exe(par,resources,'placeholder') APRUN_NP: !calc tools.command_without_exe(par,resources,'placeholder') @@ -22,8 +22,6 @@ post: &post_action !Action FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" FLXF: YES - GFSDOWNSH: !expand "{doc.case.BASE_GSM}/ush/fv3gfs_downstream_nems.sh" - GFSDWNSH: !expand "{doc.case.BASE_GSM}/ush/fv3gfs_dwn_nems.sh" GFS_DOWNSTREAM: YES GOESF: NO GPOST: YES diff --git a/model/fv3gfs/actions/011_vrfy.yaml b/model/fv3gfs/actions/011_vrfy.yaml index 531492a..2cedc84 100644 --- a/model/fv3gfs/actions/011_vrfy.yaml +++ b/model/fv3gfs/actions/011_vrfy.yaml @@ -1,58 +1,82 @@ vrfy: &vrfy_action !Action - <<: [ *case, *action_template ] + <<: *action_base + Tmeplate: *002_vrfy J_JOB: vrfy - Template: - <<: [ *vrfy_template, *fv3_resolution ] walltime: !timedelta 01:00:00 resources: !calc run_vrfy.resources memory: "3072M" - accounting: !calc doc.platform.parallel_accounting -# CDUMP: "gfs" + + use_other_vars: + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.fv3_gfs_settings, ".*" ] + - [ doc.data_assimilation, ".*" ] + - [ doc.platform.general_env, ".*" ] + - [ doc.platform.mpi_tunning, ".*" ] + - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] + - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + - [ doc.places, "ARCDIR|ATARDIR|BASE_GSI|BASE_NEMSfv3gfs|BASE_ENV|BASE_JOB|BASE_POST|BASE_PREP|BASE_PREP_GLOBAL|BASE_SVN|BASE_VERIF|FORECASTSH|FCSTEXECDIR|GFSDOWNSH|GFSDWNSH|HOMEDIR|MYBASE_SVN|NC2NEMSIOSH|NOSCRUB|REGRID_NEMSIO_SH|REGRID_NEMSIO_TBL|POSTGPSH|POSTGPEXEC|POSTJJOBSH|PTMP|ROTDIR|RUNDIR|STMP|REMAPSH|NC2NEMSIOSH" ] + CASE_ENKF: !calc doc.case.CASE_ENKF CDFNL: "gdas" CDUMPFCST: "gdas" # Fit-to-obs with GDAS/GFS prepbufr - CNVGRIB: !calc doc.places.CNVGRIB - COPYGB: !calc doc.places.COPYGB - COPYGB2: !calc doc.places.COPYGB2 - DOHYBVAR: !calc doc.case.DOHYBVAR - GRB2INDEX: !calc doc.platform.GRB2INDEX - GRBINDEX: !calc doc.platform.GRBINDEX - GRBINDEX2: !calc doc.platform.GRBINDEX2 GRIB1_WORKS: NO - HOMEDIR: !calc doc.case.HOMEDIR - LEVS: !calc doc.case.LEVS - KEEPDATA: NO - MPI_BUFS_PER_HOST: !calc doc.platform.MPI_BUFS_PER_HOST - MPI_BUFS_PER_PROC: !calc doc.platform.MPI_BUFS_PER_PROC - MPI_GROUP_MAX: !calc doc.platform.MPI_GROUP_MAX - MPI_MEMMAP_OFF: !calc doc.platform.MPI_MEMMAP_OFF - MP_STDOUTMODE: !calc doc.platform.MP_STDOUTMODE NCO_NAMING_CONV: !calc doc.platform.NCO_NAMING_CONV - NCP: !calc doc.platform.NCP - NLN: !calc doc.platform.NLN - NMEM_ENKF: !calc doc.case.NMEM_ENKF - NMV: !calc doc.platform.NMV - NOSCRUB: !calc doc.case.NOSCRUB - NTHSTACK: 1024000000 - OMP_STACKSIZE: !calc doc.platform.OMP_STACKSIZE - OUTPUT_GRID: "gaussian_grid" - POSTJJOBSH: !expand "{doc.case.BASE_WORKFLOW}/jobs/JGFS_POST.sh" - PSLOT: !calc doc.places.PSLOT - PTMP: !calc doc.places.PTMP - QUILTING: YES - REALTIME: !calc doc.case.REALTIME - RECENTER_ENKF: !calc doc.case.RECENTER_ENKF - ROTDIR: !calc doc.places.ROTDIR - RUNDIR: !calc doc.places.RUNDIR - SDATE: !calc doc.case.SDATE SMOOTH_ENKF: YES - STMP: !calc doc.places.STMP + BACKDATEVSDB: !FirstTrue + - when: !calc CDUMP=="gfs" + do: 24 + - otherwise: + do: NULL + VBACKUP_PRCP: !FirstTrue + - when: !calc CDUMP=="gfs" + do: 24 + - otherwise: + do: NULL + VSDBSH: !FirstTrue + - when: !calc CDUMP=="gfs" + do: !doc.places.VSDBSH + - otherwise: + do: NULL + ftyplist: !FirstTrue + - when: !calc CDUMP=="gfs" + do: "pgbq" + - otherwise: + do: NULL + vhr_rain: !FirstTrue + - when: !calc CDUMP=="gfs" + do: 240 + - otherwise: + do: NULL + vlength: !FirstTrue + - when: !calc CDUMP=="gfs" + do: 240 + - otherwise: + do: NULL + vsdbhome: !FirstTrue + - when: !calc CDUMP=="gfs" + do: !doc.places.vsdbhome + - otherwise: + do: NULL + vsdbsave: !FirstTrue + - when: !calc CDUMP=="gfs" + do: !doc.places.vsdbsave + - otherwise: + do: NULL + VDUMP: "gfs" + VRFYG2OBS: NO + VRFYGENESIS: NO + VRFYGMPK: NO + VRFYMINMON: NO + VRFYOZN: NO + VRFYPRCP: YES + VRFYRAD: NO + VRFYTRAK: NO + VSDB_STEP1: YES + VSDB_STEP2: NO VRFYGENESIS: YES # Cyclone genesis VRFYGMPK: NO # Gempak verification WRITE_NEMSIOFILE: YES assim_freq: 6 memory_vrfy: "16384M" nst_anl: YES - WRITE_NEMSIOFILE: YES - diff --git a/model/fv3gfs/places.yaml b/model/fv3gfs/places.yaml index c799a05..8fab832 100644 --- a/model/fv3gfs/places.yaml +++ b/model/fv3gfs/places.yaml @@ -43,9 +43,15 @@ places: &places BASE_PREP_GLOBAL: !expand "{BASE_SVN}/obsproc/releases/obsproc_global_RB-3.0.0" BASE_VERIF: !expand "{BASE_SVN}/verif/global/tags/vsdb" + GFSDOWNSH: !expand "{BASE_GSM}/ush/fv3gfs_downstream_nems.sh" + GFSDWNSH: !expand "{BASE_GSM}/ush/fv3gfs_dwn_nems.sh" + WGRIB: "/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" WGRIB2: "/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" + vsdbhome: "/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" + vsdbsave: "/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/vsdb_data" + # Locations of specific scripts: POSTJJOBSH: !expand "{BASE_WORKFLOW}/jobs/JGFS_POST.sh" @@ -63,6 +69,8 @@ places: &places POSTGPEXEC: !expand "{BASE_POST}/exec/ncep_post" FIELD_TABLE: !expand "{BASE_GSM}/parm/parm_fv3diag/field_table_ncld1" + VSDBSH: "/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb/vsdbjob.sh" + REGRID_NEMSIO_SH: !expand "{BASE_GSM}/ush/fv3gfs_regrid_nemsio.sh" REGRID_NEMSIO_TBL: !expand "{BASE_GSM}/parm/parm_fv3diag/variable_table_da_nonsst.txt" NC2NEMSIOSH: !expand "{BASE_GSM}/ush/fv3gfs_nc2nemsio.sh" diff --git a/model/fv3gfs/setup_expt.py b/model/fv3gfs/setup_expt.py index 4ee0d48..6961ee1 100755 --- a/model/fv3gfs/setup_expt.py +++ b/model/fv3gfs/setup_expt.py @@ -31,7 +31,7 @@ 'resolution.yaml', 'places.yaml', 'settings.yaml' ]+ \ - sys.argv[1:] + ['runtime.yaml','actions.yaml','workflow.yaml'] + sys.argv[1:] + ['runtime.yaml','workflow.yaml','actions/*.yaml'] conf=crow.config.from_file(*yamls) logger.info('Remove platforms from configuration.') From 247ad570e15fd8aea4afb3d9489698d226d41b07 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 20 Oct 2017 19:35:52 +0000 Subject: [PATCH 148/487] fv3gfs: update setup_expt.py to load new yaml files --- model/fv3gfs/setup_expt.py | 17 ++++++++--------- 1 file changed, 8 insertions(+), 9 deletions(-) diff --git a/model/fv3gfs/setup_expt.py b/model/fv3gfs/setup_expt.py index 4ee0d48..f45a185 100755 --- a/model/fv3gfs/setup_expt.py +++ b/model/fv3gfs/setup_expt.py @@ -1,6 +1,6 @@ #! /usr/bin/env python3.6 -import os, sys, logging +import os, sys, logging, glob try: import crow.config @@ -24,14 +24,13 @@ logger.error('Format: setup_expt.py case.yaml') exit(1) -yamls=[ - 'resources.yaml', - 'platform.yaml', - 'template.yaml', - 'resolution.yaml', - 'places.yaml', - 'settings.yaml' ]+ \ - sys.argv[1:] + ['runtime.yaml','actions.yaml','workflow.yaml'] +yamls = [ 'resources.yaml', 'platform.yaml', ] +yamls += sorted(list(glob.glob('validation/*'))) +yamls += [ 'places.yaml', 'settings.yaml' ] +yamls += sys.argv[1:] + ['runtime.yaml'] +yamls += sorted(list(glob.glob('actions/*'))) +yamls += ['workflow.yaml'] + conf=crow.config.from_file(*yamls) logger.info('Remove platforms from configuration.') From c61e328d710a9eb6e144f45ef8af9cc64125330e Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 20 Oct 2017 20:02:16 +0000 Subject: [PATCH 149/487] Add new !Inherit type that will import other scopes' variables during schema validation, before the Template is validated. --- crow/config/eval_tools.py | 18 +++++++++++++++++- crow/config/from_yaml.py | 6 +++++- crow/config/template.py | 15 +++++++++++++++ examples/toy-yaml/actions.yml | 11 +++++++++++ examples/toy-yaml/example-config.py | 8 ++++++++ model/fv3gfs/actions/001_ecen.yaml | 2 +- model/fv3gfs/actions/002_anal.yaml | 2 +- model/fv3gfs/actions/002_epos.yaml | 2 +- model/fv3gfs/actions/003_eobs.yaml | 2 +- model/fv3gfs/actions/004_eomg.yaml | 2 +- model/fv3gfs/actions/005_eupd.yaml | 2 +- model/fv3gfs/actions/006_efcs.yaml | 2 +- model/fv3gfs/actions/007_earc.yaml | 2 +- model/fv3gfs/actions/008_prep.yaml | 2 +- model/fv3gfs/actions/009_fcst.yaml | 2 +- model/fv3gfs/actions/010_post.yaml | 2 +- model/fv3gfs/actions/011_vrfy.yaml | 2 +- model/fv3gfs/jobs/anal.sh | 2 +- model/fv3gfs/jobs/arch.sh | 2 +- model/fv3gfs/jobs/earc.sh | 2 +- model/fv3gfs/jobs/ecen.sh | 2 +- model/fv3gfs/jobs/efcs.sh | 2 +- model/fv3gfs/jobs/eobs.sh | 2 +- model/fv3gfs/jobs/eomg.sh | 2 +- model/fv3gfs/jobs/epos.sh | 2 +- model/fv3gfs/jobs/eupd.sh | 2 +- model/fv3gfs/jobs/fcst.sh | 2 +- model/fv3gfs/jobs/fv3ic.sh | 2 +- model/fv3gfs/jobs/getic.sh | 2 +- model/fv3gfs/jobs/post.sh | 2 +- model/fv3gfs/jobs/prep.sh | 2 +- model/fv3gfs/jobs/vrfy.sh | 2 +- 32 files changed, 83 insertions(+), 29 deletions(-) diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 1e06a61..e0335af 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -146,6 +146,7 @@ def __copy__(self): d=cls(self.__child,self._path) d.__globals=self.__globals return d + def _invalidate_cache(self,key=None): if key is None: self.__cache=copy(self.__child) @@ -186,8 +187,23 @@ def __setitem__(self,k,v): def __delitem__(self,k): del(self.__child[k], self.__cache[k]) def __iter__(self): for k in self.__child.keys(): yield k - def _validate(self,stage): + def _validate(self,stage,memo=None): """!Validates this dict_eval using its embedded Template object, if present """ + # Make sure we don't get infinite recursion: + if memo is None: memo=set() + if id(self) in memo: + raise ValidationRecursionError( + f'{self._path}: cyclic Inherit detected') + memo.add(id(self)) + + # Inherit from other scopes: + if 'Inherit' in self and hasattr(self.Inherit,'_update'): + print(f'{self._path}: call Inherit._update') + self.Inherit._update(self,self.__globals,self,stage,memo) + elif 'Inherit' in self: + print(f'{type(self.Inherit).__name__} {repr(self.Inherit)}') + + # Validate this scope: if 'Template' in self: tmpl=self.Template if not hasattr(tmpl,'_check_scope'): diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index 10389b5..49c89d1 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -19,7 +19,7 @@ from crow.config.eval_tools import * from crow.config.represent import * from crow.config.tasks import * -from crow.config.template import Template +from crow.config.template import * from crow.config.exceptions import * from crow.tools import to_timedelta import crow.sysenv @@ -36,6 +36,7 @@ class FirstMinYAML(list): yaml_tag=u'!FirstMin' class FirstTrueYAML(list): yaml_tag=u'!FirstTrue' class LastTrueYAML(list): yaml_tag=u'!LastTrue' class ImmediateYAML(list): yaml_tag=u'!Immediate' +class InheritYAML(list): yaml_tag=u'!Inherit' class ClockYAML(dict): yaml_tag=u'!Clock' class EvalYAML(dict): pass @@ -136,6 +137,7 @@ def constructor(loader,node): add_yaml_sequence(u'!LastTrue',LastTrueYAML) add_yaml_sequence(u'!FirstTrue',FirstTrueYAML) add_yaml_sequence(u'!Immediate',ImmediateYAML) +add_yaml_sequence(u'!Inherit',InheritYAML) add_yaml_sequence(u'!JobRequest',JobResourceSpecMakerYAML) ## @var CONDITIONALS @@ -220,6 +222,8 @@ def to_eval_impl(self,v,locals,path): return ClockMaker(self.from_dict(v,path=path)) elif cls is ImmediateYAML: return self.from_list(v,locals,Immediate,path) + elif cls is InheritYAML: + return self.from_list(v,locals,Inherit,path) elif cls is JobResourceSpecMakerYAML: return self.from_list(v,locals,JobResourceSpecMaker,path) elif isinstance(v,list) and v and isinstance(v[0],tuple) \ diff --git a/crow/config/template.py b/crow/config/template.py index f3c3d1c..52c960f 100644 --- a/crow/config/template.py +++ b/crow/config/template.py @@ -11,12 +11,27 @@ """ +import re from copy import copy from datetime import timedelta, datetime from crow.config.exceptions import * from crow.config.eval_tools import list_eval, dict_eval, multidict, from_config from crow.config.represent import GenericList, GenericDict, GenericOrderedDict +IGNORE_WHILE_INHERITING = [ 'Inherit', 'Template' ] + +class Inherit(list_eval): + def _update(self,target,globals,locals,stage,memo): + for scopename,regex in reversed(self): + scopename=str(scopename) + scope=eval(scopename,globals,locals) + if hasattr(scope,'_validate'): + scope._validate(stage,memo) + for key in scope: + if key not in IGNORE_WHILE_INHERITING and \ + re.search(regex,key) and key not in target: + target[key]=scope[key] + class Template(dict_eval): """!Internal implementation of the YAML Template type. Validates a dict_eval, inserting defaults and reporting errors via the diff --git a/examples/toy-yaml/actions.yml b/examples/toy-yaml/actions.yml index 50240b7..3665d82 100644 --- a/examples/toy-yaml/actions.yml +++ b/examples/toy-yaml/actions.yml @@ -39,6 +39,17 @@ fcst: &fcst !Action - mpi_ranks: 192 threads: 4 +fanciness: + fancy_var: 5 + not_fancy: 6 + +fancy_fcst: &fancy_fcst !Action + <<: *fcst + Inherit: !Inherit + - [ doc.fanciness, 'fancy_var' ] + - [ doc.gfsfcst, 'stuff' ] + Template: *fcst_vars + gfsfcst: &gfsfcst !Action <<: *fcst stuff: [ !calc a+b , !calc 2*2 ] diff --git a/examples/toy-yaml/example-config.py b/examples/toy-yaml/example-config.py index f641480..a1636ef 100755 --- a/examples/toy-yaml/example-config.py +++ b/examples/toy-yaml/example-config.py @@ -72,3 +72,11 @@ print('Test the message clause of contionals.') config.test.message + +print('Test !Inherit') +crow.config.validate(config.fancy_fcst) +print(list(config.fancy_fcst.keys())) +print(f'fancy_fcst.stuff[0] = 30 = {config.fancy_fcst.stuff[0]}') +print(f'fancy_fcst.fancy_var = 5 = {config.fancy_fcst.fancy_var}') +if 'not_fancy' in config.fancy_fcst: + raise Exception('Bad! Should not have seen fancy_fcst.not_fancy!') diff --git a/model/fv3gfs/actions/001_ecen.yaml b/model/fv3gfs/actions/001_ecen.yaml index 63bed16..05a6d40 100644 --- a/model/fv3gfs/actions/001_ecen.yaml +++ b/model/fv3gfs/actions/001_ecen.yaml @@ -28,7 +28,7 @@ ecen: &ecen_action !Action NTHREADS_ECEN: ! nodes.omp_threads_for(resources[0]) APRUN_CHGRES: "time" - use_other_vars: + Inherit: !Inherit - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.fv3_enkf_settings, "FHCYC" ] - [ doc.data_assimilation, ".*" ] diff --git a/model/fv3gfs/actions/002_anal.yaml b/model/fv3gfs/actions/002_anal.yaml index 6aab138..c2cdac4 100644 --- a/model/fv3gfs/actions/002_anal.yaml +++ b/model/fv3gfs/actions/002_anal.yaml @@ -24,7 +24,7 @@ anal: &anal_action !Action do: "aprun -j 1 -n \\$ncmd -N 1 -d {NTHREADS_CALCINC} -cc depth" NTHREADS_CALCINC: 1 - use_other_vars: + Inherit: !Inherit - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.fv3_enkf_settings, "FHCYC" ] - [ doc.data_assimilation, ".*" ] diff --git a/model/fv3gfs/actions/002_epos.yaml b/model/fv3gfs/actions/002_epos.yaml index a79a11a..e5be417 100644 --- a/model/fv3gfs/actions/002_epos.yaml +++ b/model/fv3gfs/actions/002_epos.yaml @@ -10,7 +10,7 @@ epos: &epos_action !Action CASE: !calc doc.case.CASE_ENKF ENKFPOSTSH: !expand "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_post_fv3gfs.sh.ecf" - use_other_vars: + Inherit: !Inherit - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.fv3_enkf_settings, "FHCYC" ] - [ doc.data_assimilation, ".*" ] diff --git a/model/fv3gfs/actions/003_eobs.yaml b/model/fv3gfs/actions/003_eobs.yaml index 362415d..6742e83 100644 --- a/model/fv3gfs/actions/003_eobs.yaml +++ b/model/fv3gfs/actions/003_eobs.yaml @@ -11,7 +11,7 @@ eobs: &eobs_action !Action APRUN_GSI: !calc tools.command_without_exe(par,gsi_resources,'placeholder') - use_other_vars: + Inherit: !Inherit - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.fv3_enkf_settings, "FHCYC" ] - [ doc.data_assimilation, ".*" ] diff --git a/model/fv3gfs/actions/004_eomg.yaml b/model/fv3gfs/actions/004_eomg.yaml index 784d919..5712c36 100644 --- a/model/fv3gfs/actions/004_eomg.yaml +++ b/model/fv3gfs/actions/004_eomg.yaml @@ -7,7 +7,7 @@ eomg: &eomg_action !Action resources: !calc run_eomg.resources memory: "3072M" - use_other_vars: + Inherit: !Inherit - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.fv3_enkf_settings, "FHCYC" ] - [ doc.data_assimilation, ".*" ] diff --git a/model/fv3gfs/actions/005_eupd.yaml b/model/fv3gfs/actions/005_eupd.yaml index 11eae0d..f79f8a2 100644 --- a/model/fv3gfs/actions/005_eupd.yaml +++ b/model/fv3gfs/actions/005_eupd.yaml @@ -12,7 +12,7 @@ eupd: &eupd_action !Action resources: !calc run_eupd.resources memory: "3072M" - use_other_vars: + Inherit: !Inherit - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.fv3_enkf_settings, "FHCYC" ] - [ doc.data_assimilation, ".*" ] diff --git a/model/fv3gfs/actions/006_efcs.yaml b/model/fv3gfs/actions/006_efcs.yaml index 0f3d266..694f72d 100644 --- a/model/fv3gfs/actions/006_efcs.yaml +++ b/model/fv3gfs/actions/006_efcs.yaml @@ -19,7 +19,7 @@ efcs: &efcs_action !Action par,resources_regrid_nemsio,'placeholder') ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" - use_other_vars: + Inherit: !Inherit - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.fv3_enkf_settings, ".*" ] - [ doc.data_assimilation, ".*" ] diff --git a/model/fv3gfs/actions/007_earc.yaml b/model/fv3gfs/actions/007_earc.yaml index bb1ce54..3d2c2aa 100644 --- a/model/fv3gfs/actions/007_earc.yaml +++ b/model/fv3gfs/actions/007_earc.yaml @@ -6,7 +6,7 @@ earc: &earc_action !Action resources: !calc run_earc.resources accounting: !calc doc.platform.transfer_accounting memory: "3072M" - use_other_vars: + Inherit: !Inherit - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.fv3_enkf_settings, ".*" ] - [ doc.data_assimilation, ".*" ] diff --git a/model/fv3gfs/actions/008_prep.yaml b/model/fv3gfs/actions/008_prep.yaml index ee2d452..d7c95dd 100644 --- a/model/fv3gfs/actions/008_prep.yaml +++ b/model/fv3gfs/actions/008_prep.yaml @@ -5,7 +5,7 @@ prep: &prep_action !Action resources: !calc run_prep.resources memory: "3072M" - use_other_vars: + Inherit: !Inherit - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.fv3_gfs_settings, ".*" ] - [ doc.data_assimilation, ".*" ] diff --git a/model/fv3gfs/actions/009_fcst.yaml b/model/fv3gfs/actions/009_fcst.yaml index 92f2c2d..0e1530f 100644 --- a/model/fv3gfs/actions/009_fcst.yaml +++ b/model/fv3gfs/actions/009_fcst.yaml @@ -21,7 +21,7 @@ fcst: &fcst_action !Action ARCDIR: !calc doc.case.ARCDIR ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" - use_other_vars: + Inherit: !Inherit - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.fv3_gfs_settings, ".*" ] - [ doc.data_assimilation, ".*" ] diff --git a/model/fv3gfs/actions/010_post.yaml b/model/fv3gfs/actions/010_post.yaml index 9623705..73e5782 100644 --- a/model/fv3gfs/actions/010_post.yaml +++ b/model/fv3gfs/actions/010_post.yaml @@ -5,7 +5,7 @@ post: &post_action !Action resources: !calc run_post.resources memory: "3072M" - use_other_vars: + Inherit: !Inherit - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.fv3_gfs_settings, ".*" ] - [ doc.data_assimilation, ".*" ] diff --git a/model/fv3gfs/actions/011_vrfy.yaml b/model/fv3gfs/actions/011_vrfy.yaml index 2cedc84..a857fec 100644 --- a/model/fv3gfs/actions/011_vrfy.yaml +++ b/model/fv3gfs/actions/011_vrfy.yaml @@ -7,7 +7,7 @@ vrfy: &vrfy_action !Action resources: !calc run_vrfy.resources memory: "3072M" - use_other_vars: + Inherit: !Inherit - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.fv3_gfs_settings, ".*" ] - [ doc.data_assimilation, ".*" ] diff --git a/model/fv3gfs/jobs/anal.sh b/model/fv3gfs/jobs/anal.sh index 9883c4d..2600e85 100755 --- a/model/fv3gfs/jobs/anal.sh +++ b/model/fv3gfs/jobs/anal.sh @@ -20,7 +20,7 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:use_other_vars ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/arch.sh b/model/fv3gfs/jobs/arch.sh index 73dd525..74c5ed8 100755 --- a/model/fv3gfs/jobs/arch.sh +++ b/model/fv3gfs/jobs/arch.sh @@ -20,7 +20,7 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:use_other_vars ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/earc.sh b/model/fv3gfs/jobs/earc.sh index 46ab2ce..cb7bac9 100755 --- a/model/fv3gfs/jobs/earc.sh +++ b/model/fv3gfs/jobs/earc.sh @@ -21,7 +21,7 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:use_other_vars ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/ecen.sh b/model/fv3gfs/jobs/ecen.sh index e643997..9fb7039 100755 --- a/model/fv3gfs/jobs/ecen.sh +++ b/model/fv3gfs/jobs/ecen.sh @@ -20,7 +20,7 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:use_other_vars ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/efcs.sh b/model/fv3gfs/jobs/efcs.sh index 4be77b8..2a55133 100755 --- a/model/fv3gfs/jobs/efcs.sh +++ b/model/fv3gfs/jobs/efcs.sh @@ -21,7 +21,7 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:use_other_vars ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/eobs.sh b/model/fv3gfs/jobs/eobs.sh index 85be256..3cf4959 100755 --- a/model/fv3gfs/jobs/eobs.sh +++ b/model/fv3gfs/jobs/eobs.sh @@ -20,7 +20,7 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:use_other_vars ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/eomg.sh b/model/fv3gfs/jobs/eomg.sh index b5c1a76..7733f07 100755 --- a/model/fv3gfs/jobs/eomg.sh +++ b/model/fv3gfs/jobs/eomg.sh @@ -21,7 +21,7 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:use_other_vars ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/epos.sh b/model/fv3gfs/jobs/epos.sh index 168ad03..0cd26c0 100755 --- a/model/fv3gfs/jobs/epos.sh +++ b/model/fv3gfs/jobs/epos.sh @@ -20,7 +20,7 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:use_other_vars ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/eupd.sh b/model/fv3gfs/jobs/eupd.sh index bfeaca7..8becf43 100755 --- a/model/fv3gfs/jobs/eupd.sh +++ b/model/fv3gfs/jobs/eupd.sh @@ -20,7 +20,7 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:use_other_vars ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/fcst.sh b/model/fv3gfs/jobs/fcst.sh index 52da916..28e1c85 100755 --- a/model/fv3gfs/jobs/fcst.sh +++ b/model/fv3gfs/jobs/fcst.sh @@ -20,7 +20,7 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:use_other_vars ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/fv3ic.sh b/model/fv3gfs/jobs/fv3ic.sh index f2ec442..88fe13b 100755 --- a/model/fv3gfs/jobs/fv3ic.sh +++ b/model/fv3gfs/jobs/fv3ic.sh @@ -23,7 +23,7 @@ export CDUMP=${3:-$CDUMP} set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:use_other_vars ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/getic.sh b/model/fv3gfs/jobs/getic.sh index 5bf9e3c..d7b0943 100755 --- a/model/fv3gfs/jobs/getic.sh +++ b/model/fv3gfs/jobs/getic.sh @@ -20,7 +20,7 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:use_other_vars ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/post.sh b/model/fv3gfs/jobs/post.sh index 186be3c..e197962 100755 --- a/model/fv3gfs/jobs/post.sh +++ b/model/fv3gfs/jobs/post.sh @@ -20,7 +20,7 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:use_other_vars ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/prep.sh b/model/fv3gfs/jobs/prep.sh index 2e79807..ab5ebf2 100755 --- a/model/fv3gfs/jobs/prep.sh +++ b/model/fv3gfs/jobs/prep.sh @@ -20,7 +20,7 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:use_other_vars ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/vrfy.sh b/model/fv3gfs/jobs/vrfy.sh index 7262467..808140d 100755 --- a/model/fv3gfs/jobs/vrfy.sh +++ b/model/fv3gfs/jobs/vrfy.sh @@ -20,7 +20,7 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:use_other_vars ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME From 3dbe7320536c56892ca7e9ced4de76c88b2420d8 Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Fri, 20 Oct 2017 20:58:14 +0000 Subject: [PATCH 150/487] (from sam) report full path during from_config expansion when a key is missing --- crow/config/eval_tools.py | 14 ++++++++------ crow/config/represent.py | 3 ++- 2 files changed, 10 insertions(+), 7 deletions(-) diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 1e06a61..0652b15 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -55,17 +55,17 @@ def __repr__(self): def _result(self,globals,locals): return eval(self,globals,locals) -def from_config(key,val,globals,locals): +def from_config(key,val,globals,locals,path): """!Converts s strcalc cor Conditional to another data type via eval(). Other types are returned unmodified.""" try: if hasattr(val,'_result'): return from_config(key,val._result(globals,locals), - globals,locals) + globals,locals,path) return val except(SyntaxError,TypeError,KeyError,NameError,IndexError,AttributeError) as ke: - raise CalcKeyError('%s: !%s %s -- %s %s'%( - str(key),type(val).__name__,repr(val),type(ke).__name__,str(ke))) + raise CalcKeyError(f'{path}: {type(val).__name__} {str(val)[0:40]} - ' + f'{type(ke).__name__} {str(ke)}') except RecursionError as re: raise CalcRecursionTooDeep('%s: !%s %s'%( str(key),type(val).__name__,str(val))) @@ -198,7 +198,8 @@ def __getitem__(self,key): val=self.__cache[key] if hasattr(val,'_result'): immediate=hasattr(val,'_is_immediate') - val=from_config(key,val,self.__globals,self) + val=from_config(key,val,self.__globals,self, + f'{self._path}.{key}') self.__cache[key]=val if immediate: self.__child[key]=val @@ -302,7 +303,8 @@ def __getitem__(self,index): val=self.__cache[index] if hasattr(val,'_result'): immediate=hasattr(val,'_is_immediate') - val=from_config(index,val,self.__globals,self.__locals) + val=from_config(index,val,self.__globals,self.__locals, + f'{self._path}[{index}]') self.__cache[index]=val if immediate: self.__child[index]=val diff --git a/crow/config/represent.py b/crow/config/represent.py index a5e8b92..0f577d4 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -83,7 +83,8 @@ def _gather_keys_and_values(self,globals,locals): elif has_when and has_do: values.append(vk._raw('do')) vk_locals=multidict(vk,locals) - keys.append(from_config('when',vk._raw('when'),globals,vk_locals)) + keys.append(from_config('when',vk._raw('when'),globals,vk_locals, + self._path)) else: raise ConditionalMissingDoWhen( f'{self._path}[{i}]: entries must have both "do" and "when"' From 99e4f365368ca10e9c510d9b4e8c93fe65330ea8 Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Fri, 20 Oct 2017 21:15:06 +0000 Subject: [PATCH 151/487] (from sam) - template.py needed to be updated for new calling convention of from_config --- crow/config/template.py | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/crow/config/template.py b/crow/config/template.py index 52c960f..a601e8b 100644 --- a/crow/config/template.py +++ b/crow/config/template.py @@ -70,7 +70,8 @@ def _check_scope(self,scope,stage): validate_var(scope._path,scheme,var,scope[var]) if 'if_present' in scheme: ip=from_config( - var,scheme._raw('if_present'),self._globals(),scope) + var,scheme._raw('if_present'),self._globals(),scope, + f'{scope._path}.{var}') if not ip: continue new_template=Template(ip._raw_child()) new_template.update(template) From 23df2b7b6ff91d390418e64f1f63efd9ce448c25 Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Fri, 20 Oct 2017 21:33:21 +0000 Subject: [PATCH 152/487] (from Sam), upgrading python package --- crow/config/template.py | 5 +++-- 1 file changed, 3 insertions(+), 2 deletions(-) diff --git a/crow/config/template.py b/crow/config/template.py index a601e8b..80e4265 100644 --- a/crow/config/template.py +++ b/crow/config/template.py @@ -30,7 +30,7 @@ def _update(self,target,globals,locals,stage,memo): for key in scope: if key not in IGNORE_WHILE_INHERITING and \ re.search(regex,key) and key not in target: - target[key]=scope[key] + target._raw_child()[key]=scope._raw_child()[key] class Template(dict_eval): """!Internal implementation of the YAML Template type. Validates a @@ -104,7 +104,8 @@ def _check_scope(self,scope,stage): # Override any variables if requested via "override" clauses. for var in template: if var in scope and 'override' in template[var]: - override=template[var].override + override=from_config(template[var],'override',scope._globals(),scope, + f'{scope._path}.Template.{var}.override') if override is not None: scope[var]=override if errors: raise TemplateErrors(errors) From b5dc9a2b7ec92ccb9b6f82830fef5f7b2c49e03f Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Fri, 20 Oct 2017 21:36:28 +0000 Subject: [PATCH 153/487] debugging --- model/fv3gfs/actions/010_post.yaml | 2 +- model/fv3gfs/actions/011_vrfy.yaml | 13 +++++++------ model/fv3gfs/places.yaml | 10 +++++----- model/fv3gfs/platform.yaml | 16 ++++++++-------- model/fv3gfs/resources.yaml | 2 +- model/fv3gfs/setup_expt.py | 2 +- model/fv3gfs/validation/001_fv3.yaml | 15 +++++++++------ model/fv3gfs/validation/002_vrfy.yaml | 1 + model/fv3gfs/validation/999_case.yaml | 2 +- model/fv3gfs/workflow.yaml | 14 +++++++------- 10 files changed, 41 insertions(+), 36 deletions(-) diff --git a/model/fv3gfs/actions/010_post.yaml b/model/fv3gfs/actions/010_post.yaml index 73e5782..1bf0ea6 100644 --- a/model/fv3gfs/actions/010_post.yaml +++ b/model/fv3gfs/actions/010_post.yaml @@ -10,7 +10,7 @@ post: &post_action !Action - [ doc.fv3_gfs_settings, ".*" ] - [ doc.data_assimilation, ".*" ] - [ doc.platform.general_env, ".*" ] - - [ doc.platform.mpi_tunning, ".*" ] + - [ doc.platform.mpi_tuning, ".*" ] - [ doc.fv3_settings, "LEVS|QUILTING|nst_anl" ] - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - [ doc.places, "ARCDIR|ATARDIR|BASE_GSI|BASE_NEMSfv3gfs|BASE_ENV|BASE_JOB|BASE_POST|BASE_PREP|BASE_PREP_GLOBAL|BASE_SVN|BASE_VERIF|FORECASTSH|FCSTEXECDIR|GFSDOWNSH|GFSDWNSH|HOMEDIR|MYBASE_SVN|NC2NEMSIOSH|NOSCRUB|REGRID_NEMSIO_SH|REGRID_NEMSIO_TBL|POSTGPSH|POSTGPEXEC|POSTJJOBSH|PTMP|ROTDIR|RUNDIR|STMP|REMAPSH|NC2NEMSIOSH" ] diff --git a/model/fv3gfs/actions/011_vrfy.yaml b/model/fv3gfs/actions/011_vrfy.yaml index a857fec..67812cf 100644 --- a/model/fv3gfs/actions/011_vrfy.yaml +++ b/model/fv3gfs/actions/011_vrfy.yaml @@ -1,7 +1,8 @@ vrfy: &vrfy_action !Action <<: *action_base - Tmeplate: *002_vrfy + Template: !Template + <<: [ *CYC_TRACK_VARS, *CYC_GEN_VARS, *MIN_VARS, *RAD_VARS, *OZN_VARS, *MIN_RAD_OZN_VARS, *VRFY_CDUMP_GFS_VARS, *vrfy_template ] J_JOB: vrfy walltime: !timedelta 01:00:00 resources: !calc run_vrfy.resources @@ -12,12 +13,12 @@ vrfy: &vrfy_action !Action - [ doc.fv3_gfs_settings, ".*" ] - [ doc.data_assimilation, ".*" ] - [ doc.platform.general_env, ".*" ] - - [ doc.platform.mpi_tunning, ".*" ] + - [ doc.platform.mpi_tuning, ".*" ] - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - [ doc.places, "ARCDIR|ATARDIR|BASE_GSI|BASE_NEMSfv3gfs|BASE_ENV|BASE_JOB|BASE_POST|BASE_PREP|BASE_PREP_GLOBAL|BASE_SVN|BASE_VERIF|FORECASTSH|FCSTEXECDIR|GFSDOWNSH|GFSDWNSH|HOMEDIR|MYBASE_SVN|NC2NEMSIOSH|NOSCRUB|REGRID_NEMSIO_SH|REGRID_NEMSIO_TBL|POSTGPSH|POSTGPEXEC|POSTJJOBSH|PTMP|ROTDIR|RUNDIR|STMP|REMAPSH|NC2NEMSIOSH" ] - CASE_ENKF: !calc doc.case.CASE_ENKF + CASE_ENKF: "C96" CDFNL: "gdas" CDUMPFCST: "gdas" # Fit-to-obs with GDAS/GFS prepbufr GRIB1_WORKS: NO @@ -35,7 +36,7 @@ vrfy: &vrfy_action !Action do: NULL VSDBSH: !FirstTrue - when: !calc CDUMP=="gfs" - do: !doc.places.VSDBSH + do: !calc doc.places.VSDBSH - otherwise: do: NULL ftyplist: !FirstTrue @@ -55,12 +56,12 @@ vrfy: &vrfy_action !Action do: NULL vsdbhome: !FirstTrue - when: !calc CDUMP=="gfs" - do: !doc.places.vsdbhome + do: !calc doc.places.vsdbhome - otherwise: do: NULL vsdbsave: !FirstTrue - when: !calc CDUMP=="gfs" - do: !doc.places.vsdbsave + do: !calc doc.places.vsdbsave - otherwise: do: NULL VDUMP: "gfs" diff --git a/model/fv3gfs/places.yaml b/model/fv3gfs/places.yaml index 8fab832..a3664fe 100644 --- a/model/fv3gfs/places.yaml +++ b/model/fv3gfs/places.yaml @@ -21,12 +21,12 @@ places: &places BASE_JOB: !expand "{BASE_WORKFLOW}/jobs" # User paths - EXPDIR: !expand "{HOMEDIR}/{experiment_name}" - ROTDIR: !expand "{PTMP}/{experiment_name}" - RUNDIR: !expand "{STMP}/RUNDIRS/{experiment_name}" + EXPDIR: !expand "{HOMEDIR}/{doc.case.experiment_name}" + ROTDIR: !expand "{PTMP}/{doc.case.experiment_name}" + RUNDIR: !expand "{STMP}/RUNDIRS/{doc.case.experiment_name}" RTFMIX: "/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" - ARCDIR: !expand "{NOSCRUB}/archive/{experiment_name}" - ATARDIR: !expand "/NCEPDEV/{hpss_project}/1year/{tools.env('USER')}/{doc.platform.name}/scratch/{experiment_name}" + ARCDIR: !expand "{NOSCRUB}/archive/{doc.case.experiment_name}" + ATARDIR: !expand "/NCEPDEV/{doc.accounting.hpss_project}/1year/{tools.env('USER')}/{doc.platform.name}/scratch/{doc.case.experiment_name}" # CDUMP: "gfs" # Component paths diff --git a/model/fv3gfs/platform.yaml b/model/fv3gfs/platform.yaml index 5a12800..a2ade71 100644 --- a/model/fv3gfs/platform.yaml +++ b/model/fv3gfs/platform.yaml @@ -37,13 +37,13 @@ theia: &theia !Platform serial_accounting: queue: debug - project: !calc doc.case.cpu_project + project: !calc doc.accounting.cpu_project transfer_accounting: queue: batch - project: !calc doc.case.cpu_project + project: !calc doc.accounting.cpu_project parallel_accounting: queue: batch - project: !calc doc.case.cpu_project + project: !calc doc.accounting.cpu_project scheduler_settings: &theia_scheduler name: MoabTorque @@ -54,7 +54,7 @@ theia: &theia !Platform parallelism_settings: { <<: *theia_scheduler, name: HydraIMPI } node_type_settings: { <<: *theia_scheduler, node_type: generic } - mpi_tuning: &mpi_tuning + mpi_tuning: MPI_BUFS_PER_HOST: 2048 MPI_BUFS_PER_PROC: 2048 MPI_GROUP_MAX: 256 @@ -93,13 +93,13 @@ wcoss_cray: &wcoss_cray !Platform default_cpu_project: GFS-T2O serial_accounting: queue: dev - project: !calc doc.case.cpu_project + project: !calc doc.accounting.cpu_project transfer_accounting: queue: transfer - project: !calc doc.case.cpu_project + project: !calc doc.accounting.cpu_project parallel_accounting: queue: dev - project: !calc doc.case.cpu_project + project: !calc doc.accounting.cpu_project scheduler_settings: &wcoss_cray_scheduler name: LSFAlps @@ -110,7 +110,7 @@ wcoss_cray: &wcoss_cray !Platform parallelism_settings: { <<: *wcoss_cray_scheduler, name: LSFAlps } node_type_settings: { <<: *wcoss_cray_scheduler, node_type: generic } - mpi_tuning: &mpi_tuning + mpi_tuning: # FIXME: Update for WCOSS Cray MPI_BUFS_PER_HOST: 2048 MPI_BUFS_PER_PROC: 2048 diff --git a/model/fv3gfs/resources.yaml b/model/fv3gfs/resources.yaml index 1def086..f613cc3 100644 --- a/model/fv3gfs/resources.yaml +++ b/model/fv3gfs/resources.yaml @@ -7,7 +7,7 @@ resource_defaults: &resource_defaults # From if[[...ecen]] block in config.resources: run_ecen: - env: *mpi_tuning + env: { } #*mpi_tuning resources: !JobRequest - exe: placeholder diff --git a/model/fv3gfs/setup_expt.py b/model/fv3gfs/setup_expt.py index f45a185..5124d0a 100755 --- a/model/fv3gfs/setup_expt.py +++ b/model/fv3gfs/setup_expt.py @@ -38,7 +38,7 @@ if isinstance(conf[key],Platform) and key!='platform': del conf[key] -EXPDIR=conf.case.EXPDIR +EXPDIR=conf.places.EXPDIR logger.info(f'Run directory: {EXPDIR}') config_yaml=os.path.join(EXPDIR,'config.yaml') diff --git a/model/fv3gfs/validation/001_fv3.yaml b/model/fv3gfs/validation/001_fv3.yaml index 5ded3c3..36d5496 100644 --- a/model/fv3gfs/validation/001_fv3.yaml +++ b/model/fv3gfs/validation/001_fv3.yaml @@ -88,12 +88,15 @@ fv3_settings_template: &fv3_settings_template USE_COUPLER_RES: { type: bool, default: NO } - DIAG_TABLE: !FirstTrue - - when: !calc CDUMP=="gdas" - do: !expand "{doc.case.BASE_GSM}/parm/parm_fv3diag/diag_table_da" - - when: !calc CDUMP=="gfs" - do: !expand "{doc.case.BASE_GSM}/parm/parm_fv3diag/diag_table" - - otherwise: !error "Do not know DIAG_TABLE for CDUMP={CDUMP}" + DIAG_TABLE: + type: string + stages: [ suite ] + default: !FirstTrue + - when: !calc CDUMP=="gdas" + do: !expand "{doc.case.BASE_GSM}/parm/parm_fv3diag/diag_table_da" + - when: !calc CDUMP=="gfs" + do: !expand "{doc.case.BASE_GSM}/parm/parm_fv3diag/diag_table" + - otherwise: !error "Do not know DIAG_TABLE for CDUMP={CDUMP}" CASE: type: string diff --git a/model/fv3gfs/validation/002_vrfy.yaml b/model/fv3gfs/validation/002_vrfy.yaml index 8b1ccde..3f68fba 100644 --- a/model/fv3gfs/validation/002_vrfy.yaml +++ b/model/fv3gfs/validation/002_vrfy.yaml @@ -1,3 +1,4 @@ + CYC_TRACK_VARS: &CYC_TRACK_VARS !Template TRACKERSH: type: string diff --git a/model/fv3gfs/validation/999_case.yaml b/model/fv3gfs/validation/999_case.yaml index b23b4e5..1c96a30 100644 --- a/model/fv3gfs/validation/999_case.yaml +++ b/model/fv3gfs/validation/999_case.yaml @@ -3,7 +3,7 @@ case_template: &case_template type: string description: user-defined experiment name override: - - when: !calc tools.grep('^[A-Za-z][A-Za-z0-9_]*$') + - when: !calc tools.grep('^[A-Za-z][A-Za-z0-9_]*$',experiment_name) do: null # no change - otherwise: !error Experiment names must be alphanumeric and start with a letter. diff --git a/model/fv3gfs/workflow.yaml b/model/fv3gfs/workflow.yaml index 274548b..592749f 100644 --- a/model/fv3gfs/workflow.yaml +++ b/model/fv3gfs/workflow.yaml @@ -1,15 +1,15 @@ Rocoto: &Rocoto scheduler: !calc doc.platform.scheduler parallelism: !calc doc.platform.parallelism - EXPDIR: !calc doc.case.EXPDIR +# EXPDIR: !calc doc.places.EXPDIR max_tries: 1 workflow_xml: !expand | - - + + + @@ -52,15 +52,15 @@ Rocoto: &Rocoto CONFIG_YAML - {doc.case.EXPDIR}/config.yaml + {doc.places.EXPDIR}/config.yaml HOMEcrow - {doc.case.HOMEcrow} + {doc.places.HOMEcrow} HOMEgfs - {doc.case.HOMEgfs} + {doc.places.HOMEgfs} efcs_task_template: &efcs_task_template !expand | From b1cf382bab679c204b582103ef3af1d63d17ad6d Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Fri, 20 Oct 2017 21:38:29 +0000 Subject: [PATCH 154/487] 012_arch --- model/fv3gfs/actions/012_arch.yaml | 26 ++++++++++++++++++++++++++ 1 file changed, 26 insertions(+) create mode 100644 model/fv3gfs/actions/012_arch.yaml diff --git a/model/fv3gfs/actions/012_arch.yaml b/model/fv3gfs/actions/012_arch.yaml new file mode 100644 index 0000000..1e2f76c --- /dev/null +++ b/model/fv3gfs/actions/012_arch.yaml @@ -0,0 +1,26 @@ +arch: &arch_action !Action + <<: *action_base + J_JOB: arch + walltime: !timedelta 06:00:00 + resources: !calc run_arch.resources + memory: "3072M" + accounting: !calc doc.platform.transfer_accounting + + use_other_vars: + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.fv3_gfs_settings, ".*" ] + - [ doc.data_assimilation, ".*" ] + - [ doc.platform.general_env, ".*" ] + - [ doc.default_settings, ".*" ] + - [ doc.platform.mpi_tuning, ".*" ] + - [ doc.fv3_settings, "LEVS|QUILTING|nst_anl" ] + - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + - [ doc.places, "ARCDIR|ATARDIR|BASE_GSI|BASE_NEMSfv3gfs|BASE_ENV|BASE_JOB|BASE_POST|BASE_PREP|BASE_PREP_GLOBAL|BASE_SVN|BASE_VERIF|DMPDIR|FORECASTSH|FCSTEXECDIR|GFSDOWNSH|GFSDWNSH|HOMEDIR|MYBASE_SVN|NC2NEMSIOSH|NOSCRUB|REGRID_NEMSIO_SH|REGRID_NEMSIO_TBL|POSTGPSH|POSTGPEXEC|POSTJJOBSH|PTMP|ROTDIR|RUNDIR|STMP|REMAPSH|NC2NEMSIOSH" ] + + CASE_ENKF: "C96" + + SMOOTH_ENKF: YES + WRITE_NEMSIOFILE: YES + assim_freq: 6 + l4densvar: NO + lwrite4danl: NO From 991dc301bd4d460654b970fa28899308d6faae1c Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Fri, 20 Oct 2017 21:42:49 +0000 Subject: [PATCH 155/487] minor debugging --- model/fv3gfs/validation/001_fv3.yaml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/model/fv3gfs/validation/001_fv3.yaml b/model/fv3gfs/validation/001_fv3.yaml index 36d5496..888f0af 100644 --- a/model/fv3gfs/validation/001_fv3.yaml +++ b/model/fv3gfs/validation/001_fv3.yaml @@ -71,7 +71,7 @@ fv3_settings_template: &fv3_settings_template SKEB: { type: float, default: 0.8 } SKEB_TAU: { type: float, default: 21600. } SKEB_LSCALE: { type: float, default: 500000. } - SKEBNORM: { type: float, default: 1 } + SKEBNORM: { type: float, default: 1.0 } SHUM: { type: float, default: 0.006 } SHUM_TAU: { type: float, default: 21600. } SHUM_LSCALE: { type: float, default: 250000. } From 07a487f4227b8e1a5c44b8a9a3c3e2c148f5165c Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Fri, 20 Oct 2017 21:43:44 +0000 Subject: [PATCH 156/487] delete tmp files --- model/fv3gfs/2016100200/gdasanal_00 | 115 ------------------ model/fv3gfs/2016100200/gdasarch_00 | 97 --------------- model/fv3gfs/2016100200/gdasearc00_00 | 98 ---------------- model/fv3gfs/2016100200/gdasearc01_00 | 98 ---------------- model/fv3gfs/2016100200/gdasearc02_00 | 98 ---------------- model/fv3gfs/2016100200/gdasearc03_00 | 98 ---------------- model/fv3gfs/2016100200/gdasearc04_00 | 98 ---------------- model/fv3gfs/2016100200/gdasearc05_00 | 98 ---------------- model/fv3gfs/2016100200/gdasearc06_00 | 98 ---------------- model/fv3gfs/2016100200/gdasearc07_00 | 0 model/fv3gfs/2016100200/gdasearc08_00 | 98 ---------------- model/fv3gfs/2016100200/gdasecen_00 | 117 ------------------ model/fv3gfs/2016100200/gdasefcs01_00 | 163 -------------------------- model/fv3gfs/2016100200/gdasefcs02_00 | 163 -------------------------- model/fv3gfs/2016100200/gdasefcs03_00 | 163 -------------------------- model/fv3gfs/2016100200/gdasefcs04_00 | 163 -------------------------- model/fv3gfs/2016100200/gdasefcs05_00 | 163 -------------------------- model/fv3gfs/2016100200/gdasefcs06_00 | 163 -------------------------- model/fv3gfs/2016100200/gdasefcs07_00 | 163 -------------------------- model/fv3gfs/2016100200/gdasefcs08_00 | 163 -------------------------- model/fv3gfs/2016100200/gdaseobs_00 | 124 -------------------- model/fv3gfs/2016100200/gdaseomg01_00 | 124 -------------------- model/fv3gfs/2016100200/gdaseomg02_00 | 124 -------------------- model/fv3gfs/2016100200/gdaseomg03_00 | 124 -------------------- model/fv3gfs/2016100200/gdaseomg04_00 | 124 -------------------- model/fv3gfs/2016100200/gdaseomg05_00 | 124 -------------------- model/fv3gfs/2016100200/gdaseomg06_00 | 124 -------------------- model/fv3gfs/2016100200/gdaseomg07_00 | 124 -------------------- model/fv3gfs/2016100200/gdaseomg08_00 | 124 -------------------- model/fv3gfs/2016100200/gdasepos_00 | 110 ----------------- model/fv3gfs/2016100200/gdaseupd_00 | 120 ------------------- model/fv3gfs/2016100200/gdasfcst_00 | 144 ----------------------- model/fv3gfs/2016100200/gdaspost_00 | 129 -------------------- model/fv3gfs/2016100200/gdasprep_00 | 113 ------------------ model/fv3gfs/2016100200/gdasvrfy_00 | 123 ------------------- model/fv3gfs/2016100200/gfsanal_00 | 115 ------------------ model/fv3gfs/2016100200/gfsarch_00 | 97 --------------- model/fv3gfs/2016100200/gfsfcst_00 | 143 ---------------------- model/fv3gfs/2016100200/gfspost_00 | 129 -------------------- model/fv3gfs/2016100200/gfsprep_00 | 113 ------------------ model/fv3gfs/2016100200/gfsvrfy_00 | 131 --------------------- 41 files changed, 5000 deletions(-) delete mode 100644 model/fv3gfs/2016100200/gdasanal_00 delete mode 100644 model/fv3gfs/2016100200/gdasarch_00 delete mode 100644 model/fv3gfs/2016100200/gdasearc00_00 delete mode 100644 model/fv3gfs/2016100200/gdasearc01_00 delete mode 100644 model/fv3gfs/2016100200/gdasearc02_00 delete mode 100644 model/fv3gfs/2016100200/gdasearc03_00 delete mode 100644 model/fv3gfs/2016100200/gdasearc04_00 delete mode 100644 model/fv3gfs/2016100200/gdasearc05_00 delete mode 100644 model/fv3gfs/2016100200/gdasearc06_00 delete mode 100644 model/fv3gfs/2016100200/gdasearc07_00 delete mode 100644 model/fv3gfs/2016100200/gdasearc08_00 delete mode 100644 model/fv3gfs/2016100200/gdasecen_00 delete mode 100644 model/fv3gfs/2016100200/gdasefcs01_00 delete mode 100644 model/fv3gfs/2016100200/gdasefcs02_00 delete mode 100644 model/fv3gfs/2016100200/gdasefcs03_00 delete mode 100644 model/fv3gfs/2016100200/gdasefcs04_00 delete mode 100644 model/fv3gfs/2016100200/gdasefcs05_00 delete mode 100644 model/fv3gfs/2016100200/gdasefcs06_00 delete mode 100644 model/fv3gfs/2016100200/gdasefcs07_00 delete mode 100644 model/fv3gfs/2016100200/gdasefcs08_00 delete mode 100644 model/fv3gfs/2016100200/gdaseobs_00 delete mode 100644 model/fv3gfs/2016100200/gdaseomg01_00 delete mode 100644 model/fv3gfs/2016100200/gdaseomg02_00 delete mode 100644 model/fv3gfs/2016100200/gdaseomg03_00 delete mode 100644 model/fv3gfs/2016100200/gdaseomg04_00 delete mode 100644 model/fv3gfs/2016100200/gdaseomg05_00 delete mode 100644 model/fv3gfs/2016100200/gdaseomg06_00 delete mode 100644 model/fv3gfs/2016100200/gdaseomg07_00 delete mode 100644 model/fv3gfs/2016100200/gdaseomg08_00 delete mode 100644 model/fv3gfs/2016100200/gdasepos_00 delete mode 100644 model/fv3gfs/2016100200/gdaseupd_00 delete mode 100644 model/fv3gfs/2016100200/gdasfcst_00 delete mode 100644 model/fv3gfs/2016100200/gdaspost_00 delete mode 100644 model/fv3gfs/2016100200/gdasprep_00 delete mode 100644 model/fv3gfs/2016100200/gdasvrfy_00 delete mode 100644 model/fv3gfs/2016100200/gfsanal_00 delete mode 100644 model/fv3gfs/2016100200/gfsarch_00 delete mode 100644 model/fv3gfs/2016100200/gfsfcst_00 delete mode 100644 model/fv3gfs/2016100200/gfspost_00 delete mode 100644 model/fv3gfs/2016100200/gfsprep_00 delete mode 100644 model/fv3gfs/2016100200/gfsvrfy_00 diff --git a/model/fv3gfs/2016100200/gdasanal_00 b/model/fv3gfs/2016100200/gdasanal_00 deleted file mode 100644 index a74b9c3..0000000 --- a/model/fv3gfs/2016100200/gdasanal_00 +++ /dev/null @@ -1,115 +0,0 @@ -# checkit.pl 2016100200%wham_gdasanal_00 -# Variable changes before modules: -#export ACCOUNT="fv3-cpu" -#BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -#Added to case.yaml! export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -#export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -#export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -#export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -#export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -#HPSS_PROJECT="emc-global" # shell-local -#export QUEUE="batch" -#export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base anal'" # shell-local -#export machine="THEIA" - - -# Variable changes after modules: -config="anal" # shell-local -#export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" -#export APRUN_CALCINC="'mpirun -np $ncmd'" -#export APRUN_GSI="'mpirun -np 144'" -## in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -#export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -#export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -## in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -#export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -## in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -## in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -## in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -## in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -## in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -## in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -## in case.yaml export CASE="C192" in case.yaml -## in case.yaml export CASE_ENKF="C96" in case.yaml -#export CHGRP_CMD="'chgrp rstprod'" -## in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" in platform.yaml -## in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" in platform.yaml -## in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" in platform.yaml -## in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" in platform.yaml -## in case.yaml export DOHYBVAR="YES" in case.yaml -#export DONST="NO" -## in case.yaml export EDATE="2016100200" -## in case.yaml export FHCYC="24" -## in case.yaml export FHMAX="9" -## in case.yaml export FHMAX_ENKF="9" -## in case.yaml export FHMAX_GFS="240" -## in case.yaml export FHMAX_HF_GFS="0" -## in case.yaml export FHMIN="0" -## in case.yaml export FHMIN_ENKF="3" -## in case.yaml export FHMIN_GFS="0" -## in case.yaml export FHOUT="3" -## in case.yaml export FHOUT_ENKF="3" -## in case.yaml export FHOUT_GFS="6" -## in case.yaml export FHOUT_HF_GFS="1" -## in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -## in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -## in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -## in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -#export KEEPDATA="NO" -## in case.yaml export LEVS="65" -## in platform.yaml export MPI_BUFS_PER_HOST="2048" -## in platform.yaml export MPI_BUFS_PER_PROC="2048" -## in platform.yaml export MPI_GROUP_MAX="256" -## in platform.yaml export MPI_MEMMAP_OFF="1" -## in platform.yaml export MP_STDOUTMODE="ORDERED" -## in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -## in platform.yaml export NCO_NAMING_CONV="YES" in platform.yaml -## in platform.yaml export NCP="'/bin/cp -p'" -## in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -## in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -## in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -## in platform.yaml export NLN="'/bin/ln -sf'" -## in case.yaml export NMEM_ENKF="80" -## in platform.yaml export NMV="/bin/mv" -## in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -#export NTHREADS_CALCINC="1" in actions.yaml -#export NTHREADS_GSI="4" in actions.yaml -#export NTHSTACK="1024000000" -## in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -## in platform.yaml export OMP_STACKSIZE="2048000" -#export OUTPUT_GRID="gaussian_grid" in actions.yaml -## in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -## in case.yaml export PSLOT="wham" -## in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -#export QUILTING=".true." in action.yaml -## in case.yaml export REALTIME="NO" -## in case.yaml export RECENTER_ENKF="YES" -## in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -## in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -## in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -## in case.yaml export SDATE="2016100100" -#export SMOOTH_ENKF="YES" in actions.yaml -## in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -#export VERBOSE="YES" in actions.yaml -## in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -## in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -#export WRITE_NEMSIOFILE=".true." in actions.yaml -#export assim_freq="6" in actions.yaml -exetest="htar" # shell-local -## in case.yaml export gfs_cyc="1" in case.yaml -## in case.yaml export l4densvar=".false." in case.yaml -## in platform.yaml export launcher="'mpirun -np'" in platform.yaml -## in case.yaml export lwrite4danl=".false." in case.yaml -#export memory_anal="3072M" -export npe_anal="144" -export npe_gsi="144" -export npe_node_anal="6" -export npe_node_max="24" -export nst_anl=".true." -export nth_gsi="4" -nth_max="4" # shell-local -status="0" # shell-local -step="anal" # shell-local -#export wtime_anal="02:00:00" diff --git a/model/fv3gfs/2016100200/gdasarch_00 b/model/fv3gfs/2016100200/gdasarch_00 deleted file mode 100644 index abc7441..0000000 --- a/model/fv3gfs/2016100200/gdasarch_00 +++ /dev/null @@ -1,97 +0,0 @@ -# checkit.pl 2016100200%wham_gdasarch_00 -# Variable changes before modules: -#export ACCOUNT="fv3-cpu" -#BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -#export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -#export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -#export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -#export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -#export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -#HPSS_PROJECT="emc-global" # shell-local -#export QUEUE="batch" -#export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base arch'" # shell-local -#export machine="THEIA" - - -# Variable changes after modules: -config="arch" # shell-local -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -export DONST="NO" -# in case.yaml export EDATE="2016100200" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -# in case.yaml export NMEM_ENKF="80" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SMOOTH_ENKF="YES" -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." -export assim_freq="6" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in case.yaml export lwrite4danl=".false." -export npe_arch="1" -export npe_node_arch="1" -export nst_anl=".true." -status="0" # shell-local -step="arch" # shell-local -export wtime_arch="03:00:00" diff --git a/model/fv3gfs/2016100200/gdasearc00_00 b/model/fv3gfs/2016100200/gdasearc00_00 deleted file mode 100644 index 2c03f80..0000000 --- a/model/fv3gfs/2016100200/gdasearc00_00 +++ /dev/null @@ -1,98 +0,0 @@ -# checkit.pl 2016100200%wham_gdasearc00_00 -# Variable changes before modules: -#export ACCOUNT="fv3-cpu" -#BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -#export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -#export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -#export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -#export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -#export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -#HPSS_PROJECT="emc-global" # shell-local -#export QUEUE="batch" -#export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base earc'" # shell-local -#export machine="THEIA" - - -# Variable changes after modules: -config="earc" # shell-local -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -export DONST="NO" -# in case.yaml export EDATE="2016100200" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -export NMEM_EARCGRP="10" -# in case.yaml export NMEM_ENKF="80" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SMOOTH_ENKF="YES" -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." -export assim_freq="6" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in case.yaml export lwrite4danl=".false." -export npe_earc="1" -export npe_node_earc="1" -export nst_anl=".true." -status="0" # shell-local -step="earc" # shell-local -export wtime_earc="03:00:00" diff --git a/model/fv3gfs/2016100200/gdasearc01_00 b/model/fv3gfs/2016100200/gdasearc01_00 deleted file mode 100644 index 731f6ff..0000000 --- a/model/fv3gfs/2016100200/gdasearc01_00 +++ /dev/null @@ -1,98 +0,0 @@ -# checkit.pl 2016100200%wham_gdasearc01_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base earc'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="earc" # shell-local -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -#export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -#export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -#export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -#export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -#export DONST="NO" -# in case.yaml export EDATE="2016100200" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -#export KEEPDATA="NO" -# in case.yaml export LEVS="65" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -#export NMEM_EARCGRP="10" -# in case.yaml export NMEM_ENKF="80" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -#export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -#export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -#export SMOOTH_ENKF="YES" -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -#export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -#export WRITE_NEMSIOFILE=".true." -export assim_freq="6" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in case.yaml export lwrite4danl=".false." -export npe_earc="1" -export npe_node_earc="1" -export nst_anl=".true." -status="0" # shell-local -step="earc" # shell-local -export wtime_earc="03:00:00" diff --git a/model/fv3gfs/2016100200/gdasearc02_00 b/model/fv3gfs/2016100200/gdasearc02_00 deleted file mode 100644 index a732b03..0000000 --- a/model/fv3gfs/2016100200/gdasearc02_00 +++ /dev/null @@ -1,98 +0,0 @@ -# checkit.pl 2016100200%wham_gdasearc02_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base earc'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="earc" # shell-local -# in case.yaml # in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -export DONST="NO" -# in case.yaml export EDATE="2016100200" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -export NMEM_EARCGRP="10" -# in case.yaml export NMEM_ENKF="80" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SMOOTH_ENKF="YES" -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." -export assim_freq="6" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in case.yaml export lwrite4danl=".false." -export npe_earc="1" -export npe_node_earc="1" -export nst_anl=".true." -status="0" # shell-local -step="earc" # shell-local -export wtime_earc="03:00:00" diff --git a/model/fv3gfs/2016100200/gdasearc03_00 b/model/fv3gfs/2016100200/gdasearc03_00 deleted file mode 100644 index a70a55e..0000000 --- a/model/fv3gfs/2016100200/gdasearc03_00 +++ /dev/null @@ -1,98 +0,0 @@ -# checkit.pl 2016100200%wham_gdasearc03_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base earc'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="earc" # shell-local -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -export DONST="NO" -# in case.yaml export EDATE="2016100200" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -export NMEM_EARCGRP="10" -# in case.yaml export NMEM_ENKF="80" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SMOOTH_ENKF="YES" -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." -export assim_freq="6" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in case.yaml export lwrite4danl=".false." -export npe_earc="1" -export npe_node_earc="1" -export nst_anl=".true." -status="0" # shell-local -step="earc" # shell-local -export wtime_earc="03:00:00" diff --git a/model/fv3gfs/2016100200/gdasearc04_00 b/model/fv3gfs/2016100200/gdasearc04_00 deleted file mode 100644 index 9243f4b..0000000 --- a/model/fv3gfs/2016100200/gdasearc04_00 +++ /dev/null @@ -1,98 +0,0 @@ -# checkit.pl 2016100200%wham_gdasearc04_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base earc'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="earc" # shell-local -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -export DONST="NO" -# in case.yaml export EDATE="2016100200" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -export NMEM_EARCGRP="10" -# in case.yaml export NMEM_ENKF="80" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SMOOTH_ENKF="YES" -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." -export assim_freq="6" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in case.yaml export lwrite4danl=".false." -export npe_earc="1" -export npe_node_earc="1" -export nst_anl=".true." -status="0" # shell-local -step="earc" # shell-local -export wtime_earc="03:00:00" diff --git a/model/fv3gfs/2016100200/gdasearc05_00 b/model/fv3gfs/2016100200/gdasearc05_00 deleted file mode 100644 index 956bf90..0000000 --- a/model/fv3gfs/2016100200/gdasearc05_00 +++ /dev/null @@ -1,98 +0,0 @@ -# checkit.pl 2016100200%wham_gdasearc05_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base earc'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="earc" # shell-local -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -export DONST="NO" -# in case.yaml export EDATE="2016100200" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -export NMEM_EARCGRP="10" -# in case.yaml export NMEM_ENKF="80" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SMOOTH_ENKF="YES" -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." -export assim_freq="6" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in case.yaml export lwrite4danl=".false." -export npe_earc="1" -export npe_node_earc="1" -export nst_anl=".true." -status="0" # shell-local -step="earc" # shell-local -export wtime_earc="03:00:00" diff --git a/model/fv3gfs/2016100200/gdasearc06_00 b/model/fv3gfs/2016100200/gdasearc06_00 deleted file mode 100644 index bb3a5b1..0000000 --- a/model/fv3gfs/2016100200/gdasearc06_00 +++ /dev/null @@ -1,98 +0,0 @@ -# checkit.pl 2016100200%wham_gdasearc06_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base earc'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="earc" # shell-local -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -export DONST="NO" -# in case.yaml export EDATE="2016100200" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -export NMEM_EARCGRP="10" -# in case.yaml export NMEM_ENKF="80" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SMOOTH_ENKF="YES" -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." -export assim_freq="6" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in case.yaml export lwrite4danl=".false." -export npe_earc="1" -export npe_node_earc="1" -export nst_anl=".true." -status="0" # shell-local -step="earc" # shell-local -export wtime_earc="03:00:00" diff --git a/model/fv3gfs/2016100200/gdasearc07_00 b/model/fv3gfs/2016100200/gdasearc07_00 deleted file mode 100644 index e69de29..0000000 diff --git a/model/fv3gfs/2016100200/gdasearc08_00 b/model/fv3gfs/2016100200/gdasearc08_00 deleted file mode 100644 index cde9cdf..0000000 --- a/model/fv3gfs/2016100200/gdasearc08_00 +++ /dev/null @@ -1,98 +0,0 @@ -# checkit.pl 2016100200%wham_gdasearc08_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base earc'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="earc" # shell-local -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -export DONST="NO" -# in case.yaml export EDATE="2016100200" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -export NMEM_EARCGRP="10" -# in case.yaml export NMEM_ENKF="80" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SMOOTH_ENKF="YES" -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." -export assim_freq="6" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in case.yaml export lwrite4danl=".false." -export npe_earc="1" -export npe_node_earc="1" -export nst_anl=".true." -status="0" # shell-local -step="earc" # shell-local -export wtime_earc="03:00:00" diff --git a/model/fv3gfs/2016100200/gdasecen_00 b/model/fv3gfs/2016100200/gdasecen_00 deleted file mode 100644 index 9bffaa7..0000000 --- a/model/fv3gfs/2016100200/gdasecen_00 +++ /dev/null @@ -1,117 +0,0 @@ -# checkit.pl 2016100200%wham_gdasecen_00 -# Variable changes before modules: -#export ACCOUNT="fv3-cpu" -#BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -#export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -#export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -#export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -#export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -#export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -#HPSS_PROJECT="emc-global" # shell-local -#export QUEUE="batch" -#export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base ecen'" # shell-local -#export machine="THEIA" - - -# Variable changes after modules: -config="ecen" # shell-local -#export APRUN_CHGRES="time" added to action.yaml -#export APRUN_ECEN="'mpirun -np 84'" added to action.yaml -## in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" in case.yaml -#export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" added to action.yaml -#export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" added to action.yaml -## in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" in case.yaml -#export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" in actions.yaml -## in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" in case.yaml -## in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" in case.yaml -## in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" in case.yaml -## in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" in case.yaml -## in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" in platform.yaml -## in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" in case.yaml -## in case.yaml export CASE="C192" in case.yaml -## in case.yaml export CASE_ENKF="C96" in case.yaml -#export CHGRESEXEC="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/exec/global_chgres_GSM" in actions.yaml -#export CHGRESSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/global_chgres_GSM.sh" in actions.yaml -#export CHGRESTHREAD="12" in actions.yaml -#export CHGRESVARS_ENKF="use_ufo=.true.,nopdpvv=.true." in actions.yaml -#export CHGRP_CMD="'chgrp rstprod'" added to action.yaml -## in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" in platform.yaml -## in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" in platform.yaml -## in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" in platform.yaml -## in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" in platform.yaml -## in case.yaml export DOHYBVAR="YES" in case.yaml -#export DONST="NO" in actions.yaml -## in case.yaml export EDATE="2016100200" in case.yaml -#export ENKFRECENSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_recenter_fv3gfs.sh.ecf" in actions.yaml -## in case.yaml export FHCYC="24" in case.yaml -## in case.yaml export FHMAX="9" in case.yaml -## in case.yaml export FHMAX_ENKF="9" added to case.yaml -## in case.yaml export FHMAX_GFS="240" in case.yaml -## in case.yaml export FHMAX_HF_GFS="0" added to case.yaml -## in case.yaml export FHMIN="0" in case.yaml -## in case.yaml export FHMIN_ENKF="3" added to case.yaml -## in case.yaml export FHMIN_GFS="0" in case.yaml -## in case.yaml export FHOUT="3" in case.yaml -## in case.yaml export FHOUT_ENKF="3" added to case.yaml -## in case.yaml export FHOUT_GFS="6" in case.yaml -## in case.yaml export FHOUT_HF_GFS="1" added to case.yaml -## in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" in platform.yaml -## in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" in platform.yaml -## in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" in platform.yaml -## in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" in case.yaml -#export KEEPDATA="NO" added to action.yaml -## in case.yaml export LEVS="65" in case.yaml -## in platform.yaml export MPI_BUFS_PER_HOST="2048" added to platform.yaml -## in platform.yaml export MPI_BUFS_PER_PROC="2048" added to platform.yaml -## in platform.yaml export MPI_GROUP_MAX="256" added to platform.yaml -## in platform.yaml export MPI_MEMMAP_OFF="1" added to platform.yaml -## in platform.yaml export MP_STDOUTMODE="ORDERED" added to platform.yaml -## in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" in platform.yaml -## in platform.yaml export NCO_NAMING_CONV="YES" added to platform.yaml -## in platform.yaml export NCP="'/bin/cp -p'" added to platform.yaml -## in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" in platform.yaml -## in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" in platform.yaml -## in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" in platform.yaml -## in platform.yaml export NLN="'/bin/ln -sf'" added to platform.yaml -## in case.yaml export NMEM_ENKF="80" in case.yaml -## in platform.yaml export NMV="/bin/mv" added to platform.yaml -## in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" in case.yaml -#export NTHREADS_ECEN="2" in platform.yaml -#export NTHSTACK="1024000000" added to platform.yaml -## in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" in platform.yaml -## in platform.yaml export OMP_STACKSIZE="2048000" added to platform.yaml -#export OUTPUT_GRID="gaussian_grid" added to actions.yaml -## in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" in platform.yaml -## in case.yaml export PSLOT="wham" in case.yaml as "experiment_name" -## in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" in case.yaml -#export QUILTING=".true." in actions.yaml -## in case.yaml export REALTIME="NO" in case.yaml -## in case.yaml export RECENTER_ENKF="YES" in case.yaml -## in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" in case.yaml -## in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" in platform.yaml -## in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" in case.yaml -## in case.yaml export SDATE="2016100100" in case.yaml -#export SMOOTH_ENKF="YES" in actions.yaml -## in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" in case.yaml -#export VERBOSE="YES" in actions.yaml -## in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" in platform.yaml -## in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" in platform.yaml -#export WRITE_NEMSIOFILE=".true." in actions.yaml -#export assim_freq="6" in actions.yaml -exetest="htar" # shell-local -## in case.yaml export gfs_cyc="1" in case.yaml -## in case.yaml export l4densvar=".false." in case.yaml -## in platform.yaml export launcher="'mpirun -np'" in platform.yaml -## in case.yaml export lwrite4danl=".false." in case.yaml -#export memory_ecen="3072M" in action.yaml -#export npe_ecen="84" added to platform.yaml -#export npe_node_ecen="12" added to platform.yaml -#export npe_node_max="24" added to platform.yaml -export nst_anl=".true." added to platform.yaml -#export nth_ecen="2" in action.yaml -nth_max="2" # shell-local -status="0" # shell-local -step="ecen" # shell-local -#export wtime_ecen="00:40:00" in action.yaml diff --git a/model/fv3gfs/2016100200/gdasefcs01_00 b/model/fv3gfs/2016100200/gdasefcs01_00 deleted file mode 100644 index ea947e1..0000000 --- a/model/fv3gfs/2016100200/gdasefcs01_00 +++ /dev/null @@ -1,163 +0,0 @@ -# checkit.pl 2016100200%wham_gdasefcs01_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base fcst efcs'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="efcs" # shell-local -#export APRUN_FV3="'mpirun -np 204'" -#export APRUN_REGRID_NEMSIO="'mpirun -np 65'" -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -#export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -#export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -#export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -#export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -#export DELTIM="1800" -#export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2_da" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -#export DONST="NO" -#export DO_SHUM=".false." -#export DO_SKEB=".false." -#export DO_SPPT=".false." -# in case.yaml export EDATE="2016100200" -#export ENKFFCSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" -#export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" -#export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -#export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" -#export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -#export KEEPDATA="NO" -# in case.yaml export LEVS="65" -#export MONO="non-mono" -# in platform.yaml export MPI_BUFS_PER_HOST="2048" -# in platform.yaml export MPI_BUFS_PER_PROC="2048" -# in platform.yaml export MPI_GROUP_MAX="256" -# in platform.yaml export MPI_MEMMAP_OFF="1" -# in platform.yaml export MP_STDOUTMODE="ORDERED" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -#export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -#export NMEM_EFCSGRP="10" -# in case.yaml export NMEM_ENKF="80" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -#export NTHREADS_FV3="1" -#export NTHREADS_REGRID_NEMSIO="1" -#export NTHSTACK="1024000000" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -# in platform.yaml export OMP_STACKSIZE="2048000" -#export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -#export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -#export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" -#export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" -#export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" -#export RERUN_EFCSGRP="NO" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -#export SET_STP_SEED="YES" -#export SHUM="0.006" -#export SHUM_LSCALE="250000." -#export SHUM_TAU="21600." -#export SKEB="0.8" -#export SKEBNORM="1" -#export SKEB_LSCALE="500000." -#export SKEB_TAU="21600." -#export SMOOTH_ENKF="YES" -#export SPPT="0.8" -#export SPPT_LSCALE="500000." -#export SPPT_TAU="21600." -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -#export TYPE="nh" -#export USE_COUPLER_RES="NO" -#export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -#export WRITE_GROUP="1" -#export WRITE_NEMSIOFILE=".true." -#export WRTTASK_PER_GROUP="12" -#export assim_freq="6" -#case_in="C96" # shell-local -#export cdmbgwd="0.125,3.0" -#export cores_per_node="24" -#exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in platform.yaml export launcher="'mpirun -np'" -#export layout_x="4" -#export layout_y="8" -# in case.yaml export lwrite4danl=".false." -#export master_grid="0p25deg" -#export memory_efcs="254M" -#export memory_fcst="1024M" -#export ncld="1" -#export npe_efcs="204" -#export npe_fcst="216" -#export npe_fv3="204" -#export npe_node_efcs="24" -#export npe_node_fcst="12" -#export npe_node_max="24" -#export npe_remap="216" -#export nst_anl=".true." -#export nth_fv3="1" -#nth_max="1" # shell-local -#export nth_remap="2" -#export nwat="2" -#export restart_interval="6" -#status="0" # shell-local -#step="efcs" # shell-local -#export wtime_efcs="02:00:00" -#export wtime_fcst="03:00:00" -#export wtime_fcst_gfs="06:00:00" -#export zhao_mic=".true." diff --git a/model/fv3gfs/2016100200/gdasefcs02_00 b/model/fv3gfs/2016100200/gdasefcs02_00 deleted file mode 100644 index f58d893..0000000 --- a/model/fv3gfs/2016100200/gdasefcs02_00 +++ /dev/null @@ -1,163 +0,0 @@ -# checkit.pl 2016100200%wham_gdasefcs02_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base fcst efcs'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="efcs" # shell-local -export APRUN_FV3="'mpirun -np 204'" -export APRUN_REGRID_NEMSIO="'mpirun -np 65'" -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DELTIM="1800" -export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2_da" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -export DONST="NO" -export DO_SHUM=".false." -export DO_SKEB=".false." -export DO_SPPT=".false." -# in case.yaml export EDATE="2016100200" -export ENKFFCSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" -export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" -export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" -export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -export MONO="non-mono" -# in platform.yaml export MPI_BUFS_PER_HOST="2048" -# in platform.yaml export MPI_BUFS_PER_PROC="2048" -# in platform.yaml export MPI_GROUP_MAX="256" -# in platform.yaml export MPI_MEMMAP_OFF="1" -# in platform.yaml export MP_STDOUTMODE="ORDERED" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -export NMEM_EFCSGRP="10" -# in case.yaml export NMEM_ENKF="80" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NTHREADS_FV3="1" -export NTHREADS_REGRID_NEMSIO="1" -export NTHSTACK="1024000000" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -# in platform.yaml export OMP_STACKSIZE="2048000" -export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" -export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" -export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" -export RERUN_EFCSGRP="NO" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SET_STP_SEED="YES" -export SHUM="0.006" -export SHUM_LSCALE="250000." -export SHUM_TAU="21600." -export SKEB="0.8" -export SKEBNORM="1" -export SKEB_LSCALE="500000." -export SKEB_TAU="21600." -export SMOOTH_ENKF="YES" -export SPPT="0.8" -export SPPT_LSCALE="500000." -export SPPT_TAU="21600." -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export TYPE="nh" -export USE_COUPLER_RES="NO" -export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_GROUP="1" -export WRITE_NEMSIOFILE=".true." -export WRTTASK_PER_GROUP="12" -export assim_freq="6" -case_in="C96" # shell-local -export cdmbgwd="0.125,3.0" -export cores_per_node="24" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in platform.yaml export launcher="'mpirun -np'" -export layout_x="4" -export layout_y="8" -# in case.yaml export lwrite4danl=".false." -export master_grid="0p25deg" -export memory_efcs="254M" -export memory_fcst="1024M" -export ncld="1" -export npe_efcs="204" -export npe_fcst="216" -export npe_fv3="204" -export npe_node_efcs="24" -export npe_node_fcst="12" -export npe_node_max="24" -export npe_remap="216" -export nst_anl=".true." -export nth_fv3="1" -nth_max="1" # shell-local -export nth_remap="2" -export nwat="2" -export restart_interval="6" -status="0" # shell-local -step="efcs" # shell-local -export wtime_efcs="02:00:00" -export wtime_fcst="03:00:00" -export wtime_fcst_gfs="06:00:00" -export zhao_mic=".true." diff --git a/model/fv3gfs/2016100200/gdasefcs03_00 b/model/fv3gfs/2016100200/gdasefcs03_00 deleted file mode 100644 index 61a676c..0000000 --- a/model/fv3gfs/2016100200/gdasefcs03_00 +++ /dev/null @@ -1,163 +0,0 @@ -# checkit.pl 2016100200%wham_gdasefcs03_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base fcst efcs'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="efcs" # shell-local -export APRUN_FV3="'mpirun -np 204'" -export APRUN_REGRID_NEMSIO="'mpirun -np 65'" -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DELTIM="1800" -export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2_da" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -export DONST="NO" -export DO_SHUM=".false." -export DO_SKEB=".false." -export DO_SPPT=".false." -# in case.yaml export EDATE="2016100200" -export ENKFFCSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" -export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" -export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" -export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -export MONO="non-mono" -# in platform.yaml export MPI_BUFS_PER_HOST="2048" -# in platform.yaml export MPI_BUFS_PER_PROC="2048" -# in platform.yaml export MPI_GROUP_MAX="256" -# in platform.yaml export MPI_MEMMAP_OFF="1" -# in platform.yaml export MP_STDOUTMODE="ORDERED" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -export NMEM_EFCSGRP="10" -# in case.yaml export NMEM_ENKF="80" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NTHREADS_FV3="1" -export NTHREADS_REGRID_NEMSIO="1" -export NTHSTACK="1024000000" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -# in platform.yaml export OMP_STACKSIZE="2048000" -export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" -export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" -export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" -export RERUN_EFCSGRP="NO" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SET_STP_SEED="YES" -export SHUM="0.006" -export SHUM_LSCALE="250000." -export SHUM_TAU="21600." -export SKEB="0.8" -export SKEBNORM="1" -export SKEB_LSCALE="500000." -export SKEB_TAU="21600." -export SMOOTH_ENKF="YES" -export SPPT="0.8" -export SPPT_LSCALE="500000." -export SPPT_TAU="21600." -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export TYPE="nh" -export USE_COUPLER_RES="NO" -export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_GROUP="1" -export WRITE_NEMSIOFILE=".true." -export WRTTASK_PER_GROUP="12" -export assim_freq="6" -case_in="C96" # shell-local -export cdmbgwd="0.125,3.0" -export cores_per_node="24" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in platform.yaml export launcher="'mpirun -np'" -export layout_x="4" -export layout_y="8" -# in case.yaml export lwrite4danl=".false." -export master_grid="0p25deg" -export memory_efcs="254M" -export memory_fcst="1024M" -export ncld="1" -export npe_efcs="204" -export npe_fcst="216" -export npe_fv3="204" -export npe_node_efcs="24" -export npe_node_fcst="12" -export npe_node_max="24" -export npe_remap="216" -export nst_anl=".true." -export nth_fv3="1" -nth_max="1" # shell-local -export nth_remap="2" -export nwat="2" -export restart_interval="6" -status="0" # shell-local -step="efcs" # shell-local -export wtime_efcs="02:00:00" -export wtime_fcst="03:00:00" -export wtime_fcst_gfs="06:00:00" -export zhao_mic=".true." diff --git a/model/fv3gfs/2016100200/gdasefcs04_00 b/model/fv3gfs/2016100200/gdasefcs04_00 deleted file mode 100644 index 9535aaf..0000000 --- a/model/fv3gfs/2016100200/gdasefcs04_00 +++ /dev/null @@ -1,163 +0,0 @@ -# checkit.pl 2016100200%wham_gdasefcs04_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base fcst efcs'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="efcs" # shell-local -export APRUN_FV3="'mpirun -np 204'" -export APRUN_REGRID_NEMSIO="'mpirun -np 65'" -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DELTIM="1800" -export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2_da" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -export DONST="NO" -export DO_SHUM=".false." -export DO_SKEB=".false." -export DO_SPPT=".false." -# in case.yaml export EDATE="2016100200" -export ENKFFCSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" -export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" -export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" -export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -export MONO="non-mono" -# in platform.yaml export MPI_BUFS_PER_HOST="2048" -# in platform.yaml export MPI_BUFS_PER_PROC="2048" -# in platform.yaml export MPI_GROUP_MAX="256" -# in platform.yaml export MPI_MEMMAP_OFF="1" -# in platform.yaml export MP_STDOUTMODE="ORDERED" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -export NMEM_EFCSGRP="10" -# in case.yaml export NMEM_ENKF="80" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NTHREADS_FV3="1" -export NTHREADS_REGRID_NEMSIO="1" -export NTHSTACK="1024000000" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -# in platform.yaml export OMP_STACKSIZE="2048000" -export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" -export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" -export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" -export RERUN_EFCSGRP="NO" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SET_STP_SEED="YES" -export SHUM="0.006" -export SHUM_LSCALE="250000." -export SHUM_TAU="21600." -export SKEB="0.8" -export SKEBNORM="1" -export SKEB_LSCALE="500000." -export SKEB_TAU="21600." -export SMOOTH_ENKF="YES" -export SPPT="0.8" -export SPPT_LSCALE="500000." -export SPPT_TAU="21600." -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export TYPE="nh" -export USE_COUPLER_RES="NO" -export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_GROUP="1" -export WRITE_NEMSIOFILE=".true." -export WRTTASK_PER_GROUP="12" -export assim_freq="6" -case_in="C96" # shell-local -export cdmbgwd="0.125,3.0" -export cores_per_node="24" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in platform.yaml export launcher="'mpirun -np'" -export layout_x="4" -export layout_y="8" -# in case.yaml export lwrite4danl=".false." -export master_grid="0p25deg" -export memory_efcs="254M" -export memory_fcst="1024M" -export ncld="1" -export npe_efcs="204" -export npe_fcst="216" -export npe_fv3="204" -export npe_node_efcs="24" -export npe_node_fcst="12" -export npe_node_max="24" -export npe_remap="216" -export nst_anl=".true." -export nth_fv3="1" -nth_max="1" # shell-local -export nth_remap="2" -export nwat="2" -export restart_interval="6" -status="0" # shell-local -step="efcs" # shell-local -export wtime_efcs="02:00:00" -export wtime_fcst="03:00:00" -export wtime_fcst_gfs="06:00:00" -export zhao_mic=".true." diff --git a/model/fv3gfs/2016100200/gdasefcs05_00 b/model/fv3gfs/2016100200/gdasefcs05_00 deleted file mode 100644 index 6cb2882..0000000 --- a/model/fv3gfs/2016100200/gdasefcs05_00 +++ /dev/null @@ -1,163 +0,0 @@ -# checkit.pl 2016100200%wham_gdasefcs05_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base fcst efcs'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="efcs" # shell-local -export APRUN_FV3="'mpirun -np 204'" -export APRUN_REGRID_NEMSIO="'mpirun -np 65'" -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DELTIM="1800" -export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2_da" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -export DONST="NO" -export DO_SHUM=".false." -export DO_SKEB=".false." -export DO_SPPT=".false." -# in case.yaml export EDATE="2016100200" -export ENKFFCSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" -export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" -export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" -export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -export MONO="non-mono" -# in platform.yaml export MPI_BUFS_PER_HOST="2048" -# in platform.yaml export MPI_BUFS_PER_PROC="2048" -# in platform.yaml export MPI_GROUP_MAX="256" -# in platform.yaml export MPI_MEMMAP_OFF="1" -# in platform.yaml export MP_STDOUTMODE="ORDERED" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -export NMEM_EFCSGRP="10" -# in case.yaml export NMEM_ENKF="80" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NTHREADS_FV3="1" -export NTHREADS_REGRID_NEMSIO="1" -export NTHSTACK="1024000000" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -# in platform.yaml export OMP_STACKSIZE="2048000" -export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" -export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" -export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" -export RERUN_EFCSGRP="NO" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SET_STP_SEED="YES" -export SHUM="0.006" -export SHUM_LSCALE="250000." -export SHUM_TAU="21600." -export SKEB="0.8" -export SKEBNORM="1" -export SKEB_LSCALE="500000." -export SKEB_TAU="21600." -export SMOOTH_ENKF="YES" -export SPPT="0.8" -export SPPT_LSCALE="500000." -export SPPT_TAU="21600." -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export TYPE="nh" -export USE_COUPLER_RES="NO" -export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_GROUP="1" -export WRITE_NEMSIOFILE=".true." -export WRTTASK_PER_GROUP="12" -export assim_freq="6" -case_in="C96" # shell-local -export cdmbgwd="0.125,3.0" -export cores_per_node="24" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in platform.yaml export launcher="'mpirun -np'" -export layout_x="4" -export layout_y="8" -# in case.yaml export lwrite4danl=".false." -export master_grid="0p25deg" -export memory_efcs="254M" -export memory_fcst="1024M" -export ncld="1" -export npe_efcs="204" -export npe_fcst="216" -export npe_fv3="204" -export npe_node_efcs="24" -export npe_node_fcst="12" -export npe_node_max="24" -export npe_remap="216" -export nst_anl=".true." -export nth_fv3="1" -nth_max="1" # shell-local -export nth_remap="2" -export nwat="2" -export restart_interval="6" -status="0" # shell-local -step="efcs" # shell-local -export wtime_efcs="02:00:00" -export wtime_fcst="03:00:00" -export wtime_fcst_gfs="06:00:00" -export zhao_mic=".true." diff --git a/model/fv3gfs/2016100200/gdasefcs06_00 b/model/fv3gfs/2016100200/gdasefcs06_00 deleted file mode 100644 index 7c03b54..0000000 --- a/model/fv3gfs/2016100200/gdasefcs06_00 +++ /dev/null @@ -1,163 +0,0 @@ -# checkit.pl 2016100200%wham_gdasefcs06_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base fcst efcs'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="efcs" # shell-local -export APRUN_FV3="'mpirun -np 204'" -export APRUN_REGRID_NEMSIO="'mpirun -np 65'" -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DELTIM="1800" -export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2_da" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -export DONST="NO" -export DO_SHUM=".false." -export DO_SKEB=".false." -export DO_SPPT=".false." -# in case.yaml export EDATE="2016100200" -export ENKFFCSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" -export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" -export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" -export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -export MONO="non-mono" -# in platform.yaml export MPI_BUFS_PER_HOST="2048" -# in platform.yaml export MPI_BUFS_PER_PROC="2048" -# in platform.yaml export MPI_GROUP_MAX="256" -# in platform.yaml export MPI_MEMMAP_OFF="1" -# in platform.yaml export MP_STDOUTMODE="ORDERED" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -export NMEM_EFCSGRP="10" -# in case.yaml export NMEM_ENKF="80" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NTHREADS_FV3="1" -export NTHREADS_REGRID_NEMSIO="1" -export NTHSTACK="1024000000" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -# in platform.yaml export OMP_STACKSIZE="2048000" -export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" -export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" -export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" -export RERUN_EFCSGRP="NO" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SET_STP_SEED="YES" -export SHUM="0.006" -export SHUM_LSCALE="250000." -export SHUM_TAU="21600." -export SKEB="0.8" -export SKEBNORM="1" -export SKEB_LSCALE="500000." -export SKEB_TAU="21600." -export SMOOTH_ENKF="YES" -export SPPT="0.8" -export SPPT_LSCALE="500000." -export SPPT_TAU="21600." -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export TYPE="nh" -export USE_COUPLER_RES="NO" -export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_GROUP="1" -export WRITE_NEMSIOFILE=".true." -export WRTTASK_PER_GROUP="12" -export assim_freq="6" -case_in="C96" # shell-local -export cdmbgwd="0.125,3.0" -export cores_per_node="24" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in platform.yaml export launcher="'mpirun -np'" -export layout_x="4" -export layout_y="8" -# in case.yaml export lwrite4danl=".false." -export master_grid="0p25deg" -export memory_efcs="254M" -export memory_fcst="1024M" -export ncld="1" -export npe_efcs="204" -export npe_fcst="216" -export npe_fv3="204" -export npe_node_efcs="24" -export npe_node_fcst="12" -export npe_node_max="24" -export npe_remap="216" -export nst_anl=".true." -export nth_fv3="1" -nth_max="1" # shell-local -export nth_remap="2" -export nwat="2" -export restart_interval="6" -status="0" # shell-local -step="efcs" # shell-local -export wtime_efcs="02:00:00" -export wtime_fcst="03:00:00" -export wtime_fcst_gfs="06:00:00" -export zhao_mic=".true." diff --git a/model/fv3gfs/2016100200/gdasefcs07_00 b/model/fv3gfs/2016100200/gdasefcs07_00 deleted file mode 100644 index 785f784..0000000 --- a/model/fv3gfs/2016100200/gdasefcs07_00 +++ /dev/null @@ -1,163 +0,0 @@ -# checkit.pl 2016100200%wham_gdasefcs07_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base fcst efcs'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="efcs" # shell-local -export APRUN_FV3="'mpirun -np 204'" -export APRUN_REGRID_NEMSIO="'mpirun -np 65'" -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DELTIM="1800" -export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2_da" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -export DONST="NO" -export DO_SHUM=".false." -export DO_SKEB=".false." -export DO_SPPT=".false." -# in case.yaml export EDATE="2016100200" -export ENKFFCSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" -export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" -export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" -export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -export MONO="non-mono" -# in platform.yaml export MPI_BUFS_PER_HOST="2048" -# in platform.yaml export MPI_BUFS_PER_PROC="2048" -# in platform.yaml export MPI_GROUP_MAX="256" -# in platform.yaml export MPI_MEMMAP_OFF="1" -# in platform.yaml export MP_STDOUTMODE="ORDERED" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -export NMEM_EFCSGRP="10" -# in case.yaml export NMEM_ENKF="80" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NTHREADS_FV3="1" -export NTHREADS_REGRID_NEMSIO="1" -export NTHSTACK="1024000000" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -# in platform.yaml export OMP_STACKSIZE="2048000" -export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" -export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" -export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" -export RERUN_EFCSGRP="NO" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SET_STP_SEED="YES" -export SHUM="0.006" -export SHUM_LSCALE="250000." -export SHUM_TAU="21600." -export SKEB="0.8" -export SKEBNORM="1" -export SKEB_LSCALE="500000." -export SKEB_TAU="21600." -export SMOOTH_ENKF="YES" -export SPPT="0.8" -export SPPT_LSCALE="500000." -export SPPT_TAU="21600." -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export TYPE="nh" -export USE_COUPLER_RES="NO" -export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_GROUP="1" -export WRITE_NEMSIOFILE=".true." -export WRTTASK_PER_GROUP="12" -export assim_freq="6" -case_in="C96" # shell-local -export cdmbgwd="0.125,3.0" -export cores_per_node="24" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in platform.yaml export launcher="'mpirun -np'" -export layout_x="4" -export layout_y="8" -# in case.yaml export lwrite4danl=".false." -export master_grid="0p25deg" -export memory_efcs="254M" -export memory_fcst="1024M" -export ncld="1" -export npe_efcs="204" -export npe_fcst="216" -export npe_fv3="204" -export npe_node_efcs="24" -export npe_node_fcst="12" -export npe_node_max="24" -export npe_remap="216" -export nst_anl=".true." -export nth_fv3="1" -nth_max="1" # shell-local -export nth_remap="2" -export nwat="2" -export restart_interval="6" -status="0" # shell-local -step="efcs" # shell-local -export wtime_efcs="02:00:00" -export wtime_fcst="03:00:00" -export wtime_fcst_gfs="06:00:00" -export zhao_mic=".true." diff --git a/model/fv3gfs/2016100200/gdasefcs08_00 b/model/fv3gfs/2016100200/gdasefcs08_00 deleted file mode 100644 index dba11be..0000000 --- a/model/fv3gfs/2016100200/gdasefcs08_00 +++ /dev/null @@ -1,163 +0,0 @@ -# checkit.pl 2016100200%wham_gdasefcs08_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base fcst efcs'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="efcs" # shell-local -export APRUN_FV3="'mpirun -np 204'" -export APRUN_REGRID_NEMSIO="'mpirun -np 65'" -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DELTIM="1800" -export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2_da" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -export DONST="NO" -export DO_SHUM=".false." -export DO_SKEB=".false." -export DO_SPPT=".false." -# in case.yaml export EDATE="2016100200" -export ENKFFCSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" -export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" -export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" -export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -export MONO="non-mono" -# in platform.yaml export MPI_BUFS_PER_HOST="2048" -# in platform.yaml export MPI_BUFS_PER_PROC="2048" -# in platform.yaml export MPI_GROUP_MAX="256" -# in platform.yaml export MPI_MEMMAP_OFF="1" -# in platform.yaml export MP_STDOUTMODE="ORDERED" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -export NMEM_EFCSGRP="10" -# in case.yaml export NMEM_ENKF="80" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NTHREADS_FV3="1" -export NTHREADS_REGRID_NEMSIO="1" -export NTHSTACK="1024000000" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -# in platform.yaml export OMP_STACKSIZE="2048000" -export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" -export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" -export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" -export RERUN_EFCSGRP="NO" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SET_STP_SEED="YES" -export SHUM="0.006" -export SHUM_LSCALE="250000." -export SHUM_TAU="21600." -export SKEB="0.8" -export SKEBNORM="1" -export SKEB_LSCALE="500000." -export SKEB_TAU="21600." -export SMOOTH_ENKF="YES" -export SPPT="0.8" -export SPPT_LSCALE="500000." -export SPPT_TAU="21600." -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export TYPE="nh" -export USE_COUPLER_RES="NO" -export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_GROUP="1" -export WRITE_NEMSIOFILE=".true." -export WRTTASK_PER_GROUP="12" -export assim_freq="6" -case_in="C96" # shell-local -export cdmbgwd="0.125,3.0" -export cores_per_node="24" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in platform.yaml export launcher="'mpirun -np'" -export layout_x="4" -export layout_y="8" -# in case.yaml export lwrite4danl=".false." -export master_grid="0p25deg" -export memory_efcs="254M" -export memory_fcst="1024M" -export ncld="1" -export npe_efcs="204" -export npe_fcst="216" -export npe_fv3="204" -export npe_node_efcs="24" -export npe_node_fcst="12" -export npe_node_max="24" -export npe_remap="216" -export nst_anl=".true." -export nth_fv3="1" -nth_max="1" # shell-local -export nth_remap="2" -export nwat="2" -export restart_interval="6" -status="0" # shell-local -step="efcs" # shell-local -export wtime_efcs="02:00:00" -export wtime_fcst="03:00:00" -export wtime_fcst_gfs="06:00:00" -export zhao_mic=".true." diff --git a/model/fv3gfs/2016100200/gdaseobs_00 b/model/fv3gfs/2016100200/gdaseobs_00 deleted file mode 100644 index 8aa9231..0000000 --- a/model/fv3gfs/2016100200/gdaseobs_00 +++ /dev/null @@ -1,124 +0,0 @@ -# checkit.pl 2016100200%wham_gdaseobs_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base anal eobs'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="eobs" # shell-local -#export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" -#export APRUN_GSI="'mpirun -np 144'" -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -#export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -#export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -#export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -#export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -#export DONST="NO" -# in case.yaml export EDATE="2016100200" -#export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -#export INVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" -#export KEEPDATA="NO" -# in case.yaml export LEVS="65" -# in platform.yaml export MPI_BUFS_PER_HOST="2048" -# in platform.yaml export MPI_BUFS_PER_PROC="2048" -# in platform.yaml export MPI_GROUP_MAX="256" -# in platform.yaml export MPI_MEMMAP_OFF="1" -# in platform.yaml export MP_STDOUTMODE="ORDERED" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -# in case.yaml export NMEM_ENKF="80" -#export NMEM_EOMGGRP="10" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -#export NTHREADS_GSI="4" -#export NTHSTACK="1024000000" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -#export OBSINPUT_INVOBS="'dmesh(1)=225.0,dmesh(2)=225.0'" -#export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" -# in platform.yaml export OMP_STACKSIZE="2048000" -#export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -#export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -#export RERUN_EOMGGRP="YES" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -#export SMOOTH_ENKF="YES" -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -#export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -#export WRITE_NEMSIOFILE=".true." -export assim_freq="6" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in platform.yaml export launcher="'mpirun -np'" -# in case.yaml export lwrite4danl=".false." -export memory_anal="3072M" -export memory_eobs="3072M" -export npe_anal="144" -export npe_eobs="144" -export npe_gsi="144" -export npe_node_anal="6" -export npe_node_eobs="6" -export npe_node_max="24" -export nst_anl=".true." -export nth_gsi="4" -nth_max="4" # shell-local -status="0" # shell-local -step="eobs" # shell-local -export wtime_anal="02:00:00" -export wtime_eobs="01:00:00" -export wtime_eomg="02:30:00" diff --git a/model/fv3gfs/2016100200/gdaseomg01_00 b/model/fv3gfs/2016100200/gdaseomg01_00 deleted file mode 100644 index cc870e6..0000000 --- a/model/fv3gfs/2016100200/gdaseomg01_00 +++ /dev/null @@ -1,124 +0,0 @@ -# checkit.pl 2016100200%wham_gdaseomg01_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base anal eobs'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="eobs" # shell-local -#export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" -#export APRUN_GSI="'mpirun -np 144'" -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -#export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -#export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -#export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -#export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -#export DONST="NO" -# in case.yaml export EDATE="2016100200" -#export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -#export INVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" -#export KEEPDATA="NO" -# in case.yaml export LEVS="65" -# in platform.yaml export MPI_BUFS_PER_HOST="2048" -# in platform.yaml export MPI_BUFS_PER_PROC="2048" -# in platform.yaml export MPI_GROUP_MAX="256" -# in platform.yaml export MPI_MEMMAP_OFF="1" -# in platform.yaml export MP_STDOUTMODE="ORDERED" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -# in case.yaml export NMEM_ENKF="80" -export NMEM_EOMGGRP="10" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NTHREADS_GSI="4" -export NTHSTACK="1024000000" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OBSINPUT_INVOBS="'dmesh(1)=225.0,dmesh(2)=225.0'" -export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" -# in platform.yaml export OMP_STACKSIZE="2048000" -export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -export RERUN_EOMGGRP="YES" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SMOOTH_ENKF="YES" -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." -export assim_freq="6" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in platform.yaml export launcher="'mpirun -np'" -# in case.yaml export lwrite4danl=".false." -export memory_anal="3072M" -export memory_eobs="3072M" -export npe_anal="144" -export npe_eobs="144" -export npe_gsi="144" -export npe_node_anal="6" -export npe_node_eobs="6" -export npe_node_max="24" -export nst_anl=".true." -export nth_gsi="4" -nth_max="4" # shell-local -status="0" # shell-local -step="eobs" # shell-local -export wtime_anal="02:00:00" -export wtime_eobs="01:00:00" -export wtime_eomg="02:30:00" diff --git a/model/fv3gfs/2016100200/gdaseomg02_00 b/model/fv3gfs/2016100200/gdaseomg02_00 deleted file mode 100644 index aa99f7d..0000000 --- a/model/fv3gfs/2016100200/gdaseomg02_00 +++ /dev/null @@ -1,124 +0,0 @@ -# checkit.pl 2016100200%wham_gdaseomg02_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base anal eobs'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="eobs" # shell-local -export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" -export APRUN_GSI="'mpirun -np 144'" -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -export DONST="NO" -# in case.yaml export EDATE="2016100200" -export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export INVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -# in platform.yaml export MPI_BUFS_PER_HOST="2048" -# in platform.yaml export MPI_BUFS_PER_PROC="2048" -# in platform.yaml export MPI_GROUP_MAX="256" -# in platform.yaml export MPI_MEMMAP_OFF="1" -# in platform.yaml export MP_STDOUTMODE="ORDERED" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -# in case.yaml export NMEM_ENKF="80" -export NMEM_EOMGGRP="10" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NTHREADS_GSI="4" -export NTHSTACK="1024000000" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OBSINPUT_INVOBS="'dmesh(1)=225.0,dmesh(2)=225.0'" -export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" -# in platform.yaml export OMP_STACKSIZE="2048000" -export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -export RERUN_EOMGGRP="YES" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SMOOTH_ENKF="YES" -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." -export assim_freq="6" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in platform.yaml export launcher="'mpirun -np'" -# in case.yaml export lwrite4danl=".false." -export memory_anal="3072M" -export memory_eobs="3072M" -export npe_anal="144" -export npe_eobs="144" -export npe_gsi="144" -export npe_node_anal="6" -export npe_node_eobs="6" -export npe_node_max="24" -export nst_anl=".true." -export nth_gsi="4" -nth_max="4" # shell-local -status="0" # shell-local -step="eobs" # shell-local -export wtime_anal="02:00:00" -export wtime_eobs="01:00:00" -export wtime_eomg="02:30:00" diff --git a/model/fv3gfs/2016100200/gdaseomg03_00 b/model/fv3gfs/2016100200/gdaseomg03_00 deleted file mode 100644 index 384bbe4..0000000 --- a/model/fv3gfs/2016100200/gdaseomg03_00 +++ /dev/null @@ -1,124 +0,0 @@ -# checkit.pl 2016100200%wham_gdaseomg03_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base anal eobs'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="eobs" # shell-local -export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" -export APRUN_GSI="'mpirun -np 144'" -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -export DONST="NO" -# in case.yaml export EDATE="2016100200" -export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export INVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -# in platform.yaml export MPI_BUFS_PER_HOST="2048" -# in platform.yaml export MPI_BUFS_PER_PROC="2048" -# in platform.yaml export MPI_GROUP_MAX="256" -# in platform.yaml export MPI_MEMMAP_OFF="1" -# in platform.yaml export MP_STDOUTMODE="ORDERED" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -# in case.yaml export NMEM_ENKF="80" -export NMEM_EOMGGRP="10" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NTHREADS_GSI="4" -export NTHSTACK="1024000000" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OBSINPUT_INVOBS="'dmesh(1)=225.0,dmesh(2)=225.0'" -export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" -# in platform.yaml export OMP_STACKSIZE="2048000" -export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -export RERUN_EOMGGRP="YES" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SMOOTH_ENKF="YES" -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." -export assim_freq="6" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in platform.yaml export launcher="'mpirun -np'" -# in case.yaml export lwrite4danl=".false." -export memory_anal="3072M" -export memory_eobs="3072M" -export npe_anal="144" -export npe_eobs="144" -export npe_gsi="144" -export npe_node_anal="6" -export npe_node_eobs="6" -export npe_node_max="24" -export nst_anl=".true." -export nth_gsi="4" -nth_max="4" # shell-local -status="0" # shell-local -step="eobs" # shell-local -export wtime_anal="02:00:00" -export wtime_eobs="01:00:00" -export wtime_eomg="02:30:00" diff --git a/model/fv3gfs/2016100200/gdaseomg04_00 b/model/fv3gfs/2016100200/gdaseomg04_00 deleted file mode 100644 index 1c937d9..0000000 --- a/model/fv3gfs/2016100200/gdaseomg04_00 +++ /dev/null @@ -1,124 +0,0 @@ -# checkit.pl 2016100200%wham_gdaseomg04_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base anal eobs'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="eobs" # shell-local -export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" -export APRUN_GSI="'mpirun -np 144'" -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -export DONST="NO" -# in case.yaml export EDATE="2016100200" -export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export INVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -# in platform.yaml export MPI_BUFS_PER_HOST="2048" -# in platform.yaml export MPI_BUFS_PER_PROC="2048" -# in platform.yaml export MPI_GROUP_MAX="256" -# in platform.yaml export MPI_MEMMAP_OFF="1" -# in platform.yaml export MP_STDOUTMODE="ORDERED" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -# in case.yaml export NMEM_ENKF="80" -export NMEM_EOMGGRP="10" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NTHREADS_GSI="4" -export NTHSTACK="1024000000" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OBSINPUT_INVOBS="'dmesh(1)=225.0,dmesh(2)=225.0'" -export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" -# in platform.yaml export OMP_STACKSIZE="2048000" -export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -export RERUN_EOMGGRP="YES" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SMOOTH_ENKF="YES" -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." -export assim_freq="6" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in platform.yaml export launcher="'mpirun -np'" -# in case.yaml export lwrite4danl=".false." -export memory_anal="3072M" -export memory_eobs="3072M" -export npe_anal="144" -export npe_eobs="144" -export npe_gsi="144" -export npe_node_anal="6" -export npe_node_eobs="6" -export npe_node_max="24" -export nst_anl=".true." -export nth_gsi="4" -nth_max="4" # shell-local -status="0" # shell-local -step="eobs" # shell-local -export wtime_anal="02:00:00" -export wtime_eobs="01:00:00" -export wtime_eomg="02:30:00" diff --git a/model/fv3gfs/2016100200/gdaseomg05_00 b/model/fv3gfs/2016100200/gdaseomg05_00 deleted file mode 100644 index b87c2e9..0000000 --- a/model/fv3gfs/2016100200/gdaseomg05_00 +++ /dev/null @@ -1,124 +0,0 @@ -# checkit.pl 2016100200%wham_gdaseomg05_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base anal eobs'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="eobs" # shell-local -export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" -export APRUN_GSI="'mpirun -np 144'" -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -export DONST="NO" -# in case.yaml export EDATE="2016100200" -export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export INVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -# in platform.yaml export MPI_BUFS_PER_HOST="2048" -# in platform.yaml export MPI_BUFS_PER_PROC="2048" -# in platform.yaml export MPI_GROUP_MAX="256" -# in platform.yaml export MPI_MEMMAP_OFF="1" -# in platform.yaml export MP_STDOUTMODE="ORDERED" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -# in case.yaml export NMEM_ENKF="80" -export NMEM_EOMGGRP="10" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NTHREADS_GSI="4" -export NTHSTACK="1024000000" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OBSINPUT_INVOBS="'dmesh(1)=225.0,dmesh(2)=225.0'" -export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" -# in platform.yaml export OMP_STACKSIZE="2048000" -export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -export RERUN_EOMGGRP="YES" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SMOOTH_ENKF="YES" -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." -export assim_freq="6" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in platform.yaml export launcher="'mpirun -np'" -# in case.yaml export lwrite4danl=".false." -export memory_anal="3072M" -export memory_eobs="3072M" -export npe_anal="144" -export npe_eobs="144" -export npe_gsi="144" -export npe_node_anal="6" -export npe_node_eobs="6" -export npe_node_max="24" -export nst_anl=".true." -export nth_gsi="4" -nth_max="4" # shell-local -status="0" # shell-local -step="eobs" # shell-local -export wtime_anal="02:00:00" -export wtime_eobs="01:00:00" -export wtime_eomg="02:30:00" diff --git a/model/fv3gfs/2016100200/gdaseomg06_00 b/model/fv3gfs/2016100200/gdaseomg06_00 deleted file mode 100644 index 6883448..0000000 --- a/model/fv3gfs/2016100200/gdaseomg06_00 +++ /dev/null @@ -1,124 +0,0 @@ -# checkit.pl 2016100200%wham_gdaseomg06_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base anal eobs'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="eobs" # shell-local -export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" -export APRUN_GSI="'mpirun -np 144'" -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -export DONST="NO" -# in case.yaml export EDATE="2016100200" -export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export INVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -# in platform.yaml export MPI_BUFS_PER_HOST="2048" -# in platform.yaml export MPI_BUFS_PER_PROC="2048" -# in platform.yaml export MPI_GROUP_MAX="256" -# in platform.yaml export MPI_MEMMAP_OFF="1" -# in platform.yaml export MP_STDOUTMODE="ORDERED" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -# in case.yaml export NMEM_ENKF="80" -export NMEM_EOMGGRP="10" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NTHREADS_GSI="4" -export NTHSTACK="1024000000" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OBSINPUT_INVOBS="'dmesh(1)=225.0,dmesh(2)=225.0'" -export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" -# in platform.yaml export OMP_STACKSIZE="2048000" -export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -export RERUN_EOMGGRP="YES" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SMOOTH_ENKF="YES" -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." -export assim_freq="6" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in platform.yaml export launcher="'mpirun -np'" -# in case.yaml export lwrite4danl=".false." -export memory_anal="3072M" -export memory_eobs="3072M" -export npe_anal="144" -export npe_eobs="144" -export npe_gsi="144" -export npe_node_anal="6" -export npe_node_eobs="6" -export npe_node_max="24" -export nst_anl=".true." -export nth_gsi="4" -nth_max="4" # shell-local -status="0" # shell-local -step="eobs" # shell-local -export wtime_anal="02:00:00" -export wtime_eobs="01:00:00" -export wtime_eomg="02:30:00" diff --git a/model/fv3gfs/2016100200/gdaseomg07_00 b/model/fv3gfs/2016100200/gdaseomg07_00 deleted file mode 100644 index 993ee96..0000000 --- a/model/fv3gfs/2016100200/gdaseomg07_00 +++ /dev/null @@ -1,124 +0,0 @@ -# checkit.pl 2016100200%wham_gdaseomg07_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base anal eobs'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="eobs" # shell-local -export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" -export APRUN_GSI="'mpirun -np 144'" -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -export DONST="NO" -# in case.yaml export EDATE="2016100200" -export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export INVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -# in platform.yaml export MPI_BUFS_PER_HOST="2048" -# in platform.yaml export MPI_BUFS_PER_PROC="2048" -# in platform.yaml export MPI_GROUP_MAX="256" -# in platform.yaml export MPI_MEMMAP_OFF="1" -# in platform.yaml export MP_STDOUTMODE="ORDERED" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -# in case.yaml export NMEM_ENKF="80" -export NMEM_EOMGGRP="10" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NTHREADS_GSI="4" -export NTHSTACK="1024000000" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OBSINPUT_INVOBS="'dmesh(1)=225.0,dmesh(2)=225.0'" -export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" -# in platform.yaml export OMP_STACKSIZE="2048000" -export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -export RERUN_EOMGGRP="YES" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SMOOTH_ENKF="YES" -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." -export assim_freq="6" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in platform.yaml export launcher="'mpirun -np'" -# in case.yaml export lwrite4danl=".false." -export memory_anal="3072M" -export memory_eobs="3072M" -export npe_anal="144" -export npe_eobs="144" -export npe_gsi="144" -export npe_node_anal="6" -export npe_node_eobs="6" -export npe_node_max="24" -export nst_anl=".true." -export nth_gsi="4" -nth_max="4" # shell-local -status="0" # shell-local -step="eobs" # shell-local -export wtime_anal="02:00:00" -export wtime_eobs="01:00:00" -export wtime_eomg="02:30:00" diff --git a/model/fv3gfs/2016100200/gdaseomg08_00 b/model/fv3gfs/2016100200/gdaseomg08_00 deleted file mode 100644 index 40726c0..0000000 --- a/model/fv3gfs/2016100200/gdaseomg08_00 +++ /dev/null @@ -1,124 +0,0 @@ -# checkit.pl 2016100200%wham_gdaseomg08_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base anal eobs'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="eobs" # shell-local -export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" -export APRUN_GSI="'mpirun -np 144'" -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -export DONST="NO" -# in case.yaml export EDATE="2016100200" -export ENKFINVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export INVOBSSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -# in platform.yaml export MPI_BUFS_PER_HOST="2048" -# in platform.yaml export MPI_BUFS_PER_PROC="2048" -# in platform.yaml export MPI_GROUP_MAX="256" -# in platform.yaml export MPI_MEMMAP_OFF="1" -# in platform.yaml export MP_STDOUTMODE="ORDERED" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -# in case.yaml export NMEM_ENKF="80" -export NMEM_EOMGGRP="10" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NTHREADS_GSI="4" -export NTHSTACK="1024000000" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OBSINPUT_INVOBS="'dmesh(1)=225.0,dmesh(2)=225.0'" -export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" -# in platform.yaml export OMP_STACKSIZE="2048000" -export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -export RERUN_EOMGGRP="YES" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SMOOTH_ENKF="YES" -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." -export assim_freq="6" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in platform.yaml export launcher="'mpirun -np'" -# in case.yaml export lwrite4danl=".false." -export memory_anal="3072M" -export memory_eobs="3072M" -export npe_anal="144" -export npe_eobs="144" -export npe_gsi="144" -export npe_node_anal="6" -export npe_node_eobs="6" -export npe_node_max="24" -export nst_anl=".true." -export nth_gsi="4" -nth_max="4" # shell-local -status="0" # shell-local -step="eobs" # shell-local -export wtime_anal="02:00:00" -export wtime_eobs="01:00:00" -export wtime_eomg="02:30:00" diff --git a/model/fv3gfs/2016100200/gdasepos_00 b/model/fv3gfs/2016100200/gdasepos_00 deleted file mode 100644 index 4cec84d..0000000 --- a/model/fv3gfs/2016100200/gdasepos_00 +++ /dev/null @@ -1,110 +0,0 @@ -# checkit.pl 2016100200%wham_gdasepos_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base epos'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="epos" # shell-local -#export APRUN_EPOS="'mpirun -np 84'" -# in case.yaml # in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -#export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -#export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml # in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -#export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml # in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml # in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml # in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml # in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml # in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml # in case.yaml export CASE="C192" -# in case.yaml # in case.yaml export CASE_ENKF="C96" -# actions.yaml export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml # in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml # in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml # in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -# in platform.yaml # in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml # in case.yaml export DOHYBVAR="YES" -# actions.yaml export DONST="NO" -# in case.yaml # in case.yaml export EDATE="2016100200" -# in actions.yaml export ENKFPOSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_post_fv3gfs.sh.ecf" -# in case.yaml # in case.yaml export FHCYC="24" -# in case.yaml # in case.yaml export FHMAX="9" -# in case.yaml # in case.yaml export FHMAX_ENKF="9" -# in case.yaml # in case.yaml export FHMAX_GFS="240" -# in case.yaml # in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml # in case.yaml export FHMIN="0" -# in case.yaml # in case.yaml export FHMIN_ENKF="3" -# in case.yaml # in case.yaml export FHMIN_GFS="0" -# in case.yaml # in case.yaml export FHOUT="3" -# in case.yaml # in case.yaml export FHOUT_ENKF="3" -# in case.yaml # in case.yaml export FHOUT_GFS="6" -# in case.yaml # in case.yaml export FHOUT_HF_GFS="1" -# in platform.yaml # in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml # in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml # in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml # in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -#export KEEPDATA="NO" -# in case.yaml # in case.yaml export LEVS="65" -# in platform.yaml # in platform.yaml export MPI_BUFS_PER_HOST="2048" -# in platform.yaml # in platform.yaml export MPI_BUFS_PER_PROC="2048" -# in platform.yaml # in platform.yaml export MPI_GROUP_MAX="256" -# in platform.yaml # in platform.yaml export MPI_MEMMAP_OFF="1" -# in platform.yaml # in platform.yaml export MP_STDOUTMODE="ORDERED" -# in platform.yaml # in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -# in platform.yaml # in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml # in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml # in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml # in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml # in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml # in platform.yaml export NLN="'/bin/ln -sf'" -# in case.yaml # in case.yaml export NMEM_ENKF="80" -# in platform.yaml # in platform.yaml export NMV="/bin/mv" -# in case.yaml # in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -# in platform.yaml # in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -# in platform.yaml # in platform.yaml export OMP_STACKSIZE="2048000" -#export OUTPUT_GRID="gaussian_grid" -# in platform.yaml # in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml as "experiment_name" # in case.yaml export PSLOT="wham" -# in case.yaml # in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -#export QUILTING=".true." -# in case.yaml # in case.yaml export REALTIME="NO" -# in case.yaml # in case.yaml export RECENTER_ENKF="YES" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -#export SMOOTH_ENKF="YES" -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -#export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -#export WRITE_NEMSIOFILE=".true." -#export assim_freq="6" -#exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in platform.yaml export launcher="'mpirun -np'" -# in case.yaml export lwrite4danl=".false." -#export memory_epos="254M" -export npe_epos="84" -export npe_node_epos="12" -export npe_node_max="24" -export nst_anl=".true." -export nth_epos="2" -nth_max="2" # shell-local -status="0" # shell-local -step="epos" # shell-local -export wtime_epos="01:25:00" diff --git a/model/fv3gfs/2016100200/gdaseupd_00 b/model/fv3gfs/2016100200/gdaseupd_00 deleted file mode 100644 index 6564342..0000000 --- a/model/fv3gfs/2016100200/gdaseupd_00 +++ /dev/null @@ -1,120 +0,0 @@ -# checkit.pl 2016100200%wham_gdaseupd_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base anal eupd'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="eupd" # shell-local -#export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" -#export APRUN_ENKF="'mpirun -np 120'" -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -#export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -#export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -#export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -#export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -#export DONST="NO" -# in case.yaml export EDATE="2016100200" -#export ENKFUPDSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_update_fv3gfs.sh.ecf" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -# in platform.yaml export MPI_BUFS_PER_HOST="2048" -# in platform.yaml export MPI_BUFS_PER_PROC="2048" -# in platform.yaml export MPI_GROUP_MAX="256" -# in platform.yaml export MPI_MEMMAP_OFF="1" -# in platform.yaml export MP_STDOUTMODE="ORDERED" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -# in case.yaml export NMEM_ENKF="80" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -#export NTHREADS_ENKF="2" -#export NTHSTACK="1024000000" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -# in platform.yaml export OMP_STACKSIZE="2048000" -#export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -#export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -#export SMOOTH_ENKF="YES" -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -#export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -#export WRITE_NEMSIOFILE=".true." -export assim_freq="6" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in platform.yaml export launcher="'mpirun -np'" -# in case.yaml export lwrite4danl=".false." -export memory_anal="3072M" -export memory_eupd="3072M" -export npe_anal="144" -export npe_enkf="120" -export npe_eupd="120" -export npe_gsi="144" -export npe_node_anal="6" -export npe_node_eupd="12" -export npe_node_max="24" -export nst_anl=".true." -export nth_enkf="4" -export nth_gsi="4" -nth_max="2" # shell-local -status="0" # shell-local -step="eupd" # shell-local -export wtime_anal="02:00:00" -export wtime_eupd="01:40:00" diff --git a/model/fv3gfs/2016100200/gdasfcst_00 b/model/fv3gfs/2016100200/gdasfcst_00 deleted file mode 100644 index 4ccfb9c..0000000 --- a/model/fv3gfs/2016100200/gdasfcst_00 +++ /dev/null @@ -1,144 +0,0 @@ -# checkit.pl 2016100200%wham_gdasfcst_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base fcst'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="fcst" # shell-local -export APRUN_FV3="'mpirun -np 216'" -export APRUN_REGRID_NEMSIO="'mpirun -np 65'" -export APRUN_REMAP="'mpirun -np 216'" -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DELTIM="900" -export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2_da" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -export DONST="NO" -# in case.yaml export EDATE="2016100200" -export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" -export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" -export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -export MONO="non-mono" -# in platform.yaml export MPI_BUFS_PER_HOST="2048" -# in platform.yaml export MPI_BUFS_PER_PROC="2048" -# in platform.yaml export MPI_GROUP_MAX="256" -# in platform.yaml export MPI_MEMMAP_OFF="1" -# in platform.yaml export MP_STDOUTMODE="ORDERED" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -# in case.yaml export NMEM_ENKF="80" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NTHREADS_FV3="2" -export NTHREADS_REGRID_NEMSIO="1" -export NTHREADS_REMAP="2" -export NTHSTACK="1024000000" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -# in platform.yaml export OMP_STACKSIZE="2048000" -export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" -export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" -export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SMOOTH_ENKF="YES" -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export TYPE="nh" -export USE_COUPLER_RES="NO" -export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_GROUP="1" -export WRITE_NEMSIOFILE=".true." -export WRTTASK_PER_GROUP="24" -export assim_freq="6" -case_in="C192" # shell-local -export cdmbgwd="0.2,2.5" -export cores_per_node="24" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in platform.yaml export launcher="'mpirun -np'" -export layout_x="4" -export layout_y="8" -# in case.yaml export lwrite4danl=".false." -export master_grid="0p25deg" -export memory_fcst="1024M" -export ncld="1" -export npe_fcst="216" -export npe_fv3="216" -export npe_node_fcst="12" -export npe_node_max="24" -export npe_remap="216" -export nst_anl=".true." -export nth_fv3="2" -nth_max="2" # shell-local -export nth_remap="2" -export nwat="2" -export restart_interval="6" -status="0" # shell-local -step="fcst" # shell-local -export wtime_fcst="03:00:00" -export wtime_fcst_gfs="06:00:00" -export zhao_mic=".true." diff --git a/model/fv3gfs/2016100200/gdaspost_00 b/model/fv3gfs/2016100200/gdaspost_00 deleted file mode 100644 index 11e7673..0000000 --- a/model/fv3gfs/2016100200/gdaspost_00 +++ /dev/null @@ -1,129 +0,0 @@ -# checkit.pl 2016100200%wham_gdaspost_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base post'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="post" # shell-local -export APRUN_DWN="'mpirun -np 72'" -export APRUN_NP="'mpirun -np 72'" -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -export DONST="NO" -export DO_GDAS_FCST_POST="YES" -# in case.yaml export EDATE="2016100200" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -export FLXF="YES" -export GFSDOWNSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/ush/fv3gfs_downstream_nems.sh" -export GFSDWNSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/ush/fv3gfs_dwn_nems.sh" -export GFS_DOWNSTREAM="YES" -export GOESF="NO" -export GPOST="YES" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GTGF="NO" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -# in platform.yaml export MPI_BUFS_PER_HOST="2048" -# in platform.yaml export MPI_BUFS_PER_PROC="2048" -# in platform.yaml export MPI_GROUP_MAX="256" -# in platform.yaml export MPI_MEMMAP_OFF="1" -# in platform.yaml export MP_STDOUTMODE="ORDERED" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -# in case.yaml export NMEM_ENKF="80" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NTHREADS_DWN="2" -export NTHREADS_NP="1" -export NTHSTACK="1024000000" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -# in platform.yaml export OMP_STACKSIZE="2048000" -export OUTPUT_GRID="gaussian_grid" -export POSTGPEXEC="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2/exec/ncep_post" -export POSTGPSH="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2/ush/global_nceppost.sh" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export POSTJJOBSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs/JGFS_POST.sh" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SMOOTH_ENKF="YES" -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." -export assim_freq="6" -export downset="1" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in platform.yaml export launcher="'mpirun -np'" -# in case.yaml export lwrite4danl=".false." -export memory_post="3072M" -export npe_dwn="72" -export npe_node_max="24" -export npe_node_post="12" -export npe_post="72" -export npe_postgp="72" -export nst_anl=".true." -export nth_dwn="2" -nth_max="2" # shell-local -export nth_postgp="1" -status="0" # shell-local -step="post" # shell-local -export wtime_post="03:00:00" -export wtime_post_gfs="06:00:00" diff --git a/model/fv3gfs/2016100200/gdasprep_00 b/model/fv3gfs/2016100200/gdasprep_00 deleted file mode 100644 index 6ff32cd..0000000 --- a/model/fv3gfs/2016100200/gdasprep_00 +++ /dev/null @@ -1,113 +0,0 @@ -# checkit.pl 2016100200%wham_gdasprep_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base prep'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="prep" # shell-local -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -#export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -#export BACK="YES" -#export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -#export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -#export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -#export DONST="NO" -#export DO_MAKEPREPBUFR="YES" -#export DO_RELOCATE="NO" -#export DRIVE_MAKEPREPBUFRSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/drive_makeprepbufr.sh" -# in case.yaml export EDATE="2016100200" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -# in platform.yaml export MPI_BUFS_PER_HOST="2048" -# in platform.yaml export MPI_BUFS_PER_PROC="2048" -# in platform.yaml export MPI_GROUP_MAX="256" -# in platform.yaml export MPI_MEMMAP_OFF="1" -# in platform.yaml export MP_STDOUTMODE="ORDERED" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -# in case.yaml export NMEM_ENKF="80" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NTHSTACK="1024000000" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -# in platform.yaml export OMP_STACKSIZE="2048000" -export OUTPUT_GRID="gaussian_grid" -export POE="NO" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SMOOTH_ENKF="YES" -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." -export assim_freq="6" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in platform.yaml export launcher="'mpirun -np'" -# in case.yaml export lwrite4danl=".false." -export npe_node_max="24" -export npe_node_prep="12" -export npe_prep="12" -export nst_anl=".true." -nth_max="2" # shell-local -status="0" # shell-local -step="prep" # shell-local -export sys_tp="Cray-CS400" -export wtime_prep="01:00:00" diff --git a/model/fv3gfs/2016100200/gdasvrfy_00 b/model/fv3gfs/2016100200/gdasvrfy_00 deleted file mode 100644 index a090eb5..0000000 --- a/model/fv3gfs/2016100200/gdasvrfy_00 +++ /dev/null @@ -1,123 +0,0 @@ -# checkit.pl 2016100200%wham_gdasvrfy_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base vrfy'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="vrfy" # shell-local -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -export CDFNL="gdas" -export CDUMPFCST="gdas" -export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -export DONST="NO" -# in case.yaml export EDATE="2016100200" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -GRIB1_WORKS="NO" # shell-local -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -# in platform.yaml export MPI_BUFS_PER_HOST="2048" -# in platform.yaml export MPI_BUFS_PER_PROC="2048" -# in platform.yaml export MPI_GROUP_MAX="256" -# in platform.yaml export MPI_MEMMAP_OFF="1" -# in platform.yaml export MP_STDOUTMODE="ORDERED" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -# in case.yaml export NMEM_ENKF="80" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NTHSTACK="1024000000" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -# in platform.yaml export OMP_STACKSIZE="2048000" -export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SMOOTH_ENKF="YES" -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VDUMP="gfs" -export VERBOSE="YES" -export VRFYFITS="NO" -export VRFYG2OBS="NO" -export VRFYGENESIS="NO" -export VRFYGMPK="NO" -export VRFYMINMON="NO" -export VRFYOZN="NO" -export VRFYPRCP="YES" -export VRFYRAD="NO" -export VRFYTRAK="NO" -export VSDB_STEP1="YES" -export VSDB_STEP2="NO" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." -export assim_freq="6" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in platform.yaml export launcher="'mpirun -np'" -# in case.yaml export lwrite4danl=".false." -export memory_vrfy="16384M" -export npe_node_max="24" -export npe_node_vrfy="1" -export npe_vrfy="1" -export nst_anl=".true." -status="0" # shell-local -step="vrfy" # shell-local -export wtime_vrfy="03:00:00" -export wtime_vrfy_gfs="06:00:00" diff --git a/model/fv3gfs/2016100200/gfsanal_00 b/model/fv3gfs/2016100200/gfsanal_00 deleted file mode 100644 index 4d9a78c..0000000 --- a/model/fv3gfs/2016100200/gfsanal_00 +++ /dev/null @@ -1,115 +0,0 @@ -# checkit.pl 2016100200%wham_gfsanal_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base anal'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="anal" # shell-local -#export ANALYSISSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/exglobal_analysis_fv3gfs.sh.ecf" -#export APRUN_CALCINC="'mpirun -np $ncmd'" -#export APRUN_GSI="'mpirun -np 144'" -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -#export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -#export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -#export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -#export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -#export DONST="NO" -# in case.yaml export EDATE="2016100200" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -#export KEEPDATA="NO" -# in case.yaml export LEVS="65" -# in platform.yaml export MPI_BUFS_PER_HOST="2048" -# in platform.yaml export MPI_BUFS_PER_PROC="2048" -# in platform.yaml export MPI_GROUP_MAX="256" -# in platform.yaml export MPI_MEMMAP_OFF="1" -# in platform.yaml export MP_STDOUTMODE="ORDERED" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -# in case.yaml export NMEM_ENKF="80" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -#export NTHREADS_CALCINC="1" -#export NTHREADS_GSI="4" -#export NTHSTACK="1024000000" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -# in platform.yaml export OMP_STACKSIZE="2048000" -#export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -#export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -#export SMOOTH_ENKF="YES" -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -#export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -#export WRITE_NEMSIOFILE=".true." -#export assim_freq="6" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in platform.yaml export launcher="'mpirun -np'" -# in case.yaml export lwrite4danl=".false." -export memory_anal="3072M" -export npe_anal="144" -export npe_gsi="144" -export npe_node_anal="6" -export npe_node_max="24" -export nst_anl=".true." -export nth_gsi="4" -nth_max="4" # shell-local -status="0" # shell-local -step="anal" # shell-local -export wtime_anal="02:00:00" diff --git a/model/fv3gfs/2016100200/gfsarch_00 b/model/fv3gfs/2016100200/gfsarch_00 deleted file mode 100644 index 9656d67..0000000 --- a/model/fv3gfs/2016100200/gfsarch_00 +++ /dev/null @@ -1,97 +0,0 @@ -# checkit.pl 2016100200%wham_gfsarch_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base arch'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="arch" # shell-local -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -export DONST="NO" -# in case.yaml export EDATE="2016100200" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -# in case.yaml export NMEM_ENKF="80" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SMOOTH_ENKF="YES" -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." -export assim_freq="6" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in case.yaml export lwrite4danl=".false." -export npe_arch="1" -export npe_node_arch="1" -export nst_anl=".true." -status="0" # shell-local -step="arch" # shell-local -export wtime_arch="03:00:00" diff --git a/model/fv3gfs/2016100200/gfsfcst_00 b/model/fv3gfs/2016100200/gfsfcst_00 deleted file mode 100644 index db3151a..0000000 --- a/model/fv3gfs/2016100200/gfsfcst_00 +++ /dev/null @@ -1,143 +0,0 @@ -# checkit.pl 2016100200%wham_gfsfcst_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base fcst'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="fcst" # shell-local -export APRUN_FV3="'mpirun -np 216'" -export APRUN_REGRID_NEMSIO="'mpirun -np 65'" -export APRUN_REMAP="'mpirun -np 216'" -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DELTIM="900" -export DIAG_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/diag_table2" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -export DONST="NO" -# in case.yaml export EDATE="2016100200" -export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" -export FCSTEXECDIR="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd/NEMS/exe" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -export FIELD_TABLE="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/field_table_ncld1" -export FORECASTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/scripts/exglobal_fcst_nemsfv3gfs.sh" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -export MONO="non-mono" -# in platform.yaml export MPI_BUFS_PER_HOST="2048" -# in platform.yaml export MPI_BUFS_PER_PROC="2048" -# in platform.yaml export MPI_GROUP_MAX="256" -# in platform.yaml export MPI_MEMMAP_OFF="1" -# in platform.yaml export MP_STDOUTMODE="ORDERED" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NC2NEMSIOSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_nc2nemsio.sh" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -# in case.yaml export NMEM_ENKF="80" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NTHREADS_FV3="2" -export NTHREADS_REGRID_NEMSIO="1" -export NTHREADS_REMAP="2" -export NTHSTACK="1024000000" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -# in platform.yaml export OMP_STACKSIZE="2048000" -export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -export REGRID_NEMSIO_SH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_regrid_nemsio.sh" -export REGRID_NEMSIO_TBL="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/parm/parm_fv3diag/variable_table_da_nonsst.txt" -export REMAPSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/fv3gfs_remap.sh" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SMOOTH_ENKF="YES" -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export TYPE="nh" -export USE_COUPLER_RES="NO" -export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_GROUP="1" -export WRITE_NEMSIOFILE=".true." -export WRTTASK_PER_GROUP="24" -export assim_freq="6" -case_in="C192" # shell-local -export cdmbgwd="0.2,2.5" -export cores_per_node="24" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in platform.yaml export launcher="'mpirun -np'" -export layout_x="4" -export layout_y="8" -# in case.yaml export lwrite4danl=".false." -export master_grid="0p25deg" -export memory_fcst="1024M" -export ncld="1" -export npe_fcst="216" -export npe_fv3="216" -export npe_node_fcst="12" -export npe_node_max="24" -export npe_remap="216" -export nst_anl=".true." -export nth_fv3="2" -nth_max="2" # shell-local -export nth_remap="2" -export nwat="2" -status="0" # shell-local -step="fcst" # shell-local -export wtime_fcst="03:00:00" -export wtime_fcst_gfs="06:00:00" -export zhao_mic=".true." diff --git a/model/fv3gfs/2016100200/gfspost_00 b/model/fv3gfs/2016100200/gfspost_00 deleted file mode 100644 index 9082d28..0000000 --- a/model/fv3gfs/2016100200/gfspost_00 +++ /dev/null @@ -1,129 +0,0 @@ -# checkit.pl 2016100200%wham_gfspost_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base post'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="post" # shell-local -export APRUN_DWN="'mpirun -np 72'" -export APRUN_NP="'mpirun -np 72'" -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -export DONST="NO" -export DO_GDAS_FCST_POST="YES" -# in case.yaml export EDATE="2016100200" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -export FLXF="YES" -export GFSDOWNSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/ush/fv3gfs_downstream_nems.sh" -export GFSDWNSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/ush/fv3gfs_dwn_nems.sh" -export GFS_DOWNSTREAM="YES" -export GOESF="NO" -export GPOST="YES" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GTGF="NO" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -# in platform.yaml export MPI_BUFS_PER_HOST="2048" -# in platform.yaml export MPI_BUFS_PER_PROC="2048" -# in platform.yaml export MPI_GROUP_MAX="256" -# in platform.yaml export MPI_MEMMAP_OFF="1" -# in platform.yaml export MP_STDOUTMODE="ORDERED" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -# in case.yaml export NMEM_ENKF="80" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NTHREADS_DWN="2" -export NTHREADS_NP="1" -export NTHSTACK="1024000000" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -# in platform.yaml export OMP_STACKSIZE="2048000" -export OUTPUT_GRID="gaussian_grid" -export POSTGPEXEC="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2/exec/ncep_post" -export POSTGPSH="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2/ush/global_nceppost.sh" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export POSTJJOBSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs/JGFS_POST.sh" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SMOOTH_ENKF="YES" -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." -export assim_freq="6" -export downset="1" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in platform.yaml export launcher="'mpirun -np'" -# in case.yaml export lwrite4danl=".false." -export memory_post="3072M" -export npe_dwn="72" -export npe_node_max="24" -export npe_node_post="12" -export npe_post="72" -export npe_postgp="72" -export nst_anl=".true." -export nth_dwn="2" -nth_max="2" # shell-local -export nth_postgp="1" -status="0" # shell-local -step="post" # shell-local -export wtime_post="03:00:00" -export wtime_post_gfs="06:00:00" diff --git a/model/fv3gfs/2016100200/gfsprep_00 b/model/fv3gfs/2016100200/gfsprep_00 deleted file mode 100644 index 45f15f2..0000000 --- a/model/fv3gfs/2016100200/gfsprep_00 +++ /dev/null @@ -1,113 +0,0 @@ -# checkit.pl 2016100200%wham_gfsprep_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base prep'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="prep" # shell-local -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BACK="YES" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -export DONST="NO" -export DO_MAKEPREPBUFR="YES" -export DO_RELOCATE="NO" -export DRIVE_MAKEPREPBUFRSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/ush/drive_makeprepbufr.sh" -# in case.yaml export EDATE="2016100200" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -# in platform.yaml export MPI_BUFS_PER_HOST="2048" -# in platform.yaml export MPI_BUFS_PER_PROC="2048" -# in platform.yaml export MPI_GROUP_MAX="256" -# in platform.yaml export MPI_MEMMAP_OFF="1" -# in platform.yaml export MP_STDOUTMODE="ORDERED" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -# in case.yaml export NMEM_ENKF="80" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NTHSTACK="1024000000" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -# in platform.yaml export OMP_STACKSIZE="2048000" -export OUTPUT_GRID="gaussian_grid" -export POE="NO" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SMOOTH_ENKF="YES" -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." -export assim_freq="6" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in platform.yaml export launcher="'mpirun -np'" -# in case.yaml export lwrite4danl=".false." -export npe_node_max="24" -export npe_node_prep="12" -export npe_prep="12" -export nst_anl=".true." -nth_max="2" # shell-local -status="0" # shell-local -step="prep" # shell-local -export sys_tp="Cray-CS400" -export wtime_prep="01:00:00" diff --git a/model/fv3gfs/2016100200/gfsvrfy_00 b/model/fv3gfs/2016100200/gfsvrfy_00 deleted file mode 100644 index 96412db..0000000 --- a/model/fv3gfs/2016100200/gfsvrfy_00 +++ /dev/null @@ -1,131 +0,0 @@ -# checkit.pl 2016100200%wham_gfsvrfy_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base vrfy'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="vrfy" # shell-local -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BACKDATEVSDB="24" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml # in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -export CDFNL="gdas" -export CDUMPFCST="gdas" -export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -export DONST="NO" -# in case.yaml export EDATE="2016100200" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -GRIB1_WORKS="NO" # shell-local -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -# in platform.yaml export MPI_BUFS_PER_HOST="2048" -# in platform.yaml export MPI_BUFS_PER_PROC="2048" -# in platform.yaml export MPI_GROUP_MAX="256" -# in platform.yaml export MPI_MEMMAP_OFF="1" -# in platform.yaml export MP_STDOUTMODE="ORDERED" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -# in case.yaml export NMEM_ENKF="80" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NTHSTACK="1024000000" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -# in platform.yaml export OMP_STACKSIZE="2048000" -export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SMOOTH_ENKF="YES" -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VBACKUP_PRCP="24" -export VDUMP="gfs" -export VERBOSE="YES" -export VRFYFITS="NO" -export VRFYG2OBS="NO" -export VRFYGENESIS="NO" -export VRFYGMPK="NO" -export VRFYMINMON="NO" -export VRFYOZN="NO" -export VRFYPRCP="YES" -export VRFYRAD="NO" -export VRFYTRAK="NO" -export VSDBSH="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb/vsdbjob.sh" -export VSDB_STEP1="YES" -export VSDB_STEP2="NO" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." -export assim_freq="6" -exetest="htar" # shell-local -export ftyplist="pgbq" -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in platform.yaml export launcher="'mpirun -np'" -# in case.yaml export lwrite4danl=".false." -export memory_vrfy="16384M" -export npe_node_max="24" -export npe_node_vrfy="1" -export npe_vrfy="1" -export nst_anl=".true." -status="0" # shell-local -step="vrfy" # shell-local -export vhr_rain="240" -export vlength="240" -export vsdbhome="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export vsdbsave="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/vsdb_data" -export wtime_vrfy="03:00:00" -export wtime_vrfy_gfs="06:00:00" From 5635568a12af0ba8bec8f83936eba7c32bdd014e Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Fri, 20 Oct 2017 21:45:13 +0000 Subject: [PATCH 157/487] further delete --- model/fv3gfs/2016100200/gdasearc00_00_test | 98 ----------------- model/fv3gfs/2016100200/gdasepos_00_defined | 110 -------------------- 2 files changed, 208 deletions(-) delete mode 100644 model/fv3gfs/2016100200/gdasearc00_00_test delete mode 100644 model/fv3gfs/2016100200/gdasepos_00_defined diff --git a/model/fv3gfs/2016100200/gdasearc00_00_test b/model/fv3gfs/2016100200/gdasearc00_00_test deleted file mode 100644 index 873cfc7..0000000 --- a/model/fv3gfs/2016100200/gdasearc00_00_test +++ /dev/null @@ -1,98 +0,0 @@ -# checkit.pl 2016100200%wham_gdasearc00_00 -# Variable changes before modules: -#export ACCOUNT="fv3-cpu" -#BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -#export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -#export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -#export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -#export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -#export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -#HPSS_PROJECT="emc-global" # shell-local -#export QUEUE="batch" -#export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base earc'" # shell-local -#export machine="THEIA" - - -# Variable changes after modules: -config="earc" # shell-local -export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -export CASE="C192" -export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -export DOHYBVAR="YES" -export DONST="NO" -export EDATE="2016100200" -export FHCYC="24" -export FHMAX="9" -export FHMAX_ENKF="9" -export FHMAX_GFS="240" -export FHMAX_HF_GFS="0" -export FHMIN="0" -export FHMIN_ENKF="3" -export FHMIN_GFS="0" -export FHOUT="3" -export FHOUT_ENKF="3" -export FHOUT_GFS="6" -export FHOUT_HF_GFS="1" -export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" -export LEVS="65" -export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -export NCO_NAMING_CONV="YES" -export NCP="'/bin/cp -p'" -export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -export NLN="'/bin/ln -sf'" -export NMEM_EARCGRP="10" -export NMEM_ENKF="80" -export NMV="/bin/mv" -export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -export OUTPUT_GRID="gaussian_grid" -export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -export PSLOT="wham" -export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -export REALTIME="NO" -export RECENTER_ENKF="YES" -export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -export SDATE="2016100100" -export SMOOTH_ENKF="YES" -export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VERBOSE="YES" -export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." -export assim_freq="6" -exetest="htar" # shell-local -export gfs_cyc="1" -export l4densvar=".false." -export lwrite4danl=".false." -export npe_earc="1" -export npe_node_earc="1" -export nst_anl=".true." -status="0" # shell-local -step="earc" # shell-local -export wtime_earc="03:00:00" diff --git a/model/fv3gfs/2016100200/gdasepos_00_defined b/model/fv3gfs/2016100200/gdasepos_00_defined deleted file mode 100644 index 89e1ba7..0000000 --- a/model/fv3gfs/2016100200/gdasepos_00_defined +++ /dev/null @@ -1,110 +0,0 @@ -# checkit.pl 2016100200%wham_gdasepos_00 -# Variable changes before modules: -export ACCOUNT="fv3-cpu" -BASE_FV3GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" # shell-local -export BASE_GDAS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" -export BASE_GFS="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" -export BASE_GSM="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0" -export BASE_MODULES="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/modulefiles" -export BASE_WORKFLOW="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0" -HPSS_PROJECT="emc-global" # shell-local -export QUEUE="batch" -export QUEUE_ARCH="service" -config="base" # shell-local -configs="'base epos'" # shell-local -export machine="THEIA" - - -# Variable changes after modules: -config="epos" # shell-local -export APRUN_EPOS="'mpirun -np 84'" -# in case.yaml export ARCDIR="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/wham" -export ATARDIR="/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" -export BASE_ENV="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/env" -# in case.yaml export BASE_GSI="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd" -export BASE_JOB="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs_workflow.v15.0.0/jobs" -# in case.yaml export BASE_NEMSfv3gfs="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/fv3gfs.fd" -# in case.yaml export BASE_POST="/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2" -# in case.yaml export BASE_PREP="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0" -# in case.yaml export BASE_PREP_GLOBAL="/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0" -# in platform.yaml export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" -# in case.yaml export BASE_VERIF="/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" -# in case.yaml export CASE="C192" -# in case.yaml export CASE_ENKF="C96" -export CHGRP_CMD="'chgrp rstprod'" -# in platform.yaml export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" -# in platform.yaml export COPYGB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb" -# in platform.yaml export COPYGB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/copygb2" -# in platform.yaml export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" -# in case.yaml export DOHYBVAR="YES" -export DONST="NO" -# in case.yaml export EDATE="2016100200" -export ENKFPOSTSH="/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/global_shared.v15.0.0/sorc/gsi.fd/scripts/EnKF/scripts_ncep/exglobal_enkf_post_fv3gfs.sh.ecf" -# in case.yaml export FHCYC="24" -# in case.yaml export FHMAX="9" -# in case.yaml export FHMAX_ENKF="9" -# in case.yaml export FHMAX_GFS="240" -# in case.yaml export FHMAX_HF_GFS="0" -# in case.yaml export FHMIN="0" -# in case.yaml export FHMIN_ENKF="3" -# in case.yaml export FHMIN_GFS="0" -# in case.yaml export FHOUT="3" -# in case.yaml export FHOUT_ENKF="3" -# in case.yaml export FHOUT_GFS="6" -# in case.yaml export FHOUT_HF_GFS="1" -# in platform.yaml export GRB2INDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in platform.yaml export GRBINDEX="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" -# in platform.yaml export GRBINDEX2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" -# in case.yaml export HOMEDIR="/scratch4/NCEPDEV/ocean/save/Samuel.Trahan" -export KEEPDATA="NO" -# in case.yaml export LEVS="65" -# in platform.yaml export MPI_BUFS_PER_HOST="2048" -# in platform.yaml export MPI_BUFS_PER_PROC="2048" -# in platform.yaml export MPI_GROUP_MAX="256" -# in platform.yaml export MPI_MEMMAP_OFF="1" -# in platform.yaml export MP_STDOUTMODE="ORDERED" -# in platform.yaml export MYBASE_SVN="/scratch4/NCEPDEV/global/save/Samuel.Trahan/svn" -# in platform.yaml export NCO_NAMING_CONV="YES" -# in platform.yaml export NCP="'/bin/cp -p'" -# in platform.yaml export NDATE="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/ndate" -# in platform.yaml export NEMSIOGET="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nemsio_get" -# in platform.yaml export NHOUR="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/nhour" -# in platform.yaml export NLN="'/bin/ln -sf'" -# in case.yaml export NMEM_ENKF="80" -# in platform.yaml export NMV="/bin/mv" -# in case.yaml export NOSCRUB="/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan" -# in platform.yaml export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" -# in platform.yaml export OMP_STACKSIZE="2048000" -export OUTPUT_GRID="gaussian_grid" -# in platform.yaml export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" -# in case.yaml as "experiment_name" export PSLOT="wham" -# in case.yaml export PTMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export QUILTING=".true." -# in case.yaml export REALTIME="NO" -# in case.yaml export RECENTER_ENKF="YES" -# in case.yaml export ROTDIR="/scratch4/NCEPDEV/stmp4/Samuel.Trahan//fv3gfs/comrot/wham" -# in platform.yaml export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" -# in case.yaml export RUNDIR="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan/RUNDIRS/wham" -# in case.yaml export SDATE="2016100100" -export SMOOTH_ENKF="YES" -# in case.yaml export STMP="/scratch4/NCEPDEV/ocean/scrub/Samuel.Trahan" -export VERBOSE="YES" -# in platform.yaml export WGRIB="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" -# in platform.yaml export WGRIB2="/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" -export WRITE_NEMSIOFILE=".true." -export assim_freq="6" -exetest="htar" # shell-local -# in case.yaml export gfs_cyc="1" -# in case.yaml export l4densvar=".false." -# in platform.yaml export launcher="'mpirun -np'" -# in case.yaml export lwrite4danl=".false." -export memory_epos="254M" -export npe_epos="84" -export npe_node_epos="12" -export npe_node_max="24" -export nst_anl=".true." -export nth_epos="2" -nth_max="2" # shell-local -status="0" # shell-local -step="epos" # shell-local -export wtime_epos="01:25:00" From 56c364e473c946b1aab46af33e49369e4f0d2c36 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 25 Oct 2017 14:00:11 +0000 Subject: [PATCH 158/487] add a dataflow subsystem with python api and an example program --- crow/dataflow/__init__.py | 1 + crow/dataflow/interface.py | 141 +++++++++++++++++++++++ crow/dataflow/sql.py | 225 +++++++++++++++++++++++++++++++++++++ crow/tools.py | 40 ++++++- examples/sqltest/test.py | 80 +++++++++++++ 5 files changed, 483 insertions(+), 4 deletions(-) create mode 100644 crow/dataflow/__init__.py create mode 100644 crow/dataflow/interface.py create mode 100644 crow/dataflow/sql.py create mode 100755 examples/sqltest/test.py diff --git a/crow/dataflow/__init__.py b/crow/dataflow/__init__.py new file mode 100644 index 0000000..b77fc49 --- /dev/null +++ b/crow/dataflow/__init__.py @@ -0,0 +1 @@ +from crow.dataflow.interface import * diff --git a/crow/dataflow/interface.py b/crow/dataflow/interface.py new file mode 100644 index 0000000..c81d993 --- /dev/null +++ b/crow/dataflow/interface.py @@ -0,0 +1,141 @@ +import sqlite3, logging, time, abc +from datetime import datetime, timedelta +from sqlite3 import Cursor, Connection +from typing import Generator, Callable, List, Tuple, Any, Union, Dict, IO +from crow.tools import deliver_file +from crow.dataflow.sql import * + +__all__=[ 'Dataflow', 'Slot', 'Message', 'InputSlot', 'InputMessage', + 'OutputSlot', 'OutputMessage' ] + +_logger=logging.getLogger('crow.dataflow') +_ZERO_DT=timedelta(seconds=0) + +class Slot(object): + def __init__(self,con: Connection,pid: int,actor: str,slot: str, + flow: str,defloc: str) -> None: + self._con, self._pid, self._flow = con, pid, flow + self.actor, self.slot, self.defloc = actor, slot, defloc + self.__meta=None # type: dict + def get_meta(self) -> dict: + if self.__meta is None: + self.__meta=get_meta(self._con,self._pid) + return self.__meta + def __str__(self): + dir='output' if self._flow=='O' else 'input' + return(f'{dir} actor={self.actor} slot={self.slot} ' + f'meta={self.get_meta()}') + +class Message(Slot): + def __init__(self,con: Connection,pid: int,actor: str,slot: str, + flow: str,cycle: datetime) -> None: + super().__init__(con,pid,actor,slot,flow,None) + self.cycle=cycle + self.__location=None # type: str + def _get_location(self) -> str: + if self.__location is None: + avail, self.__location=get_location( + self._con,self._pid,self._flow,self.cycle) + return self.__location + _location=property(_get_location,None,None, + 'Internal variable: data location on disk.') + def set_data(self,location: str,avail: int) -> None: + set_data(self._con,self._pid,self.cycle,location,1) + self.__location=location + def obtain(self,location: str) -> None: + deliver_file(self._location,location) + @abc.abstractmethod + def open(self,mode: str,buffering: int=-1,encoding: str=None) -> IO: + pass + def __str__(self): + return super().__str__()+f' @ {self.cycle:%Y%m%d%H%M}' + +class InputMessage(Message): + def open(self,mode: str,buffering: int=-1,encoding: str=None) -> IO: + if mode[0] != 'r': + raise TypeError(f'{mode}: cannot open an input slot for writing.') + return open(self._location,mode,buffering,encoding) + +class OutputMessage(Message): + def open(self,mode: str,buffering: int=-1,encoding: str=None) -> IO: + return open(self._location,mode,buffering,encoding) + def deliver(self,from_location: str,to_location: str=None) -> None: + if to_location is None: + to_location=self._location + deliver_file(from_location,to_location) # copy file to destination + self.set_data(to_location,int(time.time())) # mark file as available + +class OutputSlot(Slot): + def at(self,cycle: datetime) -> OutputMessage: + return OutputMessage(self._con,self._pid,self.actor,self.slot, + self._flow,cycle) + +class InputSlot(Slot): + def at(self,cycle: datetime) -> InputMessage: + return InputMessage(self._con,self._pid,self.actor,self.slot, + self._flow,cycle) + def connect_to(self,oslot: Slot,rel_time: timedelta=_ZERO_DT) -> None: + if oslot._flow != 'O': + raise TypeError( + 'Input slots can only be connected to output slots.') + add_message(self._con,oslot._pid,self._pid,rel_time) + +class Dataflow(object): + def __init__(self,filename: str) -> None: + self._con=sqlite3.connect(filename) + self._con.isolation_level=None; + create_tables(self._con) + + def add_output_slot(self,actor: str,slot: str,defloc: str, + meta: Dict[str,Any]=None) -> None: + add_slot(self._con,actor,slot,'O',defloc,meta) + + def add_input_slot(self,actor: str,slot: str,meta: Dict[str,Any]=None) -> None: + add_slot(self._con,actor,slot,'I',None,meta) + + def find_input_slot(self,actor: str=None,slot: str=None, + meta: Dict[str,Any]=None) -> Generator[InputSlot,None,None]: + for pid,actor,slot,flow,defloc in itercur(select_slot( + self._con,actor,slot,'I',meta)): + yield InputSlot(self._con,pid,actor,slot,flow,defloc) + + def find_output_slot(self,actor: str=None,slot: str=None, + meta: Dict[str,Any]=None) -> Generator[OutputSlot,None,None]: + for pid,actor,slot,flow,defloc in itercur(select_slot( + self._con,actor,slot,'O',meta)): + yield OutputSlot(self._con,pid,actor,slot,flow,defloc) + + def add_cycle(self,cycle: datetime) -> None: + with transaction(self._con): + self._add_cycle(cycle) + + def _add_cycle(self,cycle: datetime) -> None: + args=list() # type: List[Any] + scycle=from_datetime(cycle) + for pid,actor,slot,defloc in itercur(self._con.execute( + 'SELECT pid,actor,slot,defloc FROM Slot WHERE flow="O" AND ' + 'defloc IS NOT NULL')): + globals={'cycle':cycle,'actor':actor,'slot':slot} + if "'''" in defloc: + _logger.error( + f"Cannot have ''' in default location: {defloc}") + continue + meta=get_meta(self._con,pid) + exec_me="f'''"+defloc+"'''" + try: + loc=eval(exec_me,globals,meta) + except(Exception) as e: + _logger.error(f"defloc {defloc}: {e} (actor={actor} slot={slot} meta={meta})") + continue + _logger.debug(f'loc {loc} for cycle={cycle:%Y%m%d%H%M} actor={actor} slot={slot} meta={meta}') + args.extend([pid,scycle,loc]) + if not args: return + self._con.execute('INSERT INTO Data(pid,cycle,loc) VALUES ' + \ + '(?,?,?), '*(len(args)//3-1) + '(?,?,?);',args) + def del_cycle(self,cycle: datetime) -> None: + self._con.execute('DELETE FROM Data WHERE cycle=?', + [from_datetime(cycle)]) + + def _dump(self,fd): + for row in self._con.iterdump(): + fd.write(row+'\n') diff --git a/crow/dataflow/sql.py b/crow/dataflow/sql.py new file mode 100644 index 0000000..8e92a87 --- /dev/null +++ b/crow/dataflow/sql.py @@ -0,0 +1,225 @@ +import sqlite3, logging +from datetime import datetime, timedelta +from io import StringIO +from collections import Sequence +from sqlite3 import Cursor, Connection +from typing import Generator, Callable, List, Tuple, Any, Union, Dict, IO +from contextlib import contextmanager + +__all__=['from_datetime','transaction','add_slot','itercur','create_tables', + 'get_meta','add_message','set_data','get_location','select_slot' ] + +_logger=logging.getLogger('crow.dataflow') +_ZERO_DT=timedelta(seconds=0) + +_CREATE_TABLES=''' +CREATE TABLE IF NOT EXISTS Slot ( + pid INTEGER PRIMARY KEY AUTOINCREMENT, + actor VARCHAR NOT NULL, + slot VARCHAR NOT NULL, + flow CHAR(1) NOT NULL, + defloc VARCHAR +); + +CREATE TABLE IF NOT EXISTS Mess ( + pid_recv INTEGER PRIMARY KEY, + pid_send INTEGER, + rel_time INTEGER +); + +CREATE TABLE IF NOT EXISTS Data ( + pid INTEGER NOT NULL, + cycle VARCHAR NOT NULL, + avail INTEGER DEFAULT 0, + loc VARCHAR, + CONSTRAINT pid_cycle UNIQUE (pid,cycle) +); + +CREATE TABLE IF NOT EXISTS Meta ( + pid INTEGER NOT NULL, + name VARCHAR NOT NULL, + ityp INTEGER, + ival INTEGER, + sval VARCHAR, + CONSTRAINT pid_name UNIQUE (pid,name) +); + +CREATE TEMP TABLE IF NOT EXISTS Row(n INTEGER,pid INTEGER); +''' + +@contextmanager +def transaction(con: Connection) -> Generator: + if not con.in_transaction: + yield + else: + con.execute('BEGIN TRANSACTION') + try: + yield + con.execute('END TRANSACTION') + except Exception as e: + con.execute('ROLLBACK TRANSACTION') + con.execute('END TRANSACTION') + raise + +def _conex(con: Connection,*args) -> Cursor: + return con.execute(*args) +def _a_eq_b(a: str,b: str) -> str: + return f'{a}={b}' +def _to_datetime(s: str) -> datetime: + return datetime.strptime(s,'%Y-%m-%d %H:%M:%S.%f') +def _to_timedelta(i: int) -> timedelta: + return timedelta(seconds=i) +def _from_timedelta(d: timedelta) -> float: + return d.total_seconds() +def from_datetime(s: datetime) -> str: + return datetime.strftime(s,'%Y-%m:%d %H:%M:%S.%f') +def _a_bool_eq_b(a: str,b: str) -> str: + return f'( {a}<>0 AND {b}<>0 ) OR ( {a}=0 AND {b}=0 )' + +_ITYP_DATA=[ + ( bool, 'ival', _a_bool_eq_b, bool, int ), + ( int, 'ival', _a_eq_b, int, int ), + ( str, 'sval', _a_eq_b, str, str ), + ( datetime, 'sval', _a_eq_b, _to_datetime, from_datetime ), + ( timedelta, 'ival', _a_eq_b, _to_timedelta, _from_timedelta ) + ] # type: List[Tuple[type,str,Callable,Callable,Callable]] + +def _ityp_info(data: Any) -> Tuple[int,type,str,Callable,Callable,Callable]: + for i in range(len(_ITYP_DATA)): + cls,fld,cmp2,back,fore = _ITYP_DATA[i] + if isinstance(data,cls): return i,cls,fld,cmp2,back,fore + raise TypeError(f'{type(data).__name__}: unsupported type for metadata') + +def _iterone(cur: Cursor) -> Sequence: + row=cur.fetchone() + cur.close() + return row + +def _conget(con: Connection,*args) -> Sequence: + cur=_conex(con,*args) + row=cur.fetchone() + cur.close() + if row is None: raise KeyError(f'No match for query: {args}') + return row + +def itercur(cur: Cursor) -> Generator: + row=cur.fetchone() + while row is not None: + yield row + row=cur.fetchone() + cur.close() + +def _dump_prod_info(con: Connection,proditer) -> None: + for (pid,actor,slot,flow) in proditer: + meta=list() + for ityp in range(len(_ITYP_DATA)): + fld=_ITYP_DATA[ityp][1] # type: str + back=_ITYP_DATA[ityp][3] # type: Callable + for name,pval in itercur(_conex(con, + f'SELECT name,{fld} FROM Meta WHERE pid==? AND ityp=?', + [pid,ityp])): + meta.append(f'{name}={back(pval)!r}') + print(f'{actor} {slot}{" "*bool(meta)}{" ".join(meta)} flow={flow}') + +######################################################################## + +# Main entry points: + +def create_tables(con: Connection) -> None: + con.executescript(_CREATE_TABLES) + +def add_slot(con: Connection,actor: str,slot: str,flow: str,defloc: str,meta: Dict=None) -> int: + assert(flow in [ 'O', 'I' ]) + with transaction(con): + _conex(con,'INSERT INTO Slot(actor,slot,flow,defloc) VALUES (?,?,?,?);', + [actor,slot,flow,defloc]) + _conex(con,'DELETE FROM Row;') + _conex(con,'INSERT INTO Row (n,pid) VALUES (1,last_insert_rowid());') + if meta: + for k,v in meta.items(): + ityp,cls,fld,cmp2,back,fore = _ityp_info(v) + _conex(con, + f'INSERT INTO Meta (pid,name,ityp,{fld}) VALUES'\ + '((SELECT pid FROM Row WHERE n=1),?,?,?);',[ + k,ityp,fore(v)]) + pid=_conget(con,'SELECT pid FROM Row WHERE n=1') + return pid[0] + +def get_meta(con: Connection,pid: int) -> Dict: + meta=dict() + for ityp in range(len(_ITYP_DATA)): + cls,fld,cmp2,back,fore=_ITYP_DATA[ityp] + for name,pval in itercur(_conex(con, + f'SELECT name,{fld} FROM Meta WHERE pid==? AND ityp=?', + [pid,ityp])): + meta[name]=back(pval) + return meta + +def add_message(con: Connection,send: int,recv: int, + rel_time: timedelta=None) -> None: + if rel_time is None: rel_time=_ZERO_DT + _conex(con,'INSERT INTO Mess (pid_recv,pid_send,rel_time) ' + 'VALUES (?,?,?)',[ recv,send,rel_time.total_seconds() ]) + +def set_data(con: Connection,pid: int,cycle: datetime, + loc: str,avail:int=0) -> None: + _conex(con,'INSERT OR REPLACE INTO Data (pid,cycle,avail,loc) ' + 'VALUES (?,?,?,?)',[ + pid,from_datetime(cycle),avail,str(loc)]) + +def get_location(con: Connection,pid: int,flow: str, + cycle: datetime) -> Tuple[int,str]: + if flow=='O': + d_pid, d_cycle = pid, cycle + else: + ( d_pid, dt ) = _conget(con, + 'SELECT pid_send,rel_time FROM Mess WHERE pid_recv=?',[pid]) + dt=timedelta(seconds=dt) + d_cycle=cycle-dt + for a,l in itercur(_conex( + con,'SELECT avail,loc FROM Data WHERE pid=? AND cycle=?', + [d_pid,from_datetime(d_cycle)])): + return a,l + for a,l in itercur(_conex(con, + 'SELECT avail,loc FROM Data WHERE pid=? AND cycle=0',[d_pid])): + return None,l + return 0,'' + +def _in_eq(cmd: StringIO,args: List,col: str,val: Any) -> None: + if isinstance(val,Sequence) and not isinstance(val,bytes) and \ + not isinstance(val,str): + if val and isinstance(val[0],bool): + raise NotImplementedError('Cannot compare to list of bool.') + args.extend(val) + cmd.write(f' {col} IN (') + cmd.write(','.join('?'*len(val))) + cmd.write(')') + else: + args.append(val) + cmd.write(f' {col}=?') + +def select_slot(con: Connection,actor: str=None,slot: str=None,flow: str=None, + meta: Dict[str,Any]=None) -> Cursor: + cmdf=StringIO() + cmdf.write('SELECT * FROM Slot') + args=[] # type: List[Any] + asf=bool(actor)+bool(slot)+bool(flow) + if asf: + cmdf.write(' WHERE') + if actor: _in_eq(cmdf,args,'actor',actor) + if asf>1: cmdf.write(' AND') + if slot: _in_eq(cmdf,args,'slot',slot) + if asf>2: cmdf.write(' AND') + if flow: _in_eq(cmdf,args,'flow',flow) + if meta: + for k,v in meta.items(): + cmdf.write(' INTERSECT ') + ityp,cls,fld,cmp2,back,fore = _ityp_info(v) + cmdf.write('SELECT Slot.* FROM Slot,Meta WHERE ' + 'Slot.pid=Meta.pid AND Meta.ityp=? AND ') + args.append(ityp) + _in_eq(cmdf,args,f'Meta.{fld}',fore(v)) + cmd=cmdf.getvalue() + cmdf.close() + #print(cmd) + return _conex(con,cmd,args) diff --git a/crow/tools.py b/crow/tools.py index 100f104..16db022 100644 --- a/crow/tools.py +++ b/crow/tools.py @@ -1,11 +1,43 @@ -import subprocess -import os, re -import datetime +import subprocess, os, re, logging, tempfile, datetime, shutil from datetime import timedelta from copy import deepcopy from collections.abc import Mapping -__all__=['panasas_gb','gpfs_gb','to_timedelta'] +__all__=['panasas_gb','gpfs_gb','to_timedelta','deliver_file'] +_logger=logging.getLogger('crow.tools') + +def deliver_file(from_file: str,to_file: str,*,blocksize: int=1048576, + permmask: int=2,preserve_perms: bool=True, + preserve_times: bool=True,preserve_group: bool=True, + mkdir: bool=True) -> None: + to_dir=os.path.dirname(to_file) + to_base=os.path.basename(to_file) + if mkdir and to_dir and not os.path.isdir(to_dir): + _logger.info(f'{to_dir}: makedirs') + os.makedirs(to_dir) + temppath=None # type: str + _logger.info(f'{to_file}: deliver from {from_file}') + try: + with open(from_file,'rb') as in_fd: + istat=os.fstat(in_fd.fileno()) + with tempfile.NamedTemporaryFile( + prefix=f"_tmp_{to_base}.part.", + delete=False,dir=to_dir) as out_fd: + shutil.copyfileobj(in_fd,out_fd,length=blocksize) + temppath=out_fd.name + if preserve_perms: + os.chmod(temppath,istat.st_mode&~permmask) + if preserve_times: + os.utime(temppath,(istat.st_atime,istat.st_mtime)) + if preserve_group: + os.chown(temppath,-1,istat.st_gid) + os.rename(temppath,to_file) + temppath=None + except Exception as e: + _logger.warning(f'{to_file}: {e}') + raise + finally: # Delete file on error + if temppath: os.unlink(temppath) def panasas_gb(dir,pan_df='pan_df'): rdir=os.path.realpath(dir) diff --git a/examples/sqltest/test.py b/examples/sqltest/test.py new file mode 100755 index 0000000..60ae8d1 --- /dev/null +++ b/examples/sqltest/test.py @@ -0,0 +1,80 @@ +#! /usr/bin/env python3.6 +import logging, os, sys +from datetime import datetime, timedelta +from crow.dataflow import Dataflow + +def deliver_cycle(d: Dataflow, cycle: datetime) -> None: + text=f'dummy file for cycle {cycle:%Y%m%d%H}\n' + + for oslot in d.find_output_slot('fam.job2','oslot',{'letter':'A'}): + omessage=oslot.at(cycle) + with open('dummy_file','wt') as fd1: + fd1.write(str(omessage)) + omessage.deliver('dummy_file') + + for oslot in d.find_output_slot('fam.job2','oslot',{'letter':'B'}): + omessage=oslot.at(cycle) + with omessage.open('wt') as fd2: + fd2.write(str(omessage)) + + if os.path.exists('dummy_file'): os.unlink('dummy_file') + +def check_cycle(d: Dataflow, cycle: datetime) -> None: + for islot in d.find_input_slot('fam.job3','islot',{'letter':'A'}): + imessage=islot.at(cycle) + with imessage.open('rt') as fd: + print(f"{fd.readline().strip()}: {imessage}") + + for islot in d.find_input_slot('fam.job3','islot',{'letter':'B'}): + imessage=islot.at(cycle) + imessage.obtain('dummy_input') + with open('dummy_input','rt') as fd: + print(f"{fd.readline().strip()}: {imessage}") + +def main(): + logging.basicConfig(stream=sys.stderr,level=logging.DEBUG) + + if os.path.exists('test.db'): + os.unlink('test.db') + d=Dataflow('test.db') + + PRE='com/{cycle:%Y%m%d%H}/{actor}/{slot}.t{cycle:%H}z' + d.add_output_slot('fam.job1','oslot',PRE+'.x') + d.add_input_slot('fam.job2','islot') + d.add_input_slot('fam.job2','tslot',{ + 'when':datetime.now(), 'why':True }) + + for S in [1,2,3]: + for L in 'AB': + d.add_output_slot('fam.job2','oslot',PRE+'.{letter}{slotnum}', + {'slotnum':S, 'letter':L}) + + for S in [1,2,3]: + for L in 'AB': + d.add_input_slot('fam.job3','islot',{'plopnum':S, 'letter':L}) + + three_hours=timedelta(seconds=21600) + for islot in d.find_input_slot('fam.job3','islot'): + meta=islot.get_meta() + found=False + for oslot in d.find_output_slot('fam.job2','oslot',{ + 'slotnum':meta['plopnum'], 'letter':meta['letter'] }): + islot.connect_to(oslot,rel_time=three_hours) + + cycle1=datetime.strptime('2017081500','%Y%m%d%H') + cycle2=datetime.strptime('2017081506','%Y%m%d%H') + cycle3=datetime.strptime('2017081512','%Y%m%d%H') + + d.add_cycle(cycle1) + d.add_cycle(cycle2) + deliver_cycle(d,cycle1) + check_cycle(d,cycle2) + + d.add_cycle(cycle3) + d.del_cycle(cycle1) + deliver_cycle(d,cycle2) + check_cycle(d,cycle3) + + +if __name__=='__main__': + main() From 3822e29151671adfb4a49d0aa04a6734f02b97d1 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 25 Oct 2017 16:17:57 +0000 Subject: [PATCH 159/487] bug fixes to crow config validation logic to allow !Import to work as designed --- crow/config/eval_tools.py | 16 +++++++------ crow/config/represent.py | 2 +- crow/config/template.py | 47 +++++++++++++++++++++++++++++---------- crow/config/to_yaml.py | 26 ++++++++++++++++------ 4 files changed, 64 insertions(+), 27 deletions(-) diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index da6cd1c..38c370b 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -29,18 +29,21 @@ """ - -from collections.abc import MutableMapping, MutableSequence, Sequence +import logging +from collections.abc import MutableMapping, MutableSequence, Sequence, Mapping from copy import copy,deepcopy from crow.config.exceptions import * +from crow.tools import typecheck __all__=[ 'expand', 'strcalc', 'from_config', 'dict_eval', 'list_eval', 'multidict', 'Eval', 'user_error_message' ] +_logger=logging.getLogger('crow.config') class user_error_message(str): """!Used to embed assertions in configuration code.""" def _result(self,globals,locals): raise ConfigUserError(eval("f'''"+self+"'''",globals,locals)) + def _is_error(self): pass class expand(str): """!Represents a literal format string.""" @@ -135,6 +138,7 @@ class dict_eval(MutableMapping): def __init__(self,child,path='',globals=None): #assert(not isinstance(child,dict_eval)) + typecheck('child',child,Mapping) self.__child=copy(child) self.__cache=copy(child) self.__globals={} if globals is None else globals @@ -198,17 +202,14 @@ def _validate(self,stage,memo=None): # Inherit from other scopes: if 'Inherit' in self and hasattr(self.Inherit,'_update'): - print(f'{self._path}: call Inherit._update') self.Inherit._update(self,self.__globals,self,stage,memo) - elif 'Inherit' in self: - print(f'{type(self.Inherit).__name__} {repr(self.Inherit)}') # Validate this scope: if 'Template' in self: tmpl=self.Template + if not tmpl: return if not hasattr(tmpl,'_check_scope'): - tmpl=Template(self.Template,self._path+'.Template', - self.__globals) + tmpl=Template(tmpl,self._path+'.Template',self.__globals) tmpl._check_scope(self,stage) def __getitem__(self,key): val=self.__cache[key] @@ -269,6 +270,7 @@ class list_eval(MutableSequence): self.__locals.__getitem__(b) - self.__locals.__getitem__(c) ] \endcode """ def __init__(self,child,locals,path=''): + typecheck('child',child,Sequence) self.__child=list(child) self.__cache=list(child) self.__locals=locals diff --git a/crow/config/represent.py b/crow/config/represent.py index 0f577d4..75e9ec0 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -108,7 +108,7 @@ def _result(self,globals,locals): raise ConditionalMissingOtherwise( f'{self._path}: no clauses match and no ' f'"otherwise" value was given. {keys} {values}') - self.__result=self[otherwise_idx].otherwise + self.__result=self[otherwise_idx]._raw('otherwise') idx=otherwise_idx else: self.__result=values[idx] diff --git a/crow/config/template.py b/crow/config/template.py index 80e4265..aeb48d8 100644 --- a/crow/config/template.py +++ b/crow/config/template.py @@ -11,32 +11,42 @@ """ -import re +import re, sys, logging from copy import copy from datetime import timedelta, datetime from crow.config.exceptions import * from crow.config.eval_tools import list_eval, dict_eval, multidict, from_config from crow.config.represent import GenericList, GenericDict, GenericOrderedDict +from collections.abc import Mapping +_logger=logging.getLogger('crow.config') IGNORE_WHILE_INHERITING = [ 'Inherit', 'Template' ] class Inherit(list_eval): def _update(self,target,globals,locals,stage,memo): + errors=list() for scopename,regex in reversed(self): - scopename=str(scopename) - scope=eval(scopename,globals,locals) - if hasattr(scope,'_validate'): - scope._validate(stage,memo) - for key in scope: - if key not in IGNORE_WHILE_INHERITING and \ - re.search(regex,key) and key not in target: - target._raw_child()[key]=scope._raw_child()[key] + try: + scopename=str(scopename) + _logger.debug(f'{target._path}: inherit from {scopename}') + scope=eval(scopename,globals,locals) + if hasattr(scope,'_validate'): + scope._validate(stage,memo) + for key in scope: + if key not in IGNORE_WHILE_INHERITING and \ + re.search(regex,key) and key not in target: + target._raw_child()[key]=scope._raw_child()[key] + except TemplateErrors as te: + errors.append(f'{target._path}: when including {scope._path}') + errors.extend(te.template_errors) + if errors: raise TemplateErrors(errors) class Template(dict_eval): """!Internal implementation of the YAML Template type. Validates a dict_eval, inserting defaults and reporting errors via the TemplateErrors exception. """ def _check_scope(self,scope,stage): + _logger.debug(f'{scope._path}: validate') checked=set() errors=list() template=copy(self) @@ -52,12 +62,13 @@ def _check_scope(self,scope,stage): # found thus far. Add new templates if found via # is_present. Run prechecks if present for var in set(scope)-checked: + assert(isinstance(template,Template)) if var not in template: continue try: did_something=True checked.add(var) scheme=template[var] - + if not isinstance(scheme,Mapping): continue # not a template if stage and 'stages' in scheme: if stage not in scheme.stages: continue # skip validation; wrong stage @@ -66,7 +77,7 @@ def _check_scope(self,scope,stage): if 'precheck' in scheme: scope[var]=scheme.precheck - + validate_var(scope._path,scheme,var,scope[var]) if 'if_present' in scheme: ip=from_config( @@ -76,10 +87,13 @@ def _check_scope(self,scope,stage): new_template=Template(ip._raw_child()) new_template.update(template) template=new_template + assert(isinstance(template,Template)) except (IndexError,AttributeError) as pye: errors.append(f'{scope._path}.{var}: {pye}') + _logger.debug(f'{scope._path}.{var}: {pye}',exc_info=True) except ConfigError as ce: errors.append(str(ce)) + _logger.debug(f'{scope._path}.{var}: {ce}',exc_info=True) # Insert default values for all templates found thus far and # detect any missing, non-optional, variables @@ -103,11 +117,20 @@ def _check_scope(self,scope,stage): # Override any variables if requested via "override" clauses. for var in template: - if var in scope and 'override' in template[var]: + if var in scope and isinstance(template[var],Mapping) and \ + 'override' in template[var]: override=from_config(template[var],'override',scope._globals(),scope, f'{scope._path}.Template.{var}.override') if override is not None: scope[var]=override + # Check for variables that evaluate to an error + for key,expr in scope._raw_child().items(): + if hasattr(expr,'_is_error'): + try: + scope[key] + except ConfigUserError as ce: + errors.append(f'{scope._path}.{key}: {ce}') + if errors: raise TemplateErrors(errors) class TemplateValidationFailed(object): diff --git a/crow/config/to_yaml.py b/crow/config/to_yaml.py index df04124..44ecb74 100644 --- a/crow/config/to_yaml.py +++ b/crow/config/to_yaml.py @@ -1,15 +1,17 @@ import yaml -import sys +import sys, logging from yaml.nodes import MappingNode, ScalarNode, SequenceNode from collections import OrderedDict +from collections.abc import Mapping from crow.tools import Clock from crow.config.eval_tools import * from crow.config.represent import * from crow.config.tasks import * -from crow.config.template import Template +from crow.config.template import Template, Inherit from crow.config.exceptions import * -from crow.tools import to_timedelta +from crow.tools import to_timedelta, typecheck + import crow.sysenv # We need to run the from_yaml module first, to initialize the yaml @@ -17,6 +19,8 @@ # symbols from from_yaml; only execution of that module is needed. import crow.config.from_yaml +_logger=logging.getLogger('crow.config') + def to_yaml(yml): if hasattr(yml,'_raw_cache'): yml=yml._raw_cache().copy() @@ -38,6 +42,7 @@ def representer(dumper,data): add_yaml_list_eval(u'!FirstTrue',FirstTrue) add_yaml_list_eval(u'!Immediate',Immediate) add_yaml_list_eval(u'!JobRequest',JobResourceSpecMaker) +add_yaml_list_eval(u'!Inherit',Inherit) add_yaml_list_eval(None,GenericList) ######################################################################## @@ -47,10 +52,17 @@ def add_yaml_dict_eval(key,cls): type """ def representer(dumper,data): assert('up' not in data) - if key is None: - return dumper.represent_data(data._raw_child()) - else: - return dumper.represent_mapping(key,data._raw_child()) + typecheck('data',data,Mapping) + raw_data=data._raw_child() + typecheck('data._raw_child()',raw_data,Mapping) + try: + if key is None: + return dumper.represent_data(raw_data) + else: + return dumper.represent_mapping(key,raw_data) + except(IndexError,TypeError,ValueError) as e: + _logger.error(f'{data._path}: cannot represent: {e} (key={key})') + raise yaml.add_representer(cls,representer) add_yaml_dict_eval(None,GenericDict) From 0cdfe74f2c48e918c7835f98b4f7bba808f30bd4 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 25 Oct 2017 16:18:54 +0000 Subject: [PATCH 160/487] model fv3gfs: fix a variety of bugs in yaml files and update for new !Inherit functionality. Split gfs and gdas !Actions into two !Actions each instead of using CDUMP --- model/fv3gfs/actions.yaml | 4 +- model/fv3gfs/actions/002_anal.yaml | 35 +++++-- model/fv3gfs/actions/008_prep.yaml | 33 +++++-- model/fv3gfs/actions/009_fcst.yaml | 33 ++++--- model/fv3gfs/actions/010_post.yaml | 34 ++++--- model/fv3gfs/actions/011_vrfy.yaml | 38 +++++--- model/fv3gfs/actions/012_arch.yaml | 34 ++++--- model/fv3gfs/case.yaml | 15 +-- model/fv3gfs/validation/001_fv3.yaml | 20 ++-- model/fv3gfs/workflow.yaml | 140 ++++++++++++--------------- 10 files changed, 222 insertions(+), 164 deletions(-) diff --git a/model/fv3gfs/actions.yaml b/model/fv3gfs/actions.yaml index d547e4e..9c22f99 100644 --- a/model/fv3gfs/actions.yaml +++ b/model/fv3gfs/actions.yaml @@ -46,7 +46,7 @@ post: &post_action !Action NEMSIOGET: !calc doc.platform.NEMSIOGET NHOUR: !calc doc.platform.NHOUR NLN: !calc doc.platform.NLN - NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF NMV: !calc doc.platform.NMV NOSCRUB: !calc doc.case.NOSCRUB NTHREADS_DWN: 2 @@ -115,7 +115,7 @@ vrfy: &vrfy_action !Action NCO_NAMING_CONV: !calc doc.platform.NCO_NAMING_CONV NCP: !calc doc.platform.NCP NLN: !calc doc.platform.NLN - NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF NMV: !calc doc.platform.NMV NOSCRUB: !calc doc.case.NOSCRUB NTHSTACK: 1024000000 diff --git a/model/fv3gfs/actions/002_anal.yaml b/model/fv3gfs/actions/002_anal.yaml index c2cdac4..29fc7c4 100644 --- a/model/fv3gfs/actions/002_anal.yaml +++ b/model/fv3gfs/actions/002_anal.yaml @@ -1,8 +1,7 @@ -anal: &anal_action !Action +anal_base: &anal_base !Action <<: *action_base shell_env_scopes: - - [ doc.platform.general_env, ".*" ] - [ doc.data_assimilation, ".*" ] - [ doc.gfs_output_settings, ".*" ] - [ doc.fv3_gdas_settings, ".*" ] @@ -24,14 +23,6 @@ anal: &anal_action !Action do: "aprun -j 1 -n \\$ncmd -N 1 -d {NTHREADS_CALCINC} -cc depth" NTHREADS_CALCINC: 1 - Inherit: !Inherit - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.fv3_enkf_settings, "FHCYC" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc" ] - - [ doc.places, "HOMEDIR|NOSCRUB|POSTJJOBSH|CHGRESSH|RUNDIR|ROTDIR|CHGRESEXEC|PTMP|STMP" ] - APRUN_GSI: !calc tools.command_without_exe(par,run_gsi,"placeholder") ANALYSISSH: !expand "{doc.case.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" NTHREADS_GSI: !calc nodes.omp_threads_for(anal_resources[0]) @@ -48,3 +39,27 @@ anal: &anal_action !Action VERBOSE: YES NTHSTACK: 1024000000 + +anal_gdas_action: &anal_gdas_action !Action + <<: [ *gfs_output_settings, *fv3_gdas_settings, *data_assimilation, *anal_base ] + CDUMP: gdas + Template: null + Inherit: !Inherit + - [ doc.platform.general_env, ".*" ] + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.fv3_enkf_settings, "FHCYC" ] + - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] + - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc" ] + - [ doc.places, "HOMEDIR|NOSCRUB|POSTJJOBSH|CHGRESSH|RUNDIR|ROTDIR|CHGRESEXEC|PTMP|STMP" ] + +anal_gfs_action: &anal_gfs_action !Action + <<: [ *gfs_output_settings, *fv3_gfs_settings, *data_assimilation, *anal_base ] + CDUMP: gfs + Template: null + Inherit: !Inherit + - [ doc.platform.general_env, ".*" ] + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.fv3_enkf_settings, "FHCYC" ] + - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] + - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc" ] + - [ doc.places, "HOMEDIR|NOSCRUB|POSTJJOBSH|CHGRESSH|RUNDIR|ROTDIR|CHGRESEXEC|PTMP|STMP" ] diff --git a/model/fv3gfs/actions/008_prep.yaml b/model/fv3gfs/actions/008_prep.yaml index d7c95dd..9027f8c 100644 --- a/model/fv3gfs/actions/008_prep.yaml +++ b/model/fv3gfs/actions/008_prep.yaml @@ -1,19 +1,12 @@ -prep: &prep_action !Action +prep: &prep_base !Action <<: *action_base J_JOB: prep walltime: !timedelta 01:00:00 resources: !calc run_prep.resources memory: "3072M" - Inherit: !Inherit - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.fv3_gfs_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - - [ doc.places, "HOMEDIR|DRIVE_MAKEPREPBUFRSH|NOSCRUB|ATARDIR|ARCDIR" ] - CASE_ENKF: !calc doc.case.CASE_ENKF + Template: null MPI_BUFS_PER_HOST: !calc doc.platform.MPI_BUFS_PER_HOST MPI_BUFS_PER_PROC: !calc doc.platform.MPI_BUFS_PER_PROC @@ -22,7 +15,7 @@ prep: &prep_action !Action MP_STDOUTMODE: !calc doc.platform.MP_STDOUTMODE NCO_NAMING_CONV: !calc doc.platform.NCO_NAMING_CONV - NMEM_ENKF: !calc doc.case.NMEM_ENKF + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF NOSCRUB: !calc doc.case.NOSCRUB NTHSTACK: 1024000000 @@ -38,3 +31,23 @@ prep: &prep_action !Action - when: !calc doc.platform.name=="WCOSS_C" do: "Cray-XC40" - otherwise: !error Do not know sys_tp for platform {doc.platform.name} + +prep_gdas: &prep_gdas_action !Action + <<: [ *fv3_gdas_settings, *data_assimilation, *prep_base ] + CDUMP: gdas + Inherit: !Inherit + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] + - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + - [ doc.places, "HOMEDIR|DRIVE_MAKEPREPBUFRSH|NOSCRUB|ATARDIR|ARCDIR" ] + Template: null + +prep_gfs: &prep_gfs_action !Action + <<: [ *fv3_gfs_settings, *data_assimilation, *prep_base ] + CDUMP: gfs + Inherit: !Inherit + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] + - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + - [ doc.places, "HOMEDIR|DRIVE_MAKEPREPBUFRSH|NOSCRUB|ATARDIR|ARCDIR" ] + Template: null diff --git a/model/fv3gfs/actions/009_fcst.yaml b/model/fv3gfs/actions/009_fcst.yaml index 0e1530f..394714b 100644 --- a/model/fv3gfs/actions/009_fcst.yaml +++ b/model/fv3gfs/actions/009_fcst.yaml @@ -1,4 +1,4 @@ -fcst: &fcst_action !Action +fcst_base: &fcst_base !Action <<: *action_base J_JOB: fcst fcst_resources: !JobRequest @@ -21,14 +21,6 @@ fcst: &fcst_action !Action ARCDIR: !calc doc.case.ARCDIR ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" - Inherit: !Inherit - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.fv3_gfs_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - - [ doc.places, "BASE_GSI|BASE_NEMSfv3gfs|BASE_POST|BASE_PREP|BASE_PREP_GLOBAL|BASE_SVN|BASE_VERIF|FORECASTSH|FCSTEXECDIR|FIELD_TABLE|HOMEDIR|MYBASE_SVN|NC2NEMSIOSH|NOSCRUB|REGRID_NEMSIO_SH|REGRID_NEMSIO_TBL|PTMP|ROTDIR|RUNDIR|STMP|REMAPSH|NC2NEMSIOSH" ] - CASE: !calc doc.fv3_gfs_settings.CASE CASE_ENKF: !calc doc.case.CASE_ENKF @@ -64,10 +56,7 @@ fcst: &fcst_action !Action restart_interval: 6 -# Disable the use of coupler.res; get model start time from model_configure -# export USE_COUPLER_RES="NO" - - USE_COUPLER_RES: "NO" + USE_COUPLER_RES: NO restart_interval: !FirstTrue - when: !calc CDUMP=="gdas" @@ -75,3 +64,21 @@ fcst: &fcst_action !Action - otherwise: 0 master_grid: !calc doc.case.master_grid + +fcst_gdas: &fcst_gdas_action !Action + <<: [ *fv3_gdas_settings, *data_assimilation, *fcst_base ] + Template: *fv3_settings_template + Inherit: !Inherit + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + - [ doc.places, "BASE_GSI|BASE_NEMSfv3gfs|BASE_POST|BASE_PREP|BASE_PREP_GLOBAL|BASE_SVN|BASE_VERIF|FORECASTSH|FCSTEXECDIR|FIELD_TABLE|HOMEDIR|MYBASE_SVN|NC2NEMSIOSH|NOSCRUB|REGRID_NEMSIO_SH|REGRID_NEMSIO_TBL|PTMP|ROTDIR|RUNDIR|STMP|REMAPSH|NC2NEMSIOSH" ] + CDUMP: gdas + +fcst_gfs: &fcst_gfs_action !Action + <<: [ *fv3_gfs_settings, *data_assimilation, *fcst_base ] + Template: *fv3_settings_template + Inherit: !Inherit + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + - [ doc.places, "BASE_GSI|BASE_NEMSfv3gfs|BASE_POST|BASE_PREP|BASE_PREP_GLOBAL|BASE_SVN|BASE_VERIF|FORECASTSH|FCSTEXECDIR|FIELD_TABLE|HOMEDIR|MYBASE_SVN|NC2NEMSIOSH|NOSCRUB|REGRID_NEMSIO_SH|REGRID_NEMSIO_TBL|PTMP|ROTDIR|RUNDIR|STMP|REMAPSH|NC2NEMSIOSH" ] + CDUMP: gfs diff --git a/model/fv3gfs/actions/010_post.yaml b/model/fv3gfs/actions/010_post.yaml index 1bf0ea6..d6c4b9f 100644 --- a/model/fv3gfs/actions/010_post.yaml +++ b/model/fv3gfs/actions/010_post.yaml @@ -1,20 +1,10 @@ -post: &post_action !Action +post_base: &post_base !Action <<: *action_base J_JOB: post walltime: !timedelta 00:15:00 resources: !calc run_post.resources memory: "3072M" - Inherit: !Inherit - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.fv3_gfs_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.platform.general_env, ".*" ] - - [ doc.platform.mpi_tuning, ".*" ] - - [ doc.fv3_settings, "LEVS|QUILTING|nst_anl" ] - - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - - [ doc.places, "ARCDIR|ATARDIR|BASE_GSI|BASE_NEMSfv3gfs|BASE_ENV|BASE_JOB|BASE_POST|BASE_PREP|BASE_PREP_GLOBAL|BASE_SVN|BASE_VERIF|FORECASTSH|FCSTEXECDIR|GFSDOWNSH|GFSDWNSH|HOMEDIR|MYBASE_SVN|NC2NEMSIOSH|NOSCRUB|REGRID_NEMSIO_SH|REGRID_NEMSIO_TBL|POSTGPSH|POSTGPEXEC|POSTJJOBSH|PTMP|ROTDIR|RUNDIR|STMP|REMAPSH|NC2NEMSIOSH" ] - APRUN_DWN: !calc tools.command_without_exe(par,resources,'placeholder') APRUN_NP: !calc tools.command_without_exe(par,resources,'placeholder') CASE_ENKF: !calc doc.case.CASE_ENKF @@ -30,3 +20,25 @@ post: &post_action !Action NTHREADS_DWN: 2 NTHREADS_NP: 1 downset: 1 + +post_gfs: &post_gfs_action !Action + <<: [ *fv3_gfs_settings, *data_assimilation, *post_base ] + CDUMP: gfs + Template: null + Inherit: !Inherit + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.platform.general_env, ".*" ] + - [ doc.platform.mpi_tuning, ".*" ] + - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + - [ doc.places, "ARCDIR|ATARDIR|BASE_GSI|BASE_NEMSfv3gfs|BASE_ENV|BASE_JOB|BASE_POST|BASE_PREP|BASE_PREP_GLOBAL|BASE_SVN|BASE_VERIF|FORECASTSH|FCSTEXECDIR|GFSDOWNSH|GFSDWNSH|HOMEDIR|MYBASE_SVN|NC2NEMSIOSH|NOSCRUB|REGRID_NEMSIO_SH|REGRID_NEMSIO_TBL|POSTGPSH|POSTGPEXEC|POSTJJOBSH|PTMP|ROTDIR|RUNDIR|STMP|REMAPSH|NC2NEMSIOSH" ] + +post_gdas: &post_gdas_action !Action + <<: [ *fv3_gdas_settings, *data_assimilation, *post_base ] + CDUMP: gdas + Template: null + Inherit: !Inherit + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.platform.general_env, ".*" ] + - [ doc.platform.mpi_tuning, ".*" ] + - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + - [ doc.places, "ARCDIR|ATARDIR|BASE_GSI|BASE_NEMSfv3gfs|BASE_ENV|BASE_JOB|BASE_POST|BASE_PREP|BASE_PREP_GLOBAL|BASE_SVN|BASE_VERIF|FORECASTSH|FCSTEXECDIR|GFSDOWNSH|GFSDWNSH|HOMEDIR|MYBASE_SVN|NC2NEMSIOSH|NOSCRUB|REGRID_NEMSIO_SH|REGRID_NEMSIO_TBL|POSTGPSH|POSTGPEXEC|POSTJJOBSH|PTMP|ROTDIR|RUNDIR|STMP|REMAPSH|NC2NEMSIOSH" ] diff --git a/model/fv3gfs/actions/011_vrfy.yaml b/model/fv3gfs/actions/011_vrfy.yaml index 67812cf..2afdd5e 100644 --- a/model/fv3gfs/actions/011_vrfy.yaml +++ b/model/fv3gfs/actions/011_vrfy.yaml @@ -1,5 +1,5 @@ -vrfy: &vrfy_action !Action +vrfy_base: &vrfy_base !Action <<: *action_base Template: !Template <<: [ *CYC_TRACK_VARS, *CYC_GEN_VARS, *MIN_VARS, *RAD_VARS, *OZN_VARS, *MIN_RAD_OZN_VARS, *VRFY_CDUMP_GFS_VARS, *vrfy_template ] @@ -8,17 +8,7 @@ vrfy: &vrfy_action !Action resources: !calc run_vrfy.resources memory: "3072M" - Inherit: !Inherit - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.fv3_gfs_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.platform.general_env, ".*" ] - - [ doc.platform.mpi_tuning, ".*" ] - - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - - [ doc.places, "ARCDIR|ATARDIR|BASE_GSI|BASE_NEMSfv3gfs|BASE_ENV|BASE_JOB|BASE_POST|BASE_PREP|BASE_PREP_GLOBAL|BASE_SVN|BASE_VERIF|FORECASTSH|FCSTEXECDIR|GFSDOWNSH|GFSDWNSH|HOMEDIR|MYBASE_SVN|NC2NEMSIOSH|NOSCRUB|REGRID_NEMSIO_SH|REGRID_NEMSIO_TBL|POSTGPSH|POSTGPEXEC|POSTJJOBSH|PTMP|ROTDIR|RUNDIR|STMP|REMAPSH|NC2NEMSIOSH" ] - - CASE_ENKF: "C96" + CASE_ENKF: !calc doc.fv3_enkf_settings.CASE CDFNL: "gdas" CDUMPFCST: "gdas" # Fit-to-obs with GDAS/GFS prepbufr GRIB1_WORKS: NO @@ -81,3 +71,27 @@ vrfy: &vrfy_action !Action assim_freq: 6 memory_vrfy: "16384M" nst_anl: YES + +vrfy_gfs_action: &vrfy_gfs_action !Action + <<: [ *fv3_gfs_settings, *data_assimilation, *vrfy_base ] + CDUMP: gfs + CASE: !calc doc.fv3_gfs_settings.CASE + Inherit: !Inherit + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.platform.general_env, ".*" ] + - [ doc.platform.mpi_tuning, ".*" ] + - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] + - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + - [ doc.places, "ARCDIR|ATARDIR|BASE_GSI|BASE_NEMSfv3gfs|BASE_ENV|BASE_JOB|BASE_POST|BASE_PREP|BASE_PREP_GLOBAL|BASE_SVN|BASE_VERIF|FORECASTSH|FCSTEXECDIR|GFSDOWNSH|GFSDWNSH|HOMEDIR|MYBASE_SVN|NC2NEMSIOSH|NOSCRUB|REGRID_NEMSIO_SH|REGRID_NEMSIO_TBL|POSTGPSH|POSTGPEXEC|POSTJJOBSH|PTMP|ROTDIR|RUNDIR|STMP|REMAPSH|NC2NEMSIOSH" ] + +vrfy_gdas_action: &vrfy_gdas_action !Action + <<: [ *fv3_gdas_settings, *data_assimilation, *vrfy_base ] + CDUMP: gdas + CASE: !calc doc.fv3_gdas_settings.CASE + Inherit: !Inherit + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.platform.general_env, ".*" ] + - [ doc.platform.mpi_tuning, ".*" ] + - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] + - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + - [ doc.places, "ARCDIR|ATARDIR|BASE_GSI|BASE_NEMSfv3gfs|BASE_ENV|BASE_JOB|BASE_POST|BASE_PREP|BASE_PREP_GLOBAL|BASE_SVN|BASE_VERIF|FORECASTSH|FCSTEXECDIR|GFSDOWNSH|GFSDWNSH|HOMEDIR|MYBASE_SVN|NC2NEMSIOSH|NOSCRUB|REGRID_NEMSIO_SH|REGRID_NEMSIO_TBL|POSTGPSH|POSTGPEXEC|POSTJJOBSH|PTMP|ROTDIR|RUNDIR|STMP|REMAPSH|NC2NEMSIOSH" ] diff --git a/model/fv3gfs/actions/012_arch.yaml b/model/fv3gfs/actions/012_arch.yaml index 1e2f76c..074e946 100644 --- a/model/fv3gfs/actions/012_arch.yaml +++ b/model/fv3gfs/actions/012_arch.yaml @@ -1,4 +1,4 @@ -arch: &arch_action !Action +arch_base: &arch_base !Action <<: *action_base J_JOB: arch walltime: !timedelta 06:00:00 @@ -6,17 +6,6 @@ arch: &arch_action !Action memory: "3072M" accounting: !calc doc.platform.transfer_accounting - use_other_vars: - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.fv3_gfs_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.platform.general_env, ".*" ] - - [ doc.default_settings, ".*" ] - - [ doc.platform.mpi_tuning, ".*" ] - - [ doc.fv3_settings, "LEVS|QUILTING|nst_anl" ] - - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - - [ doc.places, "ARCDIR|ATARDIR|BASE_GSI|BASE_NEMSfv3gfs|BASE_ENV|BASE_JOB|BASE_POST|BASE_PREP|BASE_PREP_GLOBAL|BASE_SVN|BASE_VERIF|DMPDIR|FORECASTSH|FCSTEXECDIR|GFSDOWNSH|GFSDWNSH|HOMEDIR|MYBASE_SVN|NC2NEMSIOSH|NOSCRUB|REGRID_NEMSIO_SH|REGRID_NEMSIO_TBL|POSTGPSH|POSTGPEXEC|POSTJJOBSH|PTMP|ROTDIR|RUNDIR|STMP|REMAPSH|NC2NEMSIOSH" ] - CASE_ENKF: "C96" SMOOTH_ENKF: YES @@ -24,3 +13,24 @@ arch: &arch_action !Action assim_freq: 6 l4densvar: NO lwrite4danl: NO + NCO_NAMING_CONV: YES + +arch_gfs_action: &arch_gfs_action !Action + <<: [ *fv3_gfs_settings, *data_assimilation, *arch_base ] + CDUMP: gfs + Inherit: !Inherit + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.platform.general_env, ".*" ] + - [ doc.platform.mpi_tuning, ".*" ] + - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + - [ doc.places, "ARCDIR|ATARDIR|BASE_GSI|BASE_NEMSfv3gfs|BASE_ENV|BASE_JOB|BASE_POST|BASE_PREP|BASE_PREP_GLOBAL|BASE_SVN|BASE_VERIF|DMPDIR|FORECASTSH|FCSTEXECDIR|GFSDOWNSH|GFSDWNSH|HOMEDIR|MYBASE_SVN|NC2NEMSIOSH|NOSCRUB|REGRID_NEMSIO_SH|REGRID_NEMSIO_TBL|POSTGPSH|POSTGPEXEC|POSTJJOBSH|PTMP|ROTDIR|RUNDIR|STMP|REMAPSH|NC2NEMSIOSH" ] + +arch_gdas_action: &arch_gdas_action !Action + <<: [ *fv3_gdas_settings, *data_assimilation, *arch_base ] + CDUMP: gdas + Inherit: !Inherit + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.platform.general_env, ".*" ] + - [ doc.platform.mpi_tuning, ".*" ] + - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + - [ doc.places, "ARCDIR|ATARDIR|BASE_GSI|BASE_NEMSfv3gfs|BASE_ENV|BASE_JOB|BASE_POST|BASE_PREP|BASE_PREP_GLOBAL|BASE_SVN|BASE_VERIF|DMPDIR|FORECASTSH|FCSTEXECDIR|GFSDOWNSH|GFSDWNSH|HOMEDIR|MYBASE_SVN|NC2NEMSIOSH|NOSCRUB|REGRID_NEMSIO_SH|REGRID_NEMSIO_TBL|POSTGPSH|POSTGPEXEC|POSTJJOBSH|PTMP|ROTDIR|RUNDIR|STMP|REMAPSH|NC2NEMSIOSH" ] diff --git a/model/fv3gfs/case.yaml b/model/fv3gfs/case.yaml index b14cd2b..c653690 100644 --- a/model/fv3gfs/case.yaml +++ b/model/fv3gfs/case.yaml @@ -1,20 +1,23 @@ fv3_settings: &fv3_settings - Template: *fv3_settings_template LEVS: 65 # Number of vertical levels (mandatory) fv3_gfs_settings: &fv3_gfs_settings <<: *fv3_settings + Template: *fv3_settings_template CASE: C192 # FV3 horizontal resolution (mandatory) + CDUMP: gfs fv3_enkf_settings: &fv3_enkf_settings <<: *fv3_settings + Template: *fv3_settings_template CASE: C192 # FV3 horizontal resolution for ensemble (mandatory) + CDUMP: gdas restart_interval: 6 # do not change fv3_gdas_settings: &fv3_gdas_settings - <<: *fv3_settings - #FIXME: Presently fv3_gdas_settings has to be identical to fv3_gfs_settings - #because the actions/009_fcst.yaml cannot differentiate + <<: *fv3_gfs_settings + Template: *fv3_settings_template + CDUMP: gdas ######################################################################## @@ -31,11 +34,11 @@ gfs_output_settings: &gfs_output_settings data_assimilation: &data_assimilation Template: *data_assimilation_template # Shared parameters/switches - DOHYBVAR: "YES" # optional + DOHYBVAR: YES # optional NMEM_ENKF: 80 # DO NOT CHANGE (yet) NMEM_ENKF_GRP_EOMN: 10 # DO NOT CHANGE (yet) NMEM_ENKF_GRP_EFMN: 10 # DO NOT CHANGE (yet) - RECENTER_ENKF: "YES" # optional + RECENTER_ENKF: YES # optional l4densvar: ".false." # optional lwrite4danl: ".false." # optional DO_MAKEPREPBUFR: YES # if NO, will copy prepbufr from globaldump diff --git a/model/fv3gfs/validation/001_fv3.yaml b/model/fv3gfs/validation/001_fv3.yaml index 888f0af..81f0526 100644 --- a/model/fv3gfs/validation/001_fv3.yaml +++ b/model/fv3gfs/validation/001_fv3.yaml @@ -88,15 +88,19 @@ fv3_settings_template: &fv3_settings_template USE_COUPLER_RES: { type: bool, default: NO } - DIAG_TABLE: + CDUMP: type: string - stages: [ suite ] - default: !FirstTrue - - when: !calc CDUMP=="gdas" - do: !expand "{doc.case.BASE_GSM}/parm/parm_fv3diag/diag_table_da" - - when: !calc CDUMP=="gfs" - do: !expand "{doc.case.BASE_GSM}/parm/parm_fv3diag/diag_table" - - otherwise: !error "Do not know DIAG_TABLE for CDUMP={CDUMP}" + allowed: [ gfs, gdas ] + if_present: !Template + DIAG_TABLE: + type: string + stages: [ suite ] + default: !FirstTrue + - when: !calc CDUMP=="gdas" + do: !expand "{doc.places.BASE_GSM}/parm/parm_fv3diag/diag_table_da" + - when: !calc CDUMP=="gfs" + do: !expand "{doc.places.BASE_GSM}/parm/parm_fv3diag/diag_table" + - otherwise: !error "Do not know DIAG_TABLE for CDUMP={CDUMP}" CASE: type: string diff --git a/model/fv3gfs/workflow.yaml b/model/fv3gfs/workflow.yaml index 592749f..adc83b7 100644 --- a/model/fv3gfs/workflow.yaml +++ b/model/fv3gfs/workflow.yaml @@ -98,9 +98,7 @@ workflow: !Cycle gdas: !Family prep: !Task - Perform: - <<: *prep_action - CDUMP: gdas + Perform: *prep_gdas_action Rocoto: *task_template Complete: !Depend ~ suite.has_cycle('-6:00:00') Trigger: !Depend up.gdas.post.at('-6:00:00') @@ -118,8 +116,8 @@ workflow: !Cycle grp1: !Task Perform: <<: *eomg_action - NMEM_ENKF: !calc doc.case.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 1 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template @@ -128,8 +126,8 @@ workflow: !Cycle grp2: !Task Perform: <<: *eomg_action - NMEM_ENKF: !calc doc.case.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 2 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template @@ -138,8 +136,8 @@ workflow: !Cycle grp3: !Task Perform: <<: *eomg_action - NMEM_ENKF: !calc doc.case.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 3 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template @@ -148,8 +146,8 @@ workflow: !Cycle grp4: !Task Perform: <<: *eomg_action - NMEM_ENKF: !calc doc.case.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 4 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template @@ -158,8 +156,8 @@ workflow: !Cycle grp5: !Task Perform: <<: *eomg_action - NMEM_ENKF: !calc doc.case.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 5 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template @@ -168,8 +166,8 @@ workflow: !Cycle grp6: !Task Perform: <<: *eomg_action - NMEM_ENKF: !calc doc.case.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 6 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template @@ -178,8 +176,8 @@ workflow: !Cycle grp7: !Task Perform: <<: *eomg_action - NMEM_ENKF: !calc doc.case.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 7 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template @@ -188,8 +186,8 @@ workflow: !Cycle grp8: !Task Perform: <<: *eomg_action - NMEM_ENKF: !calc doc.case.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 8 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template @@ -233,8 +231,8 @@ workflow: !Cycle grp1: !Task Perform: <<: *efcs_action - NMEM_ENKF: !calc doc.case.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.case.NMEM_ENKF_GRP_EFMN + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 1 # Convert to ENSGRP %02d task_template: *task_template ens_more: *efcs_task_template @@ -243,8 +241,8 @@ workflow: !Cycle grp2: !Task Perform: <<: *efcs_action - NMEM_ENKF: !calc doc.case.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.case.NMEM_ENKF_GRP_EFMN + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 2 # Convert to ENSGRP %02d task_template: *task_template ens_more: *efcs_task_template @@ -253,8 +251,8 @@ workflow: !Cycle grp3: !Task Perform: <<: *efcs_action - NMEM_ENKF: !calc doc.case.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.case.NMEM_ENKF_GRP_EFMN + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 3 # Convert to ENSGRP %02d task_template: *task_template ens_more: *efcs_task_template @@ -263,8 +261,8 @@ workflow: !Cycle grp4: !Task Perform: <<: *efcs_action - NMEM_ENKF: !calc doc.case.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.case.NMEM_ENKF_GRP_EFMN + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 4 # Convert to ENSGRP %02d task_template: *task_template ens_more: *efcs_task_template @@ -273,8 +271,8 @@ workflow: !Cycle grp5: !Task Perform: <<: *efcs_action - NMEM_ENKF: !calc doc.case.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.case.NMEM_ENKF_GRP_EFMN + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 5 # Convert to ENSGRP %02d task_template: *task_template ens_more: *efcs_task_template @@ -283,8 +281,8 @@ workflow: !Cycle grp6: !Task Perform: <<: *efcs_action - NMEM_ENKF: !calc doc.case.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.case.NMEM_ENKF_GRP_EFMN + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 6 # Convert to ENSGRP %02d task_template: *task_template ens_more: *efcs_task_template @@ -293,8 +291,8 @@ workflow: !Cycle grp7: !Task Perform: <<: *efcs_action - NMEM_ENKF: !calc doc.case.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.case.NMEM_ENKF_GRP_EFMN + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 7 # Convert to ENSGRP %02d task_template: *task_template ens_more: *efcs_task_template @@ -303,8 +301,8 @@ workflow: !Cycle grp8: !Task Perform: <<: *efcs_action - NMEM_ENKF: !calc doc.case.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.case.NMEM_ENKF_GRP_EFMN + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN GROUP_NUMBER: 8 # Convert to ENSGRP %02d task_template: *task_template ens_more: *efcs_task_template @@ -316,82 +314,64 @@ workflow: !Cycle Trigger: !Depend efcs anal: !Task - Perform: - <<: *anal_action - CDUMP: gdas + Perform: *anal_gdas_action Rocoto: *task_template Complete: !Depend ~ suite.has_cycle('-6:00:00') Trigger: !Depend ( prep & enkf.epos.at('-6:00:00') ) fcst: !Task - Perform: - <<: *fcst_action - CDUMP: gdas + Perform: *fcst_gdas_action Rocoto: *task_template Trigger: !Depend ( anal | ~ suite.has_cycle('-6:00:00') ) post: !Task - Perform: - <<: *post_action - CDUMP: gdas + Perform: *post_gdas_action Rocoto: *task_template Trigger: !Depend fcst vrfy: !Task - Perform: - <<: *vrfy_action - CDUMP: gdas + Perform: *vrfy_gdas_action Rocoto: *task_template Trigger: !Depend post gfs: !Family Complete: !Depend ~ suite.has_cycle('-6:00:00') prep: !Task - Perform: - <<: *prep_action - CDUMP: gfs + Perform: *prep_gfs_action Rocoto: *task_template Trigger: !Depend up.gdas.post.at('-6:00:00') anal: !Task - Perform: - <<: *anal_action - CDUMP: gfs + Perform: *anal_gfs_action Rocoto: *task_template Trigger: !Depend ( prep & up.gdas.enkf.epos.at('-6:00:00') ) fcst: !Task - Perform: - <<: *fcst_action - CDUMP: gfs + Perform: *fcst_gfs_action Rocoto: *task_template Trigger: !Depend anal post: !Task - Perform: - <<: *post_action - CDUMP: gfs + Perform: *post_gfs_action Rocoto: *task_template Trigger: !Depend fcst vrfy: !Task - Perform: - <<: *vrfy_action - CDUMP: gfs + Perform: *vrfy_gfs_action Rocoto: *task_template Trigger: !Depend post archive: !Family gdasarch: !Task Perform: - <<: *arch_action + <<: *arch_gdas_action CDUMP: gdas Rocoto: *task_template Trigger: !Depend up.gdas.vrfy gfsarch: !Task Perform: - <<: *arch_action + <<: *arch_gfs_action CDUMP: gfs Rocoto: *task_template Complete: !Depend ~ suite.has_cycle('-6:00:00') @@ -402,8 +382,8 @@ workflow: !Cycle grp1: !Task Perform: <<: *earc_action - NMEM_ENKF: !calc doc.case.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 1 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template @@ -411,8 +391,8 @@ workflow: !Cycle grp2: !Task Perform: <<: *earc_action - NMEM_ENKF: !calc doc.case.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 2 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template @@ -420,8 +400,8 @@ workflow: !Cycle grp3: !Task Perform: <<: *earc_action - NMEM_ENKF: !calc doc.case.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 3 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template @@ -429,8 +409,8 @@ workflow: !Cycle grp4: !Task Perform: <<: *earc_action - NMEM_ENKF: !calc doc.case.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 4 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template @@ -438,8 +418,8 @@ workflow: !Cycle grp5: !Task Perform: <<: *earc_action - NMEM_ENKF: !calc doc.case.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 5 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template @@ -447,8 +427,8 @@ workflow: !Cycle grp6: !Task Perform: <<: *earc_action - NMEM_ENKF: !calc doc.case.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 6 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template @@ -456,8 +436,8 @@ workflow: !Cycle grp7: !Task Perform: <<: *earc_action - NMEM_ENKF: !calc doc.case.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 7 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template @@ -465,8 +445,8 @@ workflow: !Cycle grp8: !Task Perform: <<: *earc_action - NMEM_ENKF: !calc doc.case.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.case.NMEM_ENKF_GRP_EOMN + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN GROUP_NUMBER: 8 # Convert to ENSGRP %02d task_template: *task_template ens_more: *eomn_task_template From 732c0cae95fbd2fb9baa7436d8a7078879b4e104 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 25 Oct 2017 17:26:10 +0000 Subject: [PATCH 161/487] crow.config.represent: rename logger to _logger to indicate module-local status --- crow/config/represent.py | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/crow/config/represent.py b/crow/config/represent.py index 75e9ec0..04ec46a 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -11,7 +11,7 @@ from copy import copy import crow.sysenv -logger=logging.getLogger('crow.config') +_logger=logging.getLogger('crow.config') __all__=[ 'Action','Platform', 'Conditional', 'calc','FirstMin', 'FirstMax', 'LastTrue', 'FirstTrue', 'GenericList', @@ -113,7 +113,7 @@ def _result(self,globals,locals): else: self.__result=values[idx] if 'message' in self[idx]: - logger.info(f'{self._path}[{idx}]: {self[idx].message}') + _logger.info(f'{self._path}[{idx}]: {self[idx].message}') return self.__result From 79e7db29b44ea1518b272e964653dc58a1349043 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 25 Oct 2017 17:26:40 +0000 Subject: [PATCH 162/487] crow.config.tools: add dynamic type checking in command_without_exe --- crow/config/tools.py | 2 ++ 1 file changed, 2 insertions(+) diff --git a/crow/config/tools.py b/crow/config/tools.py index 6c67d2b..ed5d2fc 100644 --- a/crow/config/tools.py +++ b/crow/config/tools.py @@ -5,6 +5,7 @@ import datetime from collections import Sequence, Mapping from crow.config.exceptions import * +from crow.tools import typecheck import crow.sysenv class Environment(dict): @@ -71,6 +72,7 @@ def env(var,default=MISSING): def have_env(var): return var in os.environ def command_without_exe(parallelism,jobspec,exe): + typecheck('jobspec',jobspec,crow.sysenv.JobResourceSpec) shell_command_obj=parallelism.make_ShellCommand(jobspec) cmd=list(shell_command_obj.command) return ' '.join( [ s for s in cmd if s!=exe ] ) From 3594e168b842fba029440f576e53865164804571 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 25 Oct 2017 17:27:00 +0000 Subject: [PATCH 163/487] crow.sysenv.nodes: add dynamic type checking --- crow/sysenv/nodes.py | 9 ++++----- 1 file changed, 4 insertions(+), 5 deletions(-) diff --git a/crow/sysenv/nodes.py b/crow/sysenv/nodes.py index 56bc85a..9c8d8c8 100644 --- a/crow/sysenv/nodes.py +++ b/crow/sysenv/nodes.py @@ -1,9 +1,10 @@ +import crow.tools from abc import abstractmethod from collections import UserList, Mapping, Sequence, OrderedDict from subprocess import Popen, PIPE, CompletedProcess from crow.sysenv.jobs import MAXIMUM_THREADS from crow.sysenv.util import ranks_to_nodes_ppn - +from crow.tools import typecheck from crow.sysenv.exceptions import * def noop(*args,**kwargs): pass @@ -105,6 +106,7 @@ def __init__(self,settings): # Implement NodeSpec abstract methods: def omp_threads_for(self,rank_spec): + typecheck('rank_spec',rank_spec,crow.sysenv.jobs.JobRankSpec) omp_threads=max(1,rank_spec.get('OMP_NUM_THREADS',1)) if omp_threads != MAXIMUM_THREADS: return omp_threads @@ -118,17 +120,14 @@ def omp_threads_for(self,rank_spec): else: ppn=1 - print(f'ppn={ppn} mrpn={max_ranks_per_node}') - max_ppn=rank_spec.get('max_ppn',0) if max_ppn: ppn=min(max_ppn,ppn) - print(f'ppn={ppn} mrpn={max_ranks_per_node}') - return max_ranks_per_node//ppn def max_ranks_per_node(self,rank_spec): + typecheck('rank_spec',rank_spec,crow.sysenv.jobs.JobRankSpec) can_hyper=self.hyperthreading_allowed max_per_node=self.cores_per_node if can_hyper and rank_spec.get('hyperthreading',False): From beddc5c023bba437e560440a4ba53c1a61436eab Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 25 Oct 2017 17:27:44 +0000 Subject: [PATCH 164/487] to_sh.py: add support for datetime and null. When null is seen, unset variable and send a log message. --- to_sh.py | 26 +++++++++++++++++--------- 1 file changed, 17 insertions(+), 9 deletions(-) diff --git a/to_sh.py b/to_sh.py index 3c9c96e..799c7c7 100755 --- a/to_sh.py +++ b/to_sh.py @@ -12,9 +12,10 @@ from crow.exceptions import CROWException from crow.tools import str_to_posix_sh from collections import Mapping +from datetime import datetime logger=logging.getLogger('CROW') -logging.basicConfig(level=logging.INFO,stream=sys.stderr) +logging.basicConfig(level=logging.DEBUG,stream=sys.stderr) UNSET_VARIABLE=object() SUCCESS=object() @@ -32,6 +33,7 @@ def __init__(self,quiet,args): self.int_format = '%d' self.true_string = 'YES' self.false_string = 'NO' + self.datetime_format = '%Y%m%d%H' self.null_string=UNSET_VARIABLE self.done_with_files=False self.files=list() @@ -39,6 +41,7 @@ def __init__(self,quiet,args): self.have_expanded=False self.have_handled_vars=False self.runner=None + self.null_format='' def set_bool_format(self,value): yes_no = value.split(',') @@ -135,6 +138,8 @@ def format_object(self,obj): return self.float_format%obj elif isinstance(obj,int): return self.int_format%obj + elif isinstance(obj,datetime): + return obj.strftime(self.datetime_format) return NotImplemented def read_files(self): @@ -148,15 +153,15 @@ def to_shell(self,var,value): try: if var is None: return SUCCESS - value=str(str_to_posix_sh(value),'ascii') if value is UNSET_VARIABLE: return f'unset {var}' else: + value=str(str_to_posix_sh(value),'ascii') return f'{export}{var}={value}' except ( NameError, AttributeError, LookupError, NameError, ReferenceError, ValueError, TypeError, CROWException, subprocess.CalledProcessError ) as ERR: - logger.error(f'{arg}: {ERR!s}',exc_info=not self.quiet) + logger.error(f'{var}: {ERR!s}',exc_info=not self.quiet) return FAILURE def process_args(self): @@ -187,6 +192,7 @@ def process_arg(self,arg): if command=='bool': self.set_bool_format(value) elif command=='int': self.set_int_format(value) elif command=='float': self.set_float_format(value) + elif command=='datetime': self.datetime_format=value elif command=='scope': self.set_scope(value) elif command=='null': self.set_null_string(value) elif command=='runner': self.set_runner(value) @@ -235,7 +241,7 @@ def process_arg(self,arg): yield None,None def import_all(self,regex): - logger.debug(f'Import {regex} from {self.scopes[-1]}') + logger.debug(f'Import {regex} from {self.scopes[-1]._path}') for key in self.scopes[-1].keys(): if re.match(regex,key): yield self.express_var(key,key) @@ -248,7 +254,7 @@ def import_from(self,var): if hasattr(varname,'index') and hasattr(varname,'pop'): # Probably a list scope,regex = varname - logger.debug(f'Import {regex} from {scope}') + logger.debug(f'Import {regex} from {scope._path}') self.set_scope(scope,push=True) for v,k in self.import_all(regex): yield v,k @@ -256,7 +262,7 @@ def import_from(self,var): logger.warning(f"from:{var}:{varname}: variable names must be strings") elif not re.match('[A-Za-z_][A-Za-z0-9_]*$',varname): # Probably a regex - logger.debug(f'Import {varname} from {self.scopes[-1]}') + logger.debug(f'Import {varname} from {self.scopes[-1]._path}') for v,k in self.import_all(varname): yield v,k else: # Just a variable name @@ -269,14 +275,16 @@ def express_var(self,var,expr): self.have_handled_vars=True if not self.done_with_files: self.read_files() result=self.eval_expr(expr) - formatted=self.format_object(result) + if result is None: + logger.info(f'{var}={expr}: evaluates to null. I will unset the variable.') + formatted=UNSET_VARIABLE + else: + formatted=self.format_object(result) if formatted is NotImplemented: logger.warning( f'{var}={expr}: cannot convert a {type(result).__name__} ' 'to a shell expression.') return var,crow.config.to_yaml(result) - if formatted is UNSET_VARIABLE: - return 'unset '+var return var, formatted From 1d7a486dca612daa99ecf70a5911e36a1a3384fc Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 25 Oct 2017 17:28:33 +0000 Subject: [PATCH 165/487] model fv3gfs: various bug fixes in yaml so scripts will run --- model/fv3gfs/actions.yaml | 28 +++++++++---------- model/fv3gfs/actions/000_base.yaml | 10 ++++--- model/fv3gfs/actions/001_ecen.yaml | 8 ++---- model/fv3gfs/actions/002_anal.yaml | 25 ++++++----------- model/fv3gfs/actions/002_epos.yaml | 19 ++++--------- model/fv3gfs/actions/003_eobs.yaml | 8 ++---- model/fv3gfs/actions/004_eomg.yaml | 9 +++--- model/fv3gfs/actions/005_eupd.yaml | 7 ++--- model/fv3gfs/actions/006_efcs.yaml | 9 +++--- model/fv3gfs/actions/007_earc.yaml | 5 ++-- model/fv3gfs/actions/008_prep.yaml | 21 ++++---------- model/fv3gfs/actions/009_fcst.yaml | 25 ++++------------- model/fv3gfs/actions/010_post.yaml | 9 ++---- model/fv3gfs/actions/011_vrfy.yaml | 31 +++++++-------------- model/fv3gfs/actions/012_arch.yaml | 7 ++--- model/fv3gfs/validation/003_gfs_output.yaml | 5 ++++ model/fv3gfs/validation/999_case.yaml | 2 +- 17 files changed, 84 insertions(+), 144 deletions(-) diff --git a/model/fv3gfs/actions.yaml b/model/fv3gfs/actions.yaml index 9c22f99..c3d5465 100644 --- a/model/fv3gfs/actions.yaml +++ b/model/fv3gfs/actions.yaml @@ -9,7 +9,7 @@ post: &post_action !Action memory: "3072M" APRUN_DWN: "'mpirun -np 72'" APRUN_NP: "'mpirun -np 72'" - CASE_ENKF: !calc doc.case.CASE_ENKF + CASE_ENKF: !calc doc.fv3_enkf_settings.CASE CNVGRIB: !calc doc.places.CNVGRIB COPYGB: !calc doc.places.COPYGB COPYGB2: !calc doc.places.COPYGB2 @@ -18,12 +18,12 @@ post: &post_action !Action DO_GDAS_FCST_POST: YES EDATE: !calc doc.case.EDATE FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" - FORECASTSH: !expand "{doc.case.BASE_GSM}/scripts/exglobal_fcst_nemsfv3gfs.sh" - FCSTEXECDIR: !expand "{doc.case.BASE_NEMSfv3gfs}/NEMS/exe" + FORECASTSH: !expand "{doc.places.BASE_GSM}/scripts/exglobal_fcst_nemsfv3gfs.sh" + FCSTEXECDIR: !expand "{doc.places.BASE_NEMSfv3gfs}/NEMS/exe" FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" FLXF: YES - GFSDOWNSH: !expand "{doc.case.BASE_GSM}/ush/fv3gfs_downstream_nems.sh" - GFSDWNSH: !expand "{doc.case.BASE_GSM}/ush/fv3gfs_dwn_nems.sh" + GFSDOWNSH: !expand "{doc.places.BASE_GSM}/ush/fv3gfs_downstream_nems.sh" + GFSDWNSH: !expand "{doc.places.BASE_GSM}/ush/fv3gfs_dwn_nems.sh" GFS_DOWNSTREAM: YES GOESF: NO GPOST: YES @@ -33,7 +33,7 @@ post: &post_action !Action GTGF: NO HOMEDIR: !calc doc.places.HOMEDIR KEEPDATA: NO - LEVS: !calc doc.case.LEVS + LEVS: !calc doc.fv3_settings.LEVS MPI_BUFS_PER_HOST: !calc doc.platform.MPI_BUFS_PER_HOST MPI_BUFS_PER_PROC: !calc doc.platform.MPI_BUFS_PER_PROC MPI_GROUP_MAX: !calc doc.platform.MPI_GROUP_MAX @@ -55,10 +55,10 @@ post: &post_action !Action NWPROD: !calc doc.platform.NWPROD OMP_STACKSIZE: !calc doc.platform.OMP_STACKSIZE OUTPUT_GRID: "gaussian_grid" - POSTJJOBSH: !expand "{doc.case.BASE_WORKFLOW}/jobs/JGFS_POST.sh" - POSTGPSH: !expand "{doc.case.BASE_POST}/ush/global_nceppost.sh" + POSTJJOBSH: !expand "{doc.places.BASE_WORKFLOW}/jobs/JGFS_POST.sh" + POSTGPSH: !expand "{doc.places.BASE_POST}/ush/global_nceppost.sh" POSTGRB2TBL: !calc doc.places.POSTGRB2TBL - POSTGPEXEC: !expand "{doc.case.BASE_POST}/exec/ncep_post" + POSTGPEXEC: !expand "{doc.places.BASE_POST}/exec/ncep_post" PSLOT: !calc doc.places.PSLOT QUILTING: YES REALTIME: !calc doc.case.REALTIME @@ -68,8 +68,8 @@ post: &post_action !Action npe_postgp: !calc npe_post nth_postgp: 1 GFS_DOWNSTREAM: "YES" - GFSDOWNSH: !expand "{doc.case.BASE_WORKFLOW}/ush/fv3gfs_downstream_nems.sh" - GFSDWNSH: !expand "{doc.case.BASE_WORKFLOW}/ush/fv3gfs_dwn_nems.sh" + GFSDOWNSH: !expand "{doc.places.BASE_WORKFLOW}/ush/fv3gfs_downstream_nems.sh" + GFSDWNSH: !expand "{doc.places.BASE_WORKFLOW}/ush/fv3gfs_dwn_nems.sh" downset: 1 npe_dwn: !calc npe_post nth_dwn: 2 @@ -93,7 +93,7 @@ vrfy: &vrfy_action !Action memory: "3072M" accounting: !calc doc.platform.parallel_accounting # CDUMP: "gfs" - CASE_ENKF: !calc doc.case.CASE_ENKF + CASE_ENKF: !calc doc.fv3_enkf_settings.CASE CDFNL: "gdas" CDUMPFCST: "gdas" # Fit-to-obs with GDAS/GFS prepbufr CNVGRIB: !calc doc.places.CNVGRIB @@ -105,7 +105,7 @@ vrfy: &vrfy_action !Action GRBINDEX2: !calc doc.platform.GRBINDEX2 GRIB1_WORKS: NO HOMEDIR: !calc doc.case.HOMEDIR - LEVS: !calc doc.case.LEVS + LEVS: !calc doc.fv3_settings.LEVS KEEPDATA: NO MPI_BUFS_PER_HOST: !calc doc.platform.MPI_BUFS_PER_HOST MPI_BUFS_PER_PROC: !calc doc.platform.MPI_BUFS_PER_PROC @@ -121,7 +121,7 @@ vrfy: &vrfy_action !Action NTHSTACK: 1024000000 OMP_STACKSIZE: !calc doc.platform.OMP_STACKSIZE OUTPUT_GRID: "gaussian_grid" - POSTJJOBSH: !expand "{doc.case.BASE_WORKFLOW}/jobs/JGFS_POST.sh" + POSTJJOBSH: !expand "{doc.places.BASE_WORKFLOW}/jobs/JGFS_POST.sh" PSLOT: !calc doc.places.PSLOT PTMP: !calc doc.places.PTMP QUILTING: YES diff --git a/model/fv3gfs/actions/000_base.yaml b/model/fv3gfs/actions/000_base.yaml index 8056b59..5196bb4 100644 --- a/model/fv3gfs/actions/000_base.yaml +++ b/model/fv3gfs/actions/000_base.yaml @@ -1,11 +1,11 @@ action_base: &action_base - <<: [ *resource_defaults ] + <<: [ *resource_defaults, *places ] KEEPDATA: NO # These are probably just needed by vrfy: - ACCOUNT: !calc doc.case.cpu_project - QUEUE: !calc doc.platform.queue - QUEUE_ARCH: !calc doc.platform.queue_arch + ACCOUNT: !calc doc.accounting.cpu_project + QUEUE: !calc doc.platform.parallel_accounting.queue + QUEUE_ARCH: !calc doc.platform.transfer_accounting.queue DONST: NO BASE_NEMSfv3gfs: !calc doc.places.BASE_NEMSfv3gfs @@ -21,6 +21,8 @@ action_base: &action_base par: !calc doc.platform.parallelism nodes: !calc doc.platform.nodes + NCO_NAMING_CONV: !calc doc.gfs_output_settings.NCO_NAMING_CONV + # FIXME: temporary kludge until scripts are updated to use mpiserial # on all platforms: APRUNCFP: !FirstTrue diff --git a/model/fv3gfs/actions/001_ecen.yaml b/model/fv3gfs/actions/001_ecen.yaml index 05a6d40..6c686c2 100644 --- a/model/fv3gfs/actions/001_ecen.yaml +++ b/model/fv3gfs/actions/001_ecen.yaml @@ -17,7 +17,7 @@ ecen: &ecen_action !Action # Use "placeholder" for exe name # ---------------------------------------- # From config.ecen - ENKFRECENSH: !expand "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_recenter_fv3gfs.sh.ecf" + ENKFRECENSH: !expand "{doc.places.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_recenter_fv3gfs.sh.ecf" APRUN_CHGRES: !FirstTrue - when: doc.platform.name == "THEIA" do: "time" @@ -33,10 +33,8 @@ ecen: &ecen_action !Action - [ doc.fv3_enkf_settings, "FHCYC" ] - [ doc.data_assimilation, ".*" ] - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc" ] - - [ doc.places, "NOSCRUB|POSTJJOBSH|CHGRESSH|CHGRESEXEC" ] + - [ doc.platform.mpi_tuning, '.*' ] + - [ doc.case, "EDATE|SDATE|gfs_cyc" ] CASE_ENKF: !calc doc.fv3_enkf_settings.CASE - NTHSTACK: 1024000000 - OMP_STACKSIZE: !calc doc.platform.OMP_STACKSIZE VERBOSE: YES diff --git a/model/fv3gfs/actions/002_anal.yaml b/model/fv3gfs/actions/002_anal.yaml index 29fc7c4..f936df5 100644 --- a/model/fv3gfs/actions/002_anal.yaml +++ b/model/fv3gfs/actions/002_anal.yaml @@ -21,24 +21,15 @@ anal_base: &anal_base !Action do: "mpirun -np $ncmd" - when: !calc doc.platform.name=="WCOSS_C" do: "aprun -j 1 -n \\$ncmd -N 1 -d {NTHREADS_CALCINC} -cc depth" + - otherwise: !error "No APRUN_CALCINC for unknown platform {doc.platform.name}" NTHREADS_CALCINC: 1 - APRUN_GSI: !calc tools.command_without_exe(par,run_gsi,"placeholder") - ANALYSISSH: !expand "{doc.case.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" + APRUN_GSI: !calc tools.command_without_exe(par,run_gsi.resources,"placeholder") + ANALYSISSH: !expand "{doc.places.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" NTHREADS_GSI: !calc nodes.omp_threads_for(anal_resources[0]) - CASE_ENKF: !calc doc.case.CASE_ENKF - - MPI_BUFS_PER_HOST: !calc doc.platform.MPI_BUFS_PER_HOST - MPI_BUFS_PER_PROC: !calc doc.platform.MPI_BUFS_PER_PROC - MPI_GROUP_MAX: !calc doc.platform.MPI_GROUP_MAX - MPI_MEMMAP_OFF: !calc doc.platform.MPI_MEMMAP_OFF - MP_STDOUTMODE: !calc doc.platform.MP_STDOUTMODE - NCO_NAMING_CONV: !calc doc.platform.NCO_NAMING_CONV - OMP_STACKSIZE: !calc doc.platform.OMP_STACKSIZE - NTHSTACK: 1024000000 + CASE_ENKF: !calc doc.fv3_enkf_settings.CASE VERBOSE: YES - NTHSTACK: 1024000000 anal_gdas_action: &anal_gdas_action !Action <<: [ *gfs_output_settings, *fv3_gdas_settings, *data_assimilation, *anal_base ] @@ -47,10 +38,10 @@ anal_gdas_action: &anal_gdas_action !Action Inherit: !Inherit - [ doc.platform.general_env, ".*" ] - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.platform.mpi_tuning, '.*' ] - [ doc.fv3_enkf_settings, "FHCYC" ] - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc" ] - - [ doc.places, "HOMEDIR|NOSCRUB|POSTJJOBSH|CHGRESSH|RUNDIR|ROTDIR|CHGRESEXEC|PTMP|STMP" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc" ] anal_gfs_action: &anal_gfs_action !Action <<: [ *gfs_output_settings, *fv3_gfs_settings, *data_assimilation, *anal_base ] @@ -59,7 +50,7 @@ anal_gfs_action: &anal_gfs_action !Action Inherit: !Inherit - [ doc.platform.general_env, ".*" ] - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.platform.mpi_tuning, '.*' ] - [ doc.fv3_enkf_settings, "FHCYC" ] - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc" ] - - [ doc.places, "HOMEDIR|NOSCRUB|POSTJJOBSH|CHGRESSH|RUNDIR|ROTDIR|CHGRESEXEC|PTMP|STMP" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc" ] diff --git a/model/fv3gfs/actions/002_epos.yaml b/model/fv3gfs/actions/002_epos.yaml index e5be417..393c98b 100644 --- a/model/fv3gfs/actions/002_epos.yaml +++ b/model/fv3gfs/actions/002_epos.yaml @@ -5,28 +5,19 @@ epos: &epos_action !Action memory: "254M" walltime: !timedelta 00:15:00 APRUN_EPOS: !calc tools.command_without_exe(par,resources,'placeholder') - NTHREADS_EPOS: !calc nodes.omp_threads_for(resources) + NTHREADS_EPOS: !calc nodes.omp_threads_for(resources[0]) - CASE: !calc doc.case.CASE_ENKF - ENKFPOSTSH: !expand "{doc.case.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_post_fv3gfs.sh.ecf" + CASE: !calc doc.fv3_enkf_settings.CASE + ENKFPOSTSH: !expand "{doc.places.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_post_fv3gfs.sh.ecf" Inherit: !Inherit - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.platform.mpi_tuning, '.*' ] - [ doc.fv3_enkf_settings, "FHCYC" ] - [ doc.data_assimilation, ".*" ] - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc" ] - - [ doc.places, "NOSCRUB|POSTJJOBSH|CHGRESSH|CHGRESEXEC|ATARDIR" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc" ] - MPI_BUFS_PER_HOST: !calc doc.platform.MPI_BUFS_PER_HOST - MPI_BUFS_PER_PROC: !calc doc.platform.MPI_BUFS_PER_PROC - MPI_GROUP_MAX: !calc doc.platform.MPI_GROUP_MAX - MPI_MEMMAP_OFF: !calc doc.platform.MPI_MEMMAP_OFF - MP_STDOUTMODE: !calc doc.platform.MP_STDOUTMODE - - NCO_NAMING_CONV: !calc doc.platform.NCO_NAMING_CONV - NTHSTACK: 1024000000 - OMP_STACKSIZE: !calc doc.platform.OMP_STACKSIZE VERBOSE: YES WRITE_NEMSIOFILE: YES accounting: !calc doc.platform.parallel_accounting diff --git a/model/fv3gfs/actions/003_eobs.yaml b/model/fv3gfs/actions/003_eobs.yaml index 6742e83..20f1326 100644 --- a/model/fv3gfs/actions/003_eobs.yaml +++ b/model/fv3gfs/actions/003_eobs.yaml @@ -2,22 +2,20 @@ eobs: &eobs_action !Action <<: *action_base J_JOB: eobs - CASE: !calc doc.case.CASE_ENKF + CASE: !calc doc.fv3_enkf_settings.CASE walltime: !timedelta 00:15:00 resources: !calc run_eobs.resources gsi_resources: !calc run_gsi.resources memory: "3072M" - ANALYSISSH: "{doc.case.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" + ANALYSISSH: "{doc.places.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" APRUN_GSI: !calc tools.command_without_exe(par,gsi_resources,'placeholder') - Inherit: !Inherit - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.fv3_enkf_settings, "FHCYC" ] - [ doc.data_assimilation, ".*" ] - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc" ] - - [ doc.places, "NOSCRUB|ATARDIR|INVOBSSH|ENKFINVOBSSH" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc" ] NMEM_EOMGGRP: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN NTHREADS_GSI: !calc nodes.omp_threads_for(gsi_resources[0]) diff --git a/model/fv3gfs/actions/004_eomg.yaml b/model/fv3gfs/actions/004_eomg.yaml index 5712c36..cfd860c 100644 --- a/model/fv3gfs/actions/004_eomg.yaml +++ b/model/fv3gfs/actions/004_eomg.yaml @@ -1,8 +1,8 @@ eomg: &eomg_action !Action <<: *action_base J_JOB: eomg - ANALYSISSH: "{doc.case.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" - APRUN_GSI: !calc tools.command_without_exe(par,run_gsi,'placeholder') + ANALYSISSH: "{doc.places.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" + APRUN_GSI: !calc tools.command_without_exe(par,run_gsi.resources,'placeholder') walltime: !timedelta 00:15:00 resources: !calc run_eomg.resources memory: "3072M" @@ -12,10 +12,9 @@ eomg: &eomg_action !Action - [ doc.fv3_enkf_settings, "FHCYC" ] - [ doc.data_assimilation, ".*" ] - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc" ] - - [ doc.places, "NOSCRUB|ATARDIR|INVOBSSH|ENKFINVOBSSH|BASE_ENV|BASE_JOB" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc" ] - CASE: !calc doc.case.CASE_ENKF + CASE: !calc doc.fv3_enkf_settings.CASE NMEM_EOMGGRP: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN NTHREADS_GSI: !calc nodes.omp_threads_for(run_gsi.resources[0]) NTHSTACK: 1024000000 diff --git a/model/fv3gfs/actions/005_eupd.yaml b/model/fv3gfs/actions/005_eupd.yaml index f79f8a2..f86574a 100644 --- a/model/fv3gfs/actions/005_eupd.yaml +++ b/model/fv3gfs/actions/005_eupd.yaml @@ -1,7 +1,7 @@ eupd: &eupd_action !Action <<: *action_base J_JOB: eupd - ANALYSISSH: "{doc.case.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" + ANALYSISSH: "{doc.places.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" APRUN_ENKF: !calc | tools.command_without_exe( par,enkf_resources,'placeholder') @@ -17,10 +17,9 @@ eupd: &eupd_action !Action - [ doc.fv3_enkf_settings, "FHCYC" ] - [ doc.data_assimilation, ".*" ] - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc" ] - - [ doc.places, "ENKFEXEC|ENKFUPDSH|BASE_ENV|BASE_JOB" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc" ] - CASE: !calc doc.case.CASE_ENKF + CASE: !calc doc.fv3_enkf_settings.CASE NTHREADS_ENKF: 2 NTHSTACK: 1024000000 VERBOSE: YES diff --git a/model/fv3gfs/actions/006_efcs.yaml b/model/fv3gfs/actions/006_efcs.yaml index 694f72d..7b7677b 100644 --- a/model/fv3gfs/actions/006_efcs.yaml +++ b/model/fv3gfs/actions/006_efcs.yaml @@ -1,7 +1,7 @@ efcs: &efcs_action !Action - <<: *action_base + <<: [ *action_base, *places ] J_JOB: efcs - CASE: !calc doc.case.CASE_ENKF + CASE: !calc doc.fv3_enkf_settings.CASE walltime: !timedelta 00:15:00 efcs_resources: !JobRequest - exe: placeholder @@ -12,7 +12,7 @@ efcs: &efcs_action !Action APRUN_FV3: !calc tools.command_without_exe(par,resources,'placeholder') resources_regrid_nemsio: !JobRequest - exe: placeholder - mpi_ranks: !calc doc.case.LEVS + mpi_ranks: !calc doc.fv3_settings.LEVS max_ppn: !calc nodes.max_ranks_per_node(efcs_resources[0]) APRUN_REGRID_NEMSIO: !calc | tools.command_without_exe( @@ -24,8 +24,7 @@ efcs: &efcs_action !Action - [ doc.fv3_enkf_settings, ".*" ] - [ doc.data_assimilation, ".*" ] - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc" ] - - [ doc.places, "DIAG_TABLE|BASE_ENV|ENKFFCSTSH|BASE_JOB|FCSTEXECDIR|FIELD_TABLE|FORECASTSH|REGRID_NEMSIO_SH|REGRID_NEMSIO_TBL|REGRID_NEMSIO_TBL|REMAPSH" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc" ] NTHREADS_FV3: 1 NTHREADS_REGRID_NEMSIO: 1 diff --git a/model/fv3gfs/actions/007_earc.yaml b/model/fv3gfs/actions/007_earc.yaml index 3d2c2aa..5936f47 100644 --- a/model/fv3gfs/actions/007_earc.yaml +++ b/model/fv3gfs/actions/007_earc.yaml @@ -11,11 +11,10 @@ earc: &earc_action !Action - [ doc.fv3_enkf_settings, ".*" ] - [ doc.data_assimilation, ".*" ] - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc" ] - - [ doc.places, "BASE_ENV|BASE_JOB|ATARDIR" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc" ] CASE: !calc CASE_ENKF - CASE_ENKF: !calc doc.case.CASE_ENKF + CASE_ENKF: !calc doc.fv3_enkf_settings.CASE KEEPDATA: NO NMEM_EARCGRP: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN diff --git a/model/fv3gfs/actions/008_prep.yaml b/model/fv3gfs/actions/008_prep.yaml index 9027f8c..6293c29 100644 --- a/model/fv3gfs/actions/008_prep.yaml +++ b/model/fv3gfs/actions/008_prep.yaml @@ -5,21 +5,10 @@ prep: &prep_base !Action resources: !calc run_prep.resources memory: "3072M" - CASE_ENKF: !calc doc.case.CASE_ENKF + CASE_ENKF: !calc doc.fv3_enkf_settings.CASE Template: null - MPI_BUFS_PER_HOST: !calc doc.platform.MPI_BUFS_PER_HOST - MPI_BUFS_PER_PROC: !calc doc.platform.MPI_BUFS_PER_PROC - MPI_GROUP_MAX: !calc doc.platform.MPI_GROUP_MAX - MPI_MEMMAP_OFF: !calc doc.platform.MPI_MEMMAP_OFF - MP_STDOUTMODE: !calc doc.platform.MP_STDOUTMODE - - NCO_NAMING_CONV: !calc doc.platform.NCO_NAMING_CONV NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NOSCRUB: !calc doc.case.NOSCRUB - - NTHSTACK: 1024000000 - OMP_STACKSIZE: !calc doc.platform.OMP_STACKSIZE POE: !calc doc.platform.name=='WCOSS_C' PSLOT: !calc doc.case.experiment_name @@ -38,8 +27,8 @@ prep_gdas: &prep_gdas_action !Action Inherit: !Inherit - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - - [ doc.places, "HOMEDIR|DRIVE_MAKEPREPBUFRSH|NOSCRUB|ATARDIR|ARCDIR" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + - [ doc.platform.mpi_tuning, '.*' ] Template: null prep_gfs: &prep_gfs_action !Action @@ -48,6 +37,6 @@ prep_gfs: &prep_gfs_action !Action Inherit: !Inherit - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - - [ doc.places, "HOMEDIR|DRIVE_MAKEPREPBUFRSH|NOSCRUB|ATARDIR|ARCDIR" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + - [ doc.platform.mpi_tuning, '.*' ] Template: null diff --git a/model/fv3gfs/actions/009_fcst.yaml b/model/fv3gfs/actions/009_fcst.yaml index 394714b..a0002b4 100644 --- a/model/fv3gfs/actions/009_fcst.yaml +++ b/model/fv3gfs/actions/009_fcst.yaml @@ -10,7 +10,7 @@ fcst_base: &fcst_base !Action memory: "3072M" resources_regrid_nemsio: !JobRequest - exe: placeholder - mpi_ranks: !calc doc.case.LEVS + mpi_ranks: !calc doc.fv3_settings.LEVS max_ppn: !calc nodes.max_ranks_per_node(fcst_resources[0]) APRUN_REGRID_NEMSIO: !calc | @@ -18,34 +18,21 @@ fcst_base: &fcst_base !Action par,resources_regrid_nemsio,'placeholder') APRUN_FV3: !calc tools.command_without_exe(par,fcst_resources,'placeholder') APRUN_REMAP: !calc APRUN_FV3 - ARCDIR: !calc doc.case.ARCDIR ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" - CASE: !calc doc.fv3_gfs_settings.CASE - CASE_ENKF: !calc doc.case.CASE_ENKF + CASE_ENKF: !calc doc.fv3_enkf_settings.CASE FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" KEEPDATA: NO - MPI_BUFS_PER_HOST: !calc doc.platform.MPI_BUFS_PER_HOST - MPI_BUFS_PER_PROC: !calc doc.platform.MPI_BUFS_PER_PROC - MPI_GROUP_MAX: !calc doc.platform.MPI_GROUP_MAX - MPI_MEMMAP_OFF: !calc doc.platform.MPI_MEMMAP_OFF - MP_STDOUTMODE: !calc doc.platform.MP_STDOUTMODE - - NCO_NAMING_CONV: !calc doc.platform.NCO_NAMING_CONV - NTHREADS_FV3: 2 NTHREADS_REGRID_NEMSIO: 1 NTHREADS_REMAP: 2 - NTHSTACK: 1024000000 - OMP_STACKSIZE: !calc doc.platform.OMP_STACKSIZE PSLOT: !calc doc.case.experiment_name QUILTING: YES - REALTIME: !calc doc.case.REALTIME REMAPSH: !expand "{doc.places.BASE_GSM}/ush/fv3gfs_remap.sh" TYPE: "nh" USE_COUPLER_RES: NO @@ -70,8 +57,8 @@ fcst_gdas: &fcst_gdas_action !Action Template: *fv3_settings_template Inherit: !Inherit - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - - [ doc.places, "BASE_GSI|BASE_NEMSfv3gfs|BASE_POST|BASE_PREP|BASE_PREP_GLOBAL|BASE_SVN|BASE_VERIF|FORECASTSH|FCSTEXECDIR|FIELD_TABLE|HOMEDIR|MYBASE_SVN|NC2NEMSIOSH|NOSCRUB|REGRID_NEMSIO_SH|REGRID_NEMSIO_TBL|PTMP|ROTDIR|RUNDIR|STMP|REMAPSH|NC2NEMSIOSH" ] + - [ doc.platform.mpi_tuning, '.*' ] + - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] CDUMP: gdas fcst_gfs: &fcst_gfs_action !Action @@ -79,6 +66,6 @@ fcst_gfs: &fcst_gfs_action !Action Template: *fv3_settings_template Inherit: !Inherit - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - - [ doc.places, "BASE_GSI|BASE_NEMSfv3gfs|BASE_POST|BASE_PREP|BASE_PREP_GLOBAL|BASE_SVN|BASE_VERIF|FORECASTSH|FCSTEXECDIR|FIELD_TABLE|HOMEDIR|MYBASE_SVN|NC2NEMSIOSH|NOSCRUB|REGRID_NEMSIO_SH|REGRID_NEMSIO_TBL|PTMP|ROTDIR|RUNDIR|STMP|REMAPSH|NC2NEMSIOSH" ] + - [ doc.platform.mpi_tuning, '.*' ] + - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] CDUMP: gfs diff --git a/model/fv3gfs/actions/010_post.yaml b/model/fv3gfs/actions/010_post.yaml index d6c4b9f..4472508 100644 --- a/model/fv3gfs/actions/010_post.yaml +++ b/model/fv3gfs/actions/010_post.yaml @@ -7,7 +7,7 @@ post_base: &post_base !Action APRUN_DWN: !calc tools.command_without_exe(par,resources,'placeholder') APRUN_NP: !calc tools.command_without_exe(par,resources,'placeholder') - CASE_ENKF: !calc doc.case.CASE_ENKF + CASE_ENKF: !calc doc.fv3_enkf_settings.CASE DO_GDAS_FCST_POST: YES FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" @@ -16,7 +16,6 @@ post_base: &post_base !Action GOESF: NO GPOST: YES GTGF: NO - NCO_NAMING_CONV: !calc doc.platform.NCO_NAMING_CONV NTHREADS_DWN: 2 NTHREADS_NP: 1 downset: 1 @@ -29,8 +28,7 @@ post_gfs: &post_gfs_action !Action - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.platform.general_env, ".*" ] - [ doc.platform.mpi_tuning, ".*" ] - - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - - [ doc.places, "ARCDIR|ATARDIR|BASE_GSI|BASE_NEMSfv3gfs|BASE_ENV|BASE_JOB|BASE_POST|BASE_PREP|BASE_PREP_GLOBAL|BASE_SVN|BASE_VERIF|FORECASTSH|FCSTEXECDIR|GFSDOWNSH|GFSDWNSH|HOMEDIR|MYBASE_SVN|NC2NEMSIOSH|NOSCRUB|REGRID_NEMSIO_SH|REGRID_NEMSIO_TBL|POSTGPSH|POSTGPEXEC|POSTJJOBSH|PTMP|ROTDIR|RUNDIR|STMP|REMAPSH|NC2NEMSIOSH" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] post_gdas: &post_gdas_action !Action <<: [ *fv3_gdas_settings, *data_assimilation, *post_base ] @@ -40,5 +38,4 @@ post_gdas: &post_gdas_action !Action - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.platform.general_env, ".*" ] - [ doc.platform.mpi_tuning, ".*" ] - - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - - [ doc.places, "ARCDIR|ATARDIR|BASE_GSI|BASE_NEMSfv3gfs|BASE_ENV|BASE_JOB|BASE_POST|BASE_PREP|BASE_PREP_GLOBAL|BASE_SVN|BASE_VERIF|FORECASTSH|FCSTEXECDIR|GFSDOWNSH|GFSDWNSH|HOMEDIR|MYBASE_SVN|NC2NEMSIOSH|NOSCRUB|REGRID_NEMSIO_SH|REGRID_NEMSIO_TBL|POSTGPSH|POSTGPEXEC|POSTJJOBSH|PTMP|ROTDIR|RUNDIR|STMP|REMAPSH|NC2NEMSIOSH" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] diff --git a/model/fv3gfs/actions/011_vrfy.yaml b/model/fv3gfs/actions/011_vrfy.yaml index 2afdd5e..989a2e9 100644 --- a/model/fv3gfs/actions/011_vrfy.yaml +++ b/model/fv3gfs/actions/011_vrfy.yaml @@ -12,48 +12,39 @@ vrfy_base: &vrfy_base !Action CDFNL: "gdas" CDUMPFCST: "gdas" # Fit-to-obs with GDAS/GFS prepbufr GRIB1_WORKS: NO - NCO_NAMING_CONV: !calc doc.platform.NCO_NAMING_CONV SMOOTH_ENKF: YES BACKDATEVSDB: !FirstTrue - when: !calc CDUMP=="gfs" do: 24 - - otherwise: - do: NULL + - otherwise: null VBACKUP_PRCP: !FirstTrue - when: !calc CDUMP=="gfs" do: 24 - - otherwise: - do: NULL + - otherwise: null VSDBSH: !FirstTrue - when: !calc CDUMP=="gfs" do: !calc doc.places.VSDBSH - - otherwise: - do: NULL + - otherwise: null ftyplist: !FirstTrue - when: !calc CDUMP=="gfs" do: "pgbq" - - otherwise: - do: NULL + - otherwise: null vhr_rain: !FirstTrue - when: !calc CDUMP=="gfs" do: 240 - - otherwise: - do: NULL + - otherwise: null vlength: !FirstTrue - when: !calc CDUMP=="gfs" do: 240 - - otherwise: - do: NULL + - otherwise: null vsdbhome: !FirstTrue - when: !calc CDUMP=="gfs" do: !calc doc.places.vsdbhome - - otherwise: - do: NULL + - otherwise: null vsdbsave: !FirstTrue - when: !calc CDUMP=="gfs" do: !calc doc.places.vsdbsave - - otherwise: - do: NULL + - otherwise: null VDUMP: "gfs" VRFYG2OBS: NO VRFYGENESIS: NO @@ -81,8 +72,7 @@ vrfy_gfs_action: &vrfy_gfs_action !Action - [ doc.platform.general_env, ".*" ] - [ doc.platform.mpi_tuning, ".*" ] - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - - [ doc.places, "ARCDIR|ATARDIR|BASE_GSI|BASE_NEMSfv3gfs|BASE_ENV|BASE_JOB|BASE_POST|BASE_PREP|BASE_PREP_GLOBAL|BASE_SVN|BASE_VERIF|FORECASTSH|FCSTEXECDIR|GFSDOWNSH|GFSDWNSH|HOMEDIR|MYBASE_SVN|NC2NEMSIOSH|NOSCRUB|REGRID_NEMSIO_SH|REGRID_NEMSIO_TBL|POSTGPSH|POSTGPEXEC|POSTJJOBSH|PTMP|ROTDIR|RUNDIR|STMP|REMAPSH|NC2NEMSIOSH" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] vrfy_gdas_action: &vrfy_gdas_action !Action <<: [ *fv3_gdas_settings, *data_assimilation, *vrfy_base ] @@ -93,5 +83,4 @@ vrfy_gdas_action: &vrfy_gdas_action !Action - [ doc.platform.general_env, ".*" ] - [ doc.platform.mpi_tuning, ".*" ] - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - - [ doc.places, "ARCDIR|ATARDIR|BASE_GSI|BASE_NEMSfv3gfs|BASE_ENV|BASE_JOB|BASE_POST|BASE_PREP|BASE_PREP_GLOBAL|BASE_SVN|BASE_VERIF|FORECASTSH|FCSTEXECDIR|GFSDOWNSH|GFSDWNSH|HOMEDIR|MYBASE_SVN|NC2NEMSIOSH|NOSCRUB|REGRID_NEMSIO_SH|REGRID_NEMSIO_TBL|POSTGPSH|POSTGPEXEC|POSTJJOBSH|PTMP|ROTDIR|RUNDIR|STMP|REMAPSH|NC2NEMSIOSH" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] diff --git a/model/fv3gfs/actions/012_arch.yaml b/model/fv3gfs/actions/012_arch.yaml index 074e946..fe624a4 100644 --- a/model/fv3gfs/actions/012_arch.yaml +++ b/model/fv3gfs/actions/012_arch.yaml @@ -13,7 +13,6 @@ arch_base: &arch_base !Action assim_freq: 6 l4densvar: NO lwrite4danl: NO - NCO_NAMING_CONV: YES arch_gfs_action: &arch_gfs_action !Action <<: [ *fv3_gfs_settings, *data_assimilation, *arch_base ] @@ -22,8 +21,7 @@ arch_gfs_action: &arch_gfs_action !Action - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.platform.general_env, ".*" ] - [ doc.platform.mpi_tuning, ".*" ] - - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - - [ doc.places, "ARCDIR|ATARDIR|BASE_GSI|BASE_NEMSfv3gfs|BASE_ENV|BASE_JOB|BASE_POST|BASE_PREP|BASE_PREP_GLOBAL|BASE_SVN|BASE_VERIF|DMPDIR|FORECASTSH|FCSTEXECDIR|GFSDOWNSH|GFSDWNSH|HOMEDIR|MYBASE_SVN|NC2NEMSIOSH|NOSCRUB|REGRID_NEMSIO_SH|REGRID_NEMSIO_TBL|POSTGPSH|POSTGPEXEC|POSTJJOBSH|PTMP|ROTDIR|RUNDIR|STMP|REMAPSH|NC2NEMSIOSH" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] arch_gdas_action: &arch_gdas_action !Action <<: [ *fv3_gdas_settings, *data_assimilation, *arch_base ] @@ -32,5 +30,4 @@ arch_gdas_action: &arch_gdas_action !Action - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.platform.general_env, ".*" ] - [ doc.platform.mpi_tuning, ".*" ] - - [ doc.case, "EDATE|SDATE|REALTIME|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - - [ doc.places, "ARCDIR|ATARDIR|BASE_GSI|BASE_NEMSfv3gfs|BASE_ENV|BASE_JOB|BASE_POST|BASE_PREP|BASE_PREP_GLOBAL|BASE_SVN|BASE_VERIF|DMPDIR|FORECASTSH|FCSTEXECDIR|GFSDOWNSH|GFSDWNSH|HOMEDIR|MYBASE_SVN|NC2NEMSIOSH|NOSCRUB|REGRID_NEMSIO_SH|REGRID_NEMSIO_TBL|POSTGPSH|POSTGPEXEC|POSTJJOBSH|PTMP|ROTDIR|RUNDIR|STMP|REMAPSH|NC2NEMSIOSH" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] diff --git a/model/fv3gfs/validation/003_gfs_output.yaml b/model/fv3gfs/validation/003_gfs_output.yaml index 4e6191d..81be87a 100644 --- a/model/fv3gfs/validation/003_gfs_output.yaml +++ b/model/fv3gfs/validation/003_gfs_output.yaml @@ -32,3 +32,8 @@ gfs_output_settings_template: &gfs_output_settings_template type: int default: 1 description: Output frequency until FHMAX_HF_GFS hours. + + NCO_NAMING_CONV: + type: bool + default: YES + description: "YES = use standard, operational, naming conventions. NO = use non-standard naming conventions" diff --git a/model/fv3gfs/validation/999_case.yaml b/model/fv3gfs/validation/999_case.yaml index 1c96a30..36c0ed6 100644 --- a/model/fv3gfs/validation/999_case.yaml +++ b/model/fv3gfs/validation/999_case.yaml @@ -2,7 +2,7 @@ case_template: &case_template experiment_name: type: string description: user-defined experiment name - override: + override: !FirstTrue - when: !calc tools.grep('^[A-Za-z][A-Za-z0-9_]*$',experiment_name) do: null # no change - otherwise: !error Experiment names must be alphanumeric and start with a letter. From 54306649a494135d60645b19d773ec09c170530e Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 25 Oct 2017 17:34:48 +0000 Subject: [PATCH 166/487] to_sh.py: two bug fixes: - in one place, "scope._path" was used instead of "scope" to get the scope path leading to an AttributeError because strings do not have an _path - Unset variables that cannot be expressed in shell --- to_sh.py | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/to_sh.py b/to_sh.py index 799c7c7..26ac332 100755 --- a/to_sh.py +++ b/to_sh.py @@ -254,7 +254,7 @@ def import_from(self,var): if hasattr(varname,'index') and hasattr(varname,'pop'): # Probably a list scope,regex = varname - logger.debug(f'Import {regex} from {scope._path}') + logger.debug(f'Import {regex} from {scope}') self.set_scope(scope,push=True) for v,k in self.import_all(regex): yield v,k @@ -276,15 +276,15 @@ def express_var(self,var,expr): if not self.done_with_files: self.read_files() result=self.eval_expr(expr) if result is None: - logger.info(f'{var}={expr}: evaluates to null. I will unset the variable.') + logger.info(f'{var}={expr}: evaluates to null. Unsetting the variable.') formatted=UNSET_VARIABLE else: formatted=self.format_object(result) if formatted is NotImplemented: - logger.warning( + logger.error( f'{var}={expr}: cannot convert a {type(result).__name__} ' - 'to a shell expression.') - return var,crow.config.to_yaml(result) + 'to a shell expression. Unsetting the variable.') + return var,UNSET_VARIABLE return var, formatted From 17d0b6eeea2f2cd961e394fd074b45a0415c9b21 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 26 Oct 2017 16:47:56 +0000 Subject: [PATCH 167/487] totally untested shell dataflow test case --- crow_dataflow_deliver_sh.py | 114 +++++++++++++++++++ crow_dataflow_find_sh.py | 52 +++++++++ examples/sqltest/{test.py => python_test.py} | 0 examples/sqltest/shell_prep.py | 43 +++++++ examples/sqltest/shell_test.sh | 31 +++++ 5 files changed, 240 insertions(+) create mode 100644 crow_dataflow_deliver_sh.py create mode 100644 crow_dataflow_find_sh.py rename examples/sqltest/{test.py => python_test.py} (100%) create mode 100644 examples/sqltest/shell_prep.py create mode 100644 examples/sqltest/shell_test.sh diff --git a/crow_dataflow_deliver_sh.py b/crow_dataflow_deliver_sh.py new file mode 100644 index 0000000..6dd4bb3 --- /dev/null +++ b/crow_dataflow_deliver_sh.py @@ -0,0 +1,114 @@ +#! /usr/bin/env python3.6 + +import sys, logging, shutil +from getopt import getopt +from contextlib import suppress +from crow.dataflow import Dataflow +from datetime import datetime + +ALLOWED_DATE_FORMATS=[ '%Y-%m-%dt%H:%M:%S', '%Y-%m-%dT%H:%M:%S', + '%Y-%m-%d %H:%M:%S' ] + +def usage(why): + sys.stderr.write('''Format: crow_dataflow_sh.py [-v] [-m] ( -i input | -o output ) \ + dataflow.db cycle actor var=value [var=value [...]] + + -m = expect multiple matches; -i or -o are formats instead of paths + -v = verbose (set logging level to logging.DEBUG) + -i input = local file to deliver to an output slot + -o output = local file to receive data from an input slot + dataflow.db = sqlite3 database file with state information + cycle = forecast cycle in ISO format: 2019-08-15t13:08:14 + actor = actor (job) producing the data (period-separated: path.to.actor) + slot=slotname = name of slot that produces or consumes the data +''') + sys.stderr.write(why+'\n') + exit(1) + +def deliver_by_name(flow,local,message): + if local != '-': + message.deliver(local) + elif flow=='I': + with message.open('rb') as out_fd: + shutil.copyfileobj(in_fd,sys.stdout) + elif flow=='O': + with message.open('wb') as in_fd: + shutil.copyfileobj(sys.stdin,out_fd) + +def deliver_by_format(flow,format,message): + if "'''" in format: + raise ValueError(f"{format}: cannot contain three single quotes " + "in a row '''") + globals={ 'actor':message.actor, 'slot':message.slot, 'flow':message.flow, + 'cycle':message.cycle } + locals=message.get_meta() + local_file=eval("f'''"+format+"'''",globals,locals) + deliver_by_name(local_file,message) + +def main(): + (optval, args) = getopt(sys.argv[1:],'o:i:vm') + options=dict(optval) + + level=logging.DEBUG if optval['v'] else logging.INFO + logging.basicConfig(stream=sys.stderr,level=level) + logger=logging.getLogger('crow_dataflow_sh') + + if ( '-i' in options ) == ( '-o' in options ): + usage('specify exactly one of -o and -i') + + flow = 'I' if '-i' in options else 'O' + + if len(args)<4: + usage('specify dataflow db file, cycle, actor, and at least one var=value') + + ( dbfile, cyclestr, actor ) = args[0:3] + + for fmt in ALLOWED_DATE_FORMATS: + with suppress(ValueError): + cycle=datetime.strptime(cyclestr,fmt) + break + + slot=None + meta={} + for arg in args[3:]: + split=arg.split('=',1) + if split!=2: + usage(f'{arg}: arguments must be var=value') + ( var, value ) = split + if var=='slot': + slot=value + elif var=='flow': + usage(f'{arg}: cannot set flow; that is set automatically via -i or -o') + elif var=='actor': + usage(f'{arg}: cannot set actor; that is set via a positional argument') + else: + meta[var]=value + + db=Dataflow(dbfile) + if flow=='I': + matches=iter(db.find_input_slot(actor,slot,meta)) + local=options['-i'] + else: + matches=iter(db.find_output_slot(actor,slot,meta)) + local=options['-o'] + + slot1, slot2 = None, None + with suppress(StopIteration): + slot1=found.next() + slot2=found.next() + + if slot1 is None: + logger.error('No match.') + exit(1) + + if slot2 is not None and 'm' not in options: + logger.error('Multiple matches, and -m not specified. Abort.') + exit(1) + + delivery = deliver_by_format if 'm' in options else deliver_by_name + + for slot in [ slot1, slot2 ]: + if slot is not None: + deliver(flow,local,slot.at(cycle)) + for slot in matches: + deliver(flow,local,slot.at(cycle)) diff --git a/crow_dataflow_find_sh.py b/crow_dataflow_find_sh.py new file mode 100644 index 0000000..1d28f98 --- /dev/null +++ b/crow_dataflow_find_sh.py @@ -0,0 +1,52 @@ +import logging, sys +from getopt import getopt +from crow.dataflow import Dataflow + +def usage(why): + sys.stderr.write('''Format: crow_dataflow_find_sh.py [-v] (I|O) [ search parameters ] + -v = verbose + I = input slot + O = output slot + actor=path.to.actor = actor producing or consuming data + slot=slot_name = name of input or output slot + other=other = slot property''') + sys.stderr.write(why+'\n') + exit(1) + +def main(): + (optval,args) = getopt(sys.argv[1:],'v') + options=dict(optval) + if len(args)<2): + usage('specify database file and flow') + + level=logging.DEBUG if optval['v'] else logging.INFO + logging.basicConfig(stream=sys.stderr,level=level) + logger=logging.getLogger('crow_dataflow_sh') + + dbfile, flow = args[0:2] + + if flow not in 'OI': + usage("flow must be O (output) or I (input)") + + primary={ 'flow':flow, 'actor':None, 'slot':None } + meta={} + for arg in args[2:]: + split=arg.split('=',1) + if split!=2: + usage(f'{arg}: arguments must be var=value') + ( var, value ) = split + if var in primary: + primary[var]=value + else: + meta[var]=value + + db=Datflow(dbfile) + find=db.find_output_slot if flow=='O' else db.find_input_slot + + for slot in find(actor,slot,meta): + meta=slot.get_meta() + if meta: + metas=[ f'{k}={v}' for k,v in meta.items() ] + print(f'{slot.flow} {slot.actor} {slot.slot} {" ".join(metas)}') + else: + print(f'{slot.flow} {slot.actor} {slot.slot}') diff --git a/examples/sqltest/test.py b/examples/sqltest/python_test.py similarity index 100% rename from examples/sqltest/test.py rename to examples/sqltest/python_test.py diff --git a/examples/sqltest/shell_prep.py b/examples/sqltest/shell_prep.py new file mode 100644 index 0000000..bcc6035 --- /dev/null +++ b/examples/sqltest/shell_prep.py @@ -0,0 +1,43 @@ +#! /usr/bin/env python3.6 +import logging, os, sys +from datetime import datetime, timedelta +from crow.dataflow import Dataflow + + +def main(): + logging.basicConfig(stream=sys.stderr,level=logging.DEBUG) + + if os.path.exists('test.db'): + os.unlink('test.db') + if os.path.exists('com'): + shutil.rmtree('com') + + d=Dataflow('test.db') + + PRE='com/{cycle:%Y%m%d%H}/{actor}/{slot}.t{cycle:%H}z' + d.add_output_slot('fam.job1','oslot',PRE+'.x') + d.add_input_slot('fam.job2','islot') + d.add_input_slot('fam.job2','tslot',{ + 'when':datetime.now(), 'why':True }) + + for S in [1,2,3]: + for L in 'AB': + d.add_output_slot('fam.job2','oslot',PRE+'.{letter}{slotnum}', + {'slotnum':S, 'letter':L}) + + for S in [1,2,3]: + for L in 'AB': + d.add_input_slot('fam.job3','islot',{'plopnum':S, 'letter':L}) + + three_hours=timedelta(seconds=21600) + for islot in d.find_input_slot('fam.job3','islot'): + meta=islot.get_meta() + found=False + for oslot in d.find_output_slot('fam.job2','oslot',{ + 'slotnum':meta['plopnum'], 'letter':meta['letter'] }): + islot.connect_to(oslot,rel_time=three_hours) + + for cycstr in [ '2017081500', '2017081506', '2017081512' ]: + d.add_cycle(datetime.strptime(cycstr,'%Y%m%d%H')) + +if __name__ == '__main__': diff --git a/examples/sqltest/shell_test.sh b/examples/sqltest/shell_test.sh new file mode 100644 index 0000000..f4c9cc0 --- /dev/null +++ b/examples/sqltest/shell_test.sh @@ -0,0 +1,31 @@ +#! /bin/sh + +export PYTHONPATH=../../${PYTHONPATH:+:$PYTHONPATH} + +set -eu + +./shell_prep.py + +crow_deliver() { + flow="$1" + format="$2" + cycle="$3" + actor="$4" + shift 4 + ../../crow_dataflow_deliver_sh.py -v "$flow" "$format" test.db "$cycle" "$actor" "$@" +} + +crow_find() { + flow="$1" + shift + ../../crow_dataflow_find_sh.py -v "$flow" test.db "$@" +} + +crow_find -o |\ +while [[ 1 == 1 ]] ; do + read flow actor slot meta + if [[ "$?" != 0 ]] ; then + break + fi + echo "($flow) ($actor) ($slot) ($meta)" +done From a690dc94fcaea53c5dae709bf58d53a706fbe9fa Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 26 Oct 2017 16:49:08 +0000 Subject: [PATCH 168/487] python sql test deletes scratch data in "com" directory before running --- examples/sqltest/python_test.py | 3 +++ 1 file changed, 3 insertions(+) diff --git a/examples/sqltest/python_test.py b/examples/sqltest/python_test.py index 60ae8d1..f7fece1 100755 --- a/examples/sqltest/python_test.py +++ b/examples/sqltest/python_test.py @@ -36,6 +36,9 @@ def main(): if os.path.exists('test.db'): os.unlink('test.db') + if os.path.exists('com'): + shutil.rmtree('com') + d=Dataflow('test.db') PRE='com/{cycle:%Y%m%d%H}/{actor}/{slot}.t{cycle:%H}z' From 5a9b1ac32d8664d09744867158ceaf13df170be3 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 26 Oct 2017 16:50:20 +0000 Subject: [PATCH 169/487] make shell_test.sh executable --- examples/sqltest/shell_test.sh | 0 1 file changed, 0 insertions(+), 0 deletions(-) mode change 100644 => 100755 examples/sqltest/shell_test.sh diff --git a/examples/sqltest/shell_test.sh b/examples/sqltest/shell_test.sh old mode 100644 new mode 100755 From 8d4ce29178ab4796d32b8af40a761b4a350603a8 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 26 Oct 2017 16:50:50 +0000 Subject: [PATCH 170/487] make shell_prep.py executable --- examples/sqltest/shell_prep.py | 0 1 file changed, 0 insertions(+), 0 deletions(-) mode change 100644 => 100755 examples/sqltest/shell_prep.py diff --git a/examples/sqltest/shell_prep.py b/examples/sqltest/shell_prep.py old mode 100644 new mode 100755 From 9d14128c0ee3ee9ea4502607c2722de8229f5215 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 26 Oct 2017 18:47:53 +0000 Subject: [PATCH 171/487] The shell dataflow connection works. The examples/sqltest contains test programs for both shell and python dataflow --- crow/dataflow/interface.py | 40 ++++++------------ crow/dataflow/sql.py | 36 ++++++++++++++-- crow/tools.py | 20 +++++++++ crow_dataflow_cycle_sh.py | 50 ++++++++++++++++++++++ crow_dataflow_deliver_sh.py | 73 +++++++++++++++++++++------------ crow_dataflow_find_sh.py | 44 ++++++++++++++++---- examples/sqltest/python_test.py | 2 +- examples/sqltest/shell_prep.py | 14 ++++--- examples/sqltest/shell_test.sh | 50 ++++++++++++++++++---- 9 files changed, 247 insertions(+), 82 deletions(-) create mode 100755 crow_dataflow_cycle_sh.py mode change 100644 => 100755 crow_dataflow_deliver_sh.py mode change 100644 => 100755 crow_dataflow_find_sh.py diff --git a/crow/dataflow/interface.py b/crow/dataflow/interface.py index c81d993..98fefb1 100644 --- a/crow/dataflow/interface.py +++ b/crow/dataflow/interface.py @@ -1,4 +1,4 @@ -import sqlite3, logging, time, abc +import sqlite3, logging, time, abc, os from datetime import datetime, timedelta from sqlite3 import Cursor, Connection from typing import Generator, Callable, List, Tuple, Any, Union, Dict, IO @@ -17,6 +17,8 @@ def __init__(self,con: Connection,pid: int,actor: str,slot: str, self._con, self._pid, self._flow = con, pid, flow self.actor, self.slot, self.defloc = actor, slot, defloc self.__meta=None # type: dict + @property + def flow(self): return self._flow def get_meta(self) -> dict: if self.__meta is None: self.__meta=get_meta(self._con,self._pid) @@ -54,10 +56,16 @@ class InputMessage(Message): def open(self,mode: str,buffering: int=-1,encoding: str=None) -> IO: if mode[0] != 'r': raise TypeError(f'{mode}: cannot open an input slot for writing.') + _logger.debug(f'{self._location}: open mode {mode}') return open(self._location,mode,buffering,encoding) class OutputMessage(Message): def open(self,mode: str,buffering: int=-1,encoding: str=None) -> IO: + parent=os.path.dirname(self._location) + if parent and not os.path.exists(parent): + _logger.debug(f'{parent}: makedirs') + os.makedirs(parent) + _logger.debug(f'{self._location}: open mode {mode}') return open(self._location,mode,buffering,encoding) def deliver(self,from_location: str,to_location: str=None) -> None: if to_location is None: @@ -107,34 +115,12 @@ def find_output_slot(self,actor: str=None,slot: str=None, def add_cycle(self,cycle: datetime) -> None: with transaction(self._con): - self._add_cycle(cycle) + add_cycle(self._con,cycle) - def _add_cycle(self,cycle: datetime) -> None: - args=list() # type: List[Any] - scycle=from_datetime(cycle) - for pid,actor,slot,defloc in itercur(self._con.execute( - 'SELECT pid,actor,slot,defloc FROM Slot WHERE flow="O" AND ' - 'defloc IS NOT NULL')): - globals={'cycle':cycle,'actor':actor,'slot':slot} - if "'''" in defloc: - _logger.error( - f"Cannot have ''' in default location: {defloc}") - continue - meta=get_meta(self._con,pid) - exec_me="f'''"+defloc+"'''" - try: - loc=eval(exec_me,globals,meta) - except(Exception) as e: - _logger.error(f"defloc {defloc}: {e} (actor={actor} slot={slot} meta={meta})") - continue - _logger.debug(f'loc {loc} for cycle={cycle:%Y%m%d%H%M} actor={actor} slot={slot} meta={meta}') - args.extend([pid,scycle,loc]) - if not args: return - self._con.execute('INSERT INTO Data(pid,cycle,loc) VALUES ' + \ - '(?,?,?), '*(len(args)//3-1) + '(?,?,?);',args) def del_cycle(self,cycle: datetime) -> None: - self._con.execute('DELETE FROM Data WHERE cycle=?', - [from_datetime(cycle)]) + _logger.debug(f'{cycle:%Y-%m-%dt%H:%M:%S}: delete Data table ' + 'entries for cycle') + del_cycle(self._con,cycle) def _dump(self,fd): for row in self._con.iterdump(): diff --git a/crow/dataflow/sql.py b/crow/dataflow/sql.py index 8e92a87..51b792e 100644 --- a/crow/dataflow/sql.py +++ b/crow/dataflow/sql.py @@ -7,7 +7,8 @@ from contextlib import contextmanager __all__=['from_datetime','transaction','add_slot','itercur','create_tables', - 'get_meta','add_message','set_data','get_location','select_slot' ] + 'get_meta','add_message','set_data','get_location','select_slot', + 'del_cycle','add_cycle'] _logger=logging.getLogger('crow.dataflow') _ZERO_DT=timedelta(seconds=0) @@ -66,13 +67,13 @@ def _conex(con: Connection,*args) -> Cursor: def _a_eq_b(a: str,b: str) -> str: return f'{a}={b}' def _to_datetime(s: str) -> datetime: - return datetime.strptime(s,'%Y-%m-%d %H:%M:%S.%f') + return datetime.strptime(s,'%Y-%m-%dt%H:%M:%S.%f') def _to_timedelta(i: int) -> timedelta: return timedelta(seconds=i) def _from_timedelta(d: timedelta) -> float: return d.total_seconds() def from_datetime(s: datetime) -> str: - return datetime.strftime(s,'%Y-%m:%d %H:%M:%S.%f') + return datetime.strftime(s,'%Y-%m-%dt%H:%M:%S.%f') def _a_bool_eq_b(a: str,b: str) -> str: return f'( {a}<>0 AND {b}<>0 ) OR ( {a}=0 AND {b}=0 )' @@ -222,4 +223,33 @@ def select_slot(con: Connection,actor: str=None,slot: str=None,flow: str=None, cmd=cmdf.getvalue() cmdf.close() #print(cmd) + _logger.debug(f'query: {cmd}') + _logger.debug(f'args: {args}') return _conex(con,cmd,args) + +def del_cycle(con,cycle): + con.execute('DELETE FROM Data WHERE cycle=?',[from_datetime(cycle)]) + +def add_cycle(con,cycle: datetime) -> None: + args=list() # type: List[Any] + scycle=from_datetime(cycle) + for pid,actor,slot,defloc in itercur(_conex(con, + 'SELECT pid,actor,slot,defloc FROM Slot WHERE flow="O" AND ' + 'defloc IS NOT NULL')): + globals={'cycle':cycle,'actor':actor,'slot':slot} + if "'''" in defloc: + _logger.error( + f"Cannot have ''' in default location: {defloc}") + continue + meta=get_meta(con,pid) + exec_me="f'''"+defloc+"'''" + try: + loc=eval(exec_me,globals,meta) + except(Exception) as e: + _logger.error(f"defloc {defloc}: {e} (actor={actor} slot={slot} meta={meta})") + continue + _logger.debug(f'loc {loc} for cycle={cycle:%Y%m%d%H%M} actor={actor} slot={slot} meta={meta}') + args.extend([pid,scycle,loc]) + if not args: return + _conex(con,'INSERT INTO Data(pid,cycle,loc) VALUES ' + \ + '(?,?,?), '*(len(args)//3-1) + '(?,?,?);',args) diff --git a/crow/tools.py b/crow/tools.py index 16db022..2b49c43 100644 --- a/crow/tools.py +++ b/crow/tools.py @@ -1,6 +1,7 @@ import subprocess, os, re, logging, tempfile, datetime, shutil from datetime import timedelta from copy import deepcopy +from contextlib import suppress from collections.abc import Mapping __all__=['panasas_gb','gpfs_gb','to_timedelta','deliver_file'] @@ -213,3 +214,22 @@ def next(self,mul=1): def prior(self,mul=1): return self.__now+self.step*-mul + +######################################################################## + +_SHELL_CLASS_MAP={ 'int':int, 'float':float, 'bool':bool, 'str':str } + +def shell_to_python_type(arg): + split=arg.split('::',1) + if len(split)>1 and split[0] in CLASS_MAP: + typename, strval=split + if typename not in _SHELL_CLASS_MAP: + raise ValueError(f'{arg}: unknown type {typename}') + cls=_SHELL_CLASS_MAP[typename] + return cls(strval) + else: + with suppress(ValueError): return int(arg) + with suppress(ValueError): return float(arg) + if arg.upper() in [ 'YES', 'TRUE' ]: return True + if arg.upper() in [ 'NO', 'FALSE' ]: return False + return arg diff --git a/crow_dataflow_cycle_sh.py b/crow_dataflow_cycle_sh.py new file mode 100755 index 0000000..fbbd1d2 --- /dev/null +++ b/crow_dataflow_cycle_sh.py @@ -0,0 +1,50 @@ +#! /usr/bin/env python3.6 + + +import sys, logging, shutil +from getopt import getopt +from contextlib import suppress +from crow.dataflow import Dataflow +from datetime import datetime + +ALLOWED_DATE_FORMATS=[ '%Y-%m-%dt%H:%M:%S', '%Y-%m-%dT%H:%M:%S', + '%Y-%m-%d %H:%M:%S' ] +def usage(why): + sys.stderr.write('''Format: crow_dataflow_cycle_sh.py [-v] file.db (add|del) cycle +-v = be verbose +file.db = sqlite3 database with state information +add = start the cycle by copying template output records to cycle-specific ones +del = delete all output records for this cycle +cycle = cycle in posix format: YYYY-MM-DDtHH:MM:SS +''') + sys.stderr.write(why+'\n') + exit(1) + +def main(): + (optval, args) = getopt(sys.argv[1:],'o:i:vm') + options=dict(optval) + + level=logging.DEBUG if '-v' in options else logging.INFO + logging.basicConfig(stream=sys.stderr,level=level) + logger=logging.getLogger('crow_dataflow_sh') + + if len(args) != 3: usage("give exactly three non-option arguments") + + dbfile, adddel, cyclestr = args[0:3] + if adddel not in [ 'add', 'del' ]: usage('Specify "add" or "del"') + + cycle=None + for fmt in ALLOWED_DATE_FORMATS: + with suppress(ValueError): + cycle=datetime.strptime(cyclestr,fmt) + break + if cycle is None: usage(f'unknown cycle format: {cyclestr}') + + db=Dataflow(dbfile) + logger.info(f'{dbfile}: {adddel} cycle {cycle:%Y-%m-%dt%H:%M:%S}') + + if adddel=='add': db.add_cycle(cycle) + else: db.del_cycle(cycle) + +if __name__ == '__main__': + main() diff --git a/crow_dataflow_deliver_sh.py b/crow_dataflow_deliver_sh.py old mode 100644 new mode 100755 index 6dd4bb3..9765bf1 --- a/crow_dataflow_deliver_sh.py +++ b/crow_dataflow_deliver_sh.py @@ -5,37 +5,47 @@ from contextlib import suppress from crow.dataflow import Dataflow from datetime import datetime +from crow.tools import shell_to_python_type ALLOWED_DATE_FORMATS=[ '%Y-%m-%dt%H:%M:%S', '%Y-%m-%dT%H:%M:%S', '%Y-%m-%d %H:%M:%S' ] -def usage(why): - sys.stderr.write('''Format: crow_dataflow_sh.py [-v] [-m] ( -i input | -o output ) \ +USAGE='''Format: crow_dataflow_sh.py [-v] [-m] ( -i input | -o output ) \\ dataflow.db cycle actor var=value [var=value [...]] -m = expect multiple matches; -i or -o are formats instead of paths -v = verbose (set logging level to logging.DEBUG) - -i input = local file to deliver to an output slot - -o output = local file to receive data from an input slot + -i input = local file to deliver to an output slot or "-" for stdin + -o output = local file to receive data from an input slot or "-" for stdout dataflow.db = sqlite3 database file with state information cycle = forecast cycle in ISO format: 2019-08-15t13:08:14 actor = actor (job) producing the data (period-separated: path.to.actor) slot=slotname = name of slot that produces or consumes the data -''') + var=type::value = specify type of value: int, float, bool, str +''' + +def usage(why): + sys.stderr.write(USAGE) sys.stderr.write(why+'\n') exit(1) -def deliver_by_name(flow,local,message): +def deliver_by_name(logger,flow,local,message): if local != '-': - message.deliver(local) + if flow == 'O': + message.deliver(local) + else: + message.obtain(local) elif flow=='I': - with message.open('rb') as out_fd: - shutil.copyfileobj(in_fd,sys.stdout) + with message.open('rb') as in_fd: + shutil.copyfileobj(in_fd,sys.stdout.buffer) elif flow=='O': - with message.open('wb') as in_fd: - shutil.copyfileobj(sys.stdin,out_fd) + with message.open('wb') as out_fd: + data=sys.stdin.buffer.read() + logger.info(f'write {data}') + #shutil.copyfileobj(sys.stdin.buffer,out_fd) + out_fd.write(data) -def deliver_by_format(flow,format,message): +def deliver_by_format(logger,flow,format,message): if "'''" in format: raise ValueError(f"{format}: cannot contain three single quotes " "in a row '''") @@ -43,38 +53,40 @@ def deliver_by_format(flow,format,message): 'cycle':message.cycle } locals=message.get_meta() local_file=eval("f'''"+format+"'''",globals,locals) - deliver_by_name(local_file,message) + deliver_by_name(logger,flow,local_file,message) def main(): (optval, args) = getopt(sys.argv[1:],'o:i:vm') options=dict(optval) - level=logging.DEBUG if optval['v'] else logging.INFO + level=logging.DEBUG if '-v' in options else logging.INFO logging.basicConfig(stream=sys.stderr,level=level) logger=logging.getLogger('crow_dataflow_sh') if ( '-i' in options ) == ( '-o' in options ): usage('specify exactly one of -o and -i') - flow = 'I' if '-i' in options else 'O' + flow = 'O' if '-i' in options else 'I' if len(args)<4: usage('specify dataflow db file, cycle, actor, and at least one var=value') ( dbfile, cyclestr, actor ) = args[0:3] - + cycle=None for fmt in ALLOWED_DATE_FORMATS: with suppress(ValueError): cycle=datetime.strptime(cyclestr,fmt) break + if cycle is None: usage(f'unknown cycle format: {cyclestr}') slot=None meta={} for arg in args[3:]: split=arg.split('=',1) - if split!=2: + if len(split)!=2: usage(f'{arg}: arguments must be var=value') - ( var, value ) = split + ( var, strvalue ) = split + value=shell_to_python_type(strvalue) if var=='slot': slot=value elif var=='flow': @@ -86,29 +98,36 @@ def main(): db=Dataflow(dbfile) if flow=='I': + logger.info(f'{dbfile}: find input slot actor={actor} slot={slot} ' + f'meta={meta}') matches=iter(db.find_input_slot(actor,slot,meta)) - local=options['-i'] + local=options['-o'] else: + logger.info(f'{dbfile}: find output slot actor={actor} slot={slot} ' + f'meta={meta}') matches=iter(db.find_output_slot(actor,slot,meta)) - local=options['-o'] + local=options['-i'] slot1, slot2 = None, None with suppress(StopIteration): - slot1=found.next() - slot2=found.next() + slot1=next(matches) + slot2=next(matches) if slot1 is None: - logger.error('No match.') + logger.error('No match for query. Such a slot does not exist.') exit(1) - if slot2 is not None and 'm' not in options: + if slot2 is not None and '-m' not in options: logger.error('Multiple matches, and -m not specified. Abort.') exit(1) - delivery = deliver_by_format if 'm' in options else deliver_by_name + deliver = deliver_by_format if '-m' in options else deliver_by_name for slot in [ slot1, slot2 ]: if slot is not None: - deliver(flow,local,slot.at(cycle)) + deliver(logger,flow,local,slot.at(cycle)) for slot in matches: - deliver(flow,local,slot.at(cycle)) + deliver(logger,flow,local,slot.at(cycle)) + +if __name__ == '__main__': + main() diff --git a/crow_dataflow_find_sh.py b/crow_dataflow_find_sh.py old mode 100644 new mode 100755 index 1d28f98..5898a41 --- a/crow_dataflow_find_sh.py +++ b/crow_dataflow_find_sh.py @@ -1,6 +1,8 @@ +#! /usr/bin/env python3.6 import logging, sys from getopt import getopt from crow.dataflow import Dataflow +from crow.tools import shell_to_python_type def usage(why): sys.stderr.write('''Format: crow_dataflow_find_sh.py [-v] (I|O) [ search parameters ] @@ -9,44 +11,68 @@ def usage(why): O = output slot actor=path.to.actor = actor producing or consuming data slot=slot_name = name of input or output slot - other=other = slot property''') + other=other = slot property\n''') sys.stderr.write(why+'\n') exit(1) def main(): (optval,args) = getopt(sys.argv[1:],'v') options=dict(optval) - if len(args)<2): + if len(args)<2: usage('specify database file and flow') - level=logging.DEBUG if optval['v'] else logging.INFO + level=logging.DEBUG if '-v' in options else logging.INFO logging.basicConfig(stream=sys.stderr,level=level) logger=logging.getLogger('crow_dataflow_sh') + logger.info('top of script') + dbfile, flow = args[0:2] if flow not in 'OI': - usage("flow must be O (output) or I (input)") + usage(f"flow must be O (output) or I (input) not {flow}") primary={ 'flow':flow, 'actor':None, 'slot':None } meta={} for arg in args[2:]: split=arg.split('=',1) - if split!=2: + if len(split)!=2: usage(f'{arg}: arguments must be var=value') - ( var, value ) = split + ( var, strvalue ) = split + value=shell_to_python_type(strvalue) if var in primary: primary[var]=value else: meta[var]=value - db=Datflow(dbfile) - find=db.find_output_slot if flow=='O' else db.find_input_slot + logger.info(f'{dbfile}: open sqlite3 database') + db=Dataflow(dbfile) + if flow == 'O': + find=db.find_output_slot + message='find output slots' + else: + find=db.find_input_slot + message='find input slots' + + if primary['actor']: + message+=f' actor={primary["actor"]}' + else: + message+=' for all actors' + if primary['slot']: message+=f' slot={primary["slot"]}' + if meta: + message+=' meta: ' + for k,v in meta: + message+=f' {k}={v}' - for slot in find(actor,slot,meta): + logger.info(message) + + for slot in find(primary['actor'],primary['slot'],meta): meta=slot.get_meta() if meta: metas=[ f'{k}={v}' for k,v in meta.items() ] print(f'{slot.flow} {slot.actor} {slot.slot} {" ".join(metas)}') else: print(f'{slot.flow} {slot.actor} {slot.slot}') + +if __name__ == '__main__': + main() diff --git a/examples/sqltest/python_test.py b/examples/sqltest/python_test.py index f7fece1..6264c49 100755 --- a/examples/sqltest/python_test.py +++ b/examples/sqltest/python_test.py @@ -1,5 +1,5 @@ #! /usr/bin/env python3.6 -import logging, os, sys +import logging, os, sys, shutil from datetime import datetime, timedelta from crow.dataflow import Dataflow diff --git a/examples/sqltest/shell_prep.py b/examples/sqltest/shell_prep.py index bcc6035..9cd6316 100755 --- a/examples/sqltest/shell_prep.py +++ b/examples/sqltest/shell_prep.py @@ -1,8 +1,9 @@ #! /usr/bin/env python3.6 -import logging, os, sys +import logging, os, sys, shutil from datetime import datetime, timedelta from crow.dataflow import Dataflow +SIX_HOURS=timedelta(seconds=3600*6) def main(): logging.basicConfig(stream=sys.stderr,level=logging.DEBUG) @@ -29,15 +30,16 @@ def main(): for L in 'AB': d.add_input_slot('fam.job3','islot',{'plopnum':S, 'letter':L}) - three_hours=timedelta(seconds=21600) + for islot in d.find_input_slot('fam.job2','tslot'): + for oslot in d.find_output_slot('fam.job1','oslot'): + islot.connect_to(oslot,rel_time=SIX_HOURS) + for islot in d.find_input_slot('fam.job3','islot'): meta=islot.get_meta() found=False for oslot in d.find_output_slot('fam.job2','oslot',{ 'slotnum':meta['plopnum'], 'letter':meta['letter'] }): - islot.connect_to(oslot,rel_time=three_hours) - - for cycstr in [ '2017081500', '2017081506', '2017081512' ]: - d.add_cycle(datetime.strptime(cycstr,'%Y%m%d%H')) + islot.connect_to(oslot,rel_time=SIX_HOURS) if __name__ == '__main__': + main() diff --git a/examples/sqltest/shell_test.sh b/examples/sqltest/shell_test.sh index f4c9cc0..5121ebc 100755 --- a/examples/sqltest/shell_test.sh +++ b/examples/sqltest/shell_test.sh @@ -4,6 +4,8 @@ export PYTHONPATH=../../${PYTHONPATH:+:$PYTHONPATH} set -eu +rm -f test-?? + ./shell_prep.py crow_deliver() { @@ -15,17 +17,47 @@ crow_deliver() { ../../crow_dataflow_deliver_sh.py -v "$flow" "$format" test.db "$cycle" "$actor" "$@" } -crow_find() { - flow="$1" - shift - ../../crow_dataflow_find_sh.py -v "$flow" test.db "$@" -} +echo ======================================== output +../../crow_dataflow_find_sh.py -v test.db O +echo +echo ======================================== input +../../crow_dataflow_find_sh.py -v test.db I +echo +echo ======================================== add cycles +for cyc in 2017-08-15t00:00:00 2017-08-15t06:00:00 2017-08-15t12:00:00 ; do + echo ============================== "$cyc" + ../../crow_dataflow_cycle_sh.py -v test.db add "$cyc" +done +echo ======================================== del first cycle +../../crow_dataflow_cycle_sh.py -v test.db del 2017-08-15t00:00:00 +echo ======================================== deliver first cycle output +cycle=2017-08-15t06:00:00 +echo stdin for fam.job1 oslot | \ +../../crow_dataflow_deliver_sh.py -i - test.db $cycle \ + fam.job1 slot=oslot -crow_find -o |\ +../../crow_dataflow_find_sh.py test.db O actor=fam.job2 | \ while [[ 1 == 1 ]] ; do - read flow actor slot meta - if [[ "$?" != 0 ]] ; then + set +e + read flow actor slot meta > /dev/null + if [[ "$?" != 0 ]] ; then break fi - echo "($flow) ($actor) ($slot) ($meta)" + set -e + echo "testfile for $flow $actor $slot $meta $cycle" > testfile + ../../crow_dataflow_deliver_sh.py -i testfile test.db $cycle \ + "$actor" "slot=$slot" ${meta:- } +done + +echo ======================================== obtain second cycle input +cycle=2017-08-15t12:00:00 + +../../crow_dataflow_deliver_sh.py -o - test.db $cycle \ + fam.job2 slot=tslot + +../../crow_dataflow_deliver_sh.py -m -o 'test-{plopnum}{letter}' \ + test.db $cycle fam.job3 slot=islot + +for PL in 1A 1B 2A 2B 3A 3B ; do + echo fam.job3 islot $PL text $( head -1 test-$PL ) done From 794c671fe460e6d67721c3727ee16236dbad3eb5 Mon Sep 17 00:00:00 2001 From: Rich Hammett Date: Fri, 27 Oct 2017 14:37:35 +0000 Subject: [PATCH 172/487] adding first set of unittests --- crow/metascheduler/test_simplify.py | 46 +++++++++++++ crow/sysenv/test_jobs.py | 103 ++++++++++++++++++++++++++++ crow/sysenv/test_util.py | 28 ++++++++ run_tests.sh | 1 + 4 files changed, 178 insertions(+) create mode 100755 crow/metascheduler/test_simplify.py create mode 100755 crow/sysenv/test_jobs.py create mode 100755 crow/sysenv/test_util.py create mode 100755 run_tests.sh diff --git a/crow/metascheduler/test_simplify.py b/crow/metascheduler/test_simplify.py new file mode 100755 index 0000000..cc82aa0 --- /dev/null +++ b/crow/metascheduler/test_simplify.py @@ -0,0 +1,46 @@ +#! /usr/bin/env python3 + +import unittest +from crow.metascheduler.simplify import * +import crow.config +from datetime import timedelta +from crow.config import OrDependency,AndDependency,NotDependency, \ + TRUE_DEPENDENCY, FALSE_DEPENDENCY, LogicalDependency + + +class TestSimplify(unittest.TestCase): + + def setUp(self): + self.DEP1=crow.config.CycleExistsDependency(timedelta()) + self.DEP2=crow.config.CycleExistsDependency(timedelta(seconds=3600)) + self.DEP3=crow.config.CycleExistsDependency(timedelta(seconds=7200)) + self.DEP4=crow.config.CycleExistsDependency(timedelta(seconds=10800)) + + + def test_comp_or(self): + self.assertAlmostEqual(complexity(self.DEP1|self.DEP2), 2.4, places=3) + + def test_comp_and(self): + self.assertAlmostEqual(complexity(self.DEP1&self.DEP2), 2.4, places=3) + + def test_comp_nand(self): + self.assertAlmostEqual(complexity(~(self.DEP1&self.DEP2)), 2.88, places=3) + + def test_simp_a_or_not_a(self): + self.assertEqual(simplify(~self.DEP1 | self.DEP1), TRUE_DEPENDENCY) + + def test_simp_a_and_not_a(self): + self.assertEqual(simplify(~self.DEP1 & self.DEP1), FALSE_DEPENDENCY) + + def test_simp_not_not_a_or_not_b(self): + self.assertEqual(simplify(~(~self.DEP1 | ~self.DEP2)), self.DEP1 & self.DEP2) + + def test_simp_gobbledygook(self): + self.assertEqual(simplify(~self.DEP2 & ~(~self.DEP1 | ~self.DEP2)), FALSE_DEPENDENCY) + + def test_simp_extended_expr(self): + self.assertEqual(simplify((self.DEP1 | self.DEP2 | self.DEP4) & \ + (self.DEP1 | self.DEP3 | self.DEP4)), \ + self.DEP1 | self.DEP2 & self.DEP3 | self.DEP4) +if __name__ == '__main__': + unittest.main() diff --git a/crow/sysenv/test_jobs.py b/crow/sysenv/test_jobs.py new file mode 100755 index 0000000..8a9ff47 --- /dev/null +++ b/crow/sysenv/test_jobs.py @@ -0,0 +1,103 @@ +#! /usr/bin/env python3 + +import unittest +from crow.sysenv import jobs + +class TestBoth(unittest.TestCase): + + def setUp(self): + inputData=[ + {'mpi_ranks':5, 'OMP_NUM_THREADS':12}, + {'mpi_ranks':7, 'OMP_NUM_THREADS':12}, + {'mpi_ranks':7} ] + + self.spec1=jobs.JobResourceSpec(inputData) + + def test_has_threads(self): + self.assertTrue(self.spec1.has_threads()) + + def test_num_ranks(self): + self.assertEqual(self.spec1.total_ranks(), 19, + 'incorrect number of ranks') + + def test_pure_serial(self): + self.assertFalse(self.spec1.is_pure_serial()) + + def test_pure_openMP(self): + self.assertFalse(self.spec1.is_pure_openmp()) + + def test_spec_length(self): + self.assertEqual(len(self.spec1),3) + + def test_is_mpi(self): + for tspec in self.spec1: + self.assertTrue(tspec.is_mpi()) + + def test_openmp_true(self): + for x in [0,1]: + self.assertTrue(self.spec1[x].is_openmp()) + + def test_openmp_false(self): + self.assertFalse(self.spec1[2].is_openmp()) + + def test_is_pure_serial(self): + for tspec in self.spec1: + self.assertFalse(tspec.is_pure_serial()) + + +class TestSerial(unittest.TestCase): + + def setUp(self): + inputData=[ { 'exe':'echo', 'args':['hello','world'] } ] + self.spec1=jobs.JobResourceSpec(inputData) + + def test_has_no_threads(self): + self.assertFalse(self.spec1.has_threads()) + + def test_total_ranks(self): + self.assertEqual(self.spec1.total_ranks(), 0) + + def test_is_pure_serial(self): + self.assertTrue(self.spec1.is_pure_serial()) + + def test_is_not_pure_openmp(self): + self.assertFalse(self.spec1.is_pure_openmp()) + + def test_individual_spec_is_pure_serial(self): + self.assertTrue(self.spec1[0].is_pure_serial()) + + def test_individual_spec_is_not_openmp(self): + self.assertFalse(self.spec1[0].is_openmp()) + + def test_individual_spec_is_not_mpi(self): + self.assertFalse(self.spec1[0].is_mpi()) + +class TestOpenMP(unittest.TestCase): + + def setUp(self): + inputData=[ { 'OMP_NUM_THREADS':20 } ] + self.spec1=jobs.JobResourceSpec(inputData) + + def test_has_threads(self): + self.assertTrue(self.spec1.has_threads()) + + def test_total_ranks(self): + self.assertEqual(self.spec1.total_ranks(), 0) + + def test_is_not_pure_serial(self): + self.assertFalse(self.spec1.is_pure_serial()) + + def test_is_pure_openmp(self): + self.assertTrue(self.spec1.is_pure_openmp()) + + def test_individual_spec_is_not_pure_serial(self): + self.assertFalse(self.spec1[0].is_pure_serial()) + + def test_individual_spec_is_openmp(self): + self.assertTrue(self.spec1[0].is_openmp()) + + def test_individual_spec_is_not_mpi(self): + self.assertFalse(self.spec1[0].is_mpi()) + +if __name__ == '__main__': + unittest.main() diff --git a/crow/sysenv/test_util.py b/crow/sysenv/test_util.py new file mode 100755 index 0000000..30c4ba0 --- /dev/null +++ b/crow/sysenv/test_util.py @@ -0,0 +1,28 @@ +#! /usr/bin/env python3 + +import unittest +from crow.sysenv.util import ranks_to_nodes_ppn + +class TestRankstoNodes(unittest.TestCase): + + def test_10_109(self): + self.assertEqual([(10, 10),(1, 9)], ranks_to_nodes_ppn(10, 109)) + + def test_3_10(self): + self.assertEqual([(2, 3),(2, 2)], ranks_to_nodes_ppn(3, 10)) + + def test_10_3(self): + self.assertEqual([(1, 3)], ranks_to_nodes_ppn(10, 3)) + + def test_24_31(self): + self.assertEqual([(1, 16),(1, 15)], ranks_to_nodes_ppn(24, 31)) + + def test_24_62(self): + self.assertEqual([(2, 21),(1, 20)], ranks_to_nodes_ppn(24, 62)) + + + def test_10_109(self): + self.assertEqual([(10, 10),(1, 9)], ranks_to_nodes_ppn(10, 109)) + +if __name__ == '__main__': + unittest.main() diff --git a/run_tests.sh b/run_tests.sh new file mode 100755 index 0000000..8476198 --- /dev/null +++ b/run_tests.sh @@ -0,0 +1 @@ +python3 -m unittest discover -vv -start-directory . From 58b799fe4a9af70af05862900057d03d9724b225 Mon Sep 17 00:00:00 2001 From: Rich Hammett Date: Fri, 27 Oct 2017 20:02:12 +0000 Subject: [PATCH 173/487] final testing place --- tests/__init__.py | 0 run_tests.sh => tests/run_tests.sh | 0 {crow/sysenv => tests}/test_jobs.py | 0 {crow/metascheduler => tests}/test_simplify.py | 0 {crow/sysenv => tests}/test_util.py | 0 5 files changed, 0 insertions(+), 0 deletions(-) create mode 100644 tests/__init__.py rename run_tests.sh => tests/run_tests.sh (100%) rename {crow/sysenv => tests}/test_jobs.py (100%) rename {crow/metascheduler => tests}/test_simplify.py (100%) rename {crow/sysenv => tests}/test_util.py (100%) diff --git a/tests/__init__.py b/tests/__init__.py new file mode 100644 index 0000000..e69de29 diff --git a/run_tests.sh b/tests/run_tests.sh similarity index 100% rename from run_tests.sh rename to tests/run_tests.sh diff --git a/crow/sysenv/test_jobs.py b/tests/test_jobs.py similarity index 100% rename from crow/sysenv/test_jobs.py rename to tests/test_jobs.py diff --git a/crow/metascheduler/test_simplify.py b/tests/test_simplify.py similarity index 100% rename from crow/metascheduler/test_simplify.py rename to tests/test_simplify.py diff --git a/crow/sysenv/test_util.py b/tests/test_util.py similarity index 100% rename from crow/sysenv/test_util.py rename to tests/test_util.py From f43da8d5d9da82d1811477844a549e3cb1e3353f Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Sun, 29 Oct 2017 22:46:37 +0000 Subject: [PATCH 174/487] add yaml wrapper around dataflow --- crow/config/__init__.py | 2 +- crow/config/from_yaml.py | 14 +-- crow/config/tasks.py | 170 ++++++++++++++++++++++++++----- crow/config/to_yaml.py | 2 + crow/dataflow/__init__.py | 2 + crow/dataflow/from_suite_impl.py | 60 +++++++++++ crow/dataflow/interface.py | 21 ++-- crow/metascheduler/rocoto.py | 9 +- crow/tools.py | 5 +- crow_dataflow_find_sh.py | 9 +- examples/sqltest/python_test.py | 10 +- examples/sqltest/shell_test.sh | 4 +- examples/sqltest/test.yaml | 22 ++++ examples/sqltest/yaml_test.py | 29 ++++++ 14 files changed, 302 insertions(+), 57 deletions(-) create mode 100644 crow/dataflow/from_suite_impl.py create mode 100644 examples/sqltest/test.yaml create mode 100755 examples/sqltest/yaml_test.py diff --git a/crow/config/__init__.py b/crow/config/__init__.py index 1943147..1112e99 100644 --- a/crow/config/__init__.py +++ b/crow/config/__init__.py @@ -9,7 +9,7 @@ OrDependency, NotDependency, StateDependency, Dependable, \ Taskable, Task, Family, Cycle, LogicalDependency, SuiteView, \ RUNNING, COMPLETED, FAILED, TRUE_DEPENDENCY, FALSE_DEPENDENCY, \ - CycleExistsDependency + CycleExistsDependency, InputSlot, OutputSlot from .to_yaml import to_yaml from .eval_tools import invalidate_cache from .eval_tools import evaluate_immediates as _evaluate_immediates diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index 49c89d1..cf98ccf 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -12,7 +12,6 @@ from datetime import timedelta from collections import namedtuple, OrderedDict import re - import yaml from yaml import YAMLObject @@ -44,6 +43,8 @@ class ShellCommandYAML(dict): pass class TaskYAML(OrderedDict): pass class FamilyYAML(OrderedDict): pass class CycleYAML(OrderedDict): pass +class InputSlotYAML(dict): pass +class OutputSlotYAML(dict): pass class JobResourceSpecMakerYAML(list): pass # Mapping from YAML representation class to a pair: @@ -105,6 +106,7 @@ def constructor(loader,node): add_yaml_string(u'!calc',calc) add_yaml_string(u'!error',user_error_message) add_yaml_string(u'!Depend',Depend) +add_yaml_string(u'!Message',Message) ######################################################################## @@ -161,6 +163,8 @@ def constructor(loader,node): yaml.add_constructor(key,constructor) add_yaml_ordered_dict(u'!Eval',EvalYAML) +add_yaml_ordered_dict(u'!InputSlot',InputSlotYAML) +add_yaml_ordered_dict(u'!OutputSlot',OutputSlotYAML) add_yaml_ordered_dict(u'!Clock',ClockYAML) add_yaml_ordered_dict(u'!Cycle',CycleYAML) add_yaml_ordered_dict(u'!Task',TaskYAML) @@ -170,7 +174,9 @@ def constructor(loader,node): CycleYAML: Cycle, TaskYAML: Task, FamilyYAML: Family, - ClockYAML:ClockMaker } + ClockYAML:ClockMaker, + OutputSlotYAML: OutputSlot, + InputSlotYAML: InputSlot} ######################################################################## @@ -216,10 +222,6 @@ def to_eval_impl(self,v,locals,path): return self.from_list(v,locals,CONDITIONALS[cls],path) elif cls in SUITE: return self.from_dict(v,SUITE[cls],path) - elif cls is EvalYAML: - return Eval(self.from_dict(v,path=path)) - elif cls is ClockYAML: - return ClockMaker(self.from_dict(v,path=path)) elif cls is ImmediateYAML: return self.from_list(v,locals,Immediate,path) elif cls is InheritYAML: diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 223f968..2a90080 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -10,11 +10,11 @@ """ from functools import reduce -import operator +import operator, io from datetime import timedelta from abc import abstractmethod from collections import namedtuple, OrderedDict, Sequence -from collections.abc import Mapping +from collections.abc import Mapping, Sequence from copy import copy, deepcopy from crow.config.exceptions import * from crow.config.eval_tools import dict_eval, strcalc, multidict @@ -26,7 +26,7 @@ 'Family', 'Cycle', 'RUNNING', 'COMPLETED', 'FAILED', 'TRUE_DEPENDENCY', 'FALSE_DEPENDENCY', 'SuitePath', 'CycleExistsDependency', 'FamilyView', 'TaskView', - 'CycleView' ] + 'CycleView', 'Slot', 'InputSlot', 'OutputSlot', 'Message' ] class StateConstant(object): def __init__(self,name): @@ -41,6 +41,10 @@ def __str__(self): return self.name VALID_STATES=[ 'RUNNING', 'FAILED', 'COMPLETED' ] ZERO_DT=timedelta() EMPTY_DICT={} +SUITE_SPECIAL_KEYS=set([ 'parent', 'up', 'task_path', 'task_path_var', + 'task_path_str', 'task_path_list' ]) +SLOT_SPECIALS = SUITE_SPECIAL_KEYS|set([ 'slot', 'flow', 'actor', 'meta', + 'Out', 'Loc']) class SuitePath(list): """!Simply a list that can be hashed.""" @@ -57,6 +61,7 @@ def __init__(self,suite,viewed,path,parent): # assert(isinstance(suite,Suite)) # assert(isinstance(viewed,dict_eval)) assert(isinstance(parent,SuiteView)) + assert(not isinstance(viewed,SuiteView)) self.suite=suite # if isinstance(viewed,Task) and 'fcst' in '-'.join([str(s) for s in path]): # print(path) @@ -74,7 +79,8 @@ def __init__(self,suite,viewed,path,parent): if hasattr(v,"_validate"): v._validate('suite') self.viewed[k]=v - self.viewed.up=parent + if type(self.viewed) in SUITE_CLASS_MAP: + self.viewed.up=parent self.path=SuitePath(path) self.parent=parent self.__cache={} @@ -97,6 +103,15 @@ def __len__(self): def __iter__(self): for var in self.viewed: yield var + def __repr__(self): + return f'{type(self.viewed).__name__}@{self.path}' + + def __str__(self): + s=str(self.viewed) + if self.path[0]: + s=f'dt=[{self.path[0]}]:'+s + return s + def get_trigger_dep(self): return self.get('Trigger',TRUE_DEPENDENCY) @@ -109,18 +124,19 @@ def get_time_dep(self): def child_iter(self): """!Iterates over all tasks and families that are direct children of this family, yielding a SuiteView of each.""" - for var,val in self.items(): + for var,rawval in self.viewed._raw_child().items(): if var=='up': continue + if hasattr(rawval,'_as_dependency'): continue + val=self[var] if isinstance(val,SuiteView): yield val def walk_task_tree(self): """!Iterates over the entire tree of descendants below this SuiteView, yielding a SuiteView of each.""" - for var,val in self.items(): - if var=='up': continue + for val in self.child_iter(): + yield val if isinstance(val,SuiteView): - yield val for t in val.walk_task_tree(): yield t @@ -128,6 +144,8 @@ def __contains__(self,key): return key in self.viewed def is_task(self): return isinstance(self.viewed,Task) + def is_input_slot(self): return isinstance(self.viewed,InputSlot) + def is_output_slot(self): return isinstance(self.viewed,OutputSlot) def at(self,dt): dt=to_timedelta(dt) @@ -149,30 +167,26 @@ def __getitem__(self,key): if isinstance(val,SuiteView): return val - elif isinstance(val,Task) or isinstance(val,Family): + elif type(val) in SUITE_CLASS_MAP: val=self.__wrap(key,val) elif hasattr(val,'_as_dependency'): + locals=multidict(self.parent,self) val=self.__wrap(key,val._as_dependency( - self.viewed._globals(),self.parent,self.path)) + self.viewed._globals(),locals,self.path)) self.__cache[key]=val return val def __wrap(self,key,obj): - if isinstance(obj,Task): - # Add to path when recursing into a family or task - obj=copy(obj) - self.viewed[key]=obj - return TaskView(self.suite,obj,self.path+[key],self) - if isinstance(obj,Family): - # Add to path when recursing into a family or task - obj=copy(obj) - self.viewed[key]=obj - return FamilyView(self.suite,obj,self.path+[key],self) if isinstance(obj,Cycle): # Reset path when we see a cycle obj=copy(obj) self.viewed[key]=obj return CycleView(self.suite,obj,self.path[:1],self) + elif type(obj) in SUITE_CLASS_MAP: + view_class=SUITE_CLASS_MAP[type(obj)] + obj=copy(obj) + self.viewed[key]=obj + return view_class(self.suite,obj,self.path+[key],self) return obj # Dependency handling. When this SuiteView is wrapped around a @@ -195,9 +209,80 @@ def is_failed(self): def is_completed(self): return StateDependency(self,COMPLETED) +class SlotView(SuiteView): + def __init__(self,suite,viewed,path,parent,search=MISSING): + super().__init__(suite,viewed,path,parent) + assert(isinstance(path,Sequence)) + if search is MISSING: + self.__search={} + return + for naughty in search: + if naughty in SLOT_SPECIALS: + pathstr='.'.join(path[1:]) + raise ValueError( + f'{pathstr}: {naughty}: cannot be in meta') + self.__search=dict() + def get_actor_path(self): + return '.'.join(self.path[1:-1]) + def get_slot_name(self): + return self.path[-1] + def get_search(self): + return self.__search + @abstractmethod + def get_flow_name(self): pass + def slot_iter(self): + cls=type(self) + arrays=list() + names=list() + for k in self: + if k in SLOT_SPECIALS: continue + v=self[k] + if not isinstance(v,Sequence): continue + if isinstance(v,str): continue + names.append(k) + arrays.append(v) + if not names: + yield self + return + lens=[ len(a) for a in arrays ] + index=[ 0 ] * len(lens) + while True: + result=cls(self.suite,copy(self.viewed),self.path, + self.parent,self.__search) + for i in range(len(arrays)): + result.viewed[names[i]]=self[names[i]][index[i]] + yield result + for i in range(len(arrays)): + index[i]+=1 + if index[i] None: + flow: str,defloc: str, meta: Dict[str,Any]) -> None: self._con, self._pid, self._flow = con, pid, flow self.actor, self.slot, self.defloc = actor, slot, defloc - self.__meta=None # type: dict + self.__meta=None if meta is None else dict(meta) # type: dict @property def flow(self): return self._flow def get_meta(self) -> dict: @@ -31,7 +31,7 @@ def __str__(self): class Message(Slot): def __init__(self,con: Connection,pid: int,actor: str,slot: str, flow: str,cycle: datetime) -> None: - super().__init__(con,pid,actor,slot,flow,None) + super().__init__(con,pid,actor,slot,flow,None,None) self.cycle=cycle self.__location=None # type: str def _get_location(self) -> str: @@ -96,22 +96,25 @@ def __init__(self,filename: str) -> None: def add_output_slot(self,actor: str,slot: str,defloc: str, meta: Dict[str,Any]=None) -> None: - add_slot(self._con,actor,slot,'O',defloc,meta) + pid=add_slot(self._con,actor,slot,'O',defloc,meta) + return OutputSlot(self._con,pid,actor,slot,'O',defloc,meta) - def add_input_slot(self,actor: str,slot: str,meta: Dict[str,Any]=None) -> None: - add_slot(self._con,actor,slot,'I',None,meta) + def add_input_slot(self,actor: str,slot: str, + meta: Dict[str,Any]=None) -> InputSlot: + pid=add_slot(self._con,actor,slot,'I',None,meta) + return InputSlot(self._con,pid,actor,slot,'I',None,meta) def find_input_slot(self,actor: str=None,slot: str=None, meta: Dict[str,Any]=None) -> Generator[InputSlot,None,None]: for pid,actor,slot,flow,defloc in itercur(select_slot( self._con,actor,slot,'I',meta)): - yield InputSlot(self._con,pid,actor,slot,flow,defloc) + yield InputSlot(self._con,pid,actor,slot,flow,defloc,None) def find_output_slot(self,actor: str=None,slot: str=None, meta: Dict[str,Any]=None) -> Generator[OutputSlot,None,None]: for pid,actor,slot,flow,defloc in itercur(select_slot( self._con,actor,slot,'O',meta)): - yield OutputSlot(self._con,pid,actor,slot,flow,defloc) + yield OutputSlot(self._con,pid,actor,slot,flow,defloc,None) def add_cycle(self,cycle: datetime) -> None: with transaction(self._con): @@ -122,6 +125,6 @@ def del_cycle(self,cycle: datetime) -> None: 'entries for cycle') del_cycle(self._con,cycle) - def _dump(self,fd): + def dump(self,fd): for row in self._con.iterdump(): fd.write(row+'\n') diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index 715ec0f..348f4dc 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -90,8 +90,8 @@ def _xml_quote(s): class ToRocoto(object): def __init__(self,suite): - if not isinstance(suite,Cycle): - raise TypeError('The suite argument must be a Cycle, ' + if not isinstance(suite,Suite): + raise TypeError('The suite argument must be a Suite, ' 'not a '+type(suite).__name__) try: @@ -102,8 +102,9 @@ def __init__(self,suite): raise ValueError('A Suite must define a Rocoto section containing ' 'a "parallelism" and a "scheduler."') - self.suite=Suite(suite,{'sched':scheduler,'to_rocoto':self, - 'runner':parallelism}) + self.suite=suite + self.suite.update_globals(sched=scheduler,to_rocoto=self, + runner=parallelism) self.settings=self.suite.Rocoto self.sched=scheduler self.__all_defined=set() diff --git a/crow/tools.py b/crow/tools.py index 2b49c43..5b1d655 100644 --- a/crow/tools.py +++ b/crow/tools.py @@ -160,9 +160,10 @@ def str_to_posix_sh(s,encoding='ascii'): return b'"'+s+b'"' -def typecheck(name,obj,cls): +def typecheck(name,obj,cls,tname=None): if not isinstance(obj,cls): - msg=f'{name!s} must be a {cls.__name__!s} not a {type(obj).__name__!s}' + if tname is None: tname=cls.__name__ + msg=f'{name!s} must be type {tname} not {type(obj).__name__!s}' raise TypeError(msg) ######################################################################## diff --git a/crow_dataflow_find_sh.py b/crow_dataflow_find_sh.py index 5898a41..e5b05cc 100755 --- a/crow_dataflow_find_sh.py +++ b/crow_dataflow_find_sh.py @@ -65,11 +65,12 @@ def main(): message+=f' {k}={v}' logger.info(message) - + db.dump(sys.stderr) for slot in find(primary['actor'],primary['slot'],meta): - meta=slot.get_meta() - if meta: - metas=[ f'{k}={v}' for k,v in meta.items() ] + localmeta=slot.get_meta() + sys.stderr.write(f'{slot} meta = {localmeta}\n') + if localmeta: + metas=[ f'{k}={v}' for k,v in localmeta.items() ] print(f'{slot.flow} {slot.actor} {slot.slot} {" ".join(metas)}') else: print(f'{slot.flow} {slot.actor} {slot.slot}') diff --git a/examples/sqltest/python_test.py b/examples/sqltest/python_test.py index 6264c49..a34a246 100755 --- a/examples/sqltest/python_test.py +++ b/examples/sqltest/python_test.py @@ -32,7 +32,7 @@ def check_cycle(d: Dataflow, cycle: datetime) -> None: print(f"{fd.readline().strip()}: {imessage}") def main(): - logging.basicConfig(stream=sys.stderr,level=logging.DEBUG) + logging.basicConfig(stream=sys.stderr,level=logging.INFO) if os.path.exists('test.db'): os.unlink('test.db') @@ -55,14 +55,16 @@ def main(): for S in [1,2,3]: for L in 'AB': d.add_input_slot('fam.job3','islot',{'plopnum':S, 'letter':L}) - - three_hours=timedelta(seconds=21600) + d.dump(sys.stdout) + six_hours=timedelta(seconds=3600*6) for islot in d.find_input_slot('fam.job3','islot'): meta=islot.get_meta() + print(meta) found=False for oslot in d.find_output_slot('fam.job2','oslot',{ 'slotnum':meta['plopnum'], 'letter':meta['letter'] }): - islot.connect_to(oslot,rel_time=three_hours) + islot.connect_to(oslot,rel_time=six_hours) + break cycle1=datetime.strptime('2017081500','%Y%m%d%H') cycle2=datetime.strptime('2017081506','%Y%m%d%H') diff --git a/examples/sqltest/shell_test.sh b/examples/sqltest/shell_test.sh index 5121ebc..90deb7c 100755 --- a/examples/sqltest/shell_test.sh +++ b/examples/sqltest/shell_test.sh @@ -35,7 +35,7 @@ cycle=2017-08-15t06:00:00 echo stdin for fam.job1 oslot | \ ../../crow_dataflow_deliver_sh.py -i - test.db $cycle \ fam.job1 slot=oslot - +set -x ../../crow_dataflow_find_sh.py test.db O actor=fam.job2 | \ while [[ 1 == 1 ]] ; do set +e @@ -43,11 +43,13 @@ while [[ 1 == 1 ]] ; do if [[ "$?" != 0 ]] ; then break fi + echo $flow $actor $slot $meta set -e echo "testfile for $flow $actor $slot $meta $cycle" > testfile ../../crow_dataflow_deliver_sh.py -i testfile test.db $cycle \ "$actor" "slot=$slot" ${meta:- } done +set +x echo ======================================== obtain second cycle input cycle=2017-08-15t12:00:00 diff --git a/examples/sqltest/test.yaml b/examples/sqltest/test.yaml new file mode 100644 index 0000000..2c7ee3c --- /dev/null +++ b/examples/sqltest/test.yaml @@ -0,0 +1,22 @@ +com_pre: 'com/{cycle:%Y%m%d%H}/{actor}/{slot}.t{cycle:%H}z' + +suite: !Cycle + fam: !Family + job1: !Task + tslot: !OutputSlot { Loc: !expand '{doc.com_pre}.t' } + oslot: !OutputSlot { Loc: !expand '{doc.com_pre}.o' } + job2: !Task + islot: !InputSlot { Out: !Message "up.job1.oslot.at('-6:00')" } + tslot: !InputSlot + Out: !Message up.job1.tslot.at("-6:00") + why: true + when: clock.now + oslot: !OutputSlot + Loc: !calc doc.com_pre+"{letter}{slotnum}" + letter: [ A, B ] + slotnum: [ 1, 2, 3 ] + job3: !Task + islot: !InputSlot + Out: !Message up.job2.oslot.at('-6:00')(letter=letter,slotnum=plopnum) + letter: [ A, B ] + plopnum: [ 1, 2, 3 ] diff --git a/examples/sqltest/yaml_test.py b/examples/sqltest/yaml_test.py new file mode 100755 index 0000000..63732e4 --- /dev/null +++ b/examples/sqltest/yaml_test.py @@ -0,0 +1,29 @@ +#! /usr/bin/env python3 +f'This script requires Python 3.6 or later' +import os, sys +import crow.config +import crow.dataflow + +if os.path.exists('test.db'): + os.unlink('test.db') + +conf=crow.config.from_file('test.yaml') +suite=crow.config.Suite(conf.suite) + +print('DUMP SLOT DATA FROM SUITE') +for item in suite.walk_task_tree(): + if item.is_output_slot(): + print(f'{item.path}: output slot') + for slot in item.slot_iter(): + print(f' --> {slot}') + elif item.is_input_slot(): + print(f'{item.path}: input slot') + for slot in item.slot_iter(): + print(f' --> input: {slot}') + print(f' --> output: {slot.Out}') + else: + print(f'{item.path}: {type(item).__name__}') +print('-'*72) +print('DUMP SLOT DATA FROM DATAFLOW') +df=crow.dataflow.from_suite(suite,'test.b') +df.dump(sys.stdout) From 2b840ed6305507c867e1c85f3b9b9f5767d39244 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Sun, 29 Oct 2017 23:14:05 +0000 Subject: [PATCH 175/487] add availability checking to dataflow interface --- crow/dataflow/interface.py | 15 ++++++++++----- crow_dataflow_deliver_sh.py | 35 +++++++++++++++++++++++++--------- crow_dataflow_find_sh.py | 3 +-- examples/sqltest/shell_test.sh | 20 +++++++++++++++++-- 4 files changed, 55 insertions(+), 18 deletions(-) diff --git a/crow/dataflow/interface.py b/crow/dataflow/interface.py index d255845..1e27e12 100644 --- a/crow/dataflow/interface.py +++ b/crow/dataflow/interface.py @@ -34,15 +34,20 @@ def __init__(self,con: Connection,pid: int,actor: str,slot: str, super().__init__(con,pid,actor,slot,flow,None,None) self.cycle=cycle self.__location=None # type: str - def _get_location(self) -> str: - if self.__location is None: - avail, self.__location=get_location( + self.__avail=None + def _get_avail_loc(self) -> Tuple[int,str]: + if self.__location is None or self.__avail is None: + self.__avail, self.__location=get_location( self._con,self._pid,self._flow,self.cycle) - return self.__location + return self.__avail, self.__location + def _get_location(self) -> str: + return self._get_avail_loc()[1] + def availability_time(self) -> int: + return self._get_avail_loc()[0] _location=property(_get_location,None,None, 'Internal variable: data location on disk.') def set_data(self,location: str,avail: int) -> None: - set_data(self._con,self._pid,self.cycle,location,1) + set_data(self._con,self._pid,self.cycle,location,int(time.time())) self.__location=location def obtain(self,location: str) -> None: deliver_file(self._location,location) diff --git a/crow_dataflow_deliver_sh.py b/crow_dataflow_deliver_sh.py index 9765bf1..43f0a75 100755 --- a/crow_dataflow_deliver_sh.py +++ b/crow_dataflow_deliver_sh.py @@ -12,7 +12,7 @@ USAGE='''Format: crow_dataflow_sh.py [-v] [-m] ( -i input | -o output ) \\ dataflow.db cycle actor var=value [var=value [...]] - + -c = just check for files; don't deliver them -m = expect multiple matches; -i or -o are formats instead of paths -v = verbose (set logging level to logging.DEBUG) -i input = local file to deliver to an output slot or "-" for stdin @@ -29,8 +29,24 @@ def usage(why): sys.stderr.write(why+'\n') exit(1) -def deliver_by_name(logger,flow,local,message): - if local != '-': +def deliver_by_name(logger,flow,local,message,check): + if check: + strloc=local + if local == '-' and flow=='O': strloc='(stdin)' + if local == '-' and flow=='I': strloc='(stdout)' + avail=message.availability_time() + when='0' + if avail: + when=datetime.fromtimestamp(avail).strftime('%Y-%m-%dt%H:%M:%S') + localmeta=message.get_meta() + if localmeta: + metas=[ f'{k}={v}' for k,v in localmeta.items() ] + print(f'{bool(avail)} ({when}) - {message.flow} {message.actor} ' + f'{message.slot} {" ".join(metas)}') + else: + print(f'{bool(avail)} ({when}) - {message.flow} {message.actor} ' + f'{message.slot}') + elif local != '-': if flow == 'O': message.deliver(local) else: @@ -45,7 +61,7 @@ def deliver_by_name(logger,flow,local,message): #shutil.copyfileobj(sys.stdin.buffer,out_fd) out_fd.write(data) -def deliver_by_format(logger,flow,format,message): +def deliver_by_format(logger,flow,format,message,check): if "'''" in format: raise ValueError(f"{format}: cannot contain three single quotes " "in a row '''") @@ -53,10 +69,10 @@ def deliver_by_format(logger,flow,format,message): 'cycle':message.cycle } locals=message.get_meta() local_file=eval("f'''"+format+"'''",globals,locals) - deliver_by_name(logger,flow,local_file,message) + deliver_by_name(logger,flow,local_file,message,check) def main(): - (optval, args) = getopt(sys.argv[1:],'o:i:vm') + (optval, args) = getopt(sys.argv[1:],'o:i:vmc') options=dict(optval) level=logging.DEBUG if '-v' in options else logging.INFO @@ -121,13 +137,14 @@ def main(): logger.error('Multiple matches, and -m not specified. Abort.') exit(1) - deliver = deliver_by_format if '-m' in options else deliver_by_name + if '-m' in options: deliver = deliver_by_format + else: deliver = deliver_by_name for slot in [ slot1, slot2 ]: if slot is not None: - deliver(logger,flow,local,slot.at(cycle)) + deliver(logger,flow,local,slot.at(cycle),'-c' in options) for slot in matches: - deliver(logger,flow,local,slot.at(cycle)) + deliver(logger,flow,local,slot.at(cycle),'-c' in options) if __name__ == '__main__': main() diff --git a/crow_dataflow_find_sh.py b/crow_dataflow_find_sh.py index e5b05cc..c99af2e 100755 --- a/crow_dataflow_find_sh.py +++ b/crow_dataflow_find_sh.py @@ -65,10 +65,9 @@ def main(): message+=f' {k}={v}' logger.info(message) - db.dump(sys.stderr) + for slot in find(primary['actor'],primary['slot'],meta): localmeta=slot.get_meta() - sys.stderr.write(f'{slot} meta = {localmeta}\n') if localmeta: metas=[ f'{k}={v}' for k,v in localmeta.items() ] print(f'{slot.flow} {slot.actor} {slot.slot} {" ".join(metas)}') diff --git a/examples/sqltest/shell_test.sh b/examples/sqltest/shell_test.sh index 90deb7c..621b8ea 100755 --- a/examples/sqltest/shell_test.sh +++ b/examples/sqltest/shell_test.sh @@ -30,12 +30,21 @@ for cyc in 2017-08-15t00:00:00 2017-08-15t06:00:00 2017-08-15t12:00:00 ; do done echo ======================================== del first cycle ../../crow_dataflow_cycle_sh.py -v test.db del 2017-08-15t00:00:00 +echo ======================================== check for second cycle input +cycle=2017-08-15t06:00:00 +echo stdin for fam.job1 oslot | \ +../../crow_dataflow_deliver_sh.py -c -o - test.db $cycle \ + fam.job2 slot=tslot + +../../crow_dataflow_deliver_sh.py -c -m -o - \ + test.db $cycle fam.job3 slot=islot + echo ======================================== deliver first cycle output cycle=2017-08-15t06:00:00 echo stdin for fam.job1 oslot | \ ../../crow_dataflow_deliver_sh.py -i - test.db $cycle \ fam.job1 slot=oslot -set -x + ../../crow_dataflow_find_sh.py test.db O actor=fam.job2 | \ while [[ 1 == 1 ]] ; do set +e @@ -49,7 +58,6 @@ while [[ 1 == 1 ]] ; do ../../crow_dataflow_deliver_sh.py -i testfile test.db $cycle \ "$actor" "slot=$slot" ${meta:- } done -set +x echo ======================================== obtain second cycle input cycle=2017-08-15t12:00:00 @@ -63,3 +71,11 @@ cycle=2017-08-15t12:00:00 for PL in 1A 1B 2A 2B 3A 3B ; do echo fam.job3 islot $PL text $( head -1 test-$PL ) done +echo ======================================== check for second cycle input + +../../crow_dataflow_deliver_sh.py -c -o - test.db $cycle \ + fam.job2 slot=tslot + +../../crow_dataflow_deliver_sh.py -c -m -o - \ + test.db $cycle fam.job3 slot=islot + From 8dd884d5b93eddc3d0f381fd983b159b5931a765 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 30 Oct 2017 16:19:05 +0000 Subject: [PATCH 176/487] update scripts to allow setup_expt.py to run after dataflow-related changes --- crow/config/eval_tools.py | 1 + crow/metascheduler/rocoto.py | 5 ++--- model/fv3gfs/setup_expt.py | 7 +++---- 3 files changed, 6 insertions(+), 7 deletions(-) diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 38c370b..6d8de4b 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -159,6 +159,7 @@ def _invalidate_cache(self,key=None): def _raw_child(self): return self.__child def _has_raw(self,key): return key in self.__child def _set_globals(self,g): self.__globals=g + def _get_globals(self): return self.__globals def _raw_cache(self): return self.__cache def _raw(self,key): """!Returns the value for the given key, without calling eval() on it""" diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index 348f4dc..bc7de38 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -399,9 +399,8 @@ def _handle_final_task(self,fd,indent): self._write_task_text(fd,' final="true"',indent,final,dep,timedelta.min) def to_rocoto(suite): - typecheck('suite',suite,Cycle) - tr=ToRocoto(suite) - return tr.suite, tr._expand_workflow_xml() + typecheck('suite',suite,Suite) + return ToRocoto(suite)._expand_workflow_xml() def test(): def to_string(action): diff --git a/model/fv3gfs/setup_expt.py b/model/fv3gfs/setup_expt.py index 5124d0a..bc372af 100755 --- a/model/fv3gfs/setup_expt.py +++ b/model/fv3gfs/setup_expt.py @@ -52,20 +52,19 @@ logger.warning(f'--force given; will replace config.yaml without ' 'deleting directory') -suite=conf.workflow +suite=crow.config.Suite(conf.workflow) +doc=crow.config.document_root(suite) expname=conf.case.experiment_name logger.info(f'Experiment name: {expname}') -rocoto_suite, rocoto_xml=crow.metascheduler.to_rocoto(suite) -doc=crow.config.document_root(rocoto_suite) +rocoto_xml=crow.metascheduler.to_rocoto(suite) yaml=crow.config.to_yaml(doc) logger.info(f'Write the config file: {config_yaml}') with open(config_yaml,'wt') as fd: fd.write(yaml) - rocoto_xml_file=os.path.join(EXPDIR,f'{expname}.xml') logger.info(f'Rocoto XML file: {rocoto_xml_file}') with open(rocoto_xml_file,'wt') as fd: From 0f364975a536747614730ffcc9710df611707945 Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Mon, 30 Oct 2017 16:55:46 +0000 Subject: [PATCH 177/487] fixed by samuel.trahan --- crow/config/eval_tools.py | 2 ++ 1 file changed, 2 insertions(+) diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 6d8de4b..abe566a 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -213,6 +213,8 @@ def _validate(self,stage,memo=None): tmpl=Template(tmpl,self._path+'.Template',self.__globals) tmpl._check_scope(self,stage) def __getitem__(self,key): + if key not in self.__cache: + self.__cache[key]=self.__child[key] val=self.__cache[key] if hasattr(val,'_result'): immediate=hasattr(val,'_is_immediate') From 1c9947884ed71da7179f8867a939920732f84aac Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 30 Oct 2017 18:53:42 +0000 Subject: [PATCH 178/487] Connect model/fv3gfs to actual workflow and correct bugs in other filse that came up when doing so --- model/fv3gfs/actions/001_ecen.yaml | 2 +- model/fv3gfs/actions/002_anal.yaml | 13 +- model/fv3gfs/actions/006_efcs.yaml | 4 +- model/fv3gfs/actions/008_prep.yaml | 8 +- model/fv3gfs/actions/009_fcst.yaml | 14 +- model/fv3gfs/actions/010_post.yaml | 8 +- model/fv3gfs/actions/011_vrfy.yaml | 48 ++---- model/fv3gfs/jobs/anal.sh | 5 +- model/fv3gfs/jobs/arch.sh | 5 +- model/fv3gfs/jobs/earc.sh | 5 +- model/fv3gfs/jobs/ecen.sh | 5 +- model/fv3gfs/jobs/efcs.sh | 5 +- model/fv3gfs/jobs/eobs.sh | 5 +- model/fv3gfs/jobs/eomg.sh | 5 +- model/fv3gfs/jobs/epos.sh | 5 +- model/fv3gfs/jobs/eupd.sh | 5 +- model/fv3gfs/jobs/fcst.sh | 5 +- model/fv3gfs/jobs/fv3ic.sh | 5 +- model/fv3gfs/jobs/getic.sh | 5 +- model/fv3gfs/{ => jobs}/job_wrapper.sh | 2 +- model/fv3gfs/jobs/post.sh | 5 +- model/fv3gfs/jobs/prep.sh | 5 +- model/fv3gfs/jobs/vrfy.sh | 5 +- model/fv3gfs/{case.yaml => just_testing.yaml} | 2 + model/fv3gfs/places.yaml | 9 +- model/fv3gfs/validation/002_vrfy.yaml | 151 +++++++++--------- model/fv3gfs/workflow.yaml | 12 +- 27 files changed, 180 insertions(+), 168 deletions(-) rename model/fv3gfs/{ => jobs}/job_wrapper.sh (95%) rename model/fv3gfs/{case.yaml => just_testing.yaml} (99%) diff --git a/model/fv3gfs/actions/001_ecen.yaml b/model/fv3gfs/actions/001_ecen.yaml index 6c686c2..d66aa04 100644 --- a/model/fv3gfs/actions/001_ecen.yaml +++ b/model/fv3gfs/actions/001_ecen.yaml @@ -33,7 +33,7 @@ ecen: &ecen_action !Action - [ doc.fv3_enkf_settings, "FHCYC" ] - [ doc.data_assimilation, ".*" ] - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.platform.mpi_tuning, '.*' ] + - [ doc.platform.mpi_tuning, ".*" ] - [ doc.case, "EDATE|SDATE|gfs_cyc" ] CASE_ENKF: !calc doc.fv3_enkf_settings.CASE diff --git a/model/fv3gfs/actions/002_anal.yaml b/model/fv3gfs/actions/002_anal.yaml index f936df5..560b2c2 100644 --- a/model/fv3gfs/actions/002_anal.yaml +++ b/model/fv3gfs/actions/002_anal.yaml @@ -1,11 +1,6 @@ anal_base: &anal_base !Action <<: *action_base - shell_env_scopes: - - [ doc.data_assimilation, ".*" ] - - [ doc.gfs_output_settings, ".*" ] - - [ doc.fv3_gdas_settings, ".*" ] - J_JOB: anal walltime: !timedelta 02:00:00 resources: !calc run_anal.resources @@ -32,11 +27,13 @@ anal_base: &anal_base !Action VERBOSE: YES anal_gdas_action: &anal_gdas_action !Action - <<: [ *gfs_output_settings, *fv3_gdas_settings, *data_assimilation, *anal_base ] + <<: *anal_base CDUMP: gdas Template: null Inherit: !Inherit - [ doc.platform.general_env, ".*" ] + - [ doc.fv3_gdas_settings, ".*" ] + - [ doc.data_assimilation, ".*" ] - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.platform.mpi_tuning, '.*' ] - [ doc.fv3_enkf_settings, "FHCYC" ] @@ -44,11 +41,13 @@ anal_gdas_action: &anal_gdas_action !Action - [ doc.case, "EDATE|SDATE|gfs_cyc" ] anal_gfs_action: &anal_gfs_action !Action - <<: [ *gfs_output_settings, *fv3_gfs_settings, *data_assimilation, *anal_base ] + <<: *anal_base CDUMP: gfs Template: null Inherit: !Inherit - [ doc.platform.general_env, ".*" ] + - [ doc.fv3_gfs_settings, ".*" ] + - [ doc.data_assimilation, ".*" ] - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.platform.mpi_tuning, '.*' ] - [ doc.fv3_enkf_settings, "FHCYC" ] diff --git a/model/fv3gfs/actions/006_efcs.yaml b/model/fv3gfs/actions/006_efcs.yaml index 7b7677b..4076be1 100644 --- a/model/fv3gfs/actions/006_efcs.yaml +++ b/model/fv3gfs/actions/006_efcs.yaml @@ -1,7 +1,6 @@ efcs: &efcs_action !Action <<: [ *action_base, *places ] J_JOB: efcs - CASE: !calc doc.fv3_enkf_settings.CASE walltime: !timedelta 00:15:00 efcs_resources: !JobRequest - exe: placeholder @@ -26,6 +25,9 @@ efcs: &efcs_action !Action - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - [ doc.case, "EDATE|SDATE|gfs_cyc" ] + CASE: !calc doc.fv3_enkf_settings.CASE + CASE_ENKF: !calc CASE + NTHREADS_FV3: 1 NTHREADS_REGRID_NEMSIO: 1 diff --git a/model/fv3gfs/actions/008_prep.yaml b/model/fv3gfs/actions/008_prep.yaml index 6293c29..a331285 100644 --- a/model/fv3gfs/actions/008_prep.yaml +++ b/model/fv3gfs/actions/008_prep.yaml @@ -22,9 +22,11 @@ prep: &prep_base !Action - otherwise: !error Do not know sys_tp for platform {doc.platform.name} prep_gdas: &prep_gdas_action !Action - <<: [ *fv3_gdas_settings, *data_assimilation, *prep_base ] + <<: *prep_base CDUMP: gdas Inherit: !Inherit + - [ doc.fv3_gdas_settings, '.*' ] + - [ doc.data_assimilation, '.*' ] - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] @@ -32,9 +34,11 @@ prep_gdas: &prep_gdas_action !Action Template: null prep_gfs: &prep_gfs_action !Action - <<: [ *fv3_gfs_settings, *data_assimilation, *prep_base ] + <<: *prep_base CDUMP: gfs Inherit: !Inherit + - [ doc.fv3_gfs_settings, '.*' ] + - [ doc.data_assimilation, '.*' ] - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] diff --git a/model/fv3gfs/actions/009_fcst.yaml b/model/fv3gfs/actions/009_fcst.yaml index a0002b4..2650b25 100644 --- a/model/fv3gfs/actions/009_fcst.yaml +++ b/model/fv3gfs/actions/009_fcst.yaml @@ -53,11 +53,13 @@ fcst_base: &fcst_base !Action master_grid: !calc doc.case.master_grid fcst_gdas: &fcst_gdas_action !Action - <<: [ *fv3_gdas_settings, *data_assimilation, *fcst_base ] + <<: *fcst_base Template: *fv3_settings_template Inherit: !Inherit - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.platform.mpi_tuning, '.*' ] + - [ doc.fv3_gdas_settings, ".*" ] + - [ doc.data_assimilation, ".*" ] + - [ doc.gfs_output_settings, ".*" ] + - [ doc.platform.mpi_tuning, ".*" ] - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] CDUMP: gdas @@ -65,7 +67,9 @@ fcst_gfs: &fcst_gfs_action !Action <<: [ *fv3_gfs_settings, *data_assimilation, *fcst_base ] Template: *fv3_settings_template Inherit: !Inherit - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.platform.mpi_tuning, '.*' ] + - [ doc.fv3_gdas_settings, ".*" ] + - [ doc.data_assimilation, ".*" ] + - [ doc.gfs_output_settings, ".*" ] + - [ doc.platform.mpi_tuning, ".*" ] - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] CDUMP: gfs diff --git a/model/fv3gfs/actions/010_post.yaml b/model/fv3gfs/actions/010_post.yaml index 4472508..8f8b726 100644 --- a/model/fv3gfs/actions/010_post.yaml +++ b/model/fv3gfs/actions/010_post.yaml @@ -21,20 +21,24 @@ post_base: &post_base !Action downset: 1 post_gfs: &post_gfs_action !Action - <<: [ *fv3_gfs_settings, *data_assimilation, *post_base ] + <<: *post_base CDUMP: gfs Template: null Inherit: !Inherit + - [ doc.fv3_gfs_settings, ".*" ] + - [ doc.data_assimilation, ".*" ] - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.platform.general_env, ".*" ] - [ doc.platform.mpi_tuning, ".*" ] - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] post_gdas: &post_gdas_action !Action - <<: [ *fv3_gdas_settings, *data_assimilation, *post_base ] + <<: *post_base CDUMP: gdas Template: null Inherit: !Inherit + - [ doc.fv3_gdas_settings, ".*" ] + - [ doc.data_assimilation, ".*" ] - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.platform.general_env, ".*" ] - [ doc.platform.mpi_tuning, ".*" ] diff --git a/model/fv3gfs/actions/011_vrfy.yaml b/model/fv3gfs/actions/011_vrfy.yaml index 989a2e9..66de154 100644 --- a/model/fv3gfs/actions/011_vrfy.yaml +++ b/model/fv3gfs/actions/011_vrfy.yaml @@ -13,38 +13,6 @@ vrfy_base: &vrfy_base !Action CDUMPFCST: "gdas" # Fit-to-obs with GDAS/GFS prepbufr GRIB1_WORKS: NO SMOOTH_ENKF: YES - BACKDATEVSDB: !FirstTrue - - when: !calc CDUMP=="gfs" - do: 24 - - otherwise: null - VBACKUP_PRCP: !FirstTrue - - when: !calc CDUMP=="gfs" - do: 24 - - otherwise: null - VSDBSH: !FirstTrue - - when: !calc CDUMP=="gfs" - do: !calc doc.places.VSDBSH - - otherwise: null - ftyplist: !FirstTrue - - when: !calc CDUMP=="gfs" - do: "pgbq" - - otherwise: null - vhr_rain: !FirstTrue - - when: !calc CDUMP=="gfs" - do: 240 - - otherwise: null - vlength: !FirstTrue - - when: !calc CDUMP=="gfs" - do: 240 - - otherwise: null - vsdbhome: !FirstTrue - - when: !calc CDUMP=="gfs" - do: !calc doc.places.vsdbhome - - otherwise: null - vsdbsave: !FirstTrue - - when: !calc CDUMP=="gfs" - do: !calc doc.places.vsdbsave - - otherwise: null VDUMP: "gfs" VRFYG2OBS: NO VRFYGENESIS: NO @@ -64,21 +32,33 @@ vrfy_base: &vrfy_base !Action nst_anl: YES vrfy_gfs_action: &vrfy_gfs_action !Action - <<: [ *fv3_gfs_settings, *data_assimilation, *vrfy_base ] + <<: *vrfy_base CDUMP: gfs CASE: !calc doc.fv3_gfs_settings.CASE Inherit: !Inherit + - [ doc.fv3_gfs_settings, ".*" ] + - [ doc.data_assimilation, ".*" ] - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.platform.general_env, ".*" ] - [ doc.platform.mpi_tuning, ".*" ] - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + BACKDATEVSDB: 24 + VBACKUP_PRCP: 24 + VSDBSH: !calc doc.places.VSDBSH + ftyplist: "pgbq" + vhr_rain: 240 + vlength: 240 + vsdbhome: !calc doc.places.vsdbhome + vsdbsave: !calc doc.places.vsdbsave vrfy_gdas_action: &vrfy_gdas_action !Action - <<: [ *fv3_gdas_settings, *data_assimilation, *vrfy_base ] + <<: *vrfy_base CDUMP: gdas CASE: !calc doc.fv3_gdas_settings.CASE Inherit: !Inherit + - [ doc.fv3_gdas_settings, ".*" ] + - [ doc.data_assimilation, ".*" ] - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.platform.general_env, ".*" ] - [ doc.platform.mpi_tuning, ".*" ] diff --git a/model/fv3gfs/jobs/anal.sh b/model/fv3gfs/jobs/anal.sh index 2600e85..7246ed6 100755 --- a/model/fv3gfs/jobs/anal.sh +++ b/model/fv3gfs/jobs/anal.sh @@ -20,11 +20,12 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME -echo just testing ; exit 0 +if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/arch.sh b/model/fv3gfs/jobs/arch.sh index 74c5ed8..8a8ab52 100755 --- a/model/fv3gfs/jobs/arch.sh +++ b/model/fv3gfs/jobs/arch.sh @@ -20,11 +20,12 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME -echo just testing ; exit 0 +if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi ############################################################### # Run relevant tasks diff --git a/model/fv3gfs/jobs/earc.sh b/model/fv3gfs/jobs/earc.sh index cb7bac9..126ede2 100755 --- a/model/fv3gfs/jobs/earc.sh +++ b/model/fv3gfs/jobs/earc.sh @@ -21,11 +21,12 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME -echo just testing ; exit 0 +if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi ############################################################### # Run relevant tasks diff --git a/model/fv3gfs/jobs/ecen.sh b/model/fv3gfs/jobs/ecen.sh index 9fb7039..d7e849d 100755 --- a/model/fv3gfs/jobs/ecen.sh +++ b/model/fv3gfs/jobs/ecen.sh @@ -20,11 +20,12 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME -echo just testing ; exit 0 +if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/efcs.sh b/model/fv3gfs/jobs/efcs.sh index 2a55133..b4b620f 100755 --- a/model/fv3gfs/jobs/efcs.sh +++ b/model/fv3gfs/jobs/efcs.sh @@ -21,11 +21,12 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME -echo just testing ; exit 0 +if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/eobs.sh b/model/fv3gfs/jobs/eobs.sh index 3cf4959..f825c0c 100755 --- a/model/fv3gfs/jobs/eobs.sh +++ b/model/fv3gfs/jobs/eobs.sh @@ -20,11 +20,12 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME -echo just testing ; exit 0 +if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/eomg.sh b/model/fv3gfs/jobs/eomg.sh index 7733f07..5991826 100755 --- a/model/fv3gfs/jobs/eomg.sh +++ b/model/fv3gfs/jobs/eomg.sh @@ -21,11 +21,12 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME -echo just testing ; exit 0 +if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/epos.sh b/model/fv3gfs/jobs/epos.sh index 0cd26c0..d0e9910 100755 --- a/model/fv3gfs/jobs/epos.sh +++ b/model/fv3gfs/jobs/epos.sh @@ -20,11 +20,12 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME -echo just testing ; exit 0 +if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/eupd.sh b/model/fv3gfs/jobs/eupd.sh index 8becf43..ad1a31c 100755 --- a/model/fv3gfs/jobs/eupd.sh +++ b/model/fv3gfs/jobs/eupd.sh @@ -20,11 +20,12 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME -echo just testing ; exit 0 +if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/fcst.sh b/model/fv3gfs/jobs/fcst.sh index 28e1c85..216603d 100755 --- a/model/fv3gfs/jobs/fcst.sh +++ b/model/fv3gfs/jobs/fcst.sh @@ -20,11 +20,12 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME -echo just testing ; exit 0 +if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/fv3ic.sh b/model/fv3gfs/jobs/fv3ic.sh index 88fe13b..7dc0794 100755 --- a/model/fv3gfs/jobs/fv3ic.sh +++ b/model/fv3gfs/jobs/fv3ic.sh @@ -23,11 +23,12 @@ export CDUMP=${3:-$CDUMP} set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME -echo just testing ; exit 0 +if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi # Temporary runtime directory export DATA="$RUNDIR/$CDATE/$CDUMP/fv3ic$$" diff --git a/model/fv3gfs/jobs/getic.sh b/model/fv3gfs/jobs/getic.sh index d7b0943..23614e9 100755 --- a/model/fv3gfs/jobs/getic.sh +++ b/model/fv3gfs/jobs/getic.sh @@ -20,11 +20,12 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME -echo just testing ; exit 0 +if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/job_wrapper.sh b/model/fv3gfs/jobs/job_wrapper.sh similarity index 95% rename from model/fv3gfs/job_wrapper.sh rename to model/fv3gfs/jobs/job_wrapper.sh index 0b73daa..676bcda 100755 --- a/model/fv3gfs/job_wrapper.sh +++ b/model/fv3gfs/jobs/job_wrapper.sh @@ -29,4 +29,4 @@ fi # Relative path is from j-jobs directory prog=$1 shift -exec "$HOMEgfs/jobs/$prog.sh" "$@" +exec "$BASE_JOB/$prog.sh" "$@" diff --git a/model/fv3gfs/jobs/post.sh b/model/fv3gfs/jobs/post.sh index e197962..2e5f5e5 100755 --- a/model/fv3gfs/jobs/post.sh +++ b/model/fv3gfs/jobs/post.sh @@ -20,11 +20,12 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME -echo just testing ; exit 0 +if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/prep.sh b/model/fv3gfs/jobs/prep.sh index ab5ebf2..c0acb89 100755 --- a/model/fv3gfs/jobs/prep.sh +++ b/model/fv3gfs/jobs/prep.sh @@ -20,11 +20,12 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME -echo just testing ; exit 0 +if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/vrfy.sh b/model/fv3gfs/jobs/vrfy.sh index 808140d..31e319d 100755 --- a/model/fv3gfs/jobs/vrfy.sh +++ b/model/fv3gfs/jobs/vrfy.sh @@ -20,11 +20,12 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME -echo just testing ; exit 0 +if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi ############################################################### diff --git a/model/fv3gfs/case.yaml b/model/fv3gfs/just_testing.yaml similarity index 99% rename from model/fv3gfs/case.yaml rename to model/fv3gfs/just_testing.yaml index c653690..c78650c 100644 --- a/model/fv3gfs/case.yaml +++ b/model/fv3gfs/just_testing.yaml @@ -66,4 +66,6 @@ case: master_grid: "0p25deg" # 1deg 0p5deg 0p25deg 0p125deg etc + ACTUALLY_RUN: NO + #-END OF FILE-# diff --git a/model/fv3gfs/places.yaml b/model/fv3gfs/places.yaml index a3664fe..55c5086 100644 --- a/model/fv3gfs/places.yaml +++ b/model/fv3gfs/places.yaml @@ -6,19 +6,20 @@ places: &places # NOTE: These two directories will need to be changed for the real # fv3gfs workflow: - HOMEgfs: !calc tools.crow_install_dir('model/fv3gfs') + HOMEgfs: /scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/fv3gfs-fcst-post/EXP-cyc-try2/ +#!calc tools.crow_install_dir('model/fv3gfs') HOMEgdas: !calc HOMEgfs HOMEDIR: !expand "{PROJECT_DIR}/save/{tools.env('USER')}" - STMP: !expand "{doc.platform.least_used_scrub}{tools.env('USER')}" - PTMP: !expand "{doc.platform.least_used_scrub}{tools.env('USER')}" + STMP: !expand "{doc.platform.least_used_scrub}/{tools.env('USER')}" + PTMP: !expand "{doc.platform.least_used_scrub}/{tools.env('USER')}" NOSCRUB: !expand "{PROJECT_DIR}/noscrub/{tools.env('USER')}" BASE_WORKFLOW: !expand "{HOMEgfs}/gfs_workflow.v15.0.0" BASE_GSM: !expand "{HOMEgfs}/global_shared.v15.0.0" BASE_MODULES: !expand "{HOMEgfs}/global_shared.v15.0.0/modulefiles" BASE_ENV: !expand "{HOMEgfs}/gfs_workflow.v15.0.0/env" - BASE_JOB: !expand "{BASE_WORKFLOW}/jobs" + BASE_JOB: !expand "{HOMEcrow}/model/fv3gfs/jobs" # User paths EXPDIR: !expand "{HOMEDIR}/{doc.case.experiment_name}" diff --git a/model/fv3gfs/validation/002_vrfy.yaml b/model/fv3gfs/validation/002_vrfy.yaml index 3f68fba..6f1c542 100644 --- a/model/fv3gfs/validation/002_vrfy.yaml +++ b/model/fv3gfs/validation/002_vrfy.yaml @@ -131,8 +131,8 @@ RAD_VARS: &RAD_VARS !Template OZN_VARS: &OZN_VARS !Template # echo "WARNING: Ozone Monitoring is just a stub for now!" VRFYOZN: - type: string - default: "NO" + type: bool + default: NO OZNDIR: type: string default: !expand "{NOSCRUB}/{LOGNAME}/ozone/stats/{experiment_name}" @@ -168,10 +168,10 @@ VRFY_CDUMP_GFS_VARS: &VRFY_CDUMP_GFS_VARS !Template type: string default: !expand "{vsdbhome}/vsdbjob.sh" vlength=: - type: string + type: int default: !calc FHMAX_GFS vhr_rain: - type: string + type: int default: !calc FHMAX_GFS ftyplist: type: string @@ -183,86 +183,84 @@ vrfy_template: &vrfy_template !Template type: string allowed: [ "gfs", "gdas" ] - CDUMPFCST: # Fit-to-obs with GDAS/GFS prepbufr + CDUMPFCST: + description: Fit-to-obs with GDAS/GFS prepbufr type: string allowed: [ "gfs", "gdas" ] - CDFNL: # Scores verification against GDAS/GFS analysis + CDFNL: + description: Scores verification against GDAS/GFS analysis type: string allowed: [ "gfs", "gdas" ] - VSDB_STEP1: # populate VSDB database - type: string - default: "NO" - allowed: [ "YES", "NO" ] + VSDB_STEP1: + description: populate VSDB database + type: bool + default: NO VSDB_STEP2: - type: string - default: "NO" - allowed: [ "YES", "NO" ] + type: bool + default: NO - VRFYG2OBS: # Grid to observations - type: string - default: "NO" - allowed: [ "YES", "NO" ] + VRFYG2OBS: + description: Grid to observations + type: bool + default: NO - VRFYFITS: # Fit to observations - type: string - defualt: "NO" - allowed: [ "YES", "NO" ] - - VRFYPRCP: # Precip threat scores - type: string - default: "NO" - allowed: [ "YES", "NO" ] + VRFYFITS: + description: Fit to observations + type: bool + default: NO + VRFYPRCP: + description: Precip threat scores + type: bool + default: NO + VRFYMINMON: - type: string - default: "NO" - allowed: [ "YES", "NO" ] + type: bool + default: NO if_present: !FirstTrue - - when: !calc VRFYMINMON=="YES" + - when: !calc VRFYMINMON do: *MIN_VARS - otherwise: null message: !expand "WARNING: Minimization monitoring is not enabled on {doc.platform.name}!" - VRFYRAD: # Radiance data assimilation monitoring - type: string - default: "NO" - allowed: [ "YES", "NO" ] + VRFYRAD: + description: Radiance data assimilation monitoring + type: bool + default: NO VRFYOZN: - type: string - allowed: [ "YES", "NO" ] - default: "NO" + type: bool + default: NO if_present: !FirstTrue - - when: !calc VRFYOZN=="YES" + - when: !calc VRFYOZN message: "WARNING: Ozone Monitoring is just a stub for now!" do: *OZN_VARS - otherwise: null - VRFYTRAK: # Hurricane track forecasts - type: string - allowed: [ "YES", "NO" ] - default: "NO" + VRFYTRAK: + description: Hurricane track forecasts + type: bool + default: NO if_present: !FirstTrue - - when: !calc VRFYTRAK=="YES" + - when: !calc VRFYTRAK do: *CYC_TRACK_VARS - otherwise: null VRFYGENESIS: - type: string - allowed: [ "YES", "NO" ] - default: "NO" + type: bool + default: NO if_present: !FirstTrue - - when: !calc VRFYGENESIS=="YES" + - when: !calc VRFYGENESIS do: *CYC_GEN_VARS - otherwise: null - VRFYGMPK: # Gempak verification - type: string - default: "NO" - allowed: [ "YES", "NO" ] + VRFYGMPK: + description: Gempak verification + type: bool + default: NO nth_vrfy: type: int @@ -273,70 +271,65 @@ vrfy_template: &vrfy_template !Template fitdir: type: string default: !FirstTrue - - when: !calc ( doc.platform.name=="WCOSS_C" and VRFYFITS=="YES" ) + - when: !calc ( doc.platform.name=="WCOSS_C" and VRFYFITS ) do: !expand "{BASE_SVN}/verif/global/parafits.fv3nems/batrun" - - when: !calc ( doc.platform.name=="THEIA" and VRFYFITS=="YES" ) + - when: !calc ( doc.platform.name=="THEIA" and VRFYFITS ) do: !expand "{BASE_SVN}/verif/global/parafits.fv3nems/batrun" - otherwise: !error "Do not know fitdir for this platform" PREPQFITSH: type: string default: !FirstTrue - - when: !calc ( doc.platform.name=="WCOSS_C" and VRFYFITS=="YES" ) + - when: !calc ( doc.platform.name=="WCOSS_C" and VRFYFITS ) do: !expand "{fitdir}/subfits_cray_nems" message: WCOSS_C fits file - - when: !calc ( doc.platform.name=="THEIA" and VRFYFITS=="YES" ) + - when: !calc ( doc.platform.name=="THEIA" and VRFYFITS ) do: !expand "{fitdir}/subfits_theia_nems" message: "THEIA fits file" - - when: !calc VRFYFITS!="YES" + - when: !calc VRFYFITS!=YES do: "/dev/null" message: !expand vrfyfits = {VRFYFITS} - otherwise: !error "Do not know subfits file (PREPQFITSH) for {doc.platform.name}" VRFY_CDUMP_GFS: - type: string - allowed: [ "YES", "NO" ] - # FIXME: NEED DEFAULT + type: bool default: !FirstTrue - - when: !calc ( CDUMP=="gfs" and ( VSDB_STEP1=="YES" or VRFYPRCP=="YES" or VRFYG2OBS=="YES" ) ) - do: "YES" - - otherwise: "NO" + - when: !calc ( CDUMP=="gfs" and ( VSDB_STEP1 or VRFYPRCP or VRFYG2OBS ) ) + do: YES + - otherwise: NO if_present: !FirstTrue - - when: !calc ( CDUMP=="gfs" and ( VSDB_STEP1=="YES" or VRFYPRCP=="YES" or VRFYG2OBS=="YES" ) ) + - when: !calc ( CDUMP=="gfs" and ( VSDB_STEP1 or VRFYPRCP or VRFYG2OBS ) ) do: *VRFY_CDUMP_GFS_VARS - otherwise: null NO_VRFYMINSH: - type: string - default: "NO" - allowed: [ "YES", "NO" ] + type: bool + default: NO override: !FirstTrue - when: !calc ( not doc.platform.name=="THEIA" and not doc.platform.name=="WCOSS_C" ) - do: "YES" + do: YES message: !expand "WARNING: Minimization monitoring is not enabled on {doc.platform.name}!" - otherwise: null MIN_RAD_OZN: - type: string - allowed: [ "YES", "NO" ] + type: bool default: !FirstTrue - - when: !calc ( VRFYRAD=="YES" or VRFYMINMON=="YES" or VRFYOZN=="YES" ) - do: "YES" - - otherwise: "NO" + - when: !calc ( VRFYRAD or VRFYMINMON or VRFYOZN ) + do: YES + - otherwise: NO if_present: !FirstTrue - - when: !calc ( VRFYRAD=="YES" or VRFYMINMON=="YES" or VRFYOZN=="YES" ) + - when: !calc ( VRFYRAD or VRFYMINMON or VRFYOZN ) do: *MIN_RAD_OZN_VARS - otherwise: null RAD: - type: string - allowed: [ "YES", "NO" ] + type: bool default: !FirstTrue - - when: !calc ( VRFYRAD=="YES" and CDUMP==CDFNL ) - do: "YES" - - otherwise: "NO" + - when: !calc ( VRFYRAD and CDUMP==CDFNL ) + do: YES + - otherwise: NO if_present: !FirstTrue - - when: !calc ( VRFYRAD=="YES" and CDUMP==CDFNL ) + - when: !calc ( VRFYRAD and CDUMP==CDFNL ) do: *RAD_VARS - otherwise: null message: !expand "WARNING: Radiance monitoring is not enabled on {doc.platform.name}!" diff --git a/model/fv3gfs/workflow.yaml b/model/fv3gfs/workflow.yaml index adc83b7..de05c09 100644 --- a/model/fv3gfs/workflow.yaml +++ b/model/fv3gfs/workflow.yaml @@ -10,7 +10,7 @@ Rocoto: &Rocoto - + @@ -25,7 +25,7 @@ Rocoto: &Rocoto task_template: &task_template !expand | - $HOMEgfs/job_wrapper.sh {Perform.J_JOB} + {doc.places.BASE_JOB}/job_wrapper.sh {Perform.J_JOB} {doc.case.experiment_name}{task_path_str}@@Y@m@d@H &LOG_DIR;/@Y@m@d@H/{task_path_var}.log 00:03:00 @@ -58,10 +58,18 @@ Rocoto: &Rocoto HOMEcrow {doc.places.HOMEcrow} + + BASE_JOB + {doc.places.BASE_JOB} + HOMEgfs {doc.places.HOMEgfs} + + ACTUALLY_RUN + {"YES" if doc.case.ACTUALLY_RUN else "NO"} + efcs_task_template: &efcs_task_template !expand | From c39d6c8b1ccce4efa76e3d66cbaaee9649a01678 Mon Sep 17 00:00:00 2001 From: Kenneth Hammett Jr Date: Mon, 30 Oct 2017 15:51:52 -0400 Subject: [PATCH 179/487] Adding proper test discovery and string formatting test for python version --- tests/context.py | 5 +++++ tests/run_tests.sh | 4 +++- tests/test_jobs.py | 3 ++- tests/test_simplify.py | 2 ++ tests/test_util.py | 2 ++ 5 files changed, 14 insertions(+), 2 deletions(-) create mode 100644 tests/context.py diff --git a/tests/context.py b/tests/context.py new file mode 100644 index 0000000..55440fc --- /dev/null +++ b/tests/context.py @@ -0,0 +1,5 @@ +import os +import sys +sys.path.insert(0, os.path.abspath(os.path.join(os.path.dirname(__file__), '..'))) +#print(sys.path) +import crow diff --git a/tests/run_tests.sh b/tests/run_tests.sh index 8476198..2a4561f 100755 --- a/tests/run_tests.sh +++ b/tests/run_tests.sh @@ -1 +1,3 @@ -python3 -m unittest discover -vv -start-directory . +#! /bin/sh +/usr/bin/env python3 -c "f'This script requires python 3.6 or later'" +python3 -m unittest discover -v -start-directory . diff --git a/tests/test_jobs.py b/tests/test_jobs.py index 8a9ff47..884a52f 100755 --- a/tests/test_jobs.py +++ b/tests/test_jobs.py @@ -1,8 +1,9 @@ #! /usr/bin/env python3 +f'This script requires python 3.6 or later' import unittest +from context import crow from crow.sysenv import jobs - class TestBoth(unittest.TestCase): def setUp(self): diff --git a/tests/test_simplify.py b/tests/test_simplify.py index cc82aa0..c3a2fb1 100755 --- a/tests/test_simplify.py +++ b/tests/test_simplify.py @@ -1,6 +1,8 @@ #! /usr/bin/env python3 +f'This script requires python 3.6 or later' import unittest +from context import crow from crow.metascheduler.simplify import * import crow.config from datetime import timedelta diff --git a/tests/test_util.py b/tests/test_util.py index 30c4ba0..eff8e49 100755 --- a/tests/test_util.py +++ b/tests/test_util.py @@ -1,6 +1,8 @@ #! /usr/bin/env python3 +f'This script requires python 3.6 or later' import unittest +from context import crow from crow.sysenv.util import ranks_to_nodes_ppn class TestRankstoNodes(unittest.TestCase): From cbc7a802c145aff00917b24c45efb68be09b429b Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 30 Oct 2017 20:18:50 +0000 Subject: [PATCH 180/487] create comrot directory --- model/fv3gfs/actually_run.yaml | 80 +++++++++++++++++++++++++++ model/fv3gfs/create_comrot.py | 55 ++++++++++++++++++ model/fv3gfs/platform.yaml | 2 +- model/fv3gfs/setup_expt.py | 6 +- model/fv3gfs/validation/999_case.yaml | 19 ++++++- 5 files changed, 157 insertions(+), 5 deletions(-) create mode 100644 model/fv3gfs/actually_run.yaml create mode 100644 model/fv3gfs/create_comrot.py diff --git a/model/fv3gfs/actually_run.yaml b/model/fv3gfs/actually_run.yaml new file mode 100644 index 0000000..83405a1 --- /dev/null +++ b/model/fv3gfs/actually_run.yaml @@ -0,0 +1,80 @@ +fv3_settings: &fv3_settings + LEVS: 65 # Number of vertical levels (mandatory) + +fv3_gfs_settings: &fv3_gfs_settings + <<: *fv3_settings + Template: *fv3_settings_template + CASE: C192 # FV3 horizontal resolution (mandatory) + CDUMP: gfs + +fv3_enkf_settings: &fv3_enkf_settings + <<: *fv3_settings + Template: *fv3_settings_template + CASE: C192 # FV3 horizontal resolution for ensemble (mandatory) + CDUMP: gdas + restart_interval: 6 # do not change + +fv3_gdas_settings: &fv3_gdas_settings + <<: *fv3_gfs_settings + Template: *fv3_settings_template + CDUMP: gdas + +######################################################################## + +gfs_output_settings: &gfs_output_settings + Template: *gfs_output_settings_template + + FHMAX_GFS: 120 # Last GFS forecast hour (mandatory) + FHOUT_GFS: 6 # GFS output frequency (optional) + + OUTPUT_GRID: "gaussian_grid" + +######################################################################## + +data_assimilation: &data_assimilation + Template: *data_assimilation_template + # Shared parameters/switches + DOHYBVAR: YES # optional + NMEM_ENKF: 80 # DO NOT CHANGE (yet) + NMEM_ENKF_GRP_EOMN: 10 # DO NOT CHANGE (yet) + NMEM_ENKF_GRP_EFMN: 10 # DO NOT CHANGE (yet) + RECENTER_ENKF: YES # optional + l4densvar: ".false." # optional + lwrite4danl: ".false." # optional + DO_MAKEPREPBUFR: YES # if NO, will copy prepbufr from globaldump + +######################################################################## + +accounting: &accounting + # Project for CPU accounting. + Template: *accounting_template + cpu_project: nems + hpss_project: emc-hwrf # Project for storing HPSS data. + noscrub_project: nems # Project for storing non-scrubbed data. + +######################################################################## + +case: + Template: *case_template + # User-defined experiment name; should be a-z followed by alphanumeric: + experiment_name: fv3gfs2 #Formerly known as PSLOT + + SDATE: 2017-07-31t18:00:00 + EDATE: 2017-08-05t00:00:00 + + DO_RELOCATE: NO # DO NOT CHANGE (yet) - relocate not yet implemented + + master_grid: "0p25deg" # 1deg 0p5deg 0p25deg 0p125deg etc + + ACTUALLY_RUN: YES + CYCLING: YES + + IC_CDUMP: GDAS # Get initial conditions from 1st cycle from GFS or GDAS + IC_DIR: !FirstTrue + - when: !calc doc.platform.name == "THEIA" + do: "/scratch4/NCEPDEV/global/noscrub/glopara/ICS/FV3GFS" + - when: !calc doc.platform.name == "WCOSS_C" + do: "/gpfs/hps3/emc/global/noscrub/emc.glopara/ICS" + - otherwise: !error "No ICs for platform {doc.platform.name}" + +#-END OF FILE-# diff --git a/model/fv3gfs/create_comrot.py b/model/fv3gfs/create_comrot.py new file mode 100644 index 0000000..98083b9 --- /dev/null +++ b/model/fv3gfs/create_comrot.py @@ -0,0 +1,55 @@ +#! /usr/bin/env python3 +f'This script requires python 3.6 or later' + +import os, logging +from contextlib import suppress + +logger=logging.getLogger('create_comrot') + +def make_link(src,tgt): + logger.debug(f'{src}: symlink {tgt}') + with suppress(FileNotFoundError): os.unlink(tgt) + os.symlink(src,tgt) + +def make_dir(dir): + logger.debug(f'{dir}: makedirs') + with suppress(FileExistsError): os.makedirs(dir) + +def create_COMROT(conf): + cdump = conf.case.IC_CDUMP + icsdir = conf.case.IC_DIR + comrot = conf.places.ROTDIR + resens = conf.fv3_enkf_settings.CASE[1:] + resdet = conf.fv3_gfs_settings.CASE[1:] + idate = conf.case.SDATE + detdir = f'{cdump}.{idate:%Y%m%d}/{idate:%H}' + nens = conf.data_assimilation.NMEM_ENKF + enkfdir = f'enkf.{cdump}.{idate:%Y%m%d}.%{idate:%H}' + idatestr = f'{idate:%Y%m%d%H}' + + logger.info(f'Input conditions: {icsdir}') + + make_dir(os.path.join(comrot,enkfdir)) + make_dir(os.path.join(comrot, detdir)) + + logger.info(f'Workflow COM root: {comrot}') + + # Link ensemble member initial conditions + for i in range(1, nens + 1): + memdir=os.path.join(comrot,enkfdir,f'mem{i:03d}') + make_dir(memdir) + src=os.path.join(icsdir, idatestr, f'c{resens}mem{i:03d}') + tgt=os.path.join(comrot, enkfdir, f'mem{i:03d}', 'INPUT') + make_link(src,tgt) + + # Link deterministic initial conditions + src=os.path.join(icsdir, idatestr, f'C{resdet}', 'control', 'INPUT') + tgt=os.path.join(comrot, detdir, 'INPUT') + make_link(src,tgt) + + # Link bias correction and radiance diagnostics files + for fname in ['abias', 'abias_pc', 'abias_air', 'radstat']: + file=f'{cdump}.t{idate:%H}z.{fname}' + src=os.path.join(icsdir, idatestr, file) + tgt=os.path.join(comrot, detdir, file) + make_link(src,tgt) diff --git a/model/fv3gfs/platform.yaml b/model/fv3gfs/platform.yaml index a2ade71..2192cc8 100644 --- a/model/fv3gfs/platform.yaml +++ b/model/fv3gfs/platform.yaml @@ -85,7 +85,7 @@ theia: &theia !Platform wcoss_cray: &wcoss_cray !Platform <<: *resource_defaults - + name: WCOSS_C Evaluate: false detect: !calc | tools.isdir('/gpfs/hps') and \ diff --git a/model/fv3gfs/setup_expt.py b/model/fv3gfs/setup_expt.py index bc372af..53c8813 100755 --- a/model/fv3gfs/setup_expt.py +++ b/model/fv3gfs/setup_expt.py @@ -1,6 +1,8 @@ -#! /usr/bin/env python3.6 +#! /usr/bin/env python3 +f'This script requires python 3.6 or later' import os, sys, logging, glob +from create_comrot import create_COMROT try: import crow.config @@ -52,6 +54,8 @@ logger.warning(f'--force given; will replace config.yaml without ' 'deleting directory') +create_COMROT(conf) + suite=crow.config.Suite(conf.workflow) doc=crow.config.document_root(suite) diff --git a/model/fv3gfs/validation/999_case.yaml b/model/fv3gfs/validation/999_case.yaml index 36c0ed6..cac03a4 100644 --- a/model/fv3gfs/validation/999_case.yaml +++ b/model/fv3gfs/validation/999_case.yaml @@ -22,11 +22,24 @@ case_template: &case_template SDATE: type: datetime description: | - First cycle to run GDAS. This is a "half-cycle" in that it only runs - the parts of the workflow that do not require a prior cycle. The first - "real" cycle is the following cycle. + First cycle to run. In the cycled workflow, this is a + "half-cycle" in that it only runs the parts of the workflow that + do not require a prior cycle. The first "real" cycle is the + following cycle. EDATE: type: datetime description: Last cycle to run GDAS. + CYCLING: + type: bool + description: "Run data assimilation to cycle the workflow?" + if_present: + IC_CDUMP: + type: string + allowed: [ GDAS, GFS ] + description: Get initial conditions from 1st cycle from GFS or GDAS + default: GDAS + IC_DIR: + type: string + description: Disk location of initial conditions for cycled workflow From 93c967b63fc33dbfc38662b00d46684763bf3f4f Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 30 Oct 2017 21:25:22 +0000 Subject: [PATCH 181/487] bug fix to template override support. Override should be run in scope that is being validated. --- crow/config/eval_tools.py | 4 ++-- crow/config/represent.py | 3 ++- crow/config/template.py | 6 ++++-- 3 files changed, 8 insertions(+), 5 deletions(-) diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index abe566a..df6aa12 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -63,8 +63,8 @@ def from_config(key,val,globals,locals,path): Other types are returned unmodified.""" try: if hasattr(val,'_result'): - return from_config(key,val._result(globals,locals), - globals,locals,path) + result=val._result(globals,locals) + return from_config(key,result,globals,locals,path) return val except(SyntaxError,TypeError,KeyError,NameError,IndexError,AttributeError) as ke: raise CalcKeyError(f'{path}: {type(val).__name__} {str(val)[0:40]} - ' diff --git a/crow/config/represent.py b/crow/config/represent.py index 04ec46a..4174eed 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -83,7 +83,8 @@ def _gather_keys_and_values(self,globals,locals): elif has_when and has_do: values.append(vk._raw('do')) vk_locals=multidict(vk,locals) - keys.append(from_config('when',vk._raw('when'),globals,vk_locals, + raw_when=vk._raw('when') + keys.append(from_config('when',raw_when,globals,vk_locals, self._path)) else: raise ConditionalMissingDoWhen( diff --git a/crow/config/template.py b/crow/config/template.py index aeb48d8..93e481a 100644 --- a/crow/config/template.py +++ b/crow/config/template.py @@ -119,8 +119,10 @@ def _check_scope(self,scope,stage): for var in template: if var in scope and isinstance(template[var],Mapping) and \ 'override' in template[var]: - override=from_config(template[var],'override',scope._globals(),scope, - f'{scope._path}.Template.{var}.override') + override=from_config( + 'override',template[var]._raw('override'), + scope._globals(),scope, + f'{scope._path}.Template.{var}.override') if override is not None: scope[var]=override # Check for variables that evaluate to an error From a8a832b859f50336411c641efcbbfadcfd4b4547 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 30 Oct 2017 21:25:53 +0000 Subject: [PATCH 182/487] add a few missing ensemble-related variables --- model/fv3gfs/actions/004_eomg.yaml | 2 ++ model/fv3gfs/actions/006_efcs.yaml | 3 +++ model/fv3gfs/actions/007_earc.yaml | 2 ++ 3 files changed, 7 insertions(+) diff --git a/model/fv3gfs/actions/004_eomg.yaml b/model/fv3gfs/actions/004_eomg.yaml index cfd860c..84a84d3 100644 --- a/model/fv3gfs/actions/004_eomg.yaml +++ b/model/fv3gfs/actions/004_eomg.yaml @@ -18,5 +18,7 @@ eomg: &eomg_action !Action NMEM_EOMGGRP: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN NTHREADS_GSI: !calc nodes.omp_threads_for(run_gsi.resources[0]) NTHSTACK: 1024000000 + GROUP_NUMBER: null # replaced in workflow + ENSGRP: !expand "{GROUP_NUMBER:02d}" VERBOSE: YES WRITE_NEMSIOFILE: YES diff --git a/model/fv3gfs/actions/006_efcs.yaml b/model/fv3gfs/actions/006_efcs.yaml index 4076be1..4f7c1e0 100644 --- a/model/fv3gfs/actions/006_efcs.yaml +++ b/model/fv3gfs/actions/006_efcs.yaml @@ -36,6 +36,9 @@ efcs: &efcs_action !Action NMEM_EFCSGRP: 10 NTHSTACK: 1024000000 + GROUP_NUMBER: null # replaced in workflow + ENSGRP: !expand "{GROUP_NUMBER:02d}" + SMOOTH_ENKF: YES TYPE: doc.fv3_enkf_settings.nh_type diff --git a/model/fv3gfs/actions/007_earc.yaml b/model/fv3gfs/actions/007_earc.yaml index 5936f47..1c92c85 100644 --- a/model/fv3gfs/actions/007_earc.yaml +++ b/model/fv3gfs/actions/007_earc.yaml @@ -15,6 +15,8 @@ earc: &earc_action !Action CASE: !calc CASE_ENKF CASE_ENKF: !calc doc.fv3_enkf_settings.CASE + GROUP_NUMBER: null # replaced in workflow + ENSGRP: !expand "{GROUP_NUMBER:02d}" KEEPDATA: NO NMEM_EARCGRP: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN From 79c72b689ea84f407a93ed29e26cfbce0ec87adf Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 30 Oct 2017 21:42:54 +0000 Subject: [PATCH 183/487] remove an extra % that broke comrot initialization --- model/fv3gfs/create_comrot.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/model/fv3gfs/create_comrot.py b/model/fv3gfs/create_comrot.py index 98083b9..cd38fa0 100644 --- a/model/fv3gfs/create_comrot.py +++ b/model/fv3gfs/create_comrot.py @@ -24,7 +24,7 @@ def create_COMROT(conf): idate = conf.case.SDATE detdir = f'{cdump}.{idate:%Y%m%d}/{idate:%H}' nens = conf.data_assimilation.NMEM_ENKF - enkfdir = f'enkf.{cdump}.{idate:%Y%m%d}.%{idate:%H}' + enkfdir = f'enkf.{cdump}.{idate:%Y%m%d}.{idate:%H}' idatestr = f'{idate:%Y%m%d%H}' logger.info(f'Input conditions: {icsdir}') From 600eeee7f24123cdae2636b0a62b633d099a86a9 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 31 Oct 2017 19:43:16 +0000 Subject: [PATCH 184/487] bug fix: FirstTrue was read in as LastTrue by from_yaml.py --- crow/config/from_yaml.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index cf98ccf..5a903fd 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -147,7 +147,7 @@ def constructor(loader,node): # to the function that performs the comparison. CONDITIONALS={ FirstMaxYAML:FirstMax, FirstMinYAML:FirstMin, - FirstTrueYAML:LastTrue, + FirstTrueYAML:FirstTrue, LastTrueYAML:LastTrue} ######################################################################## From f387bd560c62c4687080b87568dcbfcaf3411afe Mon Sep 17 00:00:00 2001 From: Rich Hammett Date: Tue, 31 Oct 2017 21:32:35 +0000 Subject: [PATCH 185/487] Included unit testing for example config --- tests/test_data/toy-yaml/actions.yml | 59 +++++++++++ tests/test_data/toy-yaml/namelist.nl | 9 ++ tests/test_data/toy-yaml/platform.yml | 76 ++++++++++++++ tests/test_data/toy-yaml/templates.yml | 42 ++++++++ tests/test_data/toy-yaml/test.yml | 82 +++++++++++++++ tests/test_exampleConfig.py | 134 +++++++++++++++++++++++++ 6 files changed, 402 insertions(+) create mode 100644 tests/test_data/toy-yaml/actions.yml create mode 100644 tests/test_data/toy-yaml/namelist.nl create mode 100644 tests/test_data/toy-yaml/platform.yml create mode 100644 tests/test_data/toy-yaml/templates.yml create mode 100644 tests/test_data/toy-yaml/test.yml create mode 100755 tests/test_exampleConfig.py diff --git a/tests/test_data/toy-yaml/actions.yml b/tests/test_data/toy-yaml/actions.yml new file mode 100644 index 0000000..3665d82 --- /dev/null +++ b/tests/test_data/toy-yaml/actions.yml @@ -0,0 +1,59 @@ + +fcst: &fcst !Action + Template: *fcst_vars + do_vort_damp: true + consv_te: 0. + fv_sg_adj: 900 + dspheat: false + shal_cnv: true + agrid_vel_rst: true + master_grid: "0p5deg" + TYPE: hydro + MONO: mono + hydro_mono: !expand '{TYPE}_{MONO}' + int_array: [ 1, 2, 3, 4, 5 ] + string_array: [ a, b, c, d, e ] + + some_namelist: !expand | + &some_namelist + int_array = {tools.fort(int_array)} + bool_array = {tools.fort(bool_array)} + string_array = {tools.fort(string_array)} + type = {tools.fort(TYPE)} + mono = {tools.fort(MONO)} + shal_cnv = {tools.fort(shal_cnv)} + agrid_vel_rst= {tools.fort(agrid_vel_rst)} + / + + a: !calc 5 + 5 + b: !calc a*2 + c: !calc fv_sg_adj+b + d: !FirstMax + - when: a + do: !calc 10*a + - when: b + do: !calc 10*b + - when: c + do: !calc 10*c + resources: + - mpi_ranks: 192 + threads: 4 + +fanciness: + fancy_var: 5 + not_fancy: 6 + +fancy_fcst: &fancy_fcst !Action + <<: *fcst + Inherit: !Inherit + - [ doc.fanciness, 'fancy_var' ] + - [ doc.gfsfcst, 'stuff' ] + Template: *fcst_vars + +gfsfcst: &gfsfcst !Action + <<: *fcst + stuff: [ !calc a+b , !calc 2*2 ] + DIAG_TABLE: !calc doc.platform.BASE_GFS + "/parm/parm_fv3diag/diag_table" + resources: + - mpi_ranks: 192 + OMP_NUM_THREADS: 4 diff --git a/tests/test_data/toy-yaml/namelist.nl b/tests/test_data/toy-yaml/namelist.nl new file mode 100644 index 0000000..3c3dd16 --- /dev/null +++ b/tests/test_data/toy-yaml/namelist.nl @@ -0,0 +1,9 @@ +&some_namelist + int_array = {tools.fort(int_array)} + bool_array = {tools.fort(bool_array)} + string_array = {tools.fort(string_array)} + type = {tools.fort(TYPE)} + mono = {tools.fort(MONO)} + shal_cnv = {tools.fort(shal_cnv)} + agrid_vel_rst= {tools.fort(agrid_vel_rst)} +/ diff --git a/tests/test_data/toy-yaml/platform.yml b/tests/test_data/toy-yaml/platform.yml new file mode 100644 index 0000000..9807d7a --- /dev/null +++ b/tests/test_data/toy-yaml/platform.yml @@ -0,0 +1,76 @@ +theia: &theia !Platform + queue: batch + machine: THEIA + ACCOUNT: fv3-cpu + QUEUE: batch + QUEUE_ARCH: service + HPSS_PROJECT: emc-global + BASE_SVN: /scratch4/NCEPDEV/global/save/glopara/svn + BASE_GFS: /scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17/global_shared.v14.1.0 + detect: !calc tools.isdir('/scratch4') and tools.isdir('/scratch3') + scrub: !FirstMax + - do: /scratch3/NCEPDEV/stmp1 + when: !calc tools.panasas_gb(do) + - do: /scratch3/NCEPDEV/stmp2 + when: !calc tools.panasas_gb(do) + - do: /scratch4/NCEPDEV/stmp3 + when: !calc tools.panasas_gb(do) + - do: /scratch4/NCEPDEV/stmp4 + when: !calc tools.panasas_gb(do) + +wcoss_cray: &wcoss_cray !Platform + queue: dev + machine: THEIA + ACCOUNT: fv3-cpu + QUEUE: batch + QUEUE_ARCH: service + HPSS_PROJECT: emc-global + BASE_SVN: /scratch4/NCEPDEV/global/save/glopara/svn + BASE_GFS: /scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17/global_shared.v14.1.0 + scrub: !FirstMax + - do: /gpfs/hps2/ptmp + when: !calc tools.gpfs_gb(do,'hps2-ptmp','hps2') + - do: /gpfs/hps3/ptmp + when: !calc tools.gpfs_gb(do,'hps3-ptmp','hps3') + detect: !calc tools.isdir('/gpfs/hps') and tools.isfile('/etc/SuSE-release') + +wcoss_ibm: &wcoss_ibm !Platform + queue: dev + machine: THEIA + ACCOUNT: fv3-cpu + QUEUE: batch + QUEUE_ARCH: service + HPSS_PROJECT: emc-global + BASE_SVN: /scratch4/NCEPDEV/global/save/glopara/svn + BASE_GFS: /scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17/global_shared.v14.1.0 + mmlsquota: /usr/lpp/mmfs/bin/mmlsquota + + tide_gyre_tg: !FirstTrue + - do: g + when: !calc tools.isdir('/gpfs/gd1') + - do: t + when: !calc tools.isdir('/gpfs/td1') + - otherwise: !error Cannot determine if this is Tide or Gyre + + scrub: !FirstMax + - do: /ptmpd1 + when: !calc tools.gpfs_gb(do,'ptmp-d1','gpfs-'+tide_gyre_tg+'d1',mmlsquota) + - do: /ptmpd2 + when: !calc tools.gpfs_gb(do,'ptmp-d2','gpfs-'+tide_gyre_tg+'d2',mmlsquota) + - do: /ptmpp1 + when: !calc tools.gpfs_gb(do,'ptmp-p1','gpfs-'+tide_gyre_tg+'p1',mmlsquota) + - do: /ptmpd3 + when: !calc tools.gpfs_gb(do,'ptmp-d3','gpfs-'+tide_gyre_tg+'d3',mmlsquota) + - do: /ptmpp2 + when: !calc tools.gpfs_gb(do,'ptmp-p2','gpfs-'+tide_gyre_tg+'p2',mmlsquota) + + detect: !calc tools.isdir('/gpfs/hps') and tools.isfile('/etc/redhat-release') + +platform: !FirstTrue + - do: *wcoss_cray + when: !calc do.detect + - do: *theia + when: !calc do.detect + - do: *wcoss_ibm + when: !calc do.detect + - otherwise: !error This platform is unsupported or unknown. diff --git a/tests/test_data/toy-yaml/templates.yml b/tests/test_data/toy-yaml/templates.yml new file mode 100644 index 0000000..861c015 --- /dev/null +++ b/tests/test_data/toy-yaml/templates.yml @@ -0,0 +1,42 @@ + +more_vars: &more_vars !Template + b: + type: int + description: 'Sample integer b' + dog: + type: string + default: 'brown' + +fcst_vars: &fcst_vars !Template + int_array: + type: int list + string_array: + type: string list + bool_array: + type: bool list + default: [ true, false, true ] + do_vort_damp: + type: bool + master_grid: + type: string + a: + type: int + allowed: [ 10, 20, 30 ] + description: "Sample integer a" +# if_present is not useful. We need to condition on the value. + if_present: !FirstTrue + - do: *more_vars + when: !calc a==10 + - otherwise: null + cow: + type: string + allowed: [ brown, black, white, red, blue ] + description: "Color of a cow" + default: blue + lencow: + type: int + description: "Length of the cow" + default: !calc len(cow) + some_array: + type: int list + optional: true diff --git a/tests/test_data/toy-yaml/test.yml b/tests/test_data/toy-yaml/test.yml new file mode 100644 index 0000000..69a8180 --- /dev/null +++ b/tests/test_data/toy-yaml/test.yml @@ -0,0 +1,82 @@ +# The YAML built-in !!omap type is mapping that remembers the order of +# keys. It acts exactly like a normal mapping ({a=b, c=d, ...}) in +# all other respects. + +ordered_dict: !!omap + - one: 1 + - two: 2 + - three: 3 + - four: 4 + - five: 5 + +# The YAML built-in !!set is for specifying sets. Sets must all be +# "hashable types," so a set cannot include sets, omaps, maps, +# sequences, or other compound datatypes. However, they CAN include +# calculations. + +set: !!set + ? !calc 1+1 + ? a + ? 2017-08-15 + +test_base: &test_base + # !FirstTrue returns the first "do" value where the "when" value is true: + B: !FirstTrue + - { do: A, when: false } + - { do: B, when: true } + - { do: C, when: true } + - { otherwise: should never get here } + + # !LastTrue is like !FirstTrue in reverse. The last "do" with a true + # "when" is returned: + C: !LastTrue + - { do: A, when: false } + - { do: B, when: true } + - { do: C, when: true } + - { otherwise: should never get here } + none: !LastTrue + - { do: X, when: false } + - { do: Y, when: false } + - { do: Z, when: false } + - { otherwise: null } + + # Conditionals on an empty list always return the "otherwise" clause: + badlt: !LastTrue [{otherwise: null}] + badft: !FirstTrue [{otherwise: null}] + badxv: !FirstMax [{otherwise: null}] + badnv: !FirstMin [{otherwise: null}] + + # The !timedelta is a time difference. See crow.tools.to_timedelta + # for details on syntax. + dt: !timedelta 03:20 + + anltime: 2017-09-19t18:00:00 + + # !calc inserts the result of a calculation. This uses lazy + # evaluation; that is, the calculation is not performed until the + # value is needed. + four: !calc 2*2 + + # The !calc expressions can refer to other variables: + fcsttime: !calc anltime+dt + + # They can also refer to the results of other !calc expressions. + # Infinite recursion is automatically detected by Python. + fYMDH: !calc tools.to_YMDH(fcsttime) + + expandme: !Eval + result: !calc "', '.join(lst)" + lst: + - abc + - def + - ghi + + message: !FirstMax + - when: 0 + do: hello + message: This is an informational message. + +test: + <<: *test_base + + error: !error This is an error message. diff --git a/tests/test_exampleConfig.py b/tests/test_exampleConfig.py new file mode 100755 index 0000000..c20fe78 --- /dev/null +++ b/tests/test_exampleConfig.py @@ -0,0 +1,134 @@ +#! /usr/bin/env python3.6 + +import unittest +from context import crow +import crow.config +from datetime import timedelta, date, datetime +from collections import OrderedDict + + +class TestExampleConfig(unittest.TestCase): + + def setUp(self): + self.config=crow.config.from_file('./test_data/toy-yaml/test.yml', + './test_data/toy-yaml/platform.yml', + './test_data/toy-yaml/templates.yml', + './test_data/toy-yaml/actions.yml') + crow.config.validate(self.config.fcst) + crow.config.validate(self.config.test) + crow.config.validate(self.config.gfsfcst) + + def test_not_working(self): + self.assertTrue(True) + + def test_ordered_dict(self): + self.assertEqual(self.config.ordered_dict, \ + OrderedDict({('one',1), ('two',2), ('three',3), ('four',4), + ('five',5)})) + + def test_set(self): + self.assertEqual(self.config.set, set((2, date(2017, 8, 15), 'a'))) + + def test_bool_array(self): + self.assertEqual(self.config.fcst.bool_array, [True, False, True]) + + def test_int_array(self): + self.assertEqual(self.config.fcst.int_array, [1, 2, 3, 4, 5]) + + def test_string_array(self): + self.assertEqual(self.config.fcst.string_array, ['a', 'b', 'c', 'd', + 'e']) + + def test_plus(self): + self.assertEqual(self.config.gfsfcst.a, 10) + + def test_FirstMax(self): + self.assertEqual(self.config.gfsfcst.d, 9200) + + def test_calclist(self): + self.assertEqual(self.config.gfsfcst.stuff[0], 30) + + def test_default(self): + self.assertEqual(self.config.gfsfcst.cow, 'blue') + self.assertEqual(self.config.gfsfcst.dog, 'brown') + + def test_strlen_func(self): + self.assertEqual(self.config.gfsfcst.lencow, 4) + + def test_FirstTrue(self): + self.assertEqual(self.config.test.B, 'B') + + def test_LastTrue(self): + self.assertEqual(self.config.test.C, 'C') + + def test_NoneTrue(self): + self.assertIsNone(self.config.test.none) + + def test_conditionals_on_empty_list(self): + for bad in ['lt', 'ft', 'xv', 'nv']: + self.assertIsNone(self.config.test['bad' + bad]) + + def test_time_values(self): + self.assertEqual(self.config.test.dt, timedelta(0, 12000)) + self.assertEqual(self.config.test.fcsttime, datetime(2017, 9, 19, 21, 20)) + self.assertEqual(self.config.test.fYMDH, '2017091921') + + def test_string_expansion(self): + self.assertEqual(self.config.test.expandme, 'abc, def, ghi') + + def test_fcst_values(self): + self.assertEqual(self.config.fcst.hydro_mono, 'hydro_mono') + + def test_inline_namelist(self): + namelist_for_test = self.config.fcst.some_namelist + cmpline=["&some_namelist", " int_array = 1, 2, 3, 4, 5", + " bool_array = .True., .False., .True.", + " string_array = 'a', 'b', 'c', 'd', 'e'", + " type = 'hydro'", " mono = 'mono'", + " shal_cnv = .True.", " agrid_vel_rst= .True.", + "/", ""] + for lnum, line in enumerate(namelist_for_test.split('\n')): + #print("\nline XXX"+line+"XXX") + #print("cmplineXXX"+cmpline[lnum]+"XXX") + self.assertEqual(line,cmpline[lnum], + "line {} not equal to expected {} in \ + namelist".format(line, cmpline[lnum])) + + def test_file_namelist(self): + with open('test_data/toy-yaml/namelist.nl','rt') as fd: + namelist_nl=fd.read() + + namelist2_for_test = crow.config.expand_text(namelist_nl,self.config.fcst) + + cmpline=["&some_namelist", " int_array = 1, 2, 3, 4, 5", + " bool_array = .True., .False., .True.", + " string_array = 'a', 'b', 'c', 'd', 'e'", + " type = 'hydro'", " mono = 'mono'", + " shal_cnv = .True.", " agrid_vel_rst= .True.", + "/", ""] + for lnum, line in enumerate(namelist2_for_test.split('\n')): + #print("\nline XXX"+line+"XXX") + #print("cmplineXXX"+cmpline[lnum]+"XXX") + self.assertEqual(line,cmpline[lnum], + "line {} not equal to expected {} in \ + namelist".format(line, cmpline[lnum])) + + def test_error_clause(self): + try: + s=self.config.test.error + self.assertTrue(False, "Failed to process error clause properly.") + except crow.config.ConfigUserError as e: + self.assertTrue(True) + + def test_msg_clause(self): + self.assertEqual(self.config.test.message,'hello') + + def test_inherit(self): + crow.config.validate(self.config.fancy_fcst) + self.assertEqual(self.config.fancy_fcst.stuff[0], 30) + self.assertEqual(self.config.fancy_fcst.fancy_var, 5) + self.assertNotIn('not_fancy', self.config.fancy_fcst) + + +if __name__ == '__main__': + unittest.main() From aa0ec00cd558e66b91fa6290f80abfbcd1348ed7 Mon Sep 17 00:00:00 2001 From: "Tery.McGuinness" Date: Tue, 31 Oct 2017 22:12:36 +0000 Subject: [PATCH 186/487] added unit test for class HydraIMPI --- tests/test_HydraIMPI.py | 43 +++++++++++++++++++++++++++++++++++++++++ 1 file changed, 43 insertions(+) create mode 100644 tests/test_HydraIMPI.py diff --git a/tests/test_HydraIMPI.py b/tests/test_HydraIMPI.py new file mode 100644 index 0000000..f8fbd2f --- /dev/null +++ b/tests/test_HydraIMPI.py @@ -0,0 +1,43 @@ +#! /usr/bin/env python3 +f'This script requires python 3.6 or later' + +import unittest +from context import crow + +import sys, os, logging, subprocess + +from crow import config +from crow import metascheduler +from crow.sysenv import JobResourceSpec +from crow.sysenv import get_parallelism +from crow.sysenv import get_scheduler + + +class TestHydraIMPI(unittest.TestCase): + @classmethod + def setUpClass(hydra): + + settings={ 'mpi_runner':'mpiexec', + 'physical_cores_per_node':24, + 'logical_cpus_per_core':2, + 'hyperthreading_allowed':True } + + ranks=[ + { 'mpi_ranks':12, 'hyperthreads':1, 'OMP_NUM_THREADS':4, 'exe':'exe1', + 'HydraIMPI_extra':[ '-gdb', '-envall' ] }, + { 'mpi_ranks':48, 'OMP_NUM_THREADS':1, 'exe':'exe2', + 'HydraIMPI_extra':'-envall' }, + { 'mpi_ranks':200,'hyperthreads':1, 'exe':'exe2' } + ] + + hydra.par=get_parallelism('HydraIMPI',settings) + hydra.sch=get_scheduler('MoabTorque',settings) + hydra.jr=JobResourceSpec(ranks) + + def test_HydraIMPI_shellCommand(hydra): + cmd=hydra.par.make_ShellCommand(hydra.jr) + hydra.assertTrue(str(cmd)=="ShellCommand(command=['mpiexec', '-gdb', '-envall', '-np', '12', '/usr/bin/env', 'OMP_NUM_THREADS=4', 'exe1', ':', '-envall', '-np', '48', '/usr/bin/env', 'OMP_NUM_THREADS=1', 'exe2', ':', '-np', '200', 'exe2'], env=None, cwd=None, files=[ ])") + + def test_HydraIMPI_resource(hydra): + res=hydra.sch.rocoto_resources(hydra.jr) + hydra.assertTrue(str(res)=='2:ppn=6+2:ppn=24+2:ppn=23+7:ppn=22\n') From aabaff915c3fc10c8e3dd3ae0510b60fcd36535e Mon Sep 17 00:00:00 2001 From: "Tery.McGuinness" Date: Tue, 31 Oct 2017 22:15:50 +0000 Subject: [PATCH 187/487] deleted superfluous comment line --- tests/test_HydraIMPI.py | 1 - 1 file changed, 1 deletion(-) diff --git a/tests/test_HydraIMPI.py b/tests/test_HydraIMPI.py index f8fbd2f..744aa71 100644 --- a/tests/test_HydraIMPI.py +++ b/tests/test_HydraIMPI.py @@ -1,5 +1,4 @@ #! /usr/bin/env python3 -f'This script requires python 3.6 or later' import unittest from context import crow From 5ddc07ecce3a0075f2c6690d12115c1a05486ca7 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 1 Nov 2017 01:46:01 +0000 Subject: [PATCH 188/487] Fix bug in !FirstTrue handling in crow.config. The !FirstTrue was misread into a LastTrue object. --- crow/config/from_yaml.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index cf98ccf..5a903fd 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -147,7 +147,7 @@ def constructor(loader,node): # to the function that performs the comparison. CONDITIONALS={ FirstMaxYAML:FirstMax, FirstMinYAML:FirstMin, - FirstTrueYAML:LastTrue, + FirstTrueYAML:FirstTrue, LastTrueYAML:LastTrue} ######################################################################## From 5cb05748ed83b5962a74238117646915477d733f Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 1 Nov 2017 03:26:28 +0000 Subject: [PATCH 189/487] Do not re-validate a scope that has already been validated. --- crow/config/eval_tools.py | 6 +++++- 1 file changed, 5 insertions(+), 1 deletion(-) diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index df6aa12..5ad4cf2 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -142,6 +142,7 @@ def __init__(self,child,path='',globals=None): self.__child=copy(child) self.__cache=copy(child) self.__globals={} if globals is None else globals + self.__is_validated=False self._path=path def __contains__(self,k): return k in self.__child def __len__(self): return len(self.__child) @@ -177,7 +178,8 @@ def _deepcopy_privates_from(self,memo,other): #dict([ ( deepcopy(k,memo),deepcopy(v,memo) ) # for k,v in other.__globals.items() ]) self.__cache=deepcopy(other.__cache,memo) - self._path=deepcopy(other._path) + self._path=deepcopy(other._path,memo) + self.__is_validated=deepcopy(other.__is_validated,memo) #self.__globals=deepcopy(other.__globals,memo) def __deepcopy__(self,memo): cls=type(self) @@ -200,6 +202,8 @@ def _validate(self,stage,memo=None): raise ValidationRecursionError( f'{self._path}: cyclic Inherit detected') memo.add(id(self)) + if self.__is_validated: return + self.__is_validated=True # Inherit from other scopes: if 'Inherit' in self and hasattr(self.Inherit,'_update'): From 3439fcaf0301f2f8e014d7df64328ea86b0751c2 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 1 Nov 2017 03:27:49 +0000 Subject: [PATCH 190/487] Add a missing exception: ValidationRecursionError. Also, correct the superclass of VariableMissing --- crow/config/exceptions.py | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/crow/config/exceptions.py b/crow/config/exceptions.py index d5e4250..b87f2df 100644 --- a/crow/config/exceptions.py +++ b/crow/config/exceptions.py @@ -18,10 +18,11 @@ class ExpandMissingResult(ConfigCalcError): pass class CalcKeyError(ConfigCalcError): pass class TemplateError(ConfigError): pass -class VariableMissing(ConfigError): pass +class VariableMissing(TemplateError): pass class InvalidConfigTemplate(TemplateError): pass class InvalidConfigValue(TemplateError): pass class InvalidConfigType(TemplateError): pass +class ValidationRecursionError(TemplateError): pass class TemplateErrors(ConfigError): def __init__(self,errors): From aff3eb9f75517e126b31a7912b1eb6787ea11f93 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 1 Nov 2017 03:29:35 +0000 Subject: [PATCH 191/487] corrections to config !Template validation and add an overabundance of logging --- crow/config/template.py | 11 ++++++++--- 1 file changed, 8 insertions(+), 3 deletions(-) diff --git a/crow/config/template.py b/crow/config/template.py index 93e481a..06f3d36 100644 --- a/crow/config/template.py +++ b/crow/config/template.py @@ -80,20 +80,25 @@ def _check_scope(self,scope,stage): validate_var(scope._path,scheme,var,scope[var]) if 'if_present' in scheme: + _logger.debug(f'{scope._path}.{var}: evaluate if_present {scheme._raw("if_present")._path}') ip=from_config( var,scheme._raw('if_present'),self._globals(),scope, f'{scope._path}.{var}') + _logger.debug(f'{scope._path}.{var}: result = {ip!r}') if not ip: continue + if hasattr(ip,'_path'): + _logger.debug(f'{scope._path}.{var}: present ({scope._raw(var)!r}); add {ip._path} to validation') + if not isinstance(ip,Mapping): continue new_template=Template(ip._raw_child()) new_template.update(template) template=new_template assert(isinstance(template,Template)) - except (IndexError,AttributeError) as pye: - errors.append(f'{scope._path}.{var}: {pye}') + except (IndexError,AttributeError,TypeError,ValueError) as pye: + errors.append(f'{scope._path}.{var}: {type(pye).__name__}: {pye}') _logger.debug(f'{scope._path}.{var}: {pye}',exc_info=True) except ConfigError as ce: errors.append(str(ce)) - _logger.debug(f'{scope._path}.{var}: {ce}',exc_info=True) + _logger.debug(f'{scope._path}.{var}: {type(ce).__name__}: {ce}',exc_info=True) # Insert default values for all templates found thus far and # detect any missing, non-optional, variables From 418ff90f0ca5e5855d802dd0ca56e64bdfd2006d Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 1 Nov 2017 03:30:43 +0000 Subject: [PATCH 192/487] Do not cache the result of a config conditional (!FirstTrue, etc.) This leads to errors when the same conditional is evaluated in multiple contexts. Also, the caching accomplishes little because the surrounding dict_eval and list_eval already cache results. --- crow/config/represent.py | 41 ++++++++++++++++++++-------------------- 1 file changed, 21 insertions(+), 20 deletions(-) diff --git a/crow/config/represent.py b/crow/config/represent.py index 4174eed..a03cce6 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -74,7 +74,7 @@ def _gather_keys_and_values(self,globals,locals): if has_otherwise and ( has_when or has_do ): raise ConditionalOverspecified( f'{self._path}[{i}]: cannot have "otherwise," ' - '"when," and "if" in the same entry') + '"when," and "do" in the same entry') elif has_otherwise and i!=len(self)-1: raise ConditionalInvalidOtherwise( f'{self._path}[{i}]: "otherwise" must be the last item') @@ -96,26 +96,27 @@ def _gather_keys_and_values(self,globals,locals): def _result(self,globals,locals): assert('tools' in globals) assert('doc' in globals) - if self.__result is Conditional.MISSING: - ( keys, values, otherwise_idx ) = \ - self._gather_keys_and_values(globals,locals) - if self._require_an_otherwise_clause() and \ - otherwise_idx is Conditional.MISSING: + ( keys, values, otherwise_idx ) = \ + self._gather_keys_and_values(globals,locals) + if self._require_an_otherwise_clause() and \ + otherwise_idx is Conditional.MISSING: + raise ConditionalMissingOtherwise( + f'{self._path}: no "otherwise" clause provided') + idx=self._index(keys) + if idx is None: + if otherwise_idx is Conditional.MISSING: raise ConditionalMissingOtherwise( - f'{self._path}: no "otherwise" clause provided') - idx=self._index(keys) - if idx is None: - if otherwise_idx is Conditional.MISSING: - raise ConditionalMissingOtherwise( - f'{self._path}: no clauses match and no ' - f'"otherwise" value was given. {keys} {values}') - self.__result=self[otherwise_idx]._raw('otherwise') - idx=otherwise_idx - else: - self.__result=values[idx] - if 'message' in self[idx]: - _logger.info(f'{self._path}[{idx}]: {self[idx].message}') - + f'{self._path}: no clauses match and no ' + f'"otherwise" value was given. {keys} {values}') + self.__result=self[otherwise_idx]._raw('otherwise') + _logger.debug(f'{self._path}: result=otherwise: {self.__result!r}') + idx=otherwise_idx + else: + self.__result=values[idx] + _logger.debug(f'{self._path}: result index {idx}: {self.__result!r}') + if 'message' in self[idx]: + _logger.info(f'{self._path}[{idx}]: {self[idx].message}') + assert(self.__result is not Conditional.MISSING) return self.__result def _deepcopy_privates_from(self,memo,other): From 897a754e296e522b98f5a66ddde963d42edd7d76 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 1 Nov 2017 03:39:56 +0000 Subject: [PATCH 193/487] Grand multitude of changes needed to get the efcs job to run. Still working on the later jobs though... --- model/fv3gfs/actions/001_ecen.yaml | 6 +- model/fv3gfs/actions/002_anal.yaml | 2 +- model/fv3gfs/actions/002_epos.yaml | 8 +- model/fv3gfs/actions/003_eobs.yaml | 4 +- model/fv3gfs/actions/004_eomg.yaml | 4 +- model/fv3gfs/actions/005_eupd.yaml | 4 +- model/fv3gfs/actions/006_efcs.yaml | 29 +- model/fv3gfs/actions/007_earc.yaml | 3 +- model/fv3gfs/actions/008_prep.yaml | 2 +- model/fv3gfs/actions/009_fcst.yaml | 3 +- model/fv3gfs/actions/010_post.yaml | 2 +- model/fv3gfs/actions/011_vrfy.yaml | 3 +- model/fv3gfs/actions/012_arch.yaml | 2 +- model/fv3gfs/actions/999_final.yaml | 2 +- model/fv3gfs/actually_run.yaml | 8 +- model/fv3gfs/create_comrot.py | 6 +- model/fv3gfs/fv3_enkf_defaults.yaml | 34 ++ model/fv3gfs/jobs/efcs.sh | 1 + model/fv3gfs/jobs/fcst.sh | 1 + model/fv3gfs/jobs/job_wrapper.sh | 8 +- model/fv3gfs/platform.yaml | 20 +- model/fv3gfs/resources.yaml | 58 +++- model/fv3gfs/runtime.yaml | 4 +- model/fv3gfs/setup_expt.py | 6 +- model/fv3gfs/validation/001_fv3.yaml | 103 +++++- model/fv3gfs/validation/999_case.yaml | 4 +- model/fv3gfs/workflow.yaml | 479 +++++++++++++++----------- 27 files changed, 524 insertions(+), 282 deletions(-) create mode 100644 model/fv3gfs/fv3_enkf_defaults.yaml diff --git a/model/fv3gfs/actions/001_ecen.yaml b/model/fv3gfs/actions/001_ecen.yaml index d66aa04..e9e4c05 100644 --- a/model/fv3gfs/actions/001_ecen.yaml +++ b/model/fv3gfs/actions/001_ecen.yaml @@ -9,7 +9,7 @@ ecen: &ecen_action !Action walltime: !timedelta 00:30:00 resources: !calc run_ecen.resources resource_env: !calc run_ecen.env - memory: "3072M" # previously "rocoto_memory", renamed to align with current script + memory: !calc run_ecen.memory # Each command (APRUN_whatever) in config.resources needs a # run_whatever entry in the corresponding action. @@ -19,7 +19,7 @@ ecen: &ecen_action !Action # From config.ecen ENKFRECENSH: !expand "{doc.places.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_recenter_fv3gfs.sh.ecf" APRUN_CHGRES: !FirstTrue - - when: doc.platform.name == "THEIA" + - when: !calc doc.platform.name == "THEIA" do: "time" - otherwise: !calc | tools.command_without_exe( @@ -27,7 +27,7 @@ ecen: &ecen_action !Action APRUN_ECEN: !calc tools.command_without_exe(par,resources,"placeholder") NTHREADS_ECEN: ! nodes.omp_threads_for(resources[0]) APRUN_CHGRES: "time" - + CDUMP: gdas Inherit: !Inherit - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.fv3_enkf_settings, "FHCYC" ] diff --git a/model/fv3gfs/actions/002_anal.yaml b/model/fv3gfs/actions/002_anal.yaml index 560b2c2..c26894a 100644 --- a/model/fv3gfs/actions/002_anal.yaml +++ b/model/fv3gfs/actions/002_anal.yaml @@ -5,8 +5,8 @@ anal_base: &anal_base !Action walltime: !timedelta 02:00:00 resources: !calc run_anal.resources anal_resources: !calc run_anal.resources + memory: !calc run_anal.memory gsi_resources: !calc run_gsi.resources - memory: "3072M" accounting: !calc doc.platform.parallel_accounting assim_freq: 6 diff --git a/model/fv3gfs/actions/002_epos.yaml b/model/fv3gfs/actions/002_epos.yaml index 393c98b..6a22c40 100644 --- a/model/fv3gfs/actions/002_epos.yaml +++ b/model/fv3gfs/actions/002_epos.yaml @@ -2,7 +2,7 @@ epos: &epos_action !Action <<: *action_base J_JOB: epos resources: !calc run_epos.resources - memory: "254M" + memory: !calc run_epos.memory walltime: !timedelta 00:15:00 APRUN_EPOS: !calc tools.command_without_exe(par,resources,'placeholder') NTHREADS_EPOS: !calc nodes.omp_threads_for(resources[0]) @@ -13,11 +13,11 @@ epos: &epos_action !Action Inherit: !Inherit - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.platform.mpi_tuning, '.*' ] - - [ doc.fv3_enkf_settings, "FHCYC" ] - [ doc.data_assimilation, ".*" ] - - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] + - [ doc.fv3_enkf_settings, "FHCYC|QUILTING|WRITE_NEMSIOFILE|nst_anl|CDUMP" ] - [ doc.case, "EDATE|SDATE|gfs_cyc" ] - + CDUMP: gdas VERBOSE: YES WRITE_NEMSIOFILE: YES + LEVS: !calc "doc.fv3_enkf_settings.LEVS - 1" accounting: !calc doc.platform.parallel_accounting diff --git a/model/fv3gfs/actions/003_eobs.yaml b/model/fv3gfs/actions/003_eobs.yaml index 20f1326..7e7ffbb 100644 --- a/model/fv3gfs/actions/003_eobs.yaml +++ b/model/fv3gfs/actions/003_eobs.yaml @@ -6,7 +6,7 @@ eobs: &eobs_action !Action walltime: !timedelta 00:15:00 resources: !calc run_eobs.resources gsi_resources: !calc run_gsi.resources - memory: "3072M" + memory: !calc run_eobs.memory ANALYSISSH: "{doc.places.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" APRUN_GSI: !calc tools.command_without_exe(par,gsi_resources,'placeholder') @@ -20,7 +20,7 @@ eobs: &eobs_action !Action NMEM_EOMGGRP: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN NTHREADS_GSI: !calc nodes.omp_threads_for(gsi_resources[0]) NTHSTACK: 1024000000 - + CDUMP: gdas # GSI namelist options related to observer for EnKF VERBOSE: YES WRITE_NEMSIOFILE: YES diff --git a/model/fv3gfs/actions/004_eomg.yaml b/model/fv3gfs/actions/004_eomg.yaml index 84a84d3..adb3390 100644 --- a/model/fv3gfs/actions/004_eomg.yaml +++ b/model/fv3gfs/actions/004_eomg.yaml @@ -5,7 +5,7 @@ eomg: &eomg_action !Action APRUN_GSI: !calc tools.command_without_exe(par,run_gsi.resources,'placeholder') walltime: !timedelta 00:15:00 resources: !calc run_eomg.resources - memory: "3072M" + memory: !calc run_eomg.memory Inherit: !Inherit - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] @@ -13,7 +13,7 @@ eomg: &eomg_action !Action - [ doc.data_assimilation, ".*" ] - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - [ doc.case, "EDATE|SDATE|gfs_cyc" ] - + CDUMP: gdas CASE: !calc doc.fv3_enkf_settings.CASE NMEM_EOMGGRP: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN NTHREADS_GSI: !calc nodes.omp_threads_for(run_gsi.resources[0]) diff --git a/model/fv3gfs/actions/005_eupd.yaml b/model/fv3gfs/actions/005_eupd.yaml index f86574a..118cfbb 100644 --- a/model/fv3gfs/actions/005_eupd.yaml +++ b/model/fv3gfs/actions/005_eupd.yaml @@ -10,7 +10,7 @@ eupd: &eupd_action !Action enkf_resources: !calc run_enkf.resources eupd_resources: !calc run_eupd.resources resources: !calc run_eupd.resources - memory: "3072M" + memory: !calc run_eupd.memory Inherit: !Inherit - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] @@ -18,7 +18,7 @@ eupd: &eupd_action !Action - [ doc.data_assimilation, ".*" ] - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - [ doc.case, "EDATE|SDATE|gfs_cyc" ] - + CDUMP: gdas CASE: !calc doc.fv3_enkf_settings.CASE NTHREADS_ENKF: 2 NTHSTACK: 1024000000 diff --git a/model/fv3gfs/actions/006_efcs.yaml b/model/fv3gfs/actions/006_efcs.yaml index 4f7c1e0..6d86a41 100644 --- a/model/fv3gfs/actions/006_efcs.yaml +++ b/model/fv3gfs/actions/006_efcs.yaml @@ -1,13 +1,15 @@ efcs: &efcs_action !Action <<: [ *action_base, *places ] + Template: *fv3_settings + J_JOB: efcs - walltime: !timedelta 00:15:00 + walltime: !timedelta 00:25:00 efcs_resources: !JobRequest - exe: placeholder mpi_ranks: !calc "layout_x*layout_y*6" OMP_NUM_THREADS: 1 resources: !calc efcs_resources - memory: "3072M" + memory: !calc run_efcs.memory APRUN_FV3: !calc tools.command_without_exe(par,resources,'placeholder') resources_regrid_nemsio: !JobRequest - exe: placeholder @@ -25,9 +27,12 @@ efcs: &efcs_action !Action - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - [ doc.case, "EDATE|SDATE|gfs_cyc" ] + true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE', 'nst_anl', 'zhao_mic' ] + CASE: !calc doc.fv3_enkf_settings.CASE CASE_ENKF: !calc CASE - + CDUMP: gdas + NTASKS_FV3: !calc resources.total_ranks() NTHREADS_FV3: 1 NTHREADS_REGRID_NEMSIO: 1 @@ -40,10 +45,26 @@ efcs: &efcs_action !Action ENSGRP: !expand "{GROUP_NUMBER:02d}" SMOOTH_ENKF: YES - TYPE: doc.fv3_enkf_settings.nh_type + TYPE: !calc doc.fv3_enkf_settings.nh_type VERBOSE: YES WRITE_NEMSIOFILE: YES master_grid: !calc doc.case.master_grid + DO_SKEB: NO + SKEB: -999. + SKEB_TAU: 21600. + SKEB_LSCALE: 500000. + SKEBNORM: 1 + DO_SHUM: YES + SHUM: 0.006 + SHUM_TAU: 21600. + SHUM_LSCALE: 500000. + DO_SPPT: YES + SPPT: 0.5 + SPPT_TAU: 21600. + SPPT_LSCALE: 500000. + SPPT_LOGIT: ".true." + SPPT_SFCLIMIT: ".true." + diff --git a/model/fv3gfs/actions/007_earc.yaml b/model/fv3gfs/actions/007_earc.yaml index 1c92c85..14d72b2 100644 --- a/model/fv3gfs/actions/007_earc.yaml +++ b/model/fv3gfs/actions/007_earc.yaml @@ -5,7 +5,7 @@ earc: &earc_action !Action walltime: !timedelta 00:15:00 resources: !calc run_earc.resources accounting: !calc doc.platform.transfer_accounting - memory: "3072M" + memory: !calc run_earc.memory Inherit: !Inherit - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.fv3_enkf_settings, ".*" ] @@ -13,6 +13,7 @@ earc: &earc_action !Action - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - [ doc.case, "EDATE|SDATE|gfs_cyc" ] + CDUMP: gdas CASE: !calc CASE_ENKF CASE_ENKF: !calc doc.fv3_enkf_settings.CASE GROUP_NUMBER: null # replaced in workflow diff --git a/model/fv3gfs/actions/008_prep.yaml b/model/fv3gfs/actions/008_prep.yaml index a331285..ec80f8b 100644 --- a/model/fv3gfs/actions/008_prep.yaml +++ b/model/fv3gfs/actions/008_prep.yaml @@ -3,7 +3,7 @@ prep: &prep_base !Action J_JOB: prep walltime: !timedelta 01:00:00 resources: !calc run_prep.resources - memory: "3072M" + memory: !calc run_prep.memory CASE_ENKF: !calc doc.fv3_enkf_settings.CASE Template: null diff --git a/model/fv3gfs/actions/009_fcst.yaml b/model/fv3gfs/actions/009_fcst.yaml index 2650b25..e3c7b77 100644 --- a/model/fv3gfs/actions/009_fcst.yaml +++ b/model/fv3gfs/actions/009_fcst.yaml @@ -7,11 +7,12 @@ fcst_base: &fcst_base !Action OMP_NUM_THREADS: 2 walltime: !timedelta 00:10:00 resources: !calc fcst_resources - memory: "3072M" + memory: !calc run_fcst.memory resources_regrid_nemsio: !JobRequest - exe: placeholder mpi_ranks: !calc doc.fv3_settings.LEVS max_ppn: !calc nodes.max_ranks_per_node(fcst_resources[0]) + true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE' ] APRUN_REGRID_NEMSIO: !calc | tools.command_without_exe( diff --git a/model/fv3gfs/actions/010_post.yaml b/model/fv3gfs/actions/010_post.yaml index 8f8b726..db57708 100644 --- a/model/fv3gfs/actions/010_post.yaml +++ b/model/fv3gfs/actions/010_post.yaml @@ -3,7 +3,7 @@ post_base: &post_base !Action J_JOB: post walltime: !timedelta 00:15:00 resources: !calc run_post.resources - memory: "3072M" + memory: !calc run_post.memory APRUN_DWN: !calc tools.command_without_exe(par,resources,'placeholder') APRUN_NP: !calc tools.command_without_exe(par,resources,'placeholder') diff --git a/model/fv3gfs/actions/011_vrfy.yaml b/model/fv3gfs/actions/011_vrfy.yaml index 66de154..6fc8a42 100644 --- a/model/fv3gfs/actions/011_vrfy.yaml +++ b/model/fv3gfs/actions/011_vrfy.yaml @@ -6,7 +6,7 @@ vrfy_base: &vrfy_base !Action J_JOB: vrfy walltime: !timedelta 01:00:00 resources: !calc run_vrfy.resources - memory: "3072M" + memory: !calc run_vrfy.memory CASE_ENKF: !calc doc.fv3_enkf_settings.CASE CDFNL: "gdas" @@ -28,7 +28,6 @@ vrfy_base: &vrfy_base !Action VRFYGMPK: NO # Gempak verification WRITE_NEMSIOFILE: YES assim_freq: 6 - memory_vrfy: "16384M" nst_anl: YES vrfy_gfs_action: &vrfy_gfs_action !Action diff --git a/model/fv3gfs/actions/012_arch.yaml b/model/fv3gfs/actions/012_arch.yaml index fe624a4..212b131 100644 --- a/model/fv3gfs/actions/012_arch.yaml +++ b/model/fv3gfs/actions/012_arch.yaml @@ -3,7 +3,7 @@ arch_base: &arch_base !Action J_JOB: arch walltime: !timedelta 06:00:00 resources: !calc run_arch.resources - memory: "3072M" + memory: !calc run_arch.memory accounting: !calc doc.platform.transfer_accounting CASE_ENKF: "C96" diff --git a/model/fv3gfs/actions/999_final.yaml b/model/fv3gfs/actions/999_final.yaml index 288017d..e767005 100644 --- a/model/fv3gfs/actions/999_final.yaml +++ b/model/fv3gfs/actions/999_final.yaml @@ -2,6 +2,6 @@ final: &final_action !Action <<: *action_base walltime: !timedelta 00:03:00 resources: !calc run_nothing.resources - memory: "100M" + memory: !calc run_nothing.memory accounting: !calc doc.platform.serial_accounting J_JOB: /bin/true diff --git a/model/fv3gfs/actually_run.yaml b/model/fv3gfs/actually_run.yaml index 83405a1..a171258 100644 --- a/model/fv3gfs/actually_run.yaml +++ b/model/fv3gfs/actually_run.yaml @@ -8,11 +8,11 @@ fv3_gfs_settings: &fv3_gfs_settings CDUMP: gfs fv3_enkf_settings: &fv3_enkf_settings - <<: *fv3_settings + <<: [ *fv3_enkf_defaults, *fv3_settings ] Template: *fv3_settings_template CASE: C192 # FV3 horizontal resolution for ensemble (mandatory) CDUMP: gdas - restart_interval: 6 # do not change + QUILTING: NO fv3_gdas_settings: &fv3_gdas_settings <<: *fv3_gfs_settings @@ -35,7 +35,7 @@ data_assimilation: &data_assimilation Template: *data_assimilation_template # Shared parameters/switches DOHYBVAR: YES # optional - NMEM_ENKF: 80 # DO NOT CHANGE (yet) + NMEM_ENKF: 20 # DO NOT CHANGE (yet) NMEM_ENKF_GRP_EOMN: 10 # DO NOT CHANGE (yet) NMEM_ENKF_GRP_EFMN: 10 # DO NOT CHANGE (yet) RECENTER_ENKF: YES # optional @@ -69,7 +69,7 @@ case: ACTUALLY_RUN: YES CYCLING: YES - IC_CDUMP: GDAS # Get initial conditions from 1st cycle from GFS or GDAS + IC_CDUMP: gdas # Get initial conditions from 1st cycle from GFS or GDAS IC_DIR: !FirstTrue - when: !calc doc.platform.name == "THEIA" do: "/scratch4/NCEPDEV/global/noscrub/glopara/ICS/FV3GFS" diff --git a/model/fv3gfs/create_comrot.py b/model/fv3gfs/create_comrot.py index cd38fa0..bbcb76e 100644 --- a/model/fv3gfs/create_comrot.py +++ b/model/fv3gfs/create_comrot.py @@ -9,6 +9,8 @@ def make_link(src,tgt): logger.debug(f'{src}: symlink {tgt}') with suppress(FileNotFoundError): os.unlink(tgt) + if not os.path.exists(src): + logger.warning(f'{src}: link target does not exist') os.symlink(src,tgt) def make_dir(dir): @@ -24,7 +26,7 @@ def create_COMROT(conf): idate = conf.case.SDATE detdir = f'{cdump}.{idate:%Y%m%d}/{idate:%H}' nens = conf.data_assimilation.NMEM_ENKF - enkfdir = f'enkf.{cdump}.{idate:%Y%m%d}.{idate:%H}' + enkfdir = f'enkf.{cdump}.{idate:%Y%m%d}/{idate:%H}' idatestr = f'{idate:%Y%m%d%H}' logger.info(f'Input conditions: {icsdir}') @@ -38,7 +40,7 @@ def create_COMROT(conf): for i in range(1, nens + 1): memdir=os.path.join(comrot,enkfdir,f'mem{i:03d}') make_dir(memdir) - src=os.path.join(icsdir, idatestr, f'c{resens}mem{i:03d}') + src=os.path.join(icsdir, idatestr, f'C{resens}',f'mem{i:03d}','INPUT') tgt=os.path.join(comrot, enkfdir, f'mem{i:03d}', 'INPUT') make_link(src,tgt) diff --git a/model/fv3gfs/fv3_enkf_defaults.yaml b/model/fv3gfs/fv3_enkf_defaults.yaml new file mode 100644 index 0000000..ead091f --- /dev/null +++ b/model/fv3gfs/fv3_enkf_defaults.yaml @@ -0,0 +1,34 @@ +fv3_enkf_defaults: &fv3_enkf_defaults + FHSWR: 3600. + FHLWR: 3600. + IEMS: 1 + ISOL: 2 + IAER: 111 + ICO2: 2 + dspheat: ".true." + shal_cnv: ".false." + FHZER: 6 + FHCYC: 24 + restart_interval: 6 + FHMAX: 6 + +# Stochastic physics parameters (only for ensemble forecasts) + DO_SKEB: NO + SKEB: -999. + SKEB_TAU: 21600. + SKEB_LSCALE: 500000. + SKEBNORM: 1 + DO_SHUM: YES + SHUM: 0.006 + SHUM_TAU: 21600. + SHUM_LSCALE: 500000. + DO_SPPT: YES + SPPT: 0.5 + SPPT_TAU: 21600. + SPPT_LSCALE: 500000. + SPPT_LOGIT: ".true." + SPPT_SFCLIMIT: ".true." + + k_split: 1 + n_split: 12 + consv_te: 1. diff --git a/model/fv3gfs/jobs/efcs.sh b/model/fv3gfs/jobs/efcs.sh index b4b620f..3bf5af4 100755 --- a/model/fv3gfs/jobs/efcs.sh +++ b/model/fv3gfs/jobs/efcs.sh @@ -24,6 +24,7 @@ JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH bool:.true.,.false. from:true_false_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi diff --git a/model/fv3gfs/jobs/fcst.sh b/model/fv3gfs/jobs/fcst.sh index 216603d..742ef83 100755 --- a/model/fv3gfs/jobs/fcst.sh +++ b/model/fv3gfs/jobs/fcst.sh @@ -23,6 +23,7 @@ JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH bool:.true.,.false. from:true_false_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi diff --git a/model/fv3gfs/jobs/job_wrapper.sh b/model/fv3gfs/jobs/job_wrapper.sh index 676bcda..1bd0559 100755 --- a/model/fv3gfs/jobs/job_wrapper.sh +++ b/model/fv3gfs/jobs/job_wrapper.sh @@ -5,17 +5,21 @@ ulimit_s=$( ulimit -S -s ) ulimit -S -s 10000 -source /apps/lmod/lmod/init/sh +source "$BASE_MODULES"/module-setup.sh.inc +module use "$BASE_MODULES" +module load module_base.$( echo $MACHINE | tr A-Z a-z ) # FIXME: Remove hard-coded modules. -module purge module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/python/modulefiles/ +module unload python anaconda module load python/3.6.1-emc # Restore stack soft limit: ulimit -S -s "$ulimit_s" unset ulimit_s +module list + set -xue export PYTHONPATH="$HOMEcrow${PYTHONPATH:+:$PYTHONPATH}" diff --git a/model/fv3gfs/platform.yaml b/model/fv3gfs/platform.yaml index 2192cc8..11c8cfe 100644 --- a/model/fv3gfs/platform.yaml +++ b/model/fv3gfs/platform.yaml @@ -3,7 +3,7 @@ theia: &theia !Platform <<: *resource_defaults Evaluate: false name: THEIA - detect: !calc tools.isdir('/scratch4') and tools.isdir('/scratch3') + detect: !calc tools.isdir("/scratch4") and tools.isdir("/scratch3") BASE_SVN: "/scratch4/NCEPDEV/global/save/glopara/svn" @@ -27,8 +27,8 @@ theia: &theia !Platform prep_step: !expand "{NWPROD}/prod_util.v1.0.15/ush/prep_step" - NCP: "'/bin/cp -p'" - NLN: "'/bin/ln -sf'" + NCP: "/bin/cp -p" + NLN: "/bin/ln -sf" NMV: "/bin/mv" CHGRP_CMD: chgrp rstprod @@ -39,10 +39,10 @@ theia: &theia !Platform queue: debug project: !calc doc.accounting.cpu_project transfer_accounting: - queue: batch + queue: urgent project: !calc doc.accounting.cpu_project parallel_accounting: - queue: batch + queue: urgent project: !calc doc.accounting.cpu_project scheduler_settings: &theia_scheduler @@ -88,8 +88,8 @@ wcoss_cray: &wcoss_cray !Platform name: WCOSS_C Evaluate: false detect: !calc | - tools.isdir('/gpfs/hps') and \ - tools.isfile('/etc/SuSE-release') + tools.isdir("/gpfs/hps") and \ + tools.isfile("/etc/SuSE-release") default_cpu_project: GFS-T2O serial_accounting: queue: dev @@ -134,13 +134,13 @@ wcoss_cray: &wcoss_cray !Platform CHGRP_CMD: chgrp rstprod # Path to mmlsquota, the program used to get GPFS disk usage information: - mmlsquota: '/usr/lpp/mmfs/bin/mmlsquota' + mmlsquota: "/usr/lpp/mmfs/bin/mmlsquota" least_used_scrub: !Immediate - !FirstMax - do: /gpfs/hps2/ptmp - when: !calc tools.gpfs_gb(do,'hps2-ptmp','hps2',mmlsquota) + when: !calc tools.gpfs_gb(do,"hps2-ptmp","hps2",mmlsquota) - do: /gpfs/hps3/ptmp - when: !calc tools.gpfs_gb(do,'hps3-ptmp','hps3',mmlsquota) + when: !calc tools.gpfs_gb(do,"hps3-ptmp","hps3",mmlsquota) platform: !Immediate - !FirstTrue diff --git a/model/fv3gfs/resources.yaml b/model/fv3gfs/resources.yaml index f613cc3..20aa5d4 100644 --- a/model/fv3gfs/resources.yaml +++ b/model/fv3gfs/resources.yaml @@ -8,7 +8,10 @@ resource_defaults: &resource_defaults # From if[[...ecen]] block in config.resources: run_ecen: env: { } #*mpi_tuning - + memory: !FirstTrue + - when: !calc doc.platform.name=='WCOSS_C' + do: "3072M" + - otherwise: "" resources: !JobRequest - exe: placeholder mpi_ranks: 84 @@ -26,11 +29,16 @@ resource_defaults: &resource_defaults run_nothing: # Special placeholder for "do nothing" env: { } + memory: 300M resources: !JobRequest - exe: nothing run_eobs: env: { } + memory: !FirstTrue + - when: !calc doc.platform.name=='WCOSS_C' + do: "3072M" + - otherwise: "" resources: !JobRequest - exe: placeholder mpi_ranks: !calc 24*6 @@ -39,6 +47,10 @@ resource_defaults: &resource_defaults run_eomg: env: { } + memory: !FirstTrue + - when: !calc doc.platform.name=='WCOSS_C' + do: "3072M" + - otherwise: "" resources: !JobRequest - exe: placeholder mpi_ranks: !calc 6*24 @@ -47,6 +59,10 @@ resource_defaults: &resource_defaults run_eupd: env: { } + memory: !FirstTrue + - when: !calc doc.platform.name=='WCOSS_C' + do: "3072M" + - otherwise: "" resources: !JobRequest - exe: placeholder mpi_ranks: !calc 10*12 @@ -63,14 +79,17 @@ resource_defaults: &resource_defaults run_efcs: env: { } - resources: !JobRequest - - exe: placeholder - mpi_ranks: !calc 8*24 - max_ppn: 24 - OMP_NUM_THREADS: 2 + memory: !FirstTrue + - when: !calc doc.platform.name=='WCOSS_C' + do: "3072M" + - otherwise: "" run_epos: env: { } + memory: !FirstTrue + - when: !calc doc.platform.name=='WCOSS_C' + do: "254M" + - otherwise: "" resources: !JobRequest - exe: placeholder mpi_ranks: !calc 7*12 @@ -79,6 +98,10 @@ resource_defaults: &resource_defaults run_prep: env: { } + memory: !FirstTrue + - when: !calc doc.platform.name=='WCOSS_C' + do: "3072M" + - otherwise: "" resources: !JobRequest - exe: placeholder mpi_ranks: 12 @@ -87,6 +110,10 @@ resource_defaults: &resource_defaults run_anal: env: { } + memory: !FirstTrue + - when: !calc doc.platform.name=='WCOSS_C' + do: "3072M" + - otherwise: "" resources: !JobRequest - exe: placeholder mpi_ranks: !calc 24*6 @@ -103,14 +130,17 @@ resource_defaults: &resource_defaults run_fcst: env: { } - resources: !JobRequest - - exe: placeholder - mpi_ranks: !calc 18*12 - max_ppn: 12 - OMP_NUM_THREADS: max + memory: !FirstTrue + - when: !calc doc.platform.name=='WCOSS_C' + do: "3072M" + - otherwise: "" run_post: env: { } + memory: !FirstTrue + - when: !calc doc.platform.name=='WCOSS_C' + do: "3072M" + - otherwise: "" resources: !JobRequest - exe: placeholder mpi_ranks: !calc 6*12 @@ -119,6 +149,10 @@ resource_defaults: &resource_defaults run_vrfy: env: { } + memory: !FirstTrue + - when: !calc doc.platform.name=='WCOSS_C' + do: "3072M" + - otherwise: "16384M" resources: !JobRequest - exe: placeholder mpi_ranks: 1 @@ -127,6 +161,7 @@ resource_defaults: &resource_defaults run_arch: env: { } + memory: "3072M" resources: !JobRequest - exe: placeholder mpi_ranks: 1 @@ -135,6 +170,7 @@ resource_defaults: &resource_defaults run_earc: env: { } + memory: "3072M" resources: !JobRequest - exe: placeholder mpi_ranks: 1 diff --git a/model/fv3gfs/runtime.yaml b/model/fv3gfs/runtime.yaml index 17019c4..2b9c2b6 100644 --- a/model/fv3gfs/runtime.yaml +++ b/model/fv3gfs/runtime.yaml @@ -3,8 +3,8 @@ runtime: &runtime prior_com: !calc tools.env('COMOLDtest') clock: &clock !Clock - start: 2017-08-15t00:00:00 - end: 2017-08-20t18:00:00 + start: !calc doc.case.SDATE + end: !calc doc.case.EDATE step: !timedelta 06:00 now: !FirstTrue - do: !calc tools.from_YMDH(tools.env('CDATE')) diff --git a/model/fv3gfs/setup_expt.py b/model/fv3gfs/setup_expt.py index 53c8813..5de77f2 100755 --- a/model/fv3gfs/setup_expt.py +++ b/model/fv3gfs/setup_expt.py @@ -13,7 +13,7 @@ from crow.config import Platform import crow.metascheduler -logging.basicConfig(stream=sys.stderr,level=logging.INFO, +logging.basicConfig(stream=sys.stderr,level=logging.DEBUG, format='%(module)s:%(lineno)d: %(levelname)8s: %(message)s') logger=logging.getLogger('setup_expt') @@ -28,7 +28,7 @@ yamls = [ 'resources.yaml', 'platform.yaml', ] yamls += sorted(list(glob.glob('validation/*'))) -yamls += [ 'places.yaml', 'settings.yaml' ] +yamls += [ 'places.yaml', 'settings.yaml', 'fv3_enkf_defaults.yaml' ] yamls += sys.argv[1:] + ['runtime.yaml'] yamls += sorted(list(glob.glob('actions/*'))) yamls += ['workflow.yaml'] @@ -62,7 +62,9 @@ expname=conf.case.experiment_name logger.info(f'Experiment name: {expname}') +logger.info(f'Generate suite definition') rocoto_xml=crow.metascheduler.to_rocoto(suite) +logger.info(f'Prepare cached YAML') yaml=crow.config.to_yaml(doc) logger.info(f'Write the config file: {config_yaml}') diff --git a/model/fv3gfs/validation/001_fv3.yaml b/model/fv3gfs/validation/001_fv3.yaml index 81f0526..6a79595 100644 --- a/model/fv3gfs/validation/001_fv3.yaml +++ b/model/fv3gfs/validation/001_fv3.yaml @@ -6,12 +6,12 @@ fv3_settings_template: &fv3_settings_template default: ".true." description: vorticity and divergence damping consv_te: - type: string - default: "0." + type: float + default: 0. description: conserve total energy fv_sg_adj: type: int - default: 900 + default: 450 description: time-scale to remove 2dz instability dspheat: type: string @@ -29,6 +29,26 @@ fv3_settings_template: &fv3_settings_template default: ".true." description: "write velocity restarts on A grid?" + IEMS: { type: int, default: 1 } + IALB: { type: int, default: 1 } + ISOL: { type: int, default: 2 } + IAER: { type: int, default: 111 } + ICO2: { type: int, default: 2 } + + warm_start: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + + read_increment: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + + restart_interval: + type: int + default: 0 + LEVS: type: int description: Number of vertical levels in FV3 @@ -61,23 +81,68 @@ fv3_settings_template: &fv3_settings_template default: "non-mono" allowed: [ mono, non-mono ] - DO_SHUM: { type: bool, default: NO } - DO_SKEB: { type: bool, default: NO } - DO_SPPT: { type: bool, default: NO } - RUN_EFCSGRP: { type: bool, default: NO } + MEMBER: + type: int + stage: [ execution ] + default: -1 + description: "-1: control, 0: ensemble mean, >0: ensemble member $MEMBER" - # Stochastic physics parameters (only for ensemble forecasts) - SET_STP_SEED: { type: bool, default: YES } - SKEB: { type: float, default: 0.8 } - SKEB_TAU: { type: float, default: 21600. } - SKEB_LSCALE: { type: float, default: 500000. } - SKEBNORM: { type: float, default: 1.0 } - SHUM: { type: float, default: 0.006 } - SHUM_TAU: { type: float, default: 21600. } - SHUM_LSCALE: { type: float, default: 250000. } - SPPT: { type: float, default: 0.8 } - SPPT_TAU: { type: float, default: 21600. } - SPPT_LSCALE: { type: float, default: 500000. } + ISEED: + type: int + default: 0 + stage: [ execution ] + description: > + Default seed for shum, skeb, and sppt, if specific seeds + are not specified. + + SET_STP_SEED: + type: bool + default: YES + description: > + Automatically set seeds for SKEB, SHUM, and SPPT at execution + time based on simulation date and member. + + DO_SHUM: + type: bool + default: NO + if_present: !FirstTrue + - when: !calc DO_SHUM + do: + ISEED_SHUM: { type: int, optional: true } + SHUM: { type: float, default: -999. } + SHUM_TAU: { type: float, default: -999. } + SHUM_LSCALE: { type: float, default: -999. } + - otherwise: null + + DO_SKEB: + type: bool + default: NO + if_present: !FirstTrue + - when: !calc DO_SKEB + do: + ISEED_SHUM: { type: int, optional: true } + SKEB_TAU: { type: float, default: -999. } + SKEB_LSCALE: { type: float, default: -999. } + SKEBNORM: { type: int, default: 1 } + - otherwise: null + + DO_SPPT: + type: bool + default: NO + if_present: !FirstTrue + - when: !calc DO_SPPT==True + do: + ISEED_SHUM: { type: int, optional: true } + SPPT: { type: float } + SPPT_TAU: { type: float, default: -999. } + SPPT_LSCALE: { type: float, default: -999. } + SPPT_SFCLIMIT: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + - otherwise: !Message "Don't SPPT" + + RUN_EFCSGRP: { type: bool, default: NO } ncld: { type: int, default: 1 } nwat: { type: int, default: 2 } diff --git a/model/fv3gfs/validation/999_case.yaml b/model/fv3gfs/validation/999_case.yaml index cac03a4..9a28dad 100644 --- a/model/fv3gfs/validation/999_case.yaml +++ b/model/fv3gfs/validation/999_case.yaml @@ -37,8 +37,8 @@ case_template: &case_template if_present: IC_CDUMP: type: string - allowed: [ GDAS, GFS ] - description: Get initial conditions from 1st cycle from GFS or GDAS + allowed: [ gdas, gfs ] + description: Get initial conditions from 1st cycle from gfs or gdas default: GDAS IC_DIR: type: string diff --git a/model/fv3gfs/workflow.yaml b/model/fv3gfs/workflow.yaml index de05c09..8a9314b 100644 --- a/model/fv3gfs/workflow.yaml +++ b/model/fv3gfs/workflow.yaml @@ -28,7 +28,7 @@ Rocoto: &Rocoto {doc.places.BASE_JOB}/job_wrapper.sh {Perform.J_JOB} {doc.case.experiment_name}{task_path_str}@@Y@m@d@H &LOG_DIR;/@Y@m@d@H/{task_path_var}.log - 00:03:00 + {Perform.walltime} {Perform.memory} {sched.rocoto_accounting(Perform.accounting)} {sched.rocoto_resources(Perform.resources)} @@ -50,6 +50,18 @@ Rocoto: &Rocoto TASK_PATH {task_path_var}.Perform + + MACHINE + {doc.platform.name} + + + machine + {doc.platform.name} + + + BASE_MODULES + {doc.places.BASE_MODULES} + CONFIG_YAML {doc.places.EXPDIR}/config.yaml @@ -131,75 +143,96 @@ workflow: !Cycle ens_more: *eomn_task_template Rocoto: !expand "{task_template}{ens_more}" - grp2: !Task - Perform: - <<: *eomg_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 2 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - grp3: !Task - Perform: - <<: *eomg_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 3 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - grp4: !Task - Perform: - <<: *eomg_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 4 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - grp5: !Task - Perform: - <<: *eomg_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 5 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - grp6: !Task - Perform: - <<: *eomg_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 6 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - grp7: !Task - Perform: - <<: *eomg_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 7 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - grp8: !Task - Perform: - <<: *eomg_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 8 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" + grp2: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==20 + do: !Task + Perform: + <<: *eomg_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 2 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp3: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==30 + do: !Task + Perform: + <<: *eomg_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 3 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp4: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==40 + do: !Task + Perform: + <<: *eomg_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 4 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp5: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==50 + do: !Task + Perform: + <<: *eomg_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 5 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp6: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==60 + do: !Task + Perform: + <<: *eomg_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 6 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp7: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==70 + do: !Task + Perform: + <<: *eomg_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 7 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp8: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==80 + do: !Task + Perform: + <<: *eomg_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 8 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null eupd: !Task Perform: *eupd_action @@ -246,75 +279,96 @@ workflow: !Cycle ens_more: *efcs_task_template Rocoto: !expand "{task_template}{ens_more}" - grp2: !Task - Perform: - <<: *efcs_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN - GROUP_NUMBER: 2 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *efcs_task_template - Rocoto: !expand "{task_template}{ens_more}" - - grp3: !Task - Perform: - <<: *efcs_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN - GROUP_NUMBER: 3 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *efcs_task_template - Rocoto: !expand "{task_template}{ens_more}" - - grp4: !Task - Perform: - <<: *efcs_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN - GROUP_NUMBER: 4 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *efcs_task_template - Rocoto: !expand "{task_template}{ens_more}" - - grp5: !Task - Perform: - <<: *efcs_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN - GROUP_NUMBER: 5 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *efcs_task_template - Rocoto: !expand "{task_template}{ens_more}" - - grp6: !Task - Perform: - <<: *efcs_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN - GROUP_NUMBER: 6 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *efcs_task_template - Rocoto: !expand "{task_template}{ens_more}" - - grp7: !Task - Perform: - <<: *efcs_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN - GROUP_NUMBER: 7 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *efcs_task_template - Rocoto: !expand "{task_template}{ens_more}" - - grp8: !Task - Perform: - <<: *efcs_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN - GROUP_NUMBER: 8 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *efcs_task_template - Rocoto: !expand "{task_template}{ens_more}" + grp2: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==20 + do: !Task + Perform: + <<: *efcs_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN + GROUP_NUMBER: 2 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *efcs_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp3: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==30 + do: !Task + Perform: + <<: *efcs_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN + GROUP_NUMBER: 3 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *efcs_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp4: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==40 + do: !Task + Perform: + <<: *efcs_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN + GROUP_NUMBER: 4 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *efcs_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp5: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==50 + do: !Task + Perform: + <<: *efcs_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN + GROUP_NUMBER: 5 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *efcs_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp6: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==60 + do: !Task + Perform: + <<: *efcs_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN + GROUP_NUMBER: 6 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *efcs_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp7: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==70 + do: !Task + Perform: + <<: *efcs_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN + GROUP_NUMBER: 7 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *efcs_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp8: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==80 + do: !Task + Perform: + <<: *efcs_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN + GROUP_NUMBER: 8 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *efcs_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null epos: !Task Perform: *epos_action @@ -396,69 +450,90 @@ workflow: !Cycle task_template: *task_template ens_more: *eomn_task_template Rocoto: !expand "{task_template}{ens_more}" - grp2: !Task - Perform: - <<: *earc_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 2 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - grp3: !Task - Perform: - <<: *earc_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 3 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - grp4: !Task - Perform: - <<: *earc_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 4 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - grp5: !Task - Perform: - <<: *earc_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 5 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - grp6: !Task - Perform: - <<: *earc_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 6 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - grp7: !Task - Perform: - <<: *earc_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 7 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - grp8: !Task - Perform: - <<: *earc_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 8 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" + grp2: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==20 + do: !Task + Perform: + <<: *earc_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 2 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + grp3: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==30 + do: !Task + Perform: + <<: *earc_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 3 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + grp4: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==40 + do: !Task + Perform: + <<: *earc_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 4 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + grp5: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==50 + do: !Task + Perform: + <<: *earc_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 5 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + grp6: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==60 + do: !Task + Perform: + <<: *earc_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 6 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + grp7: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==70 + do: !Task + Perform: + <<: *earc_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 7 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + grp8: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==80 + do: !Task + Perform: + <<: *earc_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 8 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null final: !Task Perform: *final_action From e1fa52ba79359dce3919f236d183861d6dea74ea Mon Sep 17 00:00:00 2001 From: "Tery.McGuinness" Date: Wed, 1 Nov 2017 19:29:12 +0000 Subject: [PATCH 194/487] added tests for Aprun/LSF (not real) and ShellCommand (two tests for HydraIMPI added but still need assertions) --- tests/test_AprunCrayMPI.py | 59 ++++++++++++++++++++++++++++++++++ tests/test_HydraIMPI.py | 65 ++++++++++++++++++++++++++++---------- tests/test_ShellCommand.py | 24 ++++++++++++++ 3 files changed, 131 insertions(+), 17 deletions(-) create mode 100755 tests/test_AprunCrayMPI.py mode change 100644 => 100755 tests/test_HydraIMPI.py create mode 100755 tests/test_ShellCommand.py diff --git a/tests/test_AprunCrayMPI.py b/tests/test_AprunCrayMPI.py new file mode 100755 index 0000000..892afca --- /dev/null +++ b/tests/test_AprunCrayMPI.py @@ -0,0 +1,59 @@ +#! /usr/bin/env python3 + +import unittest +from context import crow + +from crow import config +from crow import metascheduler +from crow.sysenv import JobResourceSpec +from crow.sysenv import get_parallelism +from crow.sysenv import get_scheduler + +class TestHydraIMPI(unittest.TestCase): + @classmethod + def setUpClass(hydra): + + settings={ 'mpi_runner':'mpiexec', + 'physical_cores_per_node':24, + 'logical_cpus_per_core':2, + 'hyperthreading_allowed':True } + + hydra.par=get_parallelism('AprunCrayMPI',settings) + hydra.sch=get_scheduler('LSFAlps',settings) + + def test_HydraIMPI_big(hydra): + ranks=[ { 'mpi_ranks':12, 'hyperthreads':1, 'OMP_NUM_THREADS':4, 'exe':'exe1', + 'HydraIMPI_extra':[ '-gdb', '-envall' ] }, + { 'mpi_ranks':48, 'OMP_NUM_THREADS':1, 'exe':'exe2', + 'HydraIMPI_extra':'-envall' }, + { 'mpi_ranks':200,'hyperthreads':1, 'exe':'exe2' } ] + + jr=JobResourceSpec(ranks) + cmd=hydra.par.make_ShellCommand(jr) + res=hydra.sch.rocoto_resources(jr) + + #print ('\n\nnmax_notMPI ranks:\n',str(ranks) ) + #print ( 'nmax_notMPI cmd :\n',str(cmd) ) + #print ( 'nmax_notMPI res :\n',str(res) ) + +# hydra.assertTrue(str(cmd)=="ShellCommand(command=['mpiexec', '-gdb', '-envall', '-np', '12', '/usr/bin/env', 'OMP_NUM_THREADS=4', 'exe1', ':', '-envall', '-np', '48', '/usr/bin/env', 'OMP_NUM_THREADS=1', 'exe2', ':', '-np', '200', 'exe2'], env=None, cwd=None, files=[ ])") +# hydra.assertTrue(str(res)=='2:ppn=6+2:ppn=24+2:ppn=23+7:ppn=22\n') + + hydra.assertTrue( 'True' == 'True' ) + + def test_HydraIMPI_max_ppn(hydra): + ranks=[ { 'mpi_ranks':12, 'max_ppn':2, 'exe':'doit' }, + { 'mpi_ranks':12, 'max_ppn':4, 'exe':'doit' } ] + + jr=JobResourceSpec(ranks) + cmd=hydra.par.make_ShellCommand(jr) + res=hydra.sch.rocoto_resources(jr) + + #print ('\n\nnmax_notMPI ranks:\n',str(ranks) ) + #print ( 'nmax_notMPI cmd :\n',str(cmd) ) + #print ( 'nmax_notMPI res :\n',str(res) ) + + #hydra.assertTrue(str(cmd)=="ShellCommand(command=['mpiexec', '-np', '12', 'doit', ':', '-np', '12', 'doit'], env=None, cwd=None, files=[ ])") + #hydra.assertTrue(str(res)=='6:ppn=2+3:ppn=4\n') + + hydra.assertTrue( 'True' == 'True' ) diff --git a/tests/test_HydraIMPI.py b/tests/test_HydraIMPI.py old mode 100644 new mode 100755 index 744aa71..a71b09a --- a/tests/test_HydraIMPI.py +++ b/tests/test_HydraIMPI.py @@ -3,15 +3,12 @@ import unittest from context import crow -import sys, os, logging, subprocess - from crow import config from crow import metascheduler from crow.sysenv import JobResourceSpec from crow.sysenv import get_parallelism from crow.sysenv import get_scheduler - class TestHydraIMPI(unittest.TestCase): @classmethod def setUpClass(hydra): @@ -21,22 +18,56 @@ def setUpClass(hydra): 'logical_cpus_per_core':2, 'hyperthreading_allowed':True } - ranks=[ - { 'mpi_ranks':12, 'hyperthreads':1, 'OMP_NUM_THREADS':4, 'exe':'exe1', - 'HydraIMPI_extra':[ '-gdb', '-envall' ] }, - { 'mpi_ranks':48, 'OMP_NUM_THREADS':1, 'exe':'exe2', - 'HydraIMPI_extra':'-envall' }, - { 'mpi_ranks':200,'hyperthreads':1, 'exe':'exe2' } - ] - hydra.par=get_parallelism('HydraIMPI',settings) hydra.sch=get_scheduler('MoabTorque',settings) - hydra.jr=JobResourceSpec(ranks) - def test_HydraIMPI_shellCommand(hydra): - cmd=hydra.par.make_ShellCommand(hydra.jr) + def test_HydraIMPI_big(hydra): + ranks=[ { 'mpi_ranks':12, 'hyperthreads':1, 'OMP_NUM_THREADS':4, 'exe':'exe1', + 'HydraIMPI_extra':[ '-gdb', '-envall' ] }, + { 'mpi_ranks':48, 'OMP_NUM_THREADS':1, 'exe':'exe2', + 'HydraIMPI_extra':'-envall' }, + { 'mpi_ranks':200,'hyperthreads':1, 'exe':'exe2' } ] + + jr=JobResourceSpec(ranks) + cmd=hydra.par.make_ShellCommand(jr) + res=hydra.sch.rocoto_resources(jr) + hydra.assertTrue(str(cmd)=="ShellCommand(command=['mpiexec', '-gdb', '-envall', '-np', '12', '/usr/bin/env', 'OMP_NUM_THREADS=4', 'exe1', ':', '-envall', '-np', '48', '/usr/bin/env', 'OMP_NUM_THREADS=1', 'exe2', ':', '-np', '200', 'exe2'], env=None, cwd=None, files=[ ])") - - def test_HydraIMPI_resource(hydra): - res=hydra.sch.rocoto_resources(hydra.jr) hydra.assertTrue(str(res)=='2:ppn=6+2:ppn=24+2:ppn=23+7:ppn=22\n') + + def test_HydraIMPI_max_ppn(hydra): + ranks=[ { 'mpi_ranks':12, 'max_ppn':2, 'exe':'doit' }, + { 'mpi_ranks':12, 'max_ppn':4, 'exe':'doit' } ] + + jr=JobResourceSpec(ranks) + cmd=hydra.par.make_ShellCommand(jr) + res=hydra.sch.rocoto_resources(jr) + + hydra.assertTrue(str(cmd)=="ShellCommand(command=['mpiexec', '-np', '12', 'doit', ':', '-np', '12', 'doit'], env=None, cwd=None, files=[ ])") + hydra.assertTrue(str(res)=='6:ppn=2+3:ppn=4\n') + + def test_HydraIMPI_max_notMPI(hydra): + ranks=[ { 'OMP_NUM_THREADS':'max', 'exe':'exe1' } ] + + jr=crow.sysenv.JobResourceSpec(ranks) + cmd=hydra.par.make_ShellCommand(jr) + res=hydra.sch.rocoto_resources(jr) + + #print ('\n\nnmax_notMPI ranks:\n',str(ranks) ) + #print ( 'nmax_notMPI cmd :\n',str(cmd) ) + #print ( 'nmax_notMPI res :\n',str(res) ) + + hydra.assertTrue('True' == 'True') + + def test_HydraIMPI_max_OMP_NUM_THREADS(hydra): + ranks=[ { 'mpi_ranks':12, 'OMP_NUM_THREADS':'max', 'exe':'exe1', 'max_ppn':4 } ] + + jr=crow.sysenv.JobResourceSpec(ranks) + cmd=hydra.par.make_ShellCommand(jr) + res=hydra.sch.rocoto_resources(jr) + + #print ('\n\nnmax_OMP ranks:\n',str(ranks) ) + #print ( 'nmax_OMP cmd :\n',str(cmd) ) + #print ( 'nmax_OMP res :\n',str(res) ) + + hydra.assertTrue('True' == 'True') diff --git a/tests/test_ShellCommand.py b/tests/test_ShellCommand.py new file mode 100755 index 0000000..d939f75 --- /dev/null +++ b/tests/test_ShellCommand.py @@ -0,0 +1,24 @@ +#! /usr/bin/env python3 + +import unittest +from context import crow + +from crow.sysenv import ShellCommand + +import os, subprocess + +class TestShellCommand(unittest.TestCase): + + def test_ShellCommand(self): + if os.path.exists('file1'): os.unlink('file1') + if os.path.exists('file2'): os.unlink('file2') + + cmd=ShellCommand([ '/bin/sh','-c', 'cat $FILE1 $FILE2' ] , + files=[ { 'name':'file1', 'content':'hello '} , {'name':'file2', 'content':'world\n'} ], + env={ 'FILE1':'file1', 'FILE2':'file2' } , cwd='.' ) + + result=cmd.run(stdout=subprocess.PIPE,encoding='ascii') + self.assertTrue(result.stdout=='hello world\n') + + if os.path.exists('file1'): os.unlink('file1') + if os.path.exists('file2'): os.unlink('file2') From e4b3da6984c391c531148488098f08f96a9dd024 Mon Sep 17 00:00:00 2001 From: "Tery.McGuinness" Date: Wed, 1 Nov 2017 19:34:37 +0000 Subject: [PATCH 195/487] Added first pass at regresssion test script --- tests/regression/fv3gfs_regression.sh | 212 ++++++++++++++++++++++++++ 1 file changed, 212 insertions(+) create mode 100755 tests/regression/fv3gfs_regression.sh diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh new file mode 100755 index 0000000..0c15b49 --- /dev/null +++ b/tests/regression/fv3gfs_regression.sh @@ -0,0 +1,212 @@ +#!/bin/bash + +CHECKOUT_DIR=$PWD + +CHECKOUT='TRUE' +#CHECKOUT='FALSE' +BUILD='TRUE' +#BUILD='FALSE' +CREATE_EXP='TRUE' +#CREATE_EXP='FALSE' +RUNROCOTO='TRUE' +#RUNROCOTO='FALSE' + +regressionID='svntrunk' +idate='2017073118' +edate='2017080206' + +ICS_dir_cray='/gpfs/hps3/emc/global/noscrub/emc.glopara/ICS' +PTMP_cray='/gpfs/hps3/ptmp' +ICS_dir_theia='/scratch4/NCEPDEV/global/noscrub/glopara/ICS/FV3GFS' +PTMP_theia='/scratch4/NCEPDEV/stmp4' + +#fv3gfs_git_branch='master' +# Leave fv3gfs_svn_url blank to use git branch in fv3gfs_git_branch +fv3gfs_svn_url=' https://svnemc.ncep.noaa.gov/projects/fv3gfs/trunk' +load_rocoto='rocoto/1.2.4' + +log_message () { + logtime=`date` + echo "LOG : $logtime : $1 : $2" + if [[ $1 == "CRITICAL" ]]; then + exit -1 + fi +} + +if [ -d /scratch4/NCEPDEV ]; then + system="theia" +elif [ -d /gpfs/hps3 ]; then + system="cray" +else + log_message "CRITICAL" "Unknown machine $system, not supported" + exit -1 +fi + +module load $load_rocoto +rocotoruncmd=`which rocotorun` +if [[ -z ${rocotoruncmd} ]]; then + log_message "CRITICAL" "module load for rocoto ($load_rocoto) on system failed" +fi + +# system dependent +#----------------- + +if [[ $system != "cray" ]] && [[ $system != 'theia' ]]; then + log_message "CRITICAL" "system setting: $system is not set correctly (only options are cray or theia)" +fi + +if [[ $system == "cray" ]]; then + ICS_dir=$ICS_dir_cray + PTMP=$PTMP_cray +else + ICS_dir=$PTMP_theia + PTMP=$PTMP_theia +fi + +comrot="$PTMP/$USER/fv3gfs_regression_tests" +if [[ -z $comrot ]]; then + log_message "INFO" "createing directory $comrot" + mkdir -p $comrot + if [[ $? == 0 ]]; then + log_message "CRITICAL" "comrot directory base directory did not exsist and could not be crated at: $comrot" + fi +fi + +rocotover=`$rocotoruncmd --version` +log_message "INFO" "using rocoto version $rocotover" +rocotostatcmd=`which rocotostat` + +fv3gfs_ver='v15.0.0' +num_expected_exec='29' + +pslot_basename='fv3gfs' +checkout_dir_basename="${pslot_basename}_sorc_${regressionID}" +pslot="${pslot_basename}_exp_${regressionID}" + +username=`echo ${USER} | tr '[:upper:]' '[:lower:]'` +setup_expt=${CHECKOUT_DIR}/${checkout_dir_basename}/gfs_workflow.${fv3gfs_ver}/ush/setup_expt.py +setup_workflow=${CHECKOUT_DIR}/${checkout_dir_basename}/gfs_workflow.${fv3gfs_ver}/ush/setup_workflow.py +config_dir=${CHECKOUT_DIR}/${checkout_dir_basename}/gfs_workflow.${fv3gfs_ver}/config +comrot_test_dir=$comrot/$pslot + +if [[ $CHECKOUT == 'TRUE' ]]; then + cd ${CHECKOUT_DIR} + if [[ ! -z ${fv3gfs_svn_url} ]]; then + + if [[ -d ${checkout_dir_basename} ]]; then + rm -Rf ${checkout_dir_basename} + fi + log_message "INFO" "checking out fv3gfs from svn $fv3gfs_svn_url" + svn co $fv3gfs_svn_url ${checkout_dir_basename} + + else + + log_message "INFO" "cloneing fvgfs from git with branch $fv3gfs_git_branch" + #git clone http://${username}@vlab.ncep.noaa.gov/git/fv3gfs ${checkout_dir_basename} + git clone ssh://${username}@vlab.ncep.noaa.gov:29418/fv3gfs ${checkout_dir_basename} + + if [[ ! -z "${fv3gfs_git_branch}// }" ]]; then + cd ${checkout_dir_basename} + git checkout remotes/origin/${fv3gfs_git_branch} -b ${fv3gfs_git_branch} + cd ${CHECKOUT_DIR} + fi + + fi +fi + +exp_setup_string="--pslot ${pslot} --icsdir $ICS_dir --configdir ${config_dir} --comrot ${comrot} --idate $idate --edate $edate --expdir ${CHECKOUT_DIR}" +EXP_FULLPATH=${CHECKOUT_DIR}/${pslot} + +if [[ $CREATE_EXP == 'TRUE' ]]; then + + log_message "INFO" "setting up experment: ${setup_expt} ${exp_setup_string}" + removed='' + if [[ -d $EXP_FULLPATH ]]; then + removed='it was present but now has been removed' + fi + rm -Rf $EXP_FULLPATH + log_message "INFO" "experment directory is $EXP_FULLPATH $removed" + removed='' + if [[ -d ${comrot}/${pslot} ]]; then + removed='it was present but now has been removed' + fi + rm -Rf ${comrot}/${pslot} + log_message "INFO" "comrot directory is $EXP_FULLPATH $removed" + + ${setup_expt} ${exp_setup_string} + log_message "INFO" "setting up workflow: ${setup_workflow} --expdir $EXP_FULLPATH" + ${setup_workflow} --expdir $EXP_FULLPATH + +fi + + +if [[ $BUILD == 'TRUE' ]]; then + cd ${checkout_dir_basename}/global_shared.${fv3gfs_ver}/sorc + + log_message "INFO" "running checkout script: $PWD/checkout.sh $username" + ./checkout.sh $username + log_message "INFO" "running build script: $PWD/build_all.sh $system" + ./build_all.sh ${system} + num_shared_exec=`ls -1 ../exec | wc -l` + if [[ $num_shared_exec != $num_expected_exec ]]; then + log_message "WARNING" "number of executables in shared exec: $num_shared_exec was found and was expecting $num_expected_exec" + filepath='../exe' + fullpath=`echo $(cd $(dirname $filepath ) ; pwd ) /$(basename $filepath )` + log_message "WARNING" "check the executables found in: $fullpath" + else + log_message "INFO" "number of executables in shared exec: $num_shared_exec" + fi +fi + +if [[ ! -d ${EXP_FULLPATH} ]]; then + log_message "CRITICAL" "experment directory $EXP_FULLPATH not found" +fi +cd ${EXP_FULLPATH} + +if [[ $RUNROCOTO == 'TRUE' ]]; then +log_message "INFO" "Starting to run fv3gfs cycling regression test run using $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml -v 10" + +$rocotoruncmd -d ${pslot}.db -w ${pslot}.xml +if [[ $? != 0 ]]; then + log_message "CRITICAL" "rocotorun failed on first attempt" +fi +if [[ -d ${pslot}.db ]]; then + log_message "CRITICAL" "rocotorun failed to create database file" +fi +log_message "INFO" "rocotorun successfully ran initial rocoorun to to create database file: ${pslot}.db" + +log_message "INFO" "running: $rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -s -c all | tail -1 | awk '{print $1}'" +lastcycle=`$rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -s -c all | tail -1 | awk '{print $1}'` +if [[ $? != 0 ]]; then + log_message "CRITICAL" "rocotostat failed when determining last cycle in test run" +fi +log_message "INFO" "rocotostat determined that the last cycle in test is: $lastcycle" + +cycling_done="FALSE" +while [ $cycling_done == "FALSE" ]; do + lastcycle_state=`$rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c $lastcycle -s | tail -1 | awk '{print $2}'` + if [[ $lastcycle_state == "Done" ]]; then + break + fi + log_message "INFO" "running: $rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c all" + deadjobs=`$rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c all | awk '$4 == "DEAD" {print $2}'` + if [[ ! -z $deadjobs ]]; then + deadjobs=`echo $deadjobs | tr '\n' ' '` + log_message "CRITICAL" "the following jobs are DEAD: $deadjobs" + fi + deadcycles=`$rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c $lastcycle -s | awk '$2 == "Dead" {print $1}'` + if [[ ! -z $deadcycles ]]; then + log_message "CRITICAL" "the following cycles are not dead: $deadcycles" + fi + $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml + if [[ $? == "0" ]]; then + log_message "INFO" "Successfull: $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml" + else + log_message "WARNING" "FAILED: $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml" + fi + sleep 5m +done + +log_message "INFO" "Rocotorun completed successfully" + +fi From 09a3129da3f6fc3a92cf5270d97a7af1c22970ba Mon Sep 17 00:00:00 2001 From: "Tery.McGuinness" Date: Thu, 2 Nov 2017 16:51:55 +0000 Subject: [PATCH 196/487] cleaned up (fixed names of AprunCrayMPI tests) and added runtime info logging --- tests/test_AprunCrayMPI.py | 60 +++++++++++++++++++++----------------- tests/test_HydraIMPI.py | 44 ++++++++++++++++++++++------ 2 files changed, 68 insertions(+), 36 deletions(-) diff --git a/tests/test_AprunCrayMPI.py b/tests/test_AprunCrayMPI.py index 892afca..0af56a1 100755 --- a/tests/test_AprunCrayMPI.py +++ b/tests/test_AprunCrayMPI.py @@ -1,6 +1,7 @@ #! /usr/bin/env python3 -import unittest +import unittest, os, sys, logging + from context import crow from crow import config @@ -9,51 +10,56 @@ from crow.sysenv import get_parallelism from crow.sysenv import get_scheduler -class TestHydraIMPI(unittest.TestCase): +logging.basicConfig(stream=sys.stderr,level=logging.INFO) +logger = logging.getLogger() + +class TestAprunCrayMPI(unittest.TestCase): @classmethod - def setUpClass(hydra): + def setUpClass(aprun): settings={ 'mpi_runner':'mpiexec', 'physical_cores_per_node':24, 'logical_cpus_per_core':2, 'hyperthreading_allowed':True } - hydra.par=get_parallelism('AprunCrayMPI',settings) - hydra.sch=get_scheduler('LSFAlps',settings) + aprun.par=get_parallelism('AprunCrayMPI',settings) + aprun.sch=get_scheduler('LSFAlps',settings) - def test_HydraIMPI_big(hydra): + def test_AprunCrayMPI_big(aprun): ranks=[ { 'mpi_ranks':12, 'hyperthreads':1, 'OMP_NUM_THREADS':4, 'exe':'exe1', - 'HydraIMPI_extra':[ '-gdb', '-envall' ] }, + 'AprunCrayMPI_extra':[ '-gdb', '-envall' ] }, { 'mpi_ranks':48, 'OMP_NUM_THREADS':1, 'exe':'exe2', - 'HydraIMPI_extra':'-envall' }, + 'AprunCrayMPI_extra':'-envall' }, { 'mpi_ranks':200,'hyperthreads':1, 'exe':'exe2' } ] jr=JobResourceSpec(ranks) - cmd=hydra.par.make_ShellCommand(jr) - res=hydra.sch.rocoto_resources(jr) + cmd=aprun.par.make_ShellCommand(jr) + res=aprun.sch.rocoto_resources(jr) - #print ('\n\nnmax_notMPI ranks:\n',str(ranks) ) - #print ( 'nmax_notMPI cmd :\n',str(cmd) ) - #print ( 'nmax_notMPI res :\n',str(res) ) + if os.environ.get('LOG_LEVEL','None') != "INFO": + logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) + logger.info('\n\nnmax_notMPI ranks:\n'+str(ranks) ) + logger.info( 'nmax_notMPI cmd :\n'+str(cmd) ) + logger.info( 'nmax_notMPI res :\n'+str(res) ) + logging.disable(logging.NOTSET) -# hydra.assertTrue(str(cmd)=="ShellCommand(command=['mpiexec', '-gdb', '-envall', '-np', '12', '/usr/bin/env', 'OMP_NUM_THREADS=4', 'exe1', ':', '-envall', '-np', '48', '/usr/bin/env', 'OMP_NUM_THREADS=1', 'exe2', ':', '-np', '200', 'exe2'], env=None, cwd=None, files=[ ])") -# hydra.assertTrue(str(res)=='2:ppn=6+2:ppn=24+2:ppn=23+7:ppn=22\n') - - hydra.assertTrue( 'True' == 'True' ) + logging.info("assertions not set yet") + aprun.assertTrue( 'True' == 'True' ) - def test_HydraIMPI_max_ppn(hydra): + def test_AprunCrayMPI_max_ppn(aprun): ranks=[ { 'mpi_ranks':12, 'max_ppn':2, 'exe':'doit' }, { 'mpi_ranks':12, 'max_ppn':4, 'exe':'doit' } ] jr=JobResourceSpec(ranks) - cmd=hydra.par.make_ShellCommand(jr) - res=hydra.sch.rocoto_resources(jr) - - #print ('\n\nnmax_notMPI ranks:\n',str(ranks) ) - #print ( 'nmax_notMPI cmd :\n',str(cmd) ) - #print ( 'nmax_notMPI res :\n',str(res) ) + cmd=aprun.par.make_ShellCommand(jr) + res=aprun.sch.rocoto_resources(jr) - #hydra.assertTrue(str(cmd)=="ShellCommand(command=['mpiexec', '-np', '12', 'doit', ':', '-np', '12', 'doit'], env=None, cwd=None, files=[ ])") - #hydra.assertTrue(str(res)=='6:ppn=2+3:ppn=4\n') + if os.environ.get('LOG_LEVEL','None') != "INFO": + logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) + logger.info('\n\nnmax_notMPI ranks:\n'+str(ranks) ) + logger.info( 'nmax_notMPI cmd :\n'+str(cmd) ) + logger.info( 'nmax_notMPI res :\n'+str(res) ) + logging.disable(logging.NOTSET) - hydra.assertTrue( 'True' == 'True' ) + logging.info("assertions not set yet") + aprun.assertTrue( 'True' == 'True' ) diff --git a/tests/test_HydraIMPI.py b/tests/test_HydraIMPI.py index a71b09a..fc97de2 100755 --- a/tests/test_HydraIMPI.py +++ b/tests/test_HydraIMPI.py @@ -1,6 +1,7 @@ #! /usr/bin/env python3 -import unittest +import unittest, os, sys, logging + from context import crow from crow import config @@ -9,6 +10,9 @@ from crow.sysenv import get_parallelism from crow.sysenv import get_scheduler +logging.basicConfig(stream=sys.stderr,level=logging.INFO) +logger = logging.getLogger() + class TestHydraIMPI(unittest.TestCase): @classmethod def setUpClass(hydra): @@ -32,6 +36,13 @@ def test_HydraIMPI_big(hydra): cmd=hydra.par.make_ShellCommand(jr) res=hydra.sch.rocoto_resources(jr) + if os.environ.get('LOG_LEVEL','None') != "INFO": + logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) + logger.info('\n\nbig ranks:\n'+str(ranks) ) + logger.info( 'big cmd :\n'+str(cmd) ) + logger.info( 'big res :\n'+str(res) ) + logging.disable(logging.NOTSET) + hydra.assertTrue(str(cmd)=="ShellCommand(command=['mpiexec', '-gdb', '-envall', '-np', '12', '/usr/bin/env', 'OMP_NUM_THREADS=4', 'exe1', ':', '-envall', '-np', '48', '/usr/bin/env', 'OMP_NUM_THREADS=1', 'exe2', ':', '-np', '200', 'exe2'], env=None, cwd=None, files=[ ])") hydra.assertTrue(str(res)=='2:ppn=6+2:ppn=24+2:ppn=23+7:ppn=22\n') @@ -43,6 +54,13 @@ def test_HydraIMPI_max_ppn(hydra): cmd=hydra.par.make_ShellCommand(jr) res=hydra.sch.rocoto_resources(jr) + if os.environ.get('LOG_LEVEL','None') != "INFO": + logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) + logger.info('\n\nnmax_notMPI ranks:\n'+str(ranks) ) + logger.info( 'nmax_notMPI cmd :\n'+str(cmd) ) + logger.info( 'nmax_notMPI res :\n'+str(res) ) + logging.disable(logging.NOTSET) + hydra.assertTrue(str(cmd)=="ShellCommand(command=['mpiexec', '-np', '12', 'doit', ':', '-np', '12', 'doit'], env=None, cwd=None, files=[ ])") hydra.assertTrue(str(res)=='6:ppn=2+3:ppn=4\n') @@ -53,11 +71,15 @@ def test_HydraIMPI_max_notMPI(hydra): cmd=hydra.par.make_ShellCommand(jr) res=hydra.sch.rocoto_resources(jr) - #print ('\n\nnmax_notMPI ranks:\n',str(ranks) ) - #print ( 'nmax_notMPI cmd :\n',str(cmd) ) - #print ( 'nmax_notMPI res :\n',str(res) ) + if os.environ.get('LOG_LEVEL','None') != "INFO": + logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) + logger.info('\n\nnmax_notMPI ranks:\n'+str(ranks) ) + logger.info( 'nmax_notMPI cmd :\n'+str(cmd) ) + logger.info( 'nmax_notMPI res :\n'+str(res) ) + logging.disable(logging.NOTSET) - hydra.assertTrue('True' == 'True') + hydra.assertTrue(str(cmd)=="ShellCommand(command=['/bin/sh', '-c', 'exe1'], env={'OMP_NUM_THREADS': 24}, cwd=None, files=[ ])") + hydra.assertTrue(str(res)=='1:ppn=2\n') def test_HydraIMPI_max_OMP_NUM_THREADS(hydra): ranks=[ { 'mpi_ranks':12, 'OMP_NUM_THREADS':'max', 'exe':'exe1', 'max_ppn':4 } ] @@ -66,8 +88,12 @@ def test_HydraIMPI_max_OMP_NUM_THREADS(hydra): cmd=hydra.par.make_ShellCommand(jr) res=hydra.sch.rocoto_resources(jr) - #print ('\n\nnmax_OMP ranks:\n',str(ranks) ) - #print ( 'nmax_OMP cmd :\n',str(cmd) ) - #print ( 'nmax_OMP res :\n',str(res) ) + if os.environ.get('LOG_LEVEL','None') != "INFO": + logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) + logger.info('\n\nnmax_OMP ranks:\n'+str(ranks) ) + logger.info ( 'nmax_OMP cmd :\n'+str(cmd) ) + logger.info ( 'nmax_OMP res :\n'+str(res) ) + logging.disable(logging.NOTSET) - hydra.assertTrue('True' == 'True') + hydra.assertTrue(str(cmd)=="ShellCommand(command=['mpiexec', '-np', '12', '/usr/bin/env', 'OMP_NUM_THREADS=6', 'exe1'], env=None, cwd=None, files=[ ])") + hydra.assertTrue(str(res)=='3:ppn=4\n') From 3e327735bfad469e57a224c9ff4f73fdf3394dda Mon Sep 17 00:00:00 2001 From: "Tery.McGuinness" Date: Fri, 3 Nov 2017 18:09:47 +0000 Subject: [PATCH 197/487] end-to-end working version of fv3gfs regression test bash script for current rocoto workflow. This priliminary versoin only does a recursive diff of the comrot dir --- tests/regression/fv3gfs_regression.sh | 228 ++++++++++++++++++-------- 1 file changed, 162 insertions(+), 66 deletions(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index 0c15b49..d008eb1 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -1,15 +1,47 @@ #!/bin/bash +usage () { + echo -e "\033[1mUSAGE:\033[0m\n $0 [[baseline_dir]] [[ compair_dir ]]" + echo -e "\tno arguments : creates a baseline with sorc and exp dir in \$PWD named fvgfs_sorc_baseline fv3gfs_exp_basline respectivly" + echo -e "\tone argument (string) : creates a baseline with sorc and exp dir in \$PWD named fvgfs_sorc_\${string} fv3gfs_exp_\${string} respectivly" + echo -e "\tone argument (dir) : creates a test_run with sorc and exp dir in \$PWD named fvgfs_sorc_testrun fv3gfs_exp_testrun respectivly" + echo -e "\ttwo arguments (dir) (str) : creates a test_run with sorc and exp dir in \$PWD named fvgfs_sorc_\${string} fv3gfs_exp_\${srting} respectivly" + echo -e "\ttwo arguments (dir) (dir) : does a bitwise compair on the gfs files from the first dir to the second" + exit +} + +if [[ "$#" -gt "2" ]] || [[ $1 == '--help' ]]; then + usage +fi + +if [[ "$#" == "2" ]]; then + if [[ ! -d $1 ]] && [[ ! -d $2 ]]; then + usage + fi +fi + +if [[ -f $1 ]] || [[ -f $2 ]]; then + usage +fi + +log_message () { + logtime=`date` + echo "LOG : $logtime : $1 : $2" + if [[ $1 == "CRITICAL" ]]; then + exit -1 + fi +} + CHECKOUT_DIR=$PWD -CHECKOUT='TRUE' -#CHECKOUT='FALSE' -BUILD='TRUE' -#BUILD='FALSE' -CREATE_EXP='TRUE' -#CREATE_EXP='FALSE' -RUNROCOTO='TRUE' +#CHECKOUT='TRUE' +CHECKOUT='FALSE' +#BUILD='TRUE' +BUILD='FALSE' +#CREATE_EXP='TRUE' +CREATE_EXP='FALSE' #RUNROCOTO='FALSE' +RUNROCOTO='TRUE' regressionID='svntrunk' idate='2017073118' @@ -20,26 +52,72 @@ PTMP_cray='/gpfs/hps3/ptmp' ICS_dir_theia='/scratch4/NCEPDEV/global/noscrub/glopara/ICS/FV3GFS' PTMP_theia='/scratch4/NCEPDEV/stmp4' -#fv3gfs_git_branch='master' -# Leave fv3gfs_svn_url blank to use git branch in fv3gfs_git_branch -fv3gfs_svn_url=' https://svnemc.ncep.noaa.gov/projects/fv3gfs/trunk' -load_rocoto='rocoto/1.2.4' +find_data_dir () { + + check_base_line_dir=$1 + + STARTTIME=$(date +%s) + while IFS= read -r -d '' file + do + gfsfile=`basename $file | cut -f 1 -d"."` + if [[ $gfsfile == "enkf" ]]; then + check_real_base_dir=`dirname $file` + echo "dir $check_real_base_dir" + echo "file $file" + if ls $check_real_base_dir/gdas.* 1> /dev/null 2>&1; then + real_base_dir=$check_real_base_dir + break + fi + fi + if [[ $(($ENDTIME - $STARTTIME)) > 41 ]]; then + log_message "CRITICAL" "looking for valid baseline directory put then gave up after a minute" + fi + done < <(find $check_base_line_dir -print0 ) + + if [[ -z $real_base_dir ]]; then + log_message "CRITICAL" "$check_base_line_dir is not a directory with a baseline to test in it" + fi + if [[ $real_base_dir != $check_base_line_dir ]]; then + log_message "WARNING" "given directory did not have gfs data, but subdirectory found that did" + fi + check_base_line_dir=`dirname $file` + log_message "INFO" "found baseline fv3gfs gfs data found in directory: $check_base_line_dir" +} -log_message () { - logtime=`date` - echo "LOG : $logtime : $1 : $2" - if [[ $1 == "CRITICAL" ]]; then - exit -1 +COMPAIR_BASELINE='FALSE' +if [[ ! -d $1 ]] && [[ ! -f $1 ]]; then + if [[ -z $1 ]]; then + regressionID='baseline' + log_message "INFO" "No arguments given assuming to make baseline with default ID '$regressionID'" + else + regressionID=$1 + log_message "INFO" "No baseline specifed, createing baseline with regression ID: $regressionID" fi -} +fi + +if [[ -d $1 ]]; then + check_base_line_dir=`readlink -f $1` + regressionID='baseline' + log_message "INFO" "Running test run agaist regression baseline in directory $check_base_line_dir" + COMPAIR_BASELINE='TRUE' +fi -if [ -d /scratch4/NCEPDEV ]; then - system="theia" -elif [ -d /gpfs/hps3 ]; then - system="cray" +if [[ $COMPAIR_BASELINE == 'TRUE' ]]; then + find_data_dir $check_base_line_dir +fi + +fv3gfs_git_branch='master' +# Leave fv3gfs_svn_url blank to use git branch in fv3gfs_git_branch +fv3gfs_svn_url='' +load_rocoto='rocoto/1.2.4' + +if [[ -d /scratch4/NCEPDEV ]]; then + system="theia" +elif [[ -d /gpfs/hps3 ]]; then + system="cray" else - log_message "CRITICAL" "Unknown machine $system, not supported" - exit -1 + log_message "CRITICAL" "Unknown machine $system, not supported" + exit -1 fi module load $load_rocoto @@ -102,7 +180,6 @@ if [[ $CHECKOUT == 'TRUE' ]]; then else log_message "INFO" "cloneing fvgfs from git with branch $fv3gfs_git_branch" - #git clone http://${username}@vlab.ncep.noaa.gov/git/fv3gfs ${checkout_dir_basename} git clone ssh://${username}@vlab.ncep.noaa.gov:29418/fv3gfs ${checkout_dir_basename} if [[ ! -z "${fv3gfs_git_branch}// }" ]]; then @@ -131,7 +208,7 @@ if [[ $CREATE_EXP == 'TRUE' ]]; then removed='it was present but now has been removed' fi rm -Rf ${comrot}/${pslot} - log_message "INFO" "comrot directory is $EXP_FULLPATH $removed" + log_message "INFO" "comrot directory is ${comrot}/${pslot} $removed" ${setup_expt} ${exp_setup_string} log_message "INFO" "setting up workflow: ${setup_workflow} --expdir $EXP_FULLPATH" @@ -139,7 +216,6 @@ if [[ $CREATE_EXP == 'TRUE' ]]; then fi - if [[ $BUILD == 'TRUE' ]]; then cd ${checkout_dir_basename}/global_shared.${fv3gfs_ver}/sorc @@ -164,49 +240,69 @@ fi cd ${EXP_FULLPATH} if [[ $RUNROCOTO == 'TRUE' ]]; then -log_message "INFO" "Starting to run fv3gfs cycling regression test run using $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml -v 10" -$rocotoruncmd -d ${pslot}.db -w ${pslot}.xml -if [[ $? != 0 ]]; then - log_message "CRITICAL" "rocotorun failed on first attempt" -fi -if [[ -d ${pslot}.db ]]; then - log_message "CRITICAL" "rocotorun failed to create database file" -fi -log_message "INFO" "rocotorun successfully ran initial rocoorun to to create database file: ${pslot}.db" + log_message "INFO" "Starting to run fv3gfs cycling regression test run using $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml -v 10" -log_message "INFO" "running: $rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -s -c all | tail -1 | awk '{print $1}'" -lastcycle=`$rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -s -c all | tail -1 | awk '{print $1}'` -if [[ $? != 0 ]]; then - log_message "CRITICAL" "rocotostat failed when determining last cycle in test run" -fi -log_message "INFO" "rocotostat determined that the last cycle in test is: $lastcycle" + $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml + if [[ $? != 0 ]]; then + log_message "CRITICAL" "rocotorun failed on first attempt" + fi + if [[ -d ${pslot}.db ]]; then + log_message "CRITICAL" "rocotorun failed to create database file" + fi + log_message "INFO" "rocotorun successfully ran initial rocoorun to to create database file: ${pslot}.db" -cycling_done="FALSE" -while [ $cycling_done == "FALSE" ]; do - lastcycle_state=`$rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c $lastcycle -s | tail -1 | awk '{print $2}'` - if [[ $lastcycle_state == "Done" ]]; then - break - fi - log_message "INFO" "running: $rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c all" - deadjobs=`$rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c all | awk '$4 == "DEAD" {print $2}'` - if [[ ! -z $deadjobs ]]; then - deadjobs=`echo $deadjobs | tr '\n' ' '` - log_message "CRITICAL" "the following jobs are DEAD: $deadjobs" - fi - deadcycles=`$rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c $lastcycle -s | awk '$2 == "Dead" {print $1}'` - if [[ ! -z $deadcycles ]]; then - log_message "CRITICAL" "the following cycles are not dead: $deadcycles" - fi - $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml - if [[ $? == "0" ]]; then - log_message "INFO" "Successfull: $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml" - else - log_message "WARNING" "FAILED: $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml" - fi - sleep 5m -done + log_message "INFO" "running: $rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -s -c all | tail -1 | awk '{print $1}'" + lastcycle=`$rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -s -c all | tail -1 | awk '{print $1}'` + if [[ $? != 0 ]]; then + log_message "CRITICAL" "rocotostat failed when determining last cycle in test run" + fi + log_message "INFO" "rocotostat determined that the last cycle in test is: $lastcycle" + + cycling_done="FALSE" + while [ $cycling_done == "FALSE" ]; do + lastcycle_state=`$rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c $lastcycle -s | tail -1 | awk '{print $2}'` + if [[ $lastcycle_state == "Done" ]]; then + break + fi + log_message "INFO" "running: $rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c all" + deadjobs=`$rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c all | awk '$4 == "DEAD" {print $2}'` + if [[ ! -z $deadjobs ]]; then + deadjobs=`echo $deadjobs | tr '\n' ' '` + log_message "CRITICAL" "the following jobs are DEAD: $deadjobs" + fi + deadcycles=`$rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c $lastcycle -s | awk '$2 == "Dead" {print $1}'` + if [[ ! -z $deadcycles ]]; then + log_message "CRITICAL" "the following cycles are not dead: $deadcycles" + fi + $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml + if [[ $? == "0" ]]; then + log_message "INFO" "Successfull: $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml" + else + log_message "WARNING" "FAILED: $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml" + fi + sleep 5m + done + + log_message "INFO" "Rocotorun completed successfully" -log_message "INFO" "Rocotorun completed successfully" +fi +diff_file_name="${CHECKOUT_DIR}/diff_file_list_${regressionID}.txt" +if [[ $COMPAIR_BASELINE == 'TRUE' ]]; then + log_message "INFO" "doing the diff compair in $check_base_line_dir against $comrot_test_dir" + if [[ ! -d $check_base_line_dir ]] || [[ ! -d $comrot_test_dir ]]; then + log_message "CRITICAL" "One of the target directories does not exist" + fi + log_message "INFO" "Moving to direcotry $comrot to do the compare" + if [[ -d $comrot ]]; then + cd $comrot + else + log_message "CRITICAL" "The directory $comrot does not exsist" + fi + check_base_line_dir_basename=`basename $check_base_line_dir` + comrot_test_dir_basename=`basename $comrot_test_dir` + log_message "INFO" "running command: diff --brief -Nr --exclude \"*.log*\" $check_base_line_dir_basename $comrot_test_dir_basename >& $$diff_file_name" + diff --brief -Nr --exclude "*.log*" $check_base_line_dir_basename $comrot_test_dir_basename > ${diff_file_name} 2>&1 + log_message "INFO" "completed runing diff for fv3gfs regression test ($regressionID) resluts in file: $diff_file_name" fi From d85216dee6bd373dbf2d74ca1620cde2e8bed129 Mon Sep 17 00:00:00 2001 From: "Tery.McGuinness" Date: Fri, 3 Nov 2017 18:23:03 +0000 Subject: [PATCH 198/487] updated default settings to regression test script --- tests/regression/fv3gfs_regression.sh | 14 +++++++------- 1 file changed, 7 insertions(+), 7 deletions(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index d008eb1..b228b09 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -34,14 +34,14 @@ log_message () { CHECKOUT_DIR=$PWD -#CHECKOUT='TRUE' -CHECKOUT='FALSE' -#BUILD='TRUE' -BUILD='FALSE' -#CREATE_EXP='TRUE' -CREATE_EXP='FALSE' -#RUNROCOTO='FALSE' +CHECKOUT='TRUE' +#CHECKOUT='FALSE' +BUILD='TRUE' +#BUILD='FALSE' +CREATE_EXP='TRUE' +#CREATE_EXP='FALSE' RUNROCOTO='TRUE' +#RUNROCOTO='FALSE' regressionID='svntrunk' idate='2017073118' From 2f68af8a2f05090e04f8a000809c464bf62d776e Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Fri, 3 Nov 2017 19:52:50 +0000 Subject: [PATCH 199/487] mistake fixed with assiging IC on thiea --- tests/regression/fv3gfs_regression.sh | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index b228b09..d97f862 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -137,7 +137,7 @@ if [[ $system == "cray" ]]; then ICS_dir=$ICS_dir_cray PTMP=$PTMP_cray else - ICS_dir=$PTMP_theia + ICS_dir=$ICS_dir_theia PTMP=$PTMP_theia fi From 93439f866745a0f881807aa470224559c060bc78 Mon Sep 17 00:00:00 2001 From: Kenneth Hammett Jr Date: Fri, 3 Nov 2017 16:58:36 -0400 Subject: [PATCH 200/487] Moving unittests to new directory, parallel to regression test. --- tests/unittests/__init__.py | 0 tests/unittests/context.py | 5 +++++ tests/{ => unittests}/run_tests.sh | 0 tests/{ => unittests}/test_AprunCrayMPI.py | 0 tests/{ => unittests}/test_HydraIMPI.py | 0 tests/{ => unittests}/test_ShellCommand.py | 0 tests/{ => unittests}/test_exampleConfig.py | 10 +++++----- tests/{ => unittests}/test_jobs.py | 0 tests/{ => unittests}/test_simplify.py | 0 tests/{ => unittests}/test_util.py | 0 10 files changed, 10 insertions(+), 5 deletions(-) create mode 100644 tests/unittests/__init__.py create mode 100644 tests/unittests/context.py rename tests/{ => unittests}/run_tests.sh (100%) rename tests/{ => unittests}/test_AprunCrayMPI.py (100%) rename tests/{ => unittests}/test_HydraIMPI.py (100%) rename tests/{ => unittests}/test_ShellCommand.py (100%) rename tests/{ => unittests}/test_exampleConfig.py (92%) rename tests/{ => unittests}/test_jobs.py (100%) rename tests/{ => unittests}/test_simplify.py (100%) rename tests/{ => unittests}/test_util.py (100%) diff --git a/tests/unittests/__init__.py b/tests/unittests/__init__.py new file mode 100644 index 0000000..e69de29 diff --git a/tests/unittests/context.py b/tests/unittests/context.py new file mode 100644 index 0000000..bddfea6 --- /dev/null +++ b/tests/unittests/context.py @@ -0,0 +1,5 @@ +import os +import sys +sys.path.insert(0, os.path.abspath(os.path.join(os.path.dirname(__file__), '../..'))) +#print(sys.path) +import crow diff --git a/tests/run_tests.sh b/tests/unittests/run_tests.sh similarity index 100% rename from tests/run_tests.sh rename to tests/unittests/run_tests.sh diff --git a/tests/test_AprunCrayMPI.py b/tests/unittests/test_AprunCrayMPI.py similarity index 100% rename from tests/test_AprunCrayMPI.py rename to tests/unittests/test_AprunCrayMPI.py diff --git a/tests/test_HydraIMPI.py b/tests/unittests/test_HydraIMPI.py similarity index 100% rename from tests/test_HydraIMPI.py rename to tests/unittests/test_HydraIMPI.py diff --git a/tests/test_ShellCommand.py b/tests/unittests/test_ShellCommand.py similarity index 100% rename from tests/test_ShellCommand.py rename to tests/unittests/test_ShellCommand.py diff --git a/tests/test_exampleConfig.py b/tests/unittests/test_exampleConfig.py similarity index 92% rename from tests/test_exampleConfig.py rename to tests/unittests/test_exampleConfig.py index c20fe78..e8cac34 100755 --- a/tests/test_exampleConfig.py +++ b/tests/unittests/test_exampleConfig.py @@ -10,10 +10,10 @@ class TestExampleConfig(unittest.TestCase): def setUp(self): - self.config=crow.config.from_file('./test_data/toy-yaml/test.yml', - './test_data/toy-yaml/platform.yml', - './test_data/toy-yaml/templates.yml', - './test_data/toy-yaml/actions.yml') + self.config=crow.config.from_file('../test_data/toy-yaml/test.yml', + '../test_data/toy-yaml/platform.yml', + '../test_data/toy-yaml/templates.yml', + '../test_data/toy-yaml/actions.yml') crow.config.validate(self.config.fcst) crow.config.validate(self.config.test) crow.config.validate(self.config.gfsfcst) @@ -95,7 +95,7 @@ def test_inline_namelist(self): namelist".format(line, cmpline[lnum])) def test_file_namelist(self): - with open('test_data/toy-yaml/namelist.nl','rt') as fd: + with open('../test_data/toy-yaml/namelist.nl','rt') as fd: namelist_nl=fd.read() namelist2_for_test = crow.config.expand_text(namelist_nl,self.config.fcst) diff --git a/tests/test_jobs.py b/tests/unittests/test_jobs.py similarity index 100% rename from tests/test_jobs.py rename to tests/unittests/test_jobs.py diff --git a/tests/test_simplify.py b/tests/unittests/test_simplify.py similarity index 100% rename from tests/test_simplify.py rename to tests/unittests/test_simplify.py diff --git a/tests/test_util.py b/tests/unittests/test_util.py similarity index 100% rename from tests/test_util.py rename to tests/unittests/test_util.py From 3c697329ec7e92bec2eaf1f90ecfdb0a513534de Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Sun, 5 Nov 2017 18:53:41 +0000 Subject: [PATCH 201/487] many things almost work in fv3gfs workflow. First half-cycle works completely --- crow/config/eval_tools.py | 1 + crow/config/represent.py | 3 +- crow/config/template.py | 12 +- model/fv3gfs/_main.yaml | 11 + model/fv3gfs/actions.yaml | 141 ---- model/fv3gfs/actions/_main.yaml | 4 + .../actions/{002_anal.yaml => anal.yaml} | 13 +- .../actions/{012_arch.yaml => arch.yaml} | 10 +- .../actions/{000_base.yaml => base.yaml} | 7 + .../actions/{007_earc.yaml => earc.yaml} | 2 + .../actions/{001_ecen.yaml => ecen.yaml} | 2 + .../actions/{006_efcs.yaml => efcs.yaml} | 0 .../actions/{003_eobs.yaml => eobs.yaml} | 4 +- .../actions/{004_eomg.yaml => eomg.yaml} | 1 + .../actions/{002_epos.yaml => epos.yaml} | 4 +- .../actions/{005_eupd.yaml => eupd.yaml} | 1 + .../actions/{009_fcst.yaml => fcst.yaml} | 21 +- .../actions/{999_final.yaml => final.yaml} | 0 .../actions/{010_post.yaml => post.yaml} | 4 +- .../actions/{008_prep.yaml => prep.yaml} | 15 +- .../actions/{011_vrfy.yaml => vrfy.yaml} | 2 + .../FV3_GFS@C384_ENKF@C192-20MEM.yaml} | 27 +- .../technical-test.yaml} | 0 .../fv3_enkf.yaml} | 0 model/fv3gfs/{ => defaults}/places.yaml | 35 +- model/fv3gfs/{ => defaults}/settings.yaml | 0 model/fv3gfs/example.xml | 679 ------------------ model/fv3gfs/jobs/anal.sh | 16 +- model/fv3gfs/jobs/arch.sh | 80 ++- model/fv3gfs/jobs/drive_makeprepbufr.sh | 108 +++ model/fv3gfs/jobs/earc.sh | 73 +- model/fv3gfs/jobs/ecen.sh | 6 +- model/fv3gfs/jobs/efcs.sh | 22 +- model/fv3gfs/jobs/eobs.sh | 14 +- model/fv3gfs/jobs/eomg.sh | 16 +- model/fv3gfs/jobs/epos.sh | 14 +- model/fv3gfs/jobs/eupd.sh | 22 +- model/fv3gfs/jobs/fcst.sh | 60 +- model/fv3gfs/jobs/fv3ic.sh | 19 +- model/fv3gfs/jobs/getic.sh | 118 +-- model/fv3gfs/jobs/post.sh | 65 +- model/fv3gfs/jobs/prep.sh | 20 +- model/fv3gfs/jobs/vrfy.sh | 34 +- model/fv3gfs/{platform.yaml => main.yaml} | 0 model/fv3gfs/platforms/_main.yaml | 3 + model/fv3gfs/platforms/choice.yaml | 11 + model/fv3gfs/platforms/theia.yaml | 87 +++ model/fv3gfs/platforms/wcoss_cray.yaml | 59 ++ model/fv3gfs/{ => resources}/resources.yaml | 4 +- model/fv3gfs/runtime/_main.yaml | 3 + .../cycled_workflow.yaml} | 115 +-- model/fv3gfs/runtime/rocoto.yaml | 113 +++ model/fv3gfs/{ => runtime}/runtime.yaml | 0 model/fv3gfs/setup_case.py | 146 ++++ model/fv3gfs/user.yaml.default | 12 + model/fv3gfs/validation/_main.yaml | 4 + .../{004_accounting.yaml => accounting.yaml} | 0 .../validation/{999_case.yaml => case.yaml} | 12 - .../{006_chgres.yaml => chgres.yaml} | 0 ...similation.yaml => data_assimilation.yaml} | 16 +- .../validation/{001_fv3.yaml => fv3.yaml} | 16 +- model/fv3gfs/validation/obsproc.yaml | 119 +++ .../{003_gfs_output.yaml => output.yaml} | 13 + .../validation/{002_vrfy.yaml => vrfy.yaml} | 32 +- model/fv3gfs/validation/workflow.yaml | 15 + to_sh.py | 32 +- 66 files changed, 1217 insertions(+), 1281 deletions(-) create mode 100644 model/fv3gfs/_main.yaml delete mode 100644 model/fv3gfs/actions.yaml create mode 100644 model/fv3gfs/actions/_main.yaml rename model/fv3gfs/actions/{002_anal.yaml => anal.yaml} (77%) rename model/fv3gfs/actions/{012_arch.yaml => arch.yaml} (83%) rename model/fv3gfs/actions/{000_base.yaml => base.yaml} (83%) rename model/fv3gfs/actions/{007_earc.yaml => earc.yaml} (92%) rename model/fv3gfs/actions/{001_ecen.yaml => ecen.yaml} (95%) rename model/fv3gfs/actions/{006_efcs.yaml => efcs.yaml} (100%) rename model/fv3gfs/actions/{003_eobs.yaml => eobs.yaml} (84%) rename model/fv3gfs/actions/{004_eomg.yaml => eomg.yaml} (92%) rename model/fv3gfs/actions/{002_epos.yaml => epos.yaml} (90%) rename model/fv3gfs/actions/{005_eupd.yaml => eupd.yaml} (93%) rename model/fv3gfs/actions/{009_fcst.yaml => fcst.yaml} (80%) rename model/fv3gfs/actions/{999_final.yaml => final.yaml} (100%) rename model/fv3gfs/actions/{010_post.yaml => post.yaml} (91%) rename model/fv3gfs/actions/{008_prep.yaml => prep.yaml} (83%) rename model/fv3gfs/actions/{011_vrfy.yaml => vrfy.yaml} (96%) rename model/fv3gfs/{actually_run.yaml => cases/FV3_GFS@C384_ENKF@C192-20MEM.yaml} (80%) rename model/fv3gfs/{just_testing.yaml => cases/technical-test.yaml} (100%) rename model/fv3gfs/{fv3_enkf_defaults.yaml => defaults/fv3_enkf.yaml} (100%) rename model/fv3gfs/{ => defaults}/places.yaml (73%) rename model/fv3gfs/{ => defaults}/settings.yaml (100%) delete mode 100644 model/fv3gfs/example.xml create mode 100755 model/fv3gfs/jobs/drive_makeprepbufr.sh rename model/fv3gfs/{platform.yaml => main.yaml} (100%) create mode 100644 model/fv3gfs/platforms/_main.yaml create mode 100644 model/fv3gfs/platforms/choice.yaml create mode 100644 model/fv3gfs/platforms/theia.yaml create mode 100644 model/fv3gfs/platforms/wcoss_cray.yaml rename model/fv3gfs/{ => resources}/resources.yaml (98%) create mode 100644 model/fv3gfs/runtime/_main.yaml rename model/fv3gfs/{workflow.yaml => runtime/cycled_workflow.yaml} (82%) create mode 100644 model/fv3gfs/runtime/rocoto.yaml rename model/fv3gfs/{ => runtime}/runtime.yaml (100%) create mode 100755 model/fv3gfs/setup_case.py create mode 100644 model/fv3gfs/user.yaml.default create mode 100644 model/fv3gfs/validation/_main.yaml rename model/fv3gfs/validation/{004_accounting.yaml => accounting.yaml} (100%) rename model/fv3gfs/validation/{999_case.yaml => case.yaml} (72%) rename model/fv3gfs/validation/{006_chgres.yaml => chgres.yaml} (100%) rename model/fv3gfs/validation/{005_data_assimilation.yaml => data_assimilation.yaml} (63%) rename model/fv3gfs/validation/{001_fv3.yaml => fv3.yaml} (97%) create mode 100644 model/fv3gfs/validation/obsproc.yaml rename model/fv3gfs/validation/{003_gfs_output.yaml => output.yaml} (79%) rename model/fv3gfs/validation/{002_vrfy.yaml => vrfy.yaml} (90%) create mode 100644 model/fv3gfs/validation/workflow.yaml diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 5ad4cf2..19453b4 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -213,6 +213,7 @@ def _validate(self,stage,memo=None): if 'Template' in self: tmpl=self.Template if not tmpl: return + if not isinstance(tmpl,Mapping): return if not hasattr(tmpl,'_check_scope'): tmpl=Template(tmpl,self._path+'.Template',self.__globals) tmpl._check_scope(self,stage) diff --git a/crow/config/represent.py b/crow/config/represent.py index a03cce6..455eaea 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -115,7 +115,8 @@ def _result(self,globals,locals): self.__result=values[idx] _logger.debug(f'{self._path}: result index {idx}: {self.__result!r}') if 'message' in self[idx]: - _logger.info(f'{self._path}[{idx}]: {self[idx].message}') + message=from_config('message',self[idx].message,globals,locals,self._path) + _logger.info(f'{self._path}[{idx}]: {message}') assert(self.__result is not Conditional.MISSING) return self.__result diff --git a/crow/config/template.py b/crow/config/template.py index 06f3d36..c3193ad 100644 --- a/crow/config/template.py +++ b/crow/config/template.py @@ -36,6 +36,11 @@ def _update(self,target,globals,locals,stage,memo): if key not in IGNORE_WHILE_INHERITING and \ re.search(regex,key) and key not in target: target._raw_child()[key]=scope._raw_child()[key] + # except (IndexError,AttributeError,TypeError,ValueError) as pye: + # msg=f'{target._path}: when including {scope._path}:'\ + # f'{type(pye).__name__}: {pye}' + # errors.append(msg) + # _logger.debug(msg,exc_info=True) except TemplateErrors as te: errors.append(f'{target._path}: when including {scope._path}') errors.extend(te.template_errors) @@ -80,14 +85,17 @@ def _check_scope(self,scope,stage): validate_var(scope._path,scheme,var,scope[var]) if 'if_present' in scheme: - _logger.debug(f'{scope._path}.{var}: evaluate if_present {scheme._raw("if_present")._path}') + _logger.debug(f'{scope._path}.{var}: evaluate if_present ' + f'{scheme._raw("if_present")._path}') ip=from_config( var,scheme._raw('if_present'),self._globals(),scope, f'{scope._path}.{var}') _logger.debug(f'{scope._path}.{var}: result = {ip!r}') if not ip: continue if hasattr(ip,'_path'): - _logger.debug(f'{scope._path}.{var}: present ({scope._raw(var)!r}); add {ip._path} to validation') + _logger.debug( + f'{scope._path}.{var}: present ({scope._raw(var)!r}); ' + f'add {ip._path} to validation') if not isinstance(ip,Mapping): continue new_template=Template(ip._raw_child()) new_template.update(template) diff --git a/model/fv3gfs/_main.yaml b/model/fv3gfs/_main.yaml new file mode 100644 index 0000000..d77c3b8 --- /dev/null +++ b/model/fv3gfs/_main.yaml @@ -0,0 +1,11 @@ +case_yaml: null # replaced by setup_case.py +user_yaml: null # replaced by setup_case.py +include: + - resources + - platforms + - validation + - defaults + - !calc doc.user_yaml + - !calc doc.case_yaml + - actions + - runtime diff --git a/model/fv3gfs/actions.yaml b/model/fv3gfs/actions.yaml deleted file mode 100644 index c3d5465..0000000 --- a/model/fv3gfs/actions.yaml +++ /dev/null @@ -1,141 +0,0 @@ - - -post: &post_action !Action - <<: *action_template - J_JOB: post - walltime: !timedelta 00:15:00 -# resources: !calc run_post - resources: !calc run_test.resources - memory: "3072M" - APRUN_DWN: "'mpirun -np 72'" - APRUN_NP: "'mpirun -np 72'" - CASE_ENKF: !calc doc.fv3_enkf_settings.CASE - CNVGRIB: !calc doc.places.CNVGRIB - COPYGB: !calc doc.places.COPYGB - COPYGB2: !calc doc.places.COPYGB2 - DMPDIR: !calc doc.places.DMPDIR - DOHYBVAR: !calc doc.case.DOHYBVAR - DO_GDAS_FCST_POST: YES - EDATE: !calc doc.case.EDATE - FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" - FORECASTSH: !expand "{doc.places.BASE_GSM}/scripts/exglobal_fcst_nemsfv3gfs.sh" - FCSTEXECDIR: !expand "{doc.places.BASE_NEMSfv3gfs}/NEMS/exe" - FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" - FLXF: YES - GFSDOWNSH: !expand "{doc.places.BASE_GSM}/ush/fv3gfs_downstream_nems.sh" - GFSDWNSH: !expand "{doc.places.BASE_GSM}/ush/fv3gfs_dwn_nems.sh" - GFS_DOWNSTREAM: YES - GOESF: NO - GPOST: YES - GRB2INDEX: "/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" - GRBINDEX: "/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grbindex" - GRBINDEX2: "/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/grb2index" - GTGF: NO - HOMEDIR: !calc doc.places.HOMEDIR - KEEPDATA: NO - LEVS: !calc doc.fv3_settings.LEVS - MPI_BUFS_PER_HOST: !calc doc.platform.MPI_BUFS_PER_HOST - MPI_BUFS_PER_PROC: !calc doc.platform.MPI_BUFS_PER_PROC - MPI_GROUP_MAX: !calc doc.platform.MPI_GROUP_MAX - MPI_MEMMAP_OFF: !calc doc.platform.MPI_MEMMAP_OFF - MP_STDOUTMODE: !calc doc.platform.MP_STDOUTMODE - MYBASE_SVN: !calc doc.places.MYBASE_SVN - NCO_NAMING_CONV: !calc doc.platform.NCO_NAMING_CONV - NCP: !calc doc.platform.NCP - NDATE: !calc doc.platform.NDATE - NEMSIOGET: !calc doc.platform.NEMSIOGET - NHOUR: !calc doc.platform.NHOUR - NLN: !calc doc.platform.NLN - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMV: !calc doc.platform.NMV - NOSCRUB: !calc doc.case.NOSCRUB - NTHREADS_DWN: 2 - NTHREADS_NP: 1 - NTHSTACK: 1024000000 - NWPROD: !calc doc.platform.NWPROD - OMP_STACKSIZE: !calc doc.platform.OMP_STACKSIZE - OUTPUT_GRID: "gaussian_grid" - POSTJJOBSH: !expand "{doc.places.BASE_WORKFLOW}/jobs/JGFS_POST.sh" - POSTGPSH: !expand "{doc.places.BASE_POST}/ush/global_nceppost.sh" - POSTGRB2TBL: !calc doc.places.POSTGRB2TBL - POSTGPEXEC: !expand "{doc.places.BASE_POST}/exec/ncep_post" - PSLOT: !calc doc.places.PSLOT - QUILTING: YES - REALTIME: !calc doc.case.REALTIME - RECENTER_ENKF: !calc doc.case.RECENTER_ENKF - ROTDIR: !calc doc.case.rundir - npe_post: !calc resources[0].mpi_ranks - npe_postgp: !calc npe_post - nth_postgp: 1 - GFS_DOWNSTREAM: "YES" - GFSDOWNSH: !expand "{doc.places.BASE_WORKFLOW}/ush/fv3gfs_downstream_nems.sh" - GFSDWNSH: !expand "{doc.places.BASE_WORKFLOW}/ush/fv3gfs_dwn_nems.sh" - downset: 1 - npe_dwn: !calc npe_post - nth_dwn: 2 - nth_post: 2 - -arch: &arch_action !Action - <<: *action_template - J_JOB: arch - walltime: !timedelta 06:00:00 - resources: !calc run_arch.resources - memory: "3072M" - accounting: !calc doc.platform.transfer_accounting - -vrfy: &vrfy_action !Action - <<: [ *case, *action_template ] - J_JOB: vrfy - Template: - <<: [ *vrfy_template, *fv3_resolution ] - walltime: !timedelta 01:00:00 - resources: !calc run_vrfy.resources - memory: "3072M" - accounting: !calc doc.platform.parallel_accounting -# CDUMP: "gfs" - CASE_ENKF: !calc doc.fv3_enkf_settings.CASE - CDFNL: "gdas" - CDUMPFCST: "gdas" # Fit-to-obs with GDAS/GFS prepbufr - CNVGRIB: !calc doc.places.CNVGRIB - COPYGB: !calc doc.places.COPYGB - COPYGB2: !calc doc.places.COPYGB2 - DOHYBVAR: !calc doc.case.DOHYBVAR - GRB2INDEX: !calc doc.platform.GRB2INDEX - GRBINDEX: !calc doc.platform.GRBINDEX - GRBINDEX2: !calc doc.platform.GRBINDEX2 - GRIB1_WORKS: NO - HOMEDIR: !calc doc.case.HOMEDIR - LEVS: !calc doc.fv3_settings.LEVS - KEEPDATA: NO - MPI_BUFS_PER_HOST: !calc doc.platform.MPI_BUFS_PER_HOST - MPI_BUFS_PER_PROC: !calc doc.platform.MPI_BUFS_PER_PROC - MPI_GROUP_MAX: !calc doc.platform.MPI_GROUP_MAX - MPI_MEMMAP_OFF: !calc doc.platform.MPI_MEMMAP_OFF - MP_STDOUTMODE: !calc doc.platform.MP_STDOUTMODE - NCO_NAMING_CONV: !calc doc.platform.NCO_NAMING_CONV - NCP: !calc doc.platform.NCP - NLN: !calc doc.platform.NLN - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMV: !calc doc.platform.NMV - NOSCRUB: !calc doc.case.NOSCRUB - NTHSTACK: 1024000000 - OMP_STACKSIZE: !calc doc.platform.OMP_STACKSIZE - OUTPUT_GRID: "gaussian_grid" - POSTJJOBSH: !expand "{doc.places.BASE_WORKFLOW}/jobs/JGFS_POST.sh" - PSLOT: !calc doc.places.PSLOT - PTMP: !calc doc.places.PTMP - QUILTING: YES - REALTIME: !calc doc.case.REALTIME - RECENTER_ENKF: !calc doc.case.RECENTER_ENKF - ROTDIR: !calc doc.places.ROTDIR - RUNDIR: !calc doc.places.RUNDIR - SDATE: !calc doc.case.SDATE - SMOOTH_ENKF: YES - STMP: !calc doc.places.STMP - VRFYGENESIS: YES # Cyclone genesis - VRFYGMPK: NO # Gempak verification - WRITE_NEMSIOFILE: YES - assim_freq: 6 - memory_vrfy: "16384M" - nst_anl: YES - WRITE_NEMSIOFILE: YES diff --git a/model/fv3gfs/actions/_main.yaml b/model/fv3gfs/actions/_main.yaml new file mode 100644 index 0000000..e0e6044 --- /dev/null +++ b/model/fv3gfs/actions/_main.yaml @@ -0,0 +1,4 @@ +include: + - base.yaml + - "*.yaml" + - final.yaml diff --git a/model/fv3gfs/actions/002_anal.yaml b/model/fv3gfs/actions/anal.yaml similarity index 77% rename from model/fv3gfs/actions/002_anal.yaml rename to model/fv3gfs/actions/anal.yaml index c26894a..c314aa4 100644 --- a/model/fv3gfs/actions/002_anal.yaml +++ b/model/fv3gfs/actions/anal.yaml @@ -15,17 +15,26 @@ anal_base: &anal_base !Action - when: !calc doc.platform.name=="THEIA" do: "mpirun -np $ncmd" - when: !calc doc.platform.name=="WCOSS_C" - do: "aprun -j 1 -n \\$ncmd -N 1 -d {NTHREADS_CALCINC} -cc depth" + do: !expand "aprun -j 1 -n $ncmd -N 1 -d {NTHREADS_CALCINC} -cc depth" - otherwise: !error "No APRUN_CALCINC for unknown platform {doc.platform.name}" + APRUN_CYCLE: !FirstTrue + - when: !calc doc.platform.name=="THEIA" + do: time + - when: !calc doc.platform.name=="WCOSS_C" + do: !expand "aprun -j 1 -n $ncmd -N 1 -d {NTHREADS_CYCLE} -cc depth" + - otherwise: !error "No APRUN_CALCINC for unknown platform {doc.platform.name}" + NTHREADS_CYCLE: 12 NTHREADS_CALCINC: 1 APRUN_GSI: !calc tools.command_without_exe(par,run_gsi.resources,"placeholder") ANALYSISSH: !expand "{doc.places.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" - NTHREADS_GSI: !calc nodes.omp_threads_for(anal_resources[0]) + NTHREADS_GSI: !calc nodes.omp_threads_for(run_gsi.resources[0]) CASE_ENKF: !calc doc.fv3_enkf_settings.CASE VERBOSE: YES + shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "sys_tp", "l.*4d.*" ] + anal_gdas_action: &anal_gdas_action !Action <<: *anal_base CDUMP: gdas diff --git a/model/fv3gfs/actions/012_arch.yaml b/model/fv3gfs/actions/arch.yaml similarity index 83% rename from model/fv3gfs/actions/012_arch.yaml rename to model/fv3gfs/actions/arch.yaml index 212b131..46b5704 100644 --- a/model/fv3gfs/actions/012_arch.yaml +++ b/model/fv3gfs/actions/arch.yaml @@ -6,19 +6,18 @@ arch_base: &arch_base !Action memory: !calc run_arch.memory accounting: !calc doc.platform.transfer_accounting + CASE_ENKF: !calc doc.fv3_enkf_settings.case CASE_ENKF: "C96" - - SMOOTH_ENKF: YES WRITE_NEMSIOFILE: YES - assim_freq: 6 - l4densvar: NO - lwrite4danl: NO + + shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "sys_tp", "l.*4d.*" ] arch_gfs_action: &arch_gfs_action !Action <<: [ *fv3_gfs_settings, *data_assimilation, *arch_base ] CDUMP: gfs Inherit: !Inherit - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.data_assimilation, ".*" ] - [ doc.platform.general_env, ".*" ] - [ doc.platform.mpi_tuning, ".*" ] - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] @@ -28,6 +27,7 @@ arch_gdas_action: &arch_gdas_action !Action CDUMP: gdas Inherit: !Inherit - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.data_assimilation, ".*" ] - [ doc.platform.general_env, ".*" ] - [ doc.platform.mpi_tuning, ".*" ] - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] diff --git a/model/fv3gfs/actions/000_base.yaml b/model/fv3gfs/actions/base.yaml similarity index 83% rename from model/fv3gfs/actions/000_base.yaml rename to model/fv3gfs/actions/base.yaml index 5196bb4..8418d5e 100644 --- a/model/fv3gfs/actions/000_base.yaml +++ b/model/fv3gfs/actions/base.yaml @@ -21,6 +21,13 @@ action_base: &action_base par: !calc doc.platform.parallelism nodes: !calc doc.platform.nodes + sys_tp: !FirstTrue + - when: !calc doc.platform.name=="THEIA" + do: "Cray-CS400" + - when: !calc doc.platform.name=="WCOSS_C" + do: "Cray-XC40" + - otherwise: !error Do not know sys_tp for platform {doc.platform.name} + NCO_NAMING_CONV: !calc doc.gfs_output_settings.NCO_NAMING_CONV # FIXME: temporary kludge until scripts are updated to use mpiserial diff --git a/model/fv3gfs/actions/007_earc.yaml b/model/fv3gfs/actions/earc.yaml similarity index 92% rename from model/fv3gfs/actions/007_earc.yaml rename to model/fv3gfs/actions/earc.yaml index 14d72b2..99240a8 100644 --- a/model/fv3gfs/actions/007_earc.yaml +++ b/model/fv3gfs/actions/earc.yaml @@ -13,6 +13,8 @@ earc: &earc_action !Action - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - [ doc.case, "EDATE|SDATE|gfs_cyc" ] + shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*" ] + CDUMP: gdas CASE: !calc CASE_ENKF CASE_ENKF: !calc doc.fv3_enkf_settings.CASE diff --git a/model/fv3gfs/actions/001_ecen.yaml b/model/fv3gfs/actions/ecen.yaml similarity index 95% rename from model/fv3gfs/actions/001_ecen.yaml rename to model/fv3gfs/actions/ecen.yaml index e9e4c05..71f8ae5 100644 --- a/model/fv3gfs/actions/001_ecen.yaml +++ b/model/fv3gfs/actions/ecen.yaml @@ -11,6 +11,8 @@ ecen: &ecen_action !Action resource_env: !calc run_ecen.env memory: !calc run_ecen.memory + shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*" ] + # Each command (APRUN_whatever) in config.resources needs a # run_whatever entry in the corresponding action. # Executable name is specified deep inside scripts diff --git a/model/fv3gfs/actions/006_efcs.yaml b/model/fv3gfs/actions/efcs.yaml similarity index 100% rename from model/fv3gfs/actions/006_efcs.yaml rename to model/fv3gfs/actions/efcs.yaml diff --git a/model/fv3gfs/actions/003_eobs.yaml b/model/fv3gfs/actions/eobs.yaml similarity index 84% rename from model/fv3gfs/actions/003_eobs.yaml rename to model/fv3gfs/actions/eobs.yaml index 7e7ffbb..f052785 100644 --- a/model/fv3gfs/actions/003_eobs.yaml +++ b/model/fv3gfs/actions/eobs.yaml @@ -7,9 +7,11 @@ eobs: &eobs_action !Action resources: !calc run_eobs.resources gsi_resources: !calc run_gsi.resources memory: !calc run_eobs.memory - ANALYSISSH: "{doc.places.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" + ANALYSISSH: !expand "{doc.places.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" APRUN_GSI: !calc tools.command_without_exe(par,gsi_resources,'placeholder') + shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*" ] + Inherit: !Inherit - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.fv3_enkf_settings, "FHCYC" ] diff --git a/model/fv3gfs/actions/004_eomg.yaml b/model/fv3gfs/actions/eomg.yaml similarity index 92% rename from model/fv3gfs/actions/004_eomg.yaml rename to model/fv3gfs/actions/eomg.yaml index adb3390..4681ab8 100644 --- a/model/fv3gfs/actions/004_eomg.yaml +++ b/model/fv3gfs/actions/eomg.yaml @@ -6,6 +6,7 @@ eomg: &eomg_action !Action walltime: !timedelta 00:15:00 resources: !calc run_eomg.resources memory: !calc run_eomg.memory + shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "sys_tp", "l.*4d.*" ] Inherit: !Inherit - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] diff --git a/model/fv3gfs/actions/002_epos.yaml b/model/fv3gfs/actions/epos.yaml similarity index 90% rename from model/fv3gfs/actions/002_epos.yaml rename to model/fv3gfs/actions/epos.yaml index 6a22c40..56939d2 100644 --- a/model/fv3gfs/actions/002_epos.yaml +++ b/model/fv3gfs/actions/epos.yaml @@ -9,15 +9,15 @@ epos: &epos_action !Action CASE: !calc doc.fv3_enkf_settings.CASE ENKFPOSTSH: !expand "{doc.places.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_post_fv3gfs.sh.ecf" + shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*" ] Inherit: !Inherit - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.platform.mpi_tuning, '.*' ] - [ doc.data_assimilation, ".*" ] - - [ doc.fv3_enkf_settings, "FHCYC|QUILTING|WRITE_NEMSIOFILE|nst_anl|CDUMP" ] + - [ doc.fv3_enkf_settings, "FHCYC|QUILTING|WRITE_NEMSIOFILE|nst_anl|CDUMP|LEVS" ] - [ doc.case, "EDATE|SDATE|gfs_cyc" ] CDUMP: gdas VERBOSE: YES WRITE_NEMSIOFILE: YES - LEVS: !calc "doc.fv3_enkf_settings.LEVS - 1" accounting: !calc doc.platform.parallel_accounting diff --git a/model/fv3gfs/actions/005_eupd.yaml b/model/fv3gfs/actions/eupd.yaml similarity index 93% rename from model/fv3gfs/actions/005_eupd.yaml rename to model/fv3gfs/actions/eupd.yaml index 118cfbb..d1ad5e2 100644 --- a/model/fv3gfs/actions/005_eupd.yaml +++ b/model/fv3gfs/actions/eupd.yaml @@ -11,6 +11,7 @@ eupd: &eupd_action !Action eupd_resources: !calc run_eupd.resources resources: !calc run_eupd.resources memory: !calc run_eupd.memory + shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*" ] Inherit: !Inherit - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] diff --git a/model/fv3gfs/actions/009_fcst.yaml b/model/fv3gfs/actions/fcst.yaml similarity index 80% rename from model/fv3gfs/actions/009_fcst.yaml rename to model/fv3gfs/actions/fcst.yaml index e3c7b77..cd781e1 100644 --- a/model/fv3gfs/actions/009_fcst.yaml +++ b/model/fv3gfs/actions/fcst.yaml @@ -5,7 +5,6 @@ fcst_base: &fcst_base !Action - exe: placeholder mpi_ranks: !calc "layout_x*layout_y*6" OMP_NUM_THREADS: 2 - walltime: !timedelta 00:10:00 resources: !calc fcst_resources memory: !calc run_fcst.memory resources_regrid_nemsio: !JobRequest @@ -13,6 +12,7 @@ fcst_base: &fcst_base !Action mpi_ranks: !calc doc.fv3_settings.LEVS max_ppn: !calc nodes.max_ranks_per_node(fcst_resources[0]) true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE' ] + shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*" ] APRUN_REGRID_NEMSIO: !calc | tools.command_without_exe( @@ -27,23 +27,29 @@ fcst_base: &fcst_base !Action KEEPDATA: NO - NTHREADS_FV3: 2 - NTHREADS_REGRID_NEMSIO: 1 - NTHREADS_REMAP: 2 + NTHREADS_FV3: !calc | + nodes.omp_threads_for(resources[0]) + NTHREADS_REGRID_NEMSIO: !calc | + nodes.omp_threads_for(resources_regrid_nemsio[0]) + NTHREADS_REMAP: !calc NTHREADS_FV3 + NTASKS_FV3: !calc resources.total_ranks() PSLOT: !calc doc.case.experiment_name - QUILTING: YES REMAPSH: !expand "{doc.places.BASE_GSM}/ush/fv3gfs_remap.sh" TYPE: "nh" USE_COUPLER_RES: NO VERBOSE: YES WRITE_NEMSIOFILE: YES + true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE', 'nst_anl', 'zhao_mic' ] + + # Variables to import in shell: + shell_vars: [ "[A-Z][A-Z0-9_]*$", 'restart_interval' ] + cores_per_node: 24 restart_interval: 6 - USE_COUPLER_RES: NO restart_interval: !FirstTrue @@ -51,6 +57,7 @@ fcst_base: &fcst_base !Action do: 6 - otherwise: 0 + master_grid: !calc doc.case.master_grid fcst_gdas: &fcst_gdas_action !Action @@ -63,6 +70,7 @@ fcst_gdas: &fcst_gdas_action !Action - [ doc.platform.mpi_tuning, ".*" ] - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] CDUMP: gdas + walltime: !timedelta 00:10:00 fcst_gfs: &fcst_gfs_action !Action <<: [ *fv3_gfs_settings, *data_assimilation, *fcst_base ] @@ -74,3 +82,4 @@ fcst_gfs: &fcst_gfs_action !Action - [ doc.platform.mpi_tuning, ".*" ] - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] CDUMP: gfs + walltime: !timedelta 02:35:00 diff --git a/model/fv3gfs/actions/999_final.yaml b/model/fv3gfs/actions/final.yaml similarity index 100% rename from model/fv3gfs/actions/999_final.yaml rename to model/fv3gfs/actions/final.yaml diff --git a/model/fv3gfs/actions/010_post.yaml b/model/fv3gfs/actions/post.yaml similarity index 91% rename from model/fv3gfs/actions/010_post.yaml rename to model/fv3gfs/actions/post.yaml index db57708..2a32e84 100644 --- a/model/fv3gfs/actions/010_post.yaml +++ b/model/fv3gfs/actions/post.yaml @@ -1,7 +1,6 @@ post_base: &post_base !Action <<: *action_base J_JOB: post - walltime: !timedelta 00:15:00 resources: !calc run_post.resources memory: !calc run_post.memory @@ -19,6 +18,7 @@ post_base: &post_base !Action NTHREADS_DWN: 2 NTHREADS_NP: 1 downset: 1 + shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*" ] post_gfs: &post_gfs_action !Action <<: *post_base @@ -31,6 +31,7 @@ post_gfs: &post_gfs_action !Action - [ doc.platform.general_env, ".*" ] - [ doc.platform.mpi_tuning, ".*" ] - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + walltime: !timedelta 02:35:00 post_gdas: &post_gdas_action !Action <<: *post_base @@ -43,3 +44,4 @@ post_gdas: &post_gdas_action !Action - [ doc.platform.general_env, ".*" ] - [ doc.platform.mpi_tuning, ".*" ] - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + walltime: !timedelta 00:25:00 diff --git a/model/fv3gfs/actions/008_prep.yaml b/model/fv3gfs/actions/prep.yaml similarity index 83% rename from model/fv3gfs/actions/008_prep.yaml rename to model/fv3gfs/actions/prep.yaml index ec80f8b..425fef7 100644 --- a/model/fv3gfs/actions/008_prep.yaml +++ b/model/fv3gfs/actions/prep.yaml @@ -14,12 +14,13 @@ prep: &prep_base !Action PSLOT: !calc doc.case.experiment_name VERBOSE: YES WRITE_NEMSIOFILE: YES - sys_tp: !FirstTrue - - when: !calc doc.platform.name=="THEIA" - do: "Cray-CS400" - - when: !calc doc.platform.name=="WCOSS_C" - do: "Cray-XC40" - - otherwise: !error Do not know sys_tp for platform {doc.platform.name} + + PARALLEL: YES + BACK: YES + NPROCS: 4 + + # Variables to import in shell: + shell_vars: [ "[A-Z][A-Z0-9_]*$", "sys_tp", "HOMEobs.*", "l.*4d.*" ] prep_gdas: &prep_gdas_action !Action <<: *prep_base @@ -27,6 +28,7 @@ prep_gdas: &prep_gdas_action !Action Inherit: !Inherit - [ doc.fv3_gdas_settings, '.*' ] - [ doc.data_assimilation, '.*' ] + - [ doc.prepbufr, '.*' ] - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] @@ -39,6 +41,7 @@ prep_gfs: &prep_gfs_action !Action Inherit: !Inherit - [ doc.fv3_gfs_settings, '.*' ] - [ doc.data_assimilation, '.*' ] + - [ doc.prepbufr, '.*' ] - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] diff --git a/model/fv3gfs/actions/011_vrfy.yaml b/model/fv3gfs/actions/vrfy.yaml similarity index 96% rename from model/fv3gfs/actions/011_vrfy.yaml rename to model/fv3gfs/actions/vrfy.yaml index 6fc8a42..ab85e8e 100644 --- a/model/fv3gfs/actions/011_vrfy.yaml +++ b/model/fv3gfs/actions/vrfy.yaml @@ -8,6 +8,8 @@ vrfy_base: &vrfy_base !Action resources: !calc run_vrfy.resources memory: !calc run_vrfy.memory + shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "sys_tp", "l.*4d.*" ] + CASE_ENKF: !calc doc.fv3_enkf_settings.CASE CDFNL: "gdas" CDUMPFCST: "gdas" # Fit-to-obs with GDAS/GFS prepbufr diff --git a/model/fv3gfs/actually_run.yaml b/model/fv3gfs/cases/FV3_GFS@C384_ENKF@C192-20MEM.yaml similarity index 80% rename from model/fv3gfs/actually_run.yaml rename to model/fv3gfs/cases/FV3_GFS@C384_ENKF@C192-20MEM.yaml index a171258..94e7259 100644 --- a/model/fv3gfs/actually_run.yaml +++ b/model/fv3gfs/cases/FV3_GFS@C384_ENKF@C192-20MEM.yaml @@ -1,10 +1,11 @@ fv3_settings: &fv3_settings LEVS: 65 # Number of vertical levels (mandatory) + QUILTING: NO fv3_gfs_settings: &fv3_gfs_settings <<: *fv3_settings Template: *fv3_settings_template - CASE: C192 # FV3 horizontal resolution (mandatory) + CASE: C384 # FV3 horizontal resolution (mandatory) CDUMP: gfs fv3_enkf_settings: &fv3_enkf_settings @@ -12,7 +13,6 @@ fv3_enkf_settings: &fv3_enkf_settings Template: *fv3_settings_template CASE: C192 # FV3 horizontal resolution for ensemble (mandatory) CDUMP: gdas - QUILTING: NO fv3_gdas_settings: &fv3_gdas_settings <<: *fv3_gfs_settings @@ -33,9 +33,9 @@ gfs_output_settings: &gfs_output_settings data_assimilation: &data_assimilation Template: *data_assimilation_template - # Shared parameters/switches + # Shared parameters/switches for data assimilation DOHYBVAR: YES # optional - NMEM_ENKF: 20 # DO NOT CHANGE (yet) + NMEM_ENKF: 20 NMEM_ENKF_GRP_EOMN: 10 # DO NOT CHANGE (yet) NMEM_ENKF_GRP_EFMN: 10 # DO NOT CHANGE (yet) RECENTER_ENKF: YES # optional @@ -43,19 +43,15 @@ data_assimilation: &data_assimilation lwrite4danl: ".false." # optional DO_MAKEPREPBUFR: YES # if NO, will copy prepbufr from globaldump -######################################################################## - -accounting: &accounting - # Project for CPU accounting. - Template: *accounting_template - cpu_project: nems - hpss_project: emc-hwrf # Project for storing HPSS data. - noscrub_project: nems # Project for storing non-scrubbed data. +prepbufr: &prepbufr + # Parameters and switches for propbufr creation. + Template: *obsproc_template + # See validation/obsproc.yaml for variables you can override ######################################################################## case: - Template: *case_template + Template: [ *case_template, *known_workflows ] # User-defined experiment name; should be a-z followed by alphanumeric: experiment_name: fv3gfs2 #Formerly known as PSLOT @@ -66,8 +62,9 @@ case: master_grid: "0p25deg" # 1deg 0p5deg 0p25deg 0p125deg etc - ACTUALLY_RUN: YES - CYCLING: YES + ACTUALLY_RUN: YES # do not change + + workflow: cycled_workflow IC_CDUMP: gdas # Get initial conditions from 1st cycle from GFS or GDAS IC_DIR: !FirstTrue diff --git a/model/fv3gfs/just_testing.yaml b/model/fv3gfs/cases/technical-test.yaml similarity index 100% rename from model/fv3gfs/just_testing.yaml rename to model/fv3gfs/cases/technical-test.yaml diff --git a/model/fv3gfs/fv3_enkf_defaults.yaml b/model/fv3gfs/defaults/fv3_enkf.yaml similarity index 100% rename from model/fv3gfs/fv3_enkf_defaults.yaml rename to model/fv3gfs/defaults/fv3_enkf.yaml diff --git a/model/fv3gfs/places.yaml b/model/fv3gfs/defaults/places.yaml similarity index 73% rename from model/fv3gfs/places.yaml rename to model/fv3gfs/defaults/places.yaml index 55c5086..06e7d04 100644 --- a/model/fv3gfs/places.yaml +++ b/model/fv3gfs/defaults/places.yaml @@ -1,5 +1,5 @@ -places: &places - PROJECT_DIR: /scratch4/NCEPDEV/ocean +default_places: &default_places + PROJECT_DIR: !error Please select a project directory. # Locations of CROW and this test suite. HOMEcrow: !calc tools.crow_install_dir() @@ -11,7 +11,7 @@ places: &places HOMEgdas: !calc HOMEgfs HOMEDIR: !expand "{PROJECT_DIR}/save/{tools.env('USER')}" - STMP: !expand "{doc.platform.least_used_scrub}/{tools.env('USER')}" + STMP: !calc PTMP PTMP: !expand "{doc.platform.least_used_scrub}/{tools.env('USER')}" NOSCRUB: !expand "{PROJECT_DIR}/noscrub/{tools.env('USER')}" @@ -25,40 +25,40 @@ places: &places EXPDIR: !expand "{HOMEDIR}/{doc.case.experiment_name}" ROTDIR: !expand "{PTMP}/{doc.case.experiment_name}" RUNDIR: !expand "{STMP}/RUNDIRS/{doc.case.experiment_name}" - RTFMIX: "/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" ARCDIR: !expand "{NOSCRUB}/archive/{doc.case.experiment_name}" ATARDIR: !expand "/NCEPDEV/{doc.accounting.hpss_project}/1year/{tools.env('USER')}/{doc.platform.name}/scratch/{doc.case.experiment_name}" # CDUMP: "gfs" # Component paths - BASE_FV3GFS: "/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn" - BASE_GDAS: "/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gdas.v15.0.0" - BASE_GFS: "/scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/gitsvn/svn/gfs.v15.0.0" + BASE_FV3GFS: !calc HOMEgfs + BASE_GDAS: !expand "{HOMEgfs}/gdas.v15.0.0" + BASE_GFS: !expand "{HOMEgfs}/gfs.v15.0.0" BASE_SVN: !calc doc.platform.BASE_SVN MYBASE_SVN: !expand "{NOSCRUB}/{tools.env('USER')}/svn" BASE_GSM: !expand "{HOMEgfs}/global_shared.v15.0.0" BASE_GSI: !expand "{BASE_GSM}/sorc/gsi.fd" BASE_NEMSfv3gfs: !expand "{BASE_GSM}/sorc/fv3gfs.fd" - BASE_POST: !expand "{BASE_SVN}/fv3gfs/tags/post4fv3" + BASE_POST: !expand "{BASE_SVN}/post/tags/ncep_post.v7.7.2/" BASE_PREP: !expand "{BASE_SVN}/obsproc/releases/obsproc_prep_RB-4.0.0" BASE_PREP_GLOBAL: !expand "{BASE_SVN}/obsproc/releases/obsproc_global_RB-3.0.0" BASE_VERIF: !expand "{BASE_SVN}/verif/global/tags/vsdb" + BASE_OZNMON: !calc BASE_GSI + GFSDOWNSH: !expand "{BASE_WORKFLOW}/ush/fv3gfs_downstream_nems.sh" + GFSDWNSH: !expand "{BASE_WORKFLOW}/ush/fv3gfs_dwn_nems.sh" - GFSDOWNSH: !expand "{BASE_GSM}/ush/fv3gfs_downstream_nems.sh" - GFSDWNSH: !expand "{BASE_GSM}/ush/fv3gfs_dwn_nems.sh" + CHGRESSH: !expand "{BASE_POST}/ush/global_chgres_GSM.sh" - WGRIB: "/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib" - WGRIB2: "/scratch4/NCEPDEV/global/save/glopara/nwpara/util/exec/wgrib2" + vsdbhome: !calc BASE_VERIF + vsdbsave: !expand "{PROJECT_DIR}/noscrub/{tools.env('USER')}/archive/vsdb_data" + VSDBSH: !expand "{vsdbhome}/vsdbjob.sh" # VSDB job script - vsdbhome: "/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb" - vsdbsave: "/scratch4/NCEPDEV/ocean/noscrub/Samuel.Trahan/archive/vsdb_data" +#"/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb/vsdbjob.sh" # Locations of specific scripts: POSTJJOBSH: !expand "{BASE_WORKFLOW}/jobs/JGFS_POST.sh" ENKFFCSTSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" ENKFRECENSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_recenter_fv3gfs.sh.ecf" - CHGRESSH: !expand "{BASE_GSM}/ush/global_chgres_GSM.sh" CHGRESEXEC: !expand "{BASE_GSM}/exec/global_chgres_GSM" ENKFINVOBSSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" INVOBSSH: !expand "{BASE_GSI}/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" @@ -70,10 +70,11 @@ places: &places POSTGPEXEC: !expand "{BASE_POST}/exec/ncep_post" FIELD_TABLE: !expand "{BASE_GSM}/parm/parm_fv3diag/field_table_ncld1" - VSDBSH: "/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb/vsdbjob.sh" - REGRID_NEMSIO_SH: !expand "{BASE_GSM}/ush/fv3gfs_regrid_nemsio.sh" REGRID_NEMSIO_TBL: !expand "{BASE_GSM}/parm/parm_fv3diag/variable_table_da_nonsst.txt" NC2NEMSIOSH: !expand "{BASE_GSM}/ush/fv3gfs_nc2nemsio.sh" REMAPSH: !expand "{BASE_GSM}/ush/fv3gfs_remap.sh" + + DRIVE_MAKEPREPBUFRSH: !expand "{BASE_GSM}/ush/drive_makeprepbufr.sh" + MAKEPREPBUFRSH: !expand "{BASE_PREP}/ush/prepobs_makeprepbufr.sh" diff --git a/model/fv3gfs/settings.yaml b/model/fv3gfs/defaults/settings.yaml similarity index 100% rename from model/fv3gfs/settings.yaml rename to model/fv3gfs/defaults/settings.yaml diff --git a/model/fv3gfs/example.xml b/model/fv3gfs/example.xml deleted file mode 100644 index fa238f2..0000000 --- a/model/fv3gfs/example.xml +++ /dev/null @@ -1,679 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 1:ppn=12"> - - - - - 24:ppn=6"> - - - - - 18:ppn=12"> - - - - - 6:ppn=12"> - - - - - 1:ppn=1"> - - - - - 1:ppn=1"> - - - - - - - - - 24:ppn=6"> - - - - - 24:ppn=6"> - - - - - 10:ppn=12"> - - - - - 7:ppn=12"> - - - - - 8:ppn=24"> - - - - - 7:ppn=12"> - - - - - 1:ppn=1"> - - - - - - - - - 1:ppn=12"> - - - - - 24:ppn=6"> - - - - - 18:ppn=12"> - - - - - 6:ppn=12"> - - - - - 1:ppn=1"> - - - - - 1:ppn=1"> - - - - -]> - - - - &EXPDIR;/logs/@Y@m@d@H.log - - - &SDATE; &SDATE; 06:00:00 - &SDATE; &EDATE; 06:00:00 - &SDATE_GFS; &EDATE_GFS; &INTERVAL_GFS; - - - - &JOBS_DIR;/prep.sh - - &PSLOT;_gdasprep_@H - &ACCOUNT; - &QUEUE_PREP_GDAS; - &RESOURCES_PREP_GDAS; - &WALLTIME_PREP_GDAS; - &NATIVE_PREP_GDAS; - - &ROTDIR;/logs/@Y@m@d@H/gdasprep.log - - EXPDIR&EXPDIR; - CDATE@Y@m@d@H - CDUMPgdas - - - - - &DMPDIR;/@Y@m@d@H/gdas/gdas.t@Hz.updated.status.tm00.bufr_d - - - - - - - - &JOBS_DIR;/anal.sh - - &PSLOT;_gdasanal_@H - &ACCOUNT; - &QUEUE_ANAL_GDAS; - &RESOURCES_ANAL_GDAS; - &WALLTIME_ANAL_GDAS; - &NATIVE_ANAL_GDAS; - - &ROTDIR;/logs/@Y@m@d@H/gdasanal.log - - EXPDIR&EXPDIR; - CDATE@Y@m@d@H - CDUMPgdas - - - - - - - - - - - - - &JOBS_DIR;/fcst.sh - - &PSLOT;_gdasfcst_@H - &ACCOUNT; - &QUEUE_FCST_GDAS; - &RESOURCES_FCST_GDAS; - &WALLTIME_FCST_GDAS; - &NATIVE_FCST_GDAS; - - &ROTDIR;/logs/@Y@m@d@H/gdasfcst.log - - EXPDIR&EXPDIR; - CDATE@Y@m@d@H - CDUMPgdas - - - - - - - - - - - - - &JOBS_DIR;/post.sh - - &PSLOT;_gdaspost_@H - &ACCOUNT; - &QUEUE_POST_GDAS; - &RESOURCES_POST_GDAS; - &WALLTIME_POST_GDAS; - &NATIVE_POST_GDAS; - - &ROTDIR;/logs/@Y@m@d@H/gdaspost.log - - EXPDIR&EXPDIR; - CDATE@Y@m@d@H - CDUMPgdas - - - - - - - - - - &JOBS_DIR;/vrfy.sh - - &PSLOT;_gdasvrfy_@H - &ACCOUNT; - &QUEUE_VRFY_GDAS; - &RESOURCES_VRFY_GDAS; - &WALLTIME_VRFY_GDAS; - &NATIVE_VRFY_GDAS; - - &ROTDIR;/logs/@Y@m@d@H/gdasvrfy.log - - EXPDIR&EXPDIR; - CDATE@Y@m@d@H - CDUMPgdas - - - - - - - - - - &JOBS_DIR;/arch.sh - - &PSLOT;_gdasarch_@H - &ACCOUNT; - &QUEUE_ARCH_GDAS; - &RESOURCES_ARCH_GDAS; - &WALLTIME_ARCH_GDAS; - &NATIVE_ARCH_GDAS; - - &ROTDIR;/logs/@Y@m@d@H/gdasarch.log - - EXPDIR&EXPDIR; - CDATE@Y@m@d@H - CDUMPgdas - - - - - &ARCHIVE_TO_HPSS;YES - - - - - - - - &JOBS_DIR;/eobs.sh - - &PSLOT;_gdaseobs_@H - &ACCOUNT; - &QUEUE_EOBS_GDAS; - &RESOURCES_EOBS_GDAS; - &WALLTIME_EOBS_GDAS; - &NATIVE_EOBS_GDAS; - - &ROTDIR;/logs/@Y@m@d@H/gdaseobs.log - - EXPDIR&EXPDIR; - CDATE@Y@m@d@H - CDUMPgdas - - - - - - - - - - - - - 01 02 03 04 05 06 07 08 - - - - &JOBS_DIR;/eomg.sh - - &PSLOT;_gdaseomg#grp#_@H - &ACCOUNT; - &QUEUE_EOMG_GDAS; - &RESOURCES_EOMG_GDAS; - &WALLTIME_EOMG_GDAS; - &NATIVE_EOMG_GDAS; - - &ROTDIR;/logs/@Y@m@d@H/gdaseomg#grp#.log - - EXPDIR&EXPDIR; - CDATE@Y@m@d@H - CDUMPgdas - ENSGRP#grp# - - - - - - - - - - - - &JOBS_DIR;/eupd.sh - - &PSLOT;_gdaseupd_@H - &ACCOUNT; - &QUEUE_EUPD_GDAS; - &RESOURCES_EUPD_GDAS; - &WALLTIME_EUPD_GDAS; - &NATIVE_EUPD_GDAS; - - &ROTDIR;/logs/@Y@m@d@H/gdaseupd.log - - EXPDIR&EXPDIR; - CDATE@Y@m@d@H - CDUMPgdas - - - - - - - - - - &JOBS_DIR;/ecen.sh - - &PSLOT;_gdasecen_@H - &ACCOUNT; - &QUEUE_ECEN_GDAS; - &RESOURCES_ECEN_GDAS; - &WALLTIME_ECEN_GDAS; - &NATIVE_ECEN_GDAS; - - &ROTDIR;/logs/@Y@m@d@H/gdasecen.log - - EXPDIR&EXPDIR; - CDATE@Y@m@d@H - CDUMPgdas - - - - - - - - - - - - - 01 02 03 04 05 06 07 08 - - - - &JOBS_DIR;/efcs.sh - - &PSLOT;_gdasefcs#grp#_@H - &ACCOUNT; - &QUEUE_EFCS_GDAS; - &RESOURCES_EFCS_GDAS; - &WALLTIME_EFCS_GDAS; - &NATIVE_EFCS_GDAS; - - &ROTDIR;/logs/@Y@m@d@H/gdasefcs#grp#.log - - EXPDIR&EXPDIR; - CDATE@Y@m@d@H - CDUMPgdas - ENSGRP#grp# - - - - - - - - - - - - - - - &JOBS_DIR;/epos.sh - - &PSLOT;_gdasepos_@H - &ACCOUNT; - &QUEUE_EPOS_GDAS; - &RESOURCES_EPOS_GDAS; - &WALLTIME_EPOS_GDAS; - &NATIVE_EPOS_GDAS; - - &ROTDIR;/logs/@Y@m@d@H/gdasepos.log - - EXPDIR&EXPDIR; - CDATE@Y@m@d@H - CDUMPgdas - - - - - - - - - - 00 01 02 03 04 05 06 07 08 - - - - &JOBS_DIR;/earc.sh - - &PSLOT;_gdasearc#grp#_@H - &ACCOUNT; - &QUEUE_EARC_GDAS; - &RESOURCES_EARC_GDAS; - &WALLTIME_EARC_GDAS; - &NATIVE_EARC_GDAS; - - &ROTDIR;/logs/@Y@m@d@H/gdasearc#grp#.log - - EXPDIR&EXPDIR; - CDATE@Y@m@d@H - CDUMPgdas - ENSGRP#grp# - - - - - - - - - - - - &JOBS_DIR;/prep.sh - - &PSLOT;_gfsprep_@H - &ACCOUNT; - &QUEUE_PREP_GFS; - &RESOURCES_PREP_GFS; - &WALLTIME_PREP_GFS; - &NATIVE_PREP_GFS; - - &ROTDIR;/logs/@Y@m@d@H/gfsprep.log - - EXPDIR&EXPDIR; - CDATE@Y@m@d@H - CDUMPgfs - - - - - &DMPDIR;/@Y@m@d@H/gfs/gfs.t@Hz.updated.status.tm00.bufr_d - - - - - - - - &JOBS_DIR;/anal.sh - - &PSLOT;_gfsanal_@H - &ACCOUNT; - &QUEUE_ANAL_GFS; - &RESOURCES_ANAL_GFS; - &WALLTIME_ANAL_GFS; - &NATIVE_ANAL_GFS; - - &ROTDIR;/logs/@Y@m@d@H/gfsanal.log - - EXPDIR&EXPDIR; - CDATE@Y@m@d@H - CDUMPgfs - - - - - - - - - - - - - &JOBS_DIR;/fcst.sh - - &PSLOT;_gfsfcst_@H - &ACCOUNT; - &QUEUE_FCST_GFS; - &RESOURCES_FCST_GFS; - &WALLTIME_FCST_GFS; - &NATIVE_FCST_GFS; - - &ROTDIR;/logs/@Y@m@d@H/gfsfcst.log - - EXPDIR&EXPDIR; - CDATE@Y@m@d@H - CDUMPgfs - - - - - - - - - - &JOBS_DIR;/post.sh - - &PSLOT;_gfspost_@H - &ACCOUNT; - &QUEUE_POST_GFS; - &RESOURCES_POST_GFS; - &WALLTIME_POST_GFS; - &NATIVE_POST_GFS; - - &ROTDIR;/logs/@Y@m@d@H/gfspost.log - - EXPDIR&EXPDIR; - CDATE@Y@m@d@H - CDUMPgfs - - - - - - - - - - &JOBS_DIR;/vrfy.sh - - &PSLOT;_gfsvrfy_@H - &ACCOUNT; - &QUEUE_VRFY_GFS; - &RESOURCES_VRFY_GFS; - &WALLTIME_VRFY_GFS; - &NATIVE_VRFY_GFS; - - &ROTDIR;/logs/@Y@m@d@H/gfsvrfy.log - - EXPDIR&EXPDIR; - CDATE@Y@m@d@H - CDUMPgfs - - - - - - - - - - &JOBS_DIR;/arch.sh - - &PSLOT;_gfsarch_@H - &ACCOUNT; - &QUEUE_ARCH_GFS; - &RESOURCES_ARCH_GFS; - &WALLTIME_ARCH_GFS; - &NATIVE_ARCH_GFS; - - &ROTDIR;/logs/@Y@m@d@H/gfsarch.log - - EXPDIR&EXPDIR; - CDATE@Y@m@d@H - CDUMPgfs - - - - - &ARCHIVE_TO_HPSS;YES - - - - - - - - sleep 1 - - &PSLOT;_firstcyc_@H - &ACCOUNT; - &QUEUE_ARCH; - &RESOURCES_ARCH_GDAS; - &WALLTIME_ARCH_GDAS; - &NATIVE_ARCH_GDAS; - - &ROTDIR;/logs/@Y@m@d@H/firstcyc.log - - - - &EXPDIR;/logs/@Y@m@d@H.log - - - - - - - diff --git a/model/fv3gfs/jobs/anal.sh b/model/fv3gfs/jobs/anal.sh index 7246ed6..e1fdaed 100755 --- a/model/fv3gfs/jobs/anal.sh +++ b/model/fv3gfs/jobs/anal.sh @@ -1,10 +1,10 @@ -#!/bin/bash +#! /bin/bash ############################################################### # < next few lines under version control, D O N O T E D I T > -# $Date: 2017-08-16 21:42:24 +0000 (Wed, 16 Aug 2017) $ -# $Revision: 96658 $ +# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ +# $Revision: 98721 $ # $Author: fanglin.yang@noaa.gov $ -# $Id: anal.sh 96658 2017-08-16 21:42:24Z fanglin.yang@noaa.gov $ +# $Id: anal.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ ############################################################### ############################################################### @@ -42,7 +42,6 @@ export GSUFFIX=".nemsio" export APREFIX="${CDUMP}.t${chh}z." export ASUFFIX=".nemsio" -export COMIN_OBS="$DMPDIR/$CDATE/$CDUMP" export COMIN_GES="$ROTDIR/gdas.$gymd/$ghh" export COMIN_GES_ENS="$ROTDIR/enkf.gdas.$gymd/$ghh" export COMOUT="$ROTDIR/$CDUMP.$cymd/$chh" @@ -90,7 +89,7 @@ if [ $DOHYBVAR = "YES" ]; then fi # Analysis resolution -if [ $DOHYBVAR == "YES" ]; then +if [ $DOHYBVAR = "YES" ]; then export JCAP_A=$JCAP_ENKF export LONA=$LONB_ENKF export LATA=$LATB_ENKF @@ -101,8 +100,9 @@ else fi # Link observational data -export PREPQC=${COMOUT}/${OPREFIX}prepbufr -export PREPQCPF=${COMOUT}/${OPREFIX}prepbufr.acft_profiles +export PREPQC="${COMOUT}/${OPREFIX}prepbufr" +export PREPQCPF="${COMOUT}/${OPREFIX}prepbufr.acft_profiles" +[[ $DONST = "YES" ]] && export NSSTBF="${COMOUT}/${OPREFIX}nsstbufr" ############################################################### # Run relevant exglobal script diff --git a/model/fv3gfs/jobs/arch.sh b/model/fv3gfs/jobs/arch.sh index 8a8ab52..7a521cf 100755 --- a/model/fv3gfs/jobs/arch.sh +++ b/model/fv3gfs/jobs/arch.sh @@ -1,10 +1,10 @@ -#!/bin/bash +#! /bin/bash ############################################################### # < next few lines under version control, D O N O T E D I T > -# $Date: 2017-08-24 22:05:14 +0000 (Thu, 24 Aug 2017) $ -# $Revision: 96869 $ +# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ +# $Revision: 98721 $ # $Author: fanglin.yang@noaa.gov $ -# $Id: arch.sh 96869 2017-08-24 22:05:14Z fanglin.yang@noaa.gov $ +# $Id: arch.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ ############################################################### ############################################################### @@ -17,26 +17,27 @@ ## CDUMP : cycle name (gdas / gfs) ############################################################### -set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi +set -x + ############################################################### # Run relevant tasks # CURRENT CYCLE -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) -APREFIX="${CDUMP}.t${chh}z." +PDY=$(echo $CDATE | cut -c1-8) +cyc=$(echo $CDATE | cut -c9-10) +APREFIX="${CDUMP}.t${cyc}z." ASUFFIX=".nemsio" -COMIN="$ROTDIR/$CDUMP.$cymd/$chh" +COMIN="$ROTDIR/$CDUMP.$PDY/$cyc" DATA="$RUNDIR/$CDATE/$CDUMP/arch" [[ -d $DATA ]] && rm -rf $DATA @@ -65,9 +66,9 @@ for file in $files; do $NCP $COMIN/${APREFIX}$file . done -cd $DATA +cd $DATA/${CDUMP}restart -htar -P -cvf $ATARDIR/$CDATE/${CDUMP}restart.tar ${CDUMP}restart +htar -P -cvf $ATARDIR/$CDATE/${CDUMP}restart.tar . status=$? if [ $status -ne 0 ]; then echo "HTAR $CDATE ${CDUMP}restart.tar failed" @@ -81,6 +82,7 @@ if [ $status -ne 0 ]; then exit $status fi +cd $DATA rm -rf ${CDUMP}restart ############################################################### @@ -124,13 +126,13 @@ $NCP ${APREFIX}gsistat $ARCDIR/gsistat.${CDUMP}.${CDATE} $NCP ${APREFIX}pgrbanl $ARCDIR/pgbanl.${CDUMP}.${CDATE} # Archive 1 degree forecast GRIB1 files for verification -if [ $CDUMP = "gfs" ]; then +if [[ "$CDUMP" == "gfs" ]] ; then for fname in ${APREFIX}pgrbf*; do fhr=$(echo $fname | cut -d. -f3 | cut -c 6-) $NCP $fname $ARCDIR/pgbf${fhr}.${CDUMP}.${CDATE} done fi -if [ $CDUMP = "gdas" ]; then +if [[ "$CDUMP" = "gdas" ]] ; then flist="00 03 06 09" for fhr in $flist; do fname=${APREFIX}pgrbf${fhr} @@ -138,15 +140,16 @@ if [ $CDUMP = "gdas" ]; then done fi -# Temporary archive quarter degree GRIB1 files for precip verification -# and atmospheric nemsio gfs forecast files for fit2obs +# Archive +# 1. quarter degree GRIB1 files for precip verification +# 2. atmospheric nemsio gfs forecast files for fit2obs VFYARC=$ROTDIR/vrfyarch [[ ! -d $VFYARC ]] && mkdir -p $VFYARC if [ $CDUMP = "gfs" ]; then - $NCP ${APREFIX}pgrbqnl $VFYARC/pgbqnl.${CDUMP}.${CDATE} - for fname in ${APREFIX}pgrbq*; do - fhr=$(echo $fname | cut -d. -f3 | cut -c 6-) - $NCP $fname $VFYARC/pgbq${fhr}.${CDUMP}.${CDATE} + + for fname in pgbq*${CDUMP}.${CDATE}.grib1; do + fileout=$(echo $fname | cut -d. -f1-3) # strip off ".grib1" suffix + $NCP $fname $ARCDIR/$fileout done mkdir -p $VFYARC/${CDUMP}.$PDY/$cyc @@ -181,20 +184,39 @@ COMIN="$RUNDIR/$GDATE" COMIN="$ROTDIR/$CDUMP.$gymd/$ghh" [[ -d $COMIN ]] && rm -rf $COMIN -# PREVIOUS 00Z day; remove the whole day -GDATE=$($NDATE -48 $CDATE) -gymd=$(echo $GDATE | cut -c1-8) -ghh=$(echo $GDATE | cut -c9-10) - -COMIN="$ROTDIR/$CDUMP.$gymd" -[[ -d $COMIN ]] && rm -rf $COMIN +# Step back every assim_freq hours +# and remove old rotating directories for successfull cycles +# defaults from 24h to 120h +GDATEEND=$($NDATE -${RMOLDEND:-24} $CDATE) +GDATE=$( $NDATE -${RMOLDSTD:-120} $CDATE) +while [ $GDATE -le $GDATEEND ]; do + gymd=$(echo $GDATE | cut -c1-8) + ghh=$(echo $GDATE | cut -c9-10) + COMIN="$ROTDIR/$CDUMP.$gymd/$ghh" + if [ -d $COMIN ]; then + rocotolog="$EXPDIR/logs/${GDATE}.log" + testend=$(tail -n 1 $rocotolog | grep "This cycle is complete: Success" | wc -l) + rc=$? + [[ $rc -eq 0 ]] && rm -rf $COMIN + fi + # Remove any empty directories + COMIN="$ROTDIR/$CDUMP.$gymd" + if [ -d $COMIN ]; then + [[ ! "$(ls -A $COMIN)" ]] && rm -rf $COMIN + fi + GDATE=$($NDATE +$assim_freq $GDATE) +done -# Remove archived quarter degree GRIB1 files that are (48+$FHMAX_GFS) hrs behind +# Remove archived stuff in $VFYARC that are (48+$FHMAX_GFS) hrs behind +# 1. atmospheric nemsio files used for fit2obs if [ $CDUMP = "gfs" ]; then GDATE=$($NDATE -$FHMAX_GFS $GDATE) - rm -f $VFYARC/pgbq*.${CDUMP}.${GDATE} + gymd=$(echo $GDATE | cut -c1-8) + COMIN="$VFYARC/$CDUMP.$gymd" + [[ -d $COMIN ]] && rm -rf $COMIN fi ############################################################### # Exit out cleanly +if [ ${KEEPDATA:-"NO"} = "NO" ] ; then rm -rf $DATA ; fi exit 0 diff --git a/model/fv3gfs/jobs/drive_makeprepbufr.sh b/model/fv3gfs/jobs/drive_makeprepbufr.sh new file mode 100755 index 0000000..3bc0c7b --- /dev/null +++ b/model/fv3gfs/jobs/drive_makeprepbufr.sh @@ -0,0 +1,108 @@ +#!/bin/sh -x + +# NOTE: This script must be run by prep.sh + +set -xue + +############################################################### +export KEEPDATA=${KEEPDATA:-"NO"} +export DO_RELOCATE=${DO_RELOCATE:-"NO"} +export DONST=${DONST:-"NO"} + +############################################################### +# Set script and dependency variables +GDATE=$($NDATE -$assim_freq $CDATE) + +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) +gymd=$(echo $GDATE | cut -c1-8) +ghh=$(echo $GDATE | cut -c9-10) + +OPREFIX="${CDUMP}.t${chh}z." +OSUFFIX=".bufr_d" +GPREFIX="gdas.t${ghh}z." +GSUFFIX=".nemsio" +APREFIX="${CDUMP}.t${chh}z." +ASUFFIX=".nemsio" + +COMIN_OBS=${COMIN_OBS:-"$DMPDIR/$CDATE/$CDUMP"} +COMIN_GES=${COMIN_GES:-"$ROTDIR/gdas.$gymd/$ghh"} +COMOUT=${COMOUT:-"$ROTDIR/$CDUMP.$cymd/$chh"} +[[ ! -d $COMOUT ]] && mkdir -p $COMOUT +export DATA="$RUNDIR/$CDATE/$CDUMP/prepbufr" +[[ -d $DATA ]] && rm -rf $DATA +mkdir -p $DATA +cd $DATA + +############################################################### +# MAKEPREPBUFRSH environment specific variables +export NEMSIO_IN=".true." +export COMSP="$DATA/" +export NET=$CDUMP + +############################################################### +# Link observation files in BUFRLIST +for bufrname in $BUFRLIST; do + $NLN $COMIN_OBS/${OPREFIX}${bufrname}.tm00$OSUFFIX ${bufrname}.tm00$OSUFFIX +done + +# Link first guess files +$NLN $COMIN_GES/${GPREFIX}atmf003${GSUFFIX} ./atmgm3$GSUFFIX +$NLN $COMIN_GES/${GPREFIX}atmf006${GSUFFIX} ./atmges$GSUFFIX +$NLN $COMIN_GES/${GPREFIX}atmf009${GSUFFIX} ./atmgp3$GSUFFIX + +[[ -f $COMIN_GES/${GPREFIX}atmf004${GSUFFIX} ]] && $NLN $COMIN_GES/${GPREFIX}atmf004${GSUFFIX} ./atmgm2$GSUFFIX +[[ -f $COMIN_GES/${GPREFIX}atmf005${GSUFFIX} ]] && $NLN $COMIN_GES/${GPREFIX}atmf005${GSUFFIX} ./atmgm1$GSUFFIX +[[ -f $COMIN_GES/${GPREFIX}atmf007${GSUFFIX} ]] && $NLN $COMIN_GES/${GPREFIX}atmf007${GSUFFIX} ./atmgp1$GSUFFIX +[[ -f $COMIN_GES/${GPREFIX}atmf008${GSUFFIX} ]] && $NLN $COMIN_GES/${GPREFIX}atmf008${GSUFFIX} ./atmgp2$GSUFFIX + +# If relocation is turned off: these files don't exist, touch them +if [ $DO_RELOCATE = "NO" ]; then + touch $DATA/tcvitals.relocate.tm00 + touch $DATA/tropcy_relocation_status.tm00 + echo "RECORDS PROCESSED" >> $DATA/tropcy_relocation_status.tm00 +fi + +############################################################### +# if PREPDATA is YES and +# 1. the aircft bufr file is not found, set PREPACQC to NO +# 2. the ****** bufr file is not found, set ******** to NO +if [ $PREPDATA = "YES" ]; then + [[ ! -s aircft.tm00$OSUFFIX ]] && export PREPACQC="NO" +fi + +############################################################### +# Execute MAKEPREPBUFRSH + +echo $(date) EXECUTING $MAKEPREPBUFRSH $CDATE >&2 +$MAKEPREPBUFRSH $CDATE +status=$? +echo $(date) EXITING $MAKEPREPBUFRSH with return code $status >&2 +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Create nsstbufr file +if [ $DONST = "YES" ]; then + SFCSHPBF=${SFCSHPBF:-$COMIN_OBS/sfcshp.$CDUMP.$CDATE} + TESACBF=${TESACBF:-$COMIN_OBS/tesac.$CDUMP.$CDATE} + BATHYBF=${BATHYBF:-$COMIN_OBS/bathy.$CDUMP.$CDATE} + TRKOBBF=${TRKOBBF:-$COMIN_OBS/trkob.$CDUMP.$CDATE} + NSSTBF=${NSSTBF:-$COMOUT/${APREFIX}nsstbufr} + + cat $SFCSHPBF $TESACBF $BATHYBF $TRKOBBF > $NSSTBF + status=$? + echo $(date) CREATE $NSSTBF with return code $status >&2 + + # NSST bufr file must be restricted since it contains unmasked ship ids + chmod 640 $NSSTBF + $CHGRP_CMD $NSSTBF +fi +############################################################### +# Copy prepbufr and prepbufr.acft_profiles to COMOUT +$NCP $DATA/prepda.t${chh}z $COMOUT/${APREFIX}prepbufr +$NCP $DATA/prepbufr.acft_profiles $COMOUT/${APREFIX}prepbufr.acft_profiles + +############################################################### +# Exit out cleanly +if [ $KEEPDATA = "NO" ] ; then rm -rf $DATA ; fi +exit 0 diff --git a/model/fv3gfs/jobs/earc.sh b/model/fv3gfs/jobs/earc.sh index 126ede2..5b4b9de 100755 --- a/model/fv3gfs/jobs/earc.sh +++ b/model/fv3gfs/jobs/earc.sh @@ -1,10 +1,10 @@ -#!/bin/bash +#! /bin/bash ############################################################### # < next few lines under version control, D O N O T E D I T > -# $Date: 2017-08-04 03:29:01 +0000 (Fri, 04 Aug 2017) $ -# $Revision: 96274 $ +# $Date: 2017-10-23 21:23:33 +0000 (Mon, 23 Oct 2017) $ +# $Revision: 98608 $ # $Author: fanglin.yang@noaa.gov $ -# $Id: earc.sh 96274 2017-08-04 03:29:01Z fanglin.yang@noaa.gov $ +# $Id: earc.sh 98608 2017-10-23 21:23:33Z fanglin.yang@noaa.gov $ ############################################################### ############################################################### @@ -21,8 +21,8 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME @@ -32,12 +32,31 @@ if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi # Run relevant tasks # CURRENT CYCLE -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) -APREFIX="${CDUMP}.t${chh}z." +PDY=$(echo $CDATE | cut -c1-8) +cyc=$(echo $CDATE | cut -c9-10) +APREFIX="${CDUMP}.t${cyc}z." ASUFFIX=".nemsio" -COMIN_ENS="$ROTDIR/enkf.$CDUMP.$cymd/$chh" +############################################################### +# Determine if this cycle is going to save ensemble restarts +EARC_CYC=${EARC_CYC:-"00"} +if [ $ENSGRP -gt 0 ]; then + + arch_ens_rst="NO" + for ens_cyc in $EARC_CYC; do + [[ "$ens_cyc" = $cyc ]] && arch_ens_rst="YES" + done + + if [ $arch_ens_rst = "NO" ]; then + echo "Nothing to archive for ENSGRP = $ENSGRP and cyc = $cyc, EXITING!" + exit 0 + fi + +fi + +############################################################### +# Create temporary DATA directory +COMIN_ENS="$ROTDIR/enkf.$CDUMP.$PDY/$cyc" DATA="$RUNDIR/$CDATE/$CDUMP/earc$ENSGRP" [[ -d $DATA ]] && rm -rf $DATA @@ -52,12 +71,12 @@ if [ $ENSGRP -gt 0 ]; then cd $DATA/enkf.${CDUMP}restart # Get ENSBEG/ENSEND from ENSGRP and NMEM_EARCGRP - ENSEND=$(echo "$NMEM_EARCGRP * $ENSGRP" | bc) - ENSBEG=$(echo "$ENSEND - $NMEM_EARCGRP + 1" | bc) + ENSEND=$((NMEM_EARCGRP * ENSGRP)) + ENSBEG=$((ENSEND - NMEM_EARCGRP + 1)) - for imem in `seq $ENSBEG $ENSEND`; do + for imem in $(seq $ENSBEG $ENSEND); do - memchar="mem"`printf %03i $imem` + memchar="mem"$(printf %03i $imem) memdir="$COMIN_ENS/$memchar" tmpmemdir="$DATA/enkf.${CDUMP}restart/$memchar" @@ -101,7 +120,11 @@ if [ $ENSGRP -gt 0 ]; then rm -rf enkf.${CDUMP}restart -else # ENSGRP 0 archives extra info, ensemble mean, verification stuff and cleans up +fi + +############################################################### +# ENSGRP 0 archives extra info, ensemble mean, verification stuff +if [ $ENSGRP -eq 0 ]; then ############################################################### # Archive extra information that is good to have @@ -109,23 +132,25 @@ else # ENSGRP 0 archives extra info, ensemble mean, verification stuff and clean cd $DATA/enkf.$CDUMP # Ensemble mean related files - files="gsistat.ensmean cnvstat.ensmean enkfstat atmf006.ensmean.nc4 atmf006.ensspread.nc4" - for file in $files; do + ENSMEAN_STATS="gsistat.ensmean cnvstat.ensmean enkfstat atmf006.ensmean.nc4 atmf006.ensspread.nc4" + for file in $ENSMEAN_STATS; do $NCP $COMIN_ENS/${APREFIX}$file . done # Ensemble member related files - files="gsistat cnvstat" - for imem in `seq 1 $NMEM_ENKF`; do + # Only archive gsistat and cnvstat files, user can provide other to ENKF_STAT + # in config.earc if desired + ENKF_STATS=${ENKF_STATS:-"gsistat cnvstat"} + for imem in $(seq 1 $NMEM_ENKF); do - memchar="mem"`printf %03i $imem` + memchar="mem"$(printf %03i $imem) memdir="$COMIN_ENS/$memchar" tmpmemdir="$DATA/enkf.${CDUMP}/$memchar" mkdir -p $tmpmemdir - for file in $files; do + for file in $ENKF_STATS; do $NCP $memdir/${APREFIX}$file $tmpmemdir/. done @@ -159,6 +184,11 @@ else # ENSGRP 0 archives extra info, ensemble mean, verification stuff and clean $NCP $COMIN_ENS/${APREFIX}enkfstat enkfstat.${CDUMP}.$CDATE $NCP $COMIN_ENS/${APREFIX}gsistat.ensmean gsistat.${CDUMP}.${CDATE}.ensmean +fi + +############################################################### +# ENSGRP 0 also does clean-up +if [ $ENSGRP -eq 0 ]; then ############################################################### # Clean up previous cycles; various depths # PRIOR CYCLE: Leave the prior cycle alone @@ -183,4 +213,7 @@ else # ENSGRP 0 archives extra info, ensemble mean, verification stuff and clean fi +############################################################### +# Exit out cleanly +if [ ${KEEPDATA:-"NO"} = "NO" ] ; then rm -rf $DATA ; fi exit 0 diff --git a/model/fv3gfs/jobs/ecen.sh b/model/fv3gfs/jobs/ecen.sh index d7e849d..5c58676 100755 --- a/model/fv3gfs/jobs/ecen.sh +++ b/model/fv3gfs/jobs/ecen.sh @@ -1,4 +1,4 @@ -#!/bin/bash +#! /bin/bash ############################################################### # < next few lines under version control, D O N O T E D I T > # $Date: 2017-08-16 21:42:24 +0000 (Wed, 16 Aug 2017) $ @@ -20,8 +20,8 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME @@ -45,7 +45,7 @@ export COMIN="$ROTDIR/$CDUMP.$cymd/$chh" export COMIN_ENS="$ROTDIR/enkf.$CDUMP.$cymd/$chh" export COMIN_GES_ENS="$ROTDIR/enkf.$CDUMP.$gymd/$ghh" export DATA="$RUNDIR/$CDATE/$CDUMP/ecen" -[[ -d $DATA ]] && rm -rf $DATA +if [ ${KEEPDATA:-"NO"} = "NO" ] ; then rm -rf $DATA ; fi ############################################################### # Run relevant exglobal script diff --git a/model/fv3gfs/jobs/efcs.sh b/model/fv3gfs/jobs/efcs.sh index 3bf5af4..945492f 100755 --- a/model/fv3gfs/jobs/efcs.sh +++ b/model/fv3gfs/jobs/efcs.sh @@ -1,10 +1,10 @@ -#!/bin/bash +#! /bin/bash ############################################################### # < next few lines under version control, D O N O T E D I T > -# $Date: 2017-08-16 21:42:24 +0000 (Wed, 16 Aug 2017) $ -# $Revision: 96658 $ +# $Date: 2017-10-23 21:23:33 +0000 (Mon, 23 Oct 2017) $ +# $Revision: 98608 $ # $Author: fanglin.yang@noaa.gov $ -# $Id: efcs.sh 96658 2017-08-16 21:42:24Z fanglin.yang@noaa.gov $ +# $Id: efcs.sh 98608 2017-10-23 21:23:33Z fanglin.yang@noaa.gov $ ############################################################### ############################################################### @@ -21,8 +21,8 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH bool:.true.,.false. from:true_false_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) @@ -36,20 +36,24 @@ export DATA=$RUNDIR/$CDATE/$CDUMP/efcs.grp$ENSGRP [[ -d $DATA ]] && rm -rf $DATA # Get ENSBEG/ENSEND from ENSGRP and NMEM_EFCSGRP -ENSEND=$(echo "$NMEM_EFCSGRP * $ENSGRP" | bc) -ENSBEG=$(echo "$ENSEND - $NMEM_EFCSGRP + 1" | bc) +ENSEND=$((NMEM_EFCSGRP * ENSGRP)) +ENSBEG=$((ENSEND - NMEM_EFCSGRP + 1)) export ENSBEG=$ENSBEG export ENSEND=$ENSEND cymd=$(echo $CDATE | cut -c1-8) chh=$(echo $CDATE | cut -c9-10) +export GDATE=$($NDATE -$assim_freq $CDATE) +gymd=$(echo $GDATE | cut -c1-8) +ghh=$(echo $GDATE | cut -c9-10) + # Default warm_start is OFF export warm_start=".false." # If RESTART conditions exist; warm start the model -memchar="mem"`printf %03i $ENSBEG` -if [ -f $ROTDIR/enkf.${CDUMP}.$cymd/$chh/$memchar/RESTART/${cymd}.${chh}0000.coupler.res ]; then +memchar="mem"$(printf %03i $ENSBEG) +if [ -f $ROTDIR/enkf.${CDUMP}.$gymd/$ghh/$memchar/RESTART/${cymd}.${chh}0000.coupler.res ]; then export warm_start=".true." if [ -f $ROTDIR/enkf.${CDUMP}.$cymd/$chh/$memchar/${CDUMP}.t${chh}z.atminc.nc ]; then export read_increment=".true." diff --git a/model/fv3gfs/jobs/eobs.sh b/model/fv3gfs/jobs/eobs.sh index f825c0c..4fefc86 100755 --- a/model/fv3gfs/jobs/eobs.sh +++ b/model/fv3gfs/jobs/eobs.sh @@ -1,10 +1,10 @@ -#!/bin/bash +#! /bin/bash ############################################################### # < next few lines under version control, D O N O T E D I T > -# $Date: 2017-08-16 21:42:24 +0000 (Wed, 16 Aug 2017) $ -# $Revision: 96658 $ +# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ +# $Revision: 98721 $ # $Author: fanglin.yang@noaa.gov $ -# $Id: eobs.sh 96658 2017-08-16 21:42:24Z fanglin.yang@noaa.gov $ +# $Id: eobs.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ ############################################################### ############################################################### @@ -20,8 +20,8 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME @@ -42,7 +42,6 @@ export ASUFFIX=".nemsio" export GPREFIX="${CDUMP}.t${ghh}z." export GSUFFIX=".nemsio" -export COMIN_OBS="$DMPDIR/$CDATE/$CDUMP" export COMIN_GES="$ROTDIR/$CDUMP.$gymd/$ghh" export COMIN_ANL="$ROTDIR/$CDUMP.$cymd/$chh" export COMIN_GES_ENS="$ROTDIR/enkf.$CDUMP.$gymd/$ghh" @@ -98,6 +97,9 @@ GSUFFIX_SAVE=$GSUFFIX export COMIN_GES=$COMIN_GES_ENS export GSUFFIX=".ensmean$GSUFFIX" +# Do not run global_cycle for ensemble mean +export DOGCYCLE="NO" + ############################################################### # Ensure clean stat tarballs for ensemble mean for fstat in $CNVSTAT $OZNSTAT $RADSTAT; do diff --git a/model/fv3gfs/jobs/eomg.sh b/model/fv3gfs/jobs/eomg.sh index 5991826..401c3f0 100755 --- a/model/fv3gfs/jobs/eomg.sh +++ b/model/fv3gfs/jobs/eomg.sh @@ -1,10 +1,10 @@ -#!/bin/bash +#! /bin/bash ############################################################### # < next few lines under version control, D O N O T E D I T > -# $Date: 2017-08-16 21:42:24 +0000 (Wed, 16 Aug 2017) $ -# $Revision: 96658 $ +# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ +# $Revision: 98721 $ # $Author: fanglin.yang@noaa.gov $ -# $Id: eomg.sh 96658 2017-08-16 21:42:24Z fanglin.yang@noaa.gov $ +# $Id: eomg.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ ############################################################### ############################################################### @@ -21,8 +21,8 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME @@ -30,6 +30,7 @@ if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi ############################################################### # Set script and dependency variables +export CASE=$CASE_ENKF export GDATE=$($NDATE -$assim_freq $CDATE) cymd=$(echo $CDATE | cut -c1-8) @@ -43,7 +44,6 @@ export ASUFFIX=".nemsio" export GPREFIX="${CDUMP}.t${ghh}z." export GSUFFIX=".nemsio" -export COMIN_OBS="$DMPDIR/$CDATE/$CDUMP" export COMIN_GES="$ROTDIR/$CDUMP.$gymd/$ghh" export COMIN_GES_ENS="$ROTDIR/enkf.$CDUMP.$gymd/$ghh" export COMOUT="$ROTDIR/enkf.$CDUMP.$cymd/$chh" @@ -73,8 +73,8 @@ export SELECT_OBS="$COMOUT/${APREFIX}obsinput.ensmean" ############################################################### # Get ENSBEG/ENSEND from ENSGRP and NMEM_EOMGGRP -ENSEND=$(echo "$NMEM_EOMGGRP * $ENSGRP" | bc) -ENSBEG=$(echo "$ENSEND - $NMEM_EOMGGRP + 1" | bc) +ENSEND=$((NMEM_EOMGGRP * ENSGRP)) +ENSBEG=$((ENSEND - NMEM_EOMGGRP + 1)) export ENSBEG=$ENSBEG export ENSEND=$ENSEND diff --git a/model/fv3gfs/jobs/epos.sh b/model/fv3gfs/jobs/epos.sh index d0e9910..daacd93 100755 --- a/model/fv3gfs/jobs/epos.sh +++ b/model/fv3gfs/jobs/epos.sh @@ -1,10 +1,10 @@ -#!/bin/bash +#! /bin/bash ############################################################### # < next few lines under version control, D O N O T E D I T > -# $Date: 2017-08-16 21:42:24 +0000 (Wed, 16 Aug 2017) $ -# $Revision: 96658 $ +# $Date: 2017-09-23 02:48:49 +0000 (Sat, 23 Sep 2017) $ +# $Revision: 97753 $ # $Author: fanglin.yang@noaa.gov $ -# $Id: epos.sh 96658 2017-08-16 21:42:24Z fanglin.yang@noaa.gov $ +# $Id: epos.sh 97753 2017-09-23 02:48:49Z fanglin.yang@noaa.gov $ ############################################################### ############################################################### @@ -20,8 +20,8 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME @@ -38,7 +38,9 @@ export SUFFIX=".nemsio" export COMIN="$ROTDIR/enkf.$CDUMP.$cymd/$chh" export COMOUT="$ROTDIR/enkf.$CDUMP.$cymd/$chh" export DATA="$RUNDIR/$CDATE/$CDUMP/epos" -[[ -d $DATA ]] && rm -rf $DATA +if [ ${KEEPDATA:-"NO"} = "NO" ] ; then rm -rf $DATA ; fi + +export LEVS=$((LEVS-1)) ############################################################### # Run relevant exglobal script diff --git a/model/fv3gfs/jobs/eupd.sh b/model/fv3gfs/jobs/eupd.sh index ad1a31c..c017e86 100755 --- a/model/fv3gfs/jobs/eupd.sh +++ b/model/fv3gfs/jobs/eupd.sh @@ -1,10 +1,10 @@ -#!/bin/bash +#! /bin/bash ############################################################### # < next few lines under version control, D O N O T E D I T > -# $Date: 2017-08-16 21:42:24 +0000 (Wed, 16 Aug 2017) $ -# $Revision: 96658 $ +# $Date: 2017-09-23 02:48:49 +0000 (Sat, 23 Sep 2017) $ +# $Revision: 97753 $ # $Author: fanglin.yang@noaa.gov $ -# $Id: eupd.sh 96658 2017-08-16 21:42:24Z fanglin.yang@noaa.gov $ +# $Id: eupd.sh 97753 2017-09-23 02:48:49Z fanglin.yang@noaa.gov $ ############################################################### ############################################################### @@ -20,8 +20,8 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME @@ -44,17 +44,7 @@ export ASUFFIX=".nemsio" export COMIN_GES_ENS="$ROTDIR/enkf.$CDUMP.$gymd/$ghh" export COMOUT_ANL_ENS="$ROTDIR/enkf.$CDUMP.$cymd/$chh" export DATA="$RUNDIR/$CDATE/$CDUMP/eupd" -[[ -d $DATA ]] && rm -rf $DATA - -export ATMGES_ENSMEAN="$COMIN_GES_ENS/${GPREFIX}atmf006.ensmean$GSUFFIX" -if [ ! -f $ATMGES_ENSMEAN ]; then - echo "FILE MISSING: ATMGES_ENSMEAN = $ATMGES_ENSMEAN" - exit 1 -fi - -export LEVS=$($NEMSIOGET $ATMGES_ENSMEAN dimz | awk '{print $2}') -status=$? -[[ $status -ne 0 ]] && exit $status +if [ ${KEEPDATA:-"NO"} = "NO" ] ; then rm -rf $DATA ; fi ############################################################### # Run relevant exglobal script diff --git a/model/fv3gfs/jobs/fcst.sh b/model/fv3gfs/jobs/fcst.sh index 742ef83..4d041de 100755 --- a/model/fv3gfs/jobs/fcst.sh +++ b/model/fv3gfs/jobs/fcst.sh @@ -1,14 +1,15 @@ -#!/bin/bash +#! /bin/bash ############################################################### # < next few lines under version control, D O N O T E D I T > -# $Date: 2017-07-26 15:16:25 +0000 (Wed, 26 Jul 2017) $ -# $Revision: 96049 $ +# $Date: 2017-10-08 16:02:04 +0000 (Sun, 08 Oct 2017) $ +# $Revision: 98185 $ # $Author: fanglin.yang@noaa.gov $ -# $Id: fcst.sh 96049 2017-07-26 15:16:25Z fanglin.yang@noaa.gov $ +# $Id: fcst.sh 98185 2017-10-08 16:02:04Z fanglin.yang@noaa.gov $ ############################################################### ############################################################### -## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 +## Author: Fanglin Yang Org: NCEP/EMC Date: October 2016 +## Rahul Mahajan Org: NCEP/EMC Date: April 2017 ## Abstract: ## Model forecast driver script @@ -20,8 +21,8 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH bool:.true.,.false. from:true_false_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) @@ -36,21 +37,20 @@ export DATA=$RUNDIR/$CDATE/$CDUMP/fcst cymd=$(echo $CDATE | cut -c1-8) chh=$(echo $CDATE | cut -c9-10) +export GDATE=$($NDATE -$assim_freq $CDATE) +gymd=$(echo $GDATE | cut -c1-8) +ghh=$(echo $GDATE | cut -c9-10) + # Default warm_start is OFF export warm_start=".false." # If RESTART conditions exist; warm start the model # Restart conditions for GFS cycle come from GDAS rCDUMP=$CDUMP -[[ $CDUMP = "gfs" ]] && rCDUMP="gdas" +[[ $CDUMP = "gfs" ]] && export rCDUMP="gdas" -if [ -f $ROTDIR/${rCDUMP}.$cymd/$chh/RESTART/${cymd}.${chh}0000.coupler.res ]; then +if [ -f $ROTDIR/${rCDUMP}.$gymd/$ghh/RESTART/${cymd}.${chh}0000.coupler.res ]; then export warm_start=".true." - if [ $CDUMP = "gfs" ]; then - mkdir -p $ROTDIR/${CDUMP}.$cymd/$chh/RESTART - cd $ROTDIR/${CDUMP}.$cymd/$chh/RESTART - $NCP $ROTDIR/${rCDUMP}.$cymd/$chh/RESTART/${cymd}.${chh}0000.* . - fi if [ -f $ROTDIR/${CDUMP}.$cymd/$chh/${CDUMP}.t${chh}z.atminc.nc ]; then export read_increment=".true." else @@ -63,6 +63,8 @@ if [ $CDUMP = "gfs" ]; then export FHMIN=$FHMIN_GFS export FHOUT=$FHOUT_GFS export FHMAX=$FHMAX_GFS + export FHMAX_HF=$FHMAX_HF_GFS + export FHOUT_HF=$FHOUT_HF_GFS fi ############################################################### @@ -78,22 +80,28 @@ export DATA=$ROTDIR/${CDUMP}.$cymd/$chh if [ $CDUMP = "gdas" ]; then - # Regrid 6-tile output to global array in NEMSIO gaussian grid for DA - $REGRID_NEMSIO_SH - status=$? - [[ $status -ne 0 ]] && exit $status + if [ $OUTPUT_GRID = 'cubed_sphere_grid' -o $QUILTING = ".false." ]; then + # Regrid 6-tile output to global array in NEMSIO gaussian grid for DA + $REGRID_NEMSIO_SH + status=$? + [[ $status -ne 0 ]] && exit $status + fi elif [ $CDUMP = "gfs" ]; then - # Remap 6-tile output to global array in NetCDF latlon - $REMAPSH - status=$? - [[ $status -ne 0 ]] && exit $status - - # Convert NetCDF to nemsio - $NC2NEMSIOSH - status=$? - [[ $status -ne 0 ]] && exit $status + if [ $OUTPUT_GRID = 'cubed_sphere_grid' -o $QUILTING = ".false." ]; then + # Remap 6-tile output to global array in NetCDF latlon + $REMAPSH + status=$? + [[ $status -ne 0 ]] && exit $status + fi + + if [ $WRITE_NEMSIOFILE = ".false." -o $QUILTING = ".false." ]; then + # Convert NetCDF to nemsio + $NC2NEMSIOSH + status=$? + [[ $status -ne 0 ]] && exit $status + fi fi diff --git a/model/fv3gfs/jobs/fv3ic.sh b/model/fv3gfs/jobs/fv3ic.sh index 7dc0794..f8750e6 100755 --- a/model/fv3gfs/jobs/fv3ic.sh +++ b/model/fv3gfs/jobs/fv3ic.sh @@ -1,10 +1,10 @@ -#!/bin/bash +#! /bin/bash ############################################################### # < next few lines under version control, D O N O T E D I T > -# $Date: 2017-08-04 03:29:01 +0000 (Fri, 04 Aug 2017) $ -# $Revision: 96274 $ +# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ +# $Revision: 98721 $ # $Author: fanglin.yang@noaa.gov $ -# $Id: fv3ic.sh 96274 2017-08-04 03:29:01Z fanglin.yang@noaa.gov $ +# $Id: fv3ic.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ ############################################################### ############################################################### @@ -23,8 +23,8 @@ export CDUMP=${3:-$CDUMP} set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME @@ -38,21 +38,14 @@ export DATA="$RUNDIR/$CDATE/$CDUMP/fv3ic$$" export INIDIR="$ICSDIR/$CDATE/$CDUMP" export ATMANL="$ICSDIR/$CDATE/$CDUMP/siganl.${CDUMP}.$CDATE" export SFCANL="$ICSDIR/$CDATE/$CDUMP/sfcanl.${CDUMP}.$CDATE" -# global_chgres_driver.sh defines opsgfs as before the NEMSGFS was implemented. -# this bit is necessary, even though the NEMSGFS is operational, until -# Fanglin agrees to the updates for global_chgres_driver.sh and global_chgres.sh -# Till then, leave this hack of exporting icytype as opsgfs as default -# and if NSST file is found, call it nemsgfs -export ictype="opsgfs" if [ -f $ICSDIR/$CDATE/$CDUMP/nstanl.${CDUMP}.$CDATE ]; then export NSTANL="$ICSDIR/$CDATE/$CDUMP/nstanl.${CDUMP}.$CDATE" - export ictype="nemsgfs" fi # Output FV3 initial condition files export OUTDIR="$ICSDIR/$CDATE/$CDUMP/$CASE/INPUT" -export OMP_NUM_THREADS_CH=$CHGRESTHREAD +export OMP_NUM_THREADS_CH=$NTHREADS_CHGRES export APRUNC=$APRUN_CHGRES # Call global_chgres_driver.sh diff --git a/model/fv3gfs/jobs/getic.sh b/model/fv3gfs/jobs/getic.sh index 23614e9..7da24a3 100755 --- a/model/fv3gfs/jobs/getic.sh +++ b/model/fv3gfs/jobs/getic.sh @@ -1,10 +1,10 @@ -#!/bin/bash +#! /bin/bash ############################################################### # < next few lines under version control, D O N O T E D I T > -# $Date: 2017-08-04 03:29:01 +0000 (Fri, 04 Aug 2017) $ -# $Revision: 96274 $ +# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ +# $Revision: 98721 $ # $Author: fanglin.yang@noaa.gov $ -# $Id: getic.sh 96274 2017-08-04 03:29:01Z fanglin.yang@noaa.gov $ +# $Id: getic.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ ############################################################### ############################################################### @@ -20,8 +20,8 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME @@ -43,29 +43,32 @@ mkdir -p $target_dir cd $target_dir # Save the files as legacy EMC filenames -ftanal[1]="siganl.${CDUMP}.$CDATE" -ftanal[2]="sfcanl.${CDUMP}.$CDATE" -ftanal[3]="nstanl.${CDUMP}.$CDATE" +ftanal[1]="pgbanl.${CDUMP}.$CDATE" +ftanal[2]="siganl.${CDUMP}.$CDATE" +ftanal[3]="sfcanl.${CDUMP}.$CDATE" +ftanal[4]="nstanl.${CDUMP}.$CDATE" # Initialize return code to 0 -rc=0 +rc=1 -if [ $ictype = "opsgfs" ]; then +if [ $ics_from = "opsgfs" ]; then # Handle nemsio and pre-nemsio GFS filenames if [ $CDATE -gt "2017072000" ]; then - nfanal=3 - fanal[1]="./${CDUMP}.t${hh}z.atmanl.nemsio" - fanal[2]="./${CDUMP}.t${hh}z.sfcanl.nemsio" - fanal[3]="./${CDUMP}.t${hh}z.nstanl.nemsio" - flanal="${fanal[1]} ${fanal[2]} ${fanal[3]}" + nfanal=4 + fanal[1]="./${CDUMP}.t${hh}z.pgrbanl" + fanal[2]="./${CDUMP}.t${hh}z.atmanl.nemsio" + fanal[3]="./${CDUMP}.t${hh}z.sfcanl.nemsio" + fanal[4]="./${CDUMP}.t${hh}z.nstanl.nemsio" + flanal="${fanal[1]} ${fanal[2]} ${fanal[3]} ${fanal[4]}" tarpref="gpfs_hps_nco_ops_com" else - nfanal=2 + nfanal=3 [[ $CDUMP = "gdas" ]] && str1=1 - fanal[1]="./${CDUMP}${str1}.t${hh}z.sanl" - fanal[2]="./${CDUMP}${str1}.t${hh}z.sfcanl" - flanal="${fanal[1]} ${fanal[2]}" + fanal[1]="./${CDUMP}${str1}.t${hh}z.pgrbanl" + fanal[2]="./${CDUMP}${str1}.t${hh}z.sanl" + fanal[3]="./${CDUMP}${str1}.t${hh}z.sfcanl" + flanal="${fanal[1]} ${fanal[2]} ${fanal[3]}" tarpref="com2" fi @@ -76,6 +79,7 @@ if [ $ictype = "opsgfs" ]; then module load prod_envir >> /dev/null 2>&1 comdir="$COMROOT/$CDUMP/prod/$CDUMP.$cymd" + rc=0 for i in `seq 1 $nfanal`; do if [ -f $comdir/${fanal[i]} ]; then $NCP $comdir/${fanal[i]} ${ftanal[i]} @@ -84,38 +88,39 @@ if [ $ictype = "opsgfs" ]; then fi done - # If found, exit out - [[ $rc -eq 0 ]] && exit 0 - fi # Get initial conditions from HPSS - hpssdir="/NCEPPROD/hpssprod/runhistory/rh$yyyy/$yyyy$mm/$cymd" - if [ $CDUMP = "gdas" ]; then - tarball="$hpssdir/${tarpref}_gfs_prod_${CDUMP}.${CDATE}.tar" - elif [ $CDUMP = "gfs" ]; then - tarball="$hpssdir/${tarpref}_gfs_prod_${CDUMP}.${CDATE}.anl.tar" - fi - - # check if the tarball exists - hsi ls -l $tarball - rc=$? - if [ $rc -ne 0 ]; then - echo "$tarball does not exist and should, ABORT!" - exit $rc - fi - # get the tarball - htar -xvf $tarball $flanal - rc=$? if [ $rc -ne 0 ]; then - echo "untarring $tarball failed, ABORT!" - exit $rc - fi - # Move the files to legacy EMC filenames - for i in `seq 1 $nfanal`; do - $NMV ${fanal[i]} ${ftanal[i]} - done + hpssdir="/NCEPPROD/hpssprod/runhistory/rh$yyyy/$yyyy$mm/$cymd" + if [ $CDUMP = "gdas" ]; then + tarball="$hpssdir/${tarpref}_gfs_prod_${CDUMP}.${CDATE}.tar" + elif [ $CDUMP = "gfs" ]; then + tarball="$hpssdir/${tarpref}_gfs_prod_${CDUMP}.${CDATE}.anl.tar" + fi + + # check if the tarball exists + hsi ls -l $tarball + rc=$? + if [ $rc -ne 0 ]; then + echo "$tarball does not exist and should, ABORT!" + exit $rc + fi + # get the tarball + htar -xvf $tarball $flanal + rc=$? + if [ $rc -ne 0 ]; then + echo "untarring $tarball failed, ABORT!" + exit $rc + fi + + # Move the files to legacy EMC filenames + for i in `seq 1 $nfanal`; do + $NMV ${fanal[i]} ${ftanal[i]} + done + + fi # If found, exit out if [ $rc -ne 0 ]; then @@ -123,14 +128,15 @@ if [ $ictype = "opsgfs" ]; then exit 1 fi -elif [ $ictype = "nemsgfs" ]; then +elif [ $ics_from = "pargfs" ]; then # Filenames in parallel - nfanal=3 - fanal[1]="gfnanl.${CDUMP}.$CDATE" - fanal[2]="sfnanl.${CDUMP}.$CDATE" - fanal[3]="nsnanl.${CDUMP}.$CDATE" - flanal="${fanal[1]} ${fanal[2]} ${fanal[3]}" + nfanal=4 + fanal[1]="pgbanl.${CDUMP}.$CDATE" + fanal[2]="gfnanl.${CDUMP}.$CDATE" + fanal[3]="sfnanl.${CDUMP}.$CDATE" + fanal[4]="nsnanl.${CDUMP}.$CDATE" + flanal="${fanal[1]} ${fanal[2]} ${fanal[3]} ${fanal[4]}" # Get initial conditions from HPSS from retrospective parallel tarball="$HPSS_PAR_PATH/${CDATE}${CDUMP}.tar" @@ -163,10 +169,16 @@ elif [ $ictype = "nemsgfs" ]; then else - echo "ictype = $ictype, is not supported, ABORT!" + echo "ics_from = $ics_from is not supported, ABORT!" exit 1 fi +############################################################### + +# Copy pgbanl file to COMROT for verification +COMROT=$ROTDIR/${CDUMP}.$cymd/$hh +[[ ! -d $COMROT ]] && mkdir -p $COMROT +$NCP ${ftanal[1]} $COMROT/${CDUMP}.t${hh}z.pgrbanl ############################################################### # Exit out cleanly diff --git a/model/fv3gfs/jobs/post.sh b/model/fv3gfs/jobs/post.sh index 2e5f5e5..9be37fc 100755 --- a/model/fv3gfs/jobs/post.sh +++ b/model/fv3gfs/jobs/post.sh @@ -1,14 +1,15 @@ -#!/bin/bash +#! /bin/bash ############################################################### # < next few lines under version control, D O N O T E D I T > -# $Date: 2017-08-24 22:05:14 +0000 (Thu, 24 Aug 2017) $ -# $Revision: 96869 $ +# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ +# $Revision: 98721 $ # $Author: fanglin.yang@noaa.gov $ -# $Id: post.sh 96869 2017-08-24 22:05:14Z fanglin.yang@noaa.gov $ +# $Id: post.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ ############################################################### ############################################################### -## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 +## Author: Fanglin Yang Org: NCEP/EMC Date: October 2016 +## Rahul Mahajan Org: NCEP/EMC Date: April 2017 ## Abstract: ## NCEP post driver script @@ -20,8 +21,8 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME @@ -29,25 +30,45 @@ if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi ############################################################### # Set script and dependency variables -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) +PDY=$(echo $CDATE | cut -c1-8) +cyc=$(echo $CDATE | cut -c9-10) -export COMROT=$ROTDIR/$CDUMP.$cymd/$chh - -res=$(echo $CASE | cut -c2-) -export JCAP=$((res*2-2)) -export LONB=$((4*res)) -export LATB=$((2*res)) +export COMROT=$ROTDIR/$CDUMP.$PDY/$cyc export pgmout="/dev/null" # exgfs_nceppost.sh.ecf will hang otherwise -export PREFIX="$CDUMP.t${chh}z." +export PREFIX="$CDUMP.t${cyc}z." export SUFFIX=".nemsio" export DATA=$RUNDIR/$CDATE/$CDUMP/post [[ -d $DATA ]] && rm -rf $DATA +# Get metadata JCAP, LONB, LATB from ATMF00 +ATMF00=$ROTDIR/$CDUMP.$PDY/$cyc/${PREFIX}atmf000$SUFFIX +if [ ! -f $ATMF00 ]; then + echo "$ATMF00 does not exist and should, ABORT!" + exit 99 +fi + +if [ $QUILTING = ".false." ]; then + export JCAP=$($NEMSIOGET $ATMF00 jcap | awk '{print $2}') + status=$? + [[ $status -ne 0 ]] && exit $status +else + # write component does not add JCAP anymore + res=$(echo $CASE | cut -c2-) + export JCAP=$((res*2-2)) +fi + +[[ $status -ne 0 ]] && exit $status +export LONB=$($NEMSIOGET $ATMF00 dimx | awk '{print $2}') +status=$? +[[ $status -ne 0 ]] && exit $status +export LATB=$($NEMSIOGET $ATMF00 dimy | awk '{print $2}') +status=$? +[[ $status -ne 0 ]] && exit $status + # Run post job to create analysis grib files -export ATMANL=$ROTDIR/$CDUMP.$cymd/$chh/${PREFIX}atmanl$SUFFIX +export ATMANL=$ROTDIR/$CDUMP.$PDY/$cyc/${PREFIX}atmanl$SUFFIX if [ -f $ATMANL ]; then export ANALYSIS_POST="YES" $POSTJJOBSH @@ -56,14 +77,10 @@ if [ -f $ATMANL ]; then fi # Run post job to create forecast grib files -# Only for GFS cycles. -# We no longer do relocation, and thus GDAS cycle does not need forecast grib files -if [ $CDUMP = "gfs" ]; then - export ANALYSIS_POST="NO" - $POSTJJOBSH - status=$? - [[ $status -ne 0 ]] && exit $status -fi +export ANALYSIS_POST="NO" +$POSTJJOBSH +status=$? +[[ $status -ne 0 ]] && exit $status ############################################################### # Exit out cleanly diff --git a/model/fv3gfs/jobs/prep.sh b/model/fv3gfs/jobs/prep.sh index c0acb89..79c67d1 100755 --- a/model/fv3gfs/jobs/prep.sh +++ b/model/fv3gfs/jobs/prep.sh @@ -1,10 +1,10 @@ -#!/bin/bash +#! /bin/bash ############################################################### # < next few lines under version control, D O N O T E D I T > -# $Date: 2017-08-16 21:42:24 +0000 (Wed, 16 Aug 2017) $ -# $Revision: 96658 $ +# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ +# $Revision: 98721 $ # $Author: fanglin.yang@noaa.gov $ -# $Id: prep.sh 96658 2017-08-16 21:42:24Z fanglin.yang@noaa.gov $ +# $Id: prep.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ ############################################################### ############################################################### @@ -20,8 +20,8 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME @@ -35,7 +35,6 @@ chh=$(echo $CDATE | cut -c9-10) export OPREFIX="${CDUMP}.t${chh}z." -export COMIN_OBS="$DMPDIR/$CDATE/$CDUMP" export COMOUT="$ROTDIR/$CDUMP.$cymd/$chh" [[ ! -d $COMOUT ]] && mkdir -p $COMOUT @@ -49,13 +48,14 @@ fi # Generate prepbufr files from dumps or copy from OPS if [ $DO_MAKEPREPBUFR = "YES" ]; then - $DRIVE_MAKEPREPBUFRSH + "$BASE_JOB"/drive_makeprepbufr.sh [[ $status -ne 0 ]] && exit $status else - $NCP $COMIN_OBS/${OPREFIX}prepbufr $COMOUT/${OPREFIX}prepbufr - $NCP $COMIN_OBS/${OPREFIX}prepbufr.acft_profiles $COMOUT/${OPREFIX}prepbufr.acft_profiles + $NCP $DMPDIR/$CDATE/$CDUMP/${OPREFIX}prepbufr $COMOUT/${OPREFIX}prepbufr + $NCP $DMPDIR/$CDATE/$CDUMP/${OPREFIX}prepbufr.acft_profiles $COMOUT/${OPREFIX}prepbufr.acft_profiles + [[ $DONST = "YES" ]] && $NCP $DMPDIR/$CDATE/$CDUMP/${OPREFIX}nsstbufr $COMOUT/${OPREFIX}nsstbufr fi -############################################################### +################################################################################ # Exit out cleanly exit 0 diff --git a/model/fv3gfs/jobs/vrfy.sh b/model/fv3gfs/jobs/vrfy.sh index 31e319d..a9d9b45 100755 --- a/model/fv3gfs/jobs/vrfy.sh +++ b/model/fv3gfs/jobs/vrfy.sh @@ -1,14 +1,15 @@ -#!/bin/bash +#! /bin/bash ############################################################### # < next few lines under version control, D O N O T E D I T > -# $Date: 2017-08-24 22:05:14 +0000 (Thu, 24 Aug 2017) $ -# $Revision: 96869 $ +# $Date: 2017-09-29 00:33:10 +0000 (Fri, 29 Sep 2017) $ +# $Revision: 97961 $ # $Author: fanglin.yang@noaa.gov $ -# $Id: vrfy.sh 96869 2017-08-24 22:05:14Z fanglin.yang@noaa.gov $ +# $Id: vrfy.sh 97961 2017-09-29 00:33:10Z fanglin.yang@noaa.gov $ ############################################################### ############################################################### -## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 +## Author: Fanglin Yang Org: NCEP/EMC Date: October 2016 +## Rahul Mahajan Org: NCEP/EMC Date: April 2017 ## Abstract: ## Inline verification and diagnostics driver script @@ -18,34 +19,37 @@ ############################################################### set -ex + +export LOGNAME=${LOGNAME:-${CDUMP:-fv3gfs}} # usually set at ecflow level + JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH \ + apply:LOGNAME=\"$LOGNAME\" from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi +export OZNDIR="${OZNDIR:-$NOSCRUB/$LOGNAME/ozone/stats/{doc.case.experiment_name}" + ############################################################### export PDY=$(echo $CDATE | cut -c1-8) export cyc=$(echo $CDATE | cut -c9-10) export CDATEm1=$($NDATE -24 $CDATE) export PDYm1=$(echo $CDATEm1 | cut -c1-8) - export COMIN="$ROTDIR/$CDUMP.$PDY/$cyc" -export DATAROOT="$STMP/RUNDIRS/$PSLOT/$CDATE/$CDUMP" -[[ -d $DATAROOT/vrfy ]] && rm -rf $DATAROOT/vrfy -mkdir -p $DATAROOT/vrfy -cd $DATAROOT/vrfy +export DATAROOT="$RUNDIR/$CDATE/$CDUMP/vrfy" +[[ -d $DATAROOT ]] && rm -rf $DATAROOT ############################################################### # Verify Fits if [ $VRFYFITS = "YES" -a $CDUMP = $CDFNL ]; then export CDUMPFCST=$VDUMP - export TMPDIR="$RUNDIR/$CDUMP/$CDATE/vrfy/fit2obs/tmpdir" + export TMPDIR="$RUNDIR/$CDATE/$CDUMP" [[ ! -d $TMPDIR ]] && mkdir -p $TMPDIR $PREPQFITSH $PSLOT $CDATE $ROTDIR $ARCDIR $TMPDIR @@ -62,7 +66,7 @@ if [ $CDUMP = "gfs" ]; then xdate=$(echo $($NDATE -${BACKDATEVSDB} $CDATE) | cut -c1-8) export ARCDIR1="$NOSCRUB/archive" export rundir="$RUNDIR/$CDUMP/$CDATE/vrfy/vsdb_exp" - export COMROT="$ROTDIR/vrfyarch/dummy" # vrfyarch/dummy is required because of clumsiness in mkup_rain_stat.sh + export COMROT="$ARCDIR1/dummy" $VSDBSH $xdate $xdate $vlength $cyc $PSLOT $CDATE $CDUMP $gfs_cyc @@ -89,7 +93,6 @@ fi if [ $VRFYMINMON = "YES" ]; then export COMOUT="$ROTDIR/$CDUMP.$PDY/$cyc" - export DATA_IN="$DATAROOT/minmon.$CDATE" export jlogfile="$ROTDIR/logs/$CDATE/${CDUMP}minmon.log" export M_TANKverfM0="$M_TANKverf/stats/$PSLOT/$CDUMP.$PDY" export M_TANKverfM1="$M_TANKverf/stats/$PSLOT/$CDUMP.$PDYm1" @@ -124,4 +127,5 @@ fi ############################################################### # Force Exit out cleanly +if [ ${KEEPDATA:-"NO"} = "NO" ] ; then rm -rf $DATAROOT ; fi exit 0 diff --git a/model/fv3gfs/platform.yaml b/model/fv3gfs/main.yaml similarity index 100% rename from model/fv3gfs/platform.yaml rename to model/fv3gfs/main.yaml diff --git a/model/fv3gfs/platforms/_main.yaml b/model/fv3gfs/platforms/_main.yaml new file mode 100644 index 0000000..76e9da6 --- /dev/null +++ b/model/fv3gfs/platforms/_main.yaml @@ -0,0 +1,3 @@ +include: + - "*.yaml" + - choice.yaml diff --git a/model/fv3gfs/platforms/choice.yaml b/model/fv3gfs/platforms/choice.yaml new file mode 100644 index 0000000..6dc46a3 --- /dev/null +++ b/model/fv3gfs/platforms/choice.yaml @@ -0,0 +1,11 @@ +platform: !Immediate + - !FirstTrue + - do: + <<: *wcoss_cray + Evaluate: true + when: !calc do.detect + - do: + <<: *theia + Evaluate: true + when: !calc do.detect + - otherwise: null diff --git a/model/fv3gfs/platforms/theia.yaml b/model/fv3gfs/platforms/theia.yaml new file mode 100644 index 0000000..df5135a --- /dev/null +++ b/model/fv3gfs/platforms/theia.yaml @@ -0,0 +1,87 @@ + +theia: &theia !Platform + <<: *resource_defaults + Evaluate: false + name: THEIA + detect: !calc tools.isdir("/scratch4") and tools.isdir("/scratch3") + + BASE_SVN: "/scratch4/NCEPDEV/global/save/glopara/svn" + + # Environment variables to set in all jobs + general_env: + POSTGRB2TBL: "/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" + NWPROD: "/scratch4/NCEPDEV/global/save/glopara/nwpara" + DMPDIR: "/scratch4/NCEPDEV/global/noscrub/dump" + RTMFIX: "/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" + NEMSIOGET: !expand "{doc.places.BASE_GSM}/exec/nemsio_get" + #NEMSIOGET: !expand "{NWPROD}/util/exec/nemsio_get" + NDATE: !expand "{NWPROD}/util/exec/ndate" + NHOUR: !expand "{NWPROD}/util/exec/nhour" + WGRIB: !expand "{NWPROD}/util/exec/wgrib" + WGRIB2: !expand "{NWPROD}/util/exec/wgrib2" + COPYGB: !expand "{NWPROD}/util/exec/copygb" + COPYGB2: !expand "{NWPROD}/util/exec/copygb2" + GRBINDEX: !expand "{NWPROD}/util/exec/grbindex" + GRB2INDEX: !expand "{NWPROD}/util/exec/grb2index" + GRBINDEX2: !expand "{NWPROD}/util/exec/grb2index" + CNVGRIB: "/apps/cnvgrib/1.4.0/bin/cnvgrib" + WGRIB: !expand "{NWPROD}/util/exec/wgrib" + WGRIB2: "/scratch3/NCEPDEV/nwprod/utils/wgrib2.v2.0.6c/wgrib2/wgrib2" + + prep_step: !expand "{NWPROD}/prod_util.v1.0.15/ush/prep_step" + + NCP: "/bin/cp -p" + NLN: "/bin/ln -sf" + NMV: "/bin/mv" + + CHGRP_CMD: chgrp rstprod + + default_cpu_project: fv3-cpu + + serial_accounting: + queue: debug + project: !calc doc.accounting.cpu_project + transfer_accounting: + queue: service + project: !calc doc.accounting.cpu_project + parallel_accounting: + queue: batch + project: !calc doc.accounting.cpu_project + + scheduler_settings: &theia_scheduler + name: MoabTorque + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + parallelism_settings: { <<: *theia_scheduler, name: HydraIMPI } + node_type_settings: { <<: *theia_scheduler, node_type: generic } + + mpi_tuning: + MPI_BUFS_PER_HOST: 2048 + MPI_BUFS_PER_PROC: 2048 + MPI_GROUP_MAX: 256 + MPI_MEMMAP_OFF: 1 + MP_STDOUTMODE: "ORDERED" + NTHSTACK: 1024000000 + OMP_STACKSIZE: 2048000 + + scheduler: !calc | + tools.get_scheduler(scheduler_settings.name, scheduler_settings) + parallelism: !calc | + tools.get_parallelism(parallelism_settings.name, parallelism_settings) + nodes: !calc | + tools.node_tool_for(node_type_settings.node_type, node_type_settings) + + # Path to pan_df, the program used to get Panasas disk usage information: + pan_df: pan_df + least_used_scrub: !Immediate + - !FirstMax + - do: /scratch3/NCEPDEV/stmp1 + when: !calc tools.panasas_gb(do) + - do: /scratch3/NCEPDEV/stmp2 + when: !calc tools.panasas_gb(do) + - do: /scratch4/NCEPDEV/stmp3 + when: !calc tools.panasas_gb(do) + - do: /scratch4/NCEPDEV/stmp4 + when: !calc tools.panasas_gb(do) diff --git a/model/fv3gfs/platforms/wcoss_cray.yaml b/model/fv3gfs/platforms/wcoss_cray.yaml new file mode 100644 index 0000000..fac857b --- /dev/null +++ b/model/fv3gfs/platforms/wcoss_cray.yaml @@ -0,0 +1,59 @@ + +wcoss_cray: &wcoss_cray !Platform + <<: *resource_defaults + name: WCOSS_C + Evaluate: false + detect: !calc | + tools.isdir("/gpfs/hps") and \ + tools.isfile("/etc/SuSE-release") + default_cpu_project: GFS-T2O + serial_accounting: + queue: dev + project: !calc doc.accounting.cpu_project + transfer_accounting: + queue: transfer + project: !calc doc.accounting.cpu_project + parallel_accounting: + queue: dev + project: !calc doc.accounting.cpu_project + + scheduler_settings: &wcoss_cray_scheduler + name: LSFAlps + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + parallelism_settings: { <<: *wcoss_cray_scheduler, name: LSFAlps } + node_type_settings: { <<: *wcoss_cray_scheduler, node_type: generic } + + mpi_tuning: + # FIXME: Update for WCOSS Cray + MPI_BUFS_PER_HOST: 2048 + MPI_BUFS_PER_PROC: 2048 + MPI_GROUP_MAX: 256 + MPI_MEMMAP_OFF: 1 + MP_STDOUTMODE: "ORDERED" + NTHSTACK: 1024000000 + OMP_STACKSIZE: 2048000 + + scheduler: !calc | + tools.get_scheduler(scheduler_settings.name, scheduler_settings) + parallelism: !calc | + tools.get_parallelism(parallelism_settings.name, parallelism_settings) + nodes: !calc | + tools.node_tool_for(node_type_settings.node_type, node_type_settings) + + BASE_SVN: "/gpfs/hps3/emc/global/noscrub/emc.glopara/svn" + + general_env: + POSTGRB2TBL: "/gpfs/hps/nco/ops/nwprod/lib/g2tmpl/v1.3.0/src/params_grib2_tbl_new" + CHGRP_CMD: chgrp rstprod + + # Path to mmlsquota, the program used to get GPFS disk usage information: + mmlsquota: "/usr/lpp/mmfs/bin/mmlsquota" + least_used_scrub: !Immediate + - !FirstMax + - do: /gpfs/hps2/ptmp + when: !calc tools.gpfs_gb(do,"hps2-ptmp","hps2",mmlsquota) + - do: /gpfs/hps3/ptmp + when: !calc tools.gpfs_gb(do,"hps3-ptmp","hps3",mmlsquota) diff --git a/model/fv3gfs/resources.yaml b/model/fv3gfs/resources/resources.yaml similarity index 98% rename from model/fv3gfs/resources.yaml rename to model/fv3gfs/resources/resources.yaml index 20aa5d4..eae8b37 100644 --- a/model/fv3gfs/resources.yaml +++ b/model/fv3gfs/resources/resources.yaml @@ -125,7 +125,7 @@ resource_defaults: &resource_defaults resources: !JobRequest - exe: placeholder mpi_ranks: !calc 24*6 - max_ppn: 4 + max_ppn: 6 OMP_NUM_THREADS: 4 run_fcst: @@ -145,7 +145,7 @@ resource_defaults: &resource_defaults - exe: placeholder mpi_ranks: !calc 6*12 max_ppn: 12 - OMP_NUM_THREADS: max + OMP_NUM_THREADS: 1 run_vrfy: env: { } diff --git a/model/fv3gfs/runtime/_main.yaml b/model/fv3gfs/runtime/_main.yaml new file mode 100644 index 0000000..1f61399 --- /dev/null +++ b/model/fv3gfs/runtime/_main.yaml @@ -0,0 +1,3 @@ +include: + - rocoto.yaml + - "*.yaml" diff --git a/model/fv3gfs/workflow.yaml b/model/fv3gfs/runtime/cycled_workflow.yaml similarity index 82% rename from model/fv3gfs/workflow.yaml rename to model/fv3gfs/runtime/cycled_workflow.yaml index 8a9314b..8edd0aa 100644 --- a/model/fv3gfs/workflow.yaml +++ b/model/fv3gfs/runtime/cycled_workflow.yaml @@ -1,117 +1,4 @@ -Rocoto: &Rocoto - scheduler: !calc doc.platform.scheduler - parallelism: !calc doc.platform.parallelism -# EXPDIR: !calc doc.places.EXPDIR - max_tries: 1 - - workflow_xml: !expand | - - - - - - - - - ]> - - &LOG_DIR;/rocoto_@Y@m@d@H.log - {to_rocoto.make_time_xml(indent=1)} - {to_rocoto.make_task_xml(indent=1)} - - - task_template: &task_template !expand | - {doc.places.BASE_JOB}/job_wrapper.sh {Perform.J_JOB} - {doc.case.experiment_name}{task_path_str}@@Y@m@d@H - &LOG_DIR;/@Y@m@d@H/{task_path_var}.log - {Perform.walltime} - {Perform.memory} - {sched.rocoto_accounting(Perform.accounting)} - {sched.rocoto_resources(Perform.resources)} - CDATE@Y@m@d@H - - - COMOUTgfs - &COM_DIR;/@Y@m@d@H - - - OLD_COM_DIR - &COM_DIR;/@Y@m@d@H - - - SCRUB_DIR - &SCRUB_DIR;/@Y@m@d@H - - - TASK_PATH - {task_path_var}.Perform - - - MACHINE - {doc.platform.name} - - - machine - {doc.platform.name} - - - BASE_MODULES - {doc.places.BASE_MODULES} - - - CONFIG_YAML - {doc.places.EXPDIR}/config.yaml - - - HOMEcrow - {doc.places.HOMEcrow} - - - BASE_JOB - {doc.places.BASE_JOB} - - - HOMEgfs - {doc.places.HOMEgfs} - - - ACTUALLY_RUN - {"YES" if doc.case.ACTUALLY_RUN else "NO"} - - - efcs_task_template: &efcs_task_template !expand | - - NMEM_ENKF - {Perform.NMEM_ENKF} - - - NMEM_ENKF_GRP_EFMN - {Perform.NMEM_ENKF_GRP_EFMN} - - - GROUP_NUMBER - GROUP_NUMBER - - - eomn_task_template: &eomn_task_template !expand | - - NMEM_ENKF - {Perform.NMEM_ENKF} - - - NMEM_ENKF_GRP_EOMN - {Perform.NMEM_ENKF_GRP_EOMN} - - - GROUP_NUMBER - GROUP_NUMBER - - -workflow: !Cycle +cycled_workflow: !Cycle Rocoto: *Rocoto Clock: *clock diff --git a/model/fv3gfs/runtime/rocoto.yaml b/model/fv3gfs/runtime/rocoto.yaml new file mode 100644 index 0000000..51cfb1c --- /dev/null +++ b/model/fv3gfs/runtime/rocoto.yaml @@ -0,0 +1,113 @@ +Rocoto: &Rocoto + scheduler: !calc doc.platform.scheduler + parallelism: !calc doc.platform.parallelism +# EXPDIR: !calc doc.places.EXPDIR + max_tries: 1 + + workflow_xml: !expand | + + + + + + + + + ]> + + &LOG_DIR;/rocoto_@Y@m@d@H.log + {to_rocoto.make_time_xml(indent=1)} + {to_rocoto.make_task_xml(indent=1)} + + + task_template: &task_template !expand | + {doc.places.BASE_JOB}/job_wrapper.sh {Perform.J_JOB} + {doc.case.experiment_name}{task_path_str}@@Y@m@d@H + &LOG_DIR;/@Y@m@d@H/{task_path_var}.log + {Perform.walltime} + {Perform.memory} + {sched.rocoto_accounting(Perform.accounting)} + {sched.rocoto_resources(Perform.resources)} + CDATE@Y@m@d@H + + + COMOUTgfs + &COM_DIR;/@Y@m@d@H + + + OLD_COM_DIR + &COM_DIR;/@Y@m@d@H + + + SCRUB_DIR + &SCRUB_DIR;/@Y@m@d@H + + + TASK_PATH + {task_path_var}.Perform + + + MACHINE + {doc.platform.name} + + + machine + {doc.platform.name} + + + BASE_MODULES + {doc.places.BASE_MODULES} + + + CONFIG_YAML + {doc.places.EXPDIR}/config.yaml + + + HOMEcrow + {doc.places.HOMEcrow} + + + BASE_JOB + {doc.places.BASE_JOB} + + + HOMEgfs + {doc.places.HOMEgfs} + + + ACTUALLY_RUN + {"YES" if doc.case.ACTUALLY_RUN else "NO"} + + + efcs_task_template: &efcs_task_template !expand | + + NMEM_ENKF + {Perform.NMEM_ENKF} + + + NMEM_ENKF_GRP_EFMN + {Perform.NMEM_ENKF_GRP_EFMN} + + + GROUP_NUMBER + GROUP_NUMBER + + + eomn_task_template: &eomn_task_template !expand | + + NMEM_ENKF + {Perform.NMEM_ENKF} + + + NMEM_ENKF_GRP_EOMN + {Perform.NMEM_ENKF_GRP_EOMN} + + + GROUP_NUMBER + GROUP_NUMBER + + diff --git a/model/fv3gfs/runtime.yaml b/model/fv3gfs/runtime/runtime.yaml similarity index 100% rename from model/fv3gfs/runtime.yaml rename to model/fv3gfs/runtime/runtime.yaml diff --git a/model/fv3gfs/setup_case.py b/model/fv3gfs/setup_case.py new file mode 100755 index 0000000..2aab64c --- /dev/null +++ b/model/fv3gfs/setup_case.py @@ -0,0 +1,146 @@ +#! /usr/bin/env python3 +f'This script requires python 3.6 or later' + +import os, sys, logging, glob, io, getopt, re +from collections.abc import Sequence + +sys.path.append(os.path.abspath(os.path.join( + os.path.dirname(__file__),'../..'))) + +from create_comrot import create_COMROT +import crow.config, crow.metascheduler +from crow.config import Platform + +logger=logging.getLogger("setup_case") + +def epicfail(why): + logger.error(why) + sys.exit(1) + +def follow_main(fd,reldir,more_globals): + logger.debug(f"{reldir}: enter directory") + mainfile=os.path.join(reldir,"_main.yaml") + + includes=[ "*.yaml" ] + if os.path.exists(mainfile): + logger.debug(f"{mainfile}: read \"include\" array") + maindat=crow.config.from_file(mainfile) + maindat.update(more_globals) + if "include" not in maindat or \ + not isinstance(maindat.include,Sequence): + epicfail(f"{mainfile} has no \"include\" array") + includes=maindat.include + + logger.debug(f"{reldir}: scan {includes}") + + literals=set() + # First pass: scan for literal files: + for item in includes: + if not re.search(r'[*?\[\]{}]',item): + literals.add(item) + + # Second pass: read files: + included=set() + for item in includes: + if item in included: continue + is_literal=item in literals + if is_literal: + paths=[ os.path.join(reldir,item) ] + else: + paths=[ x for x in glob.glob(os.path.join(reldir,item)) ] + logger.debug(f"{reldir}: {item}: paths = {paths}") + for path in paths: + basename=os.path.basename(path) + if basename in included: continue + if not is_literal and basename in literals: continue + if basename == "_main.yaml": continue + if os.path.isdir(path): + follow_main(fd,path,more_globals) + else: + logger.debug(f"{path}: read yaml") + included.add(basename) + with open(path,"rt") as pfd: + fd.write(f"#--- {path}\n") + fd.write(pfd.read()) + fd.write(f"\n#--- end {path}\n") + +def read_contents(case): + for case_file in [ case,f"{case}.yaml",f"cases/{case}", + f"cases/{case}.yaml","/" ]: + if os.path.exists(case_file) and case_file!='/': + logger.info(f"{case_file}: file for this case") + break + if case_file == "/": + epicfail(f"{case}: no such case; pick one from in cases/") + if not os.path.exists("user.yaml"): + epicfail("Please copy user.yaml.default to user.yaml and fill in values.") + with io.StringIO() as yfd: + follow_main(yfd,".",{ "case_yaml":case_file, "user_yaml":"user.yaml" }) + yaml=yfd.getvalue() + return crow.config.from_string(yaml) + +def main(): + ( optval, args ) = getopt.getopt(sys.argv[1:],"v",["verbose","force"]) + options=dict(optval) + level=logging.INFO + if '-v' in options or '--verbose' in options: + level=logging.DEBUG + logging.basicConfig(stream=sys.stderr,level=level) + force="--force" in options + + if len(args)!=1: + sys.stderr.write("Format: setup_case.py [-v] [--force] case-name\n") + exit(1) + + case=args[0] + + logger.info(f"read case {case}") + conf=read_contents(case) + logger.info("Remove platforms from configuration.") + for key in list(conf.keys()): + if isinstance(conf[key],Platform) and key!='platform': + del conf[key] + + EXPDIR=conf.places.EXPDIR + logger.info(f'Run directory: {EXPDIR}') + config_yaml=os.path.join(EXPDIR,'config.yaml') + + try: + os.makedirs(EXPDIR) + except FileExistsError: + logger.warning(f'{EXPDIR}: exists') + if not force: + logger.error(f'{EXPDIR}: already exists. Delete or use --force.') + sys.exit(1) + logger.warning(f'--force given; will replace config.yaml without ' + 'deleting directory') + + create_COMROT(conf) + + chosen_workflow=conf.case.workflow + conf.workflow=conf[chosen_workflow] + + suite=crow.config.Suite(conf[chosen_workflow]) + doc=crow.config.document_root(suite) + + expname=conf.case.experiment_name + logger.info(f'Experiment name: {expname}') + + logger.info(f'Generate suite definition') + rocoto_xml=crow.metascheduler.to_rocoto(suite) + logger.info(f'Prepare cached YAML') + yaml=crow.config.to_yaml(doc) + + logger.info(f'Write the config file: {config_yaml}') + with open(config_yaml,'wt') as fd: + fd.write(yaml) + + rocoto_xml_file=os.path.join(EXPDIR,f'{expname}.xml') + logger.info(f'Rocoto XML file: {rocoto_xml_file}') + with open(rocoto_xml_file,'wt') as fd: + fd.write(rocoto_xml) + logger.info('Workflow XML file is generated.') + logger.info('Use Rocoto to execute this workflow.') + +if __name__ == "__main__": + main() diff --git a/model/fv3gfs/user.yaml.default b/model/fv3gfs/user.yaml.default new file mode 100644 index 0000000..556335d --- /dev/null +++ b/model/fv3gfs/user.yaml.default @@ -0,0 +1,12 @@ +places: &places + <<: *default_places + PROJECT_DIR: !error Please select a project directory. + # ie. /scratch4/NCEPDEV/ocean + HOMEgfs: !error Where is your fv3gfs checkout? + # ie. /scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/fv3gfs-fcst-post/EXP-cyc-try2/ + +accounting: &accounting + # Project for CPU accounting. + Template: *accounting_template + cpu_project: !error What accounting code do I use to submit jobs? # ie.: global + hpss_project: !error Where do I put data on HPSS? # ie.: emc-global diff --git a/model/fv3gfs/validation/_main.yaml b/model/fv3gfs/validation/_main.yaml new file mode 100644 index 0000000..1828e34 --- /dev/null +++ b/model/fv3gfs/validation/_main.yaml @@ -0,0 +1,4 @@ +include: + - fv3.yaml + - "*.yaml" + - case.yaml diff --git a/model/fv3gfs/validation/004_accounting.yaml b/model/fv3gfs/validation/accounting.yaml similarity index 100% rename from model/fv3gfs/validation/004_accounting.yaml rename to model/fv3gfs/validation/accounting.yaml diff --git a/model/fv3gfs/validation/999_case.yaml b/model/fv3gfs/validation/case.yaml similarity index 72% rename from model/fv3gfs/validation/999_case.yaml rename to model/fv3gfs/validation/case.yaml index 9a28dad..691eb80 100644 --- a/model/fv3gfs/validation/999_case.yaml +++ b/model/fv3gfs/validation/case.yaml @@ -31,15 +31,3 @@ case_template: &case_template type: datetime description: Last cycle to run GDAS. - CYCLING: - type: bool - description: "Run data assimilation to cycle the workflow?" - if_present: - IC_CDUMP: - type: string - allowed: [ gdas, gfs ] - description: Get initial conditions from 1st cycle from gfs or gdas - default: GDAS - IC_DIR: - type: string - description: Disk location of initial conditions for cycled workflow diff --git a/model/fv3gfs/validation/006_chgres.yaml b/model/fv3gfs/validation/chgres.yaml similarity index 100% rename from model/fv3gfs/validation/006_chgres.yaml rename to model/fv3gfs/validation/chgres.yaml diff --git a/model/fv3gfs/validation/005_data_assimilation.yaml b/model/fv3gfs/validation/data_assimilation.yaml similarity index 63% rename from model/fv3gfs/validation/005_data_assimilation.yaml rename to model/fv3gfs/validation/data_assimilation.yaml index 4d61b2b..46f1e17 100644 --- a/model/fv3gfs/validation/005_data_assimilation.yaml +++ b/model/fv3gfs/validation/data_assimilation.yaml @@ -3,9 +3,13 @@ data_assimilation_template: &data_assimilation_template type: bool default: YES description: flag (YES or NO) for hybrid ensemble variational option - NMEM_ENKF: { type: int, default: 80 } - NMEM_ENKF_GRP_EOMN: { type: int, default: 10 } - NMEM_ENKF_GRP_EFMN: { type: int, default: 10 } + NMEM_ENKF: + type: int + default: 80, + allowed: [ 10, 20, 30, 40, 50, 60, 70, 80 ] + description: Number of members of the GFS ENKF ensemble. + NMEM_ENKF_GRP_EOMN: { type: int, default: 10, allowed: [ 10 ] } + NMEM_ENKF_GRP_EFMN: { type: int, default: 10, allowed: [ 10 ] } RECENTER_ENKF: { type: bool, default: YES } SMOOTH_ENKF: { type: bool, default: YES } assim_freq: @@ -34,3 +38,9 @@ data_assimilation_template: &data_assimilation_template RERUN_EOMGGRP: type: bool default: YES + + +# These variable largely eliminate the need for explicitly setting +# USH directories, FIX files, PARM files, EXECutables below +# The USER can overwrite components that they wish +# e.g. PRVT is used from the GSI diff --git a/model/fv3gfs/validation/001_fv3.yaml b/model/fv3gfs/validation/fv3.yaml similarity index 97% rename from model/fv3gfs/validation/001_fv3.yaml rename to model/fv3gfs/validation/fv3.yaml index 6a79595..c8d1a1a 100644 --- a/model/fv3gfs/validation/001_fv3.yaml +++ b/model/fv3gfs/validation/fv3.yaml @@ -7,7 +7,7 @@ fv3_settings_template: &fv3_settings_template description: vorticity and divergence damping consv_te: type: float - default: 0. + default: 1. description: conserve total energy fv_sg_adj: type: int @@ -15,7 +15,7 @@ fv3_settings_template: &fv3_settings_template description: time-scale to remove 2dz instability dspheat: type: string - default: ".false." + default: ".true." allowed: [ ".true.", ".false." ] description: dissipative heating shal_cnv: @@ -47,7 +47,7 @@ fv3_settings_template: &fv3_settings_template restart_interval: type: int - default: 0 + default: 6 LEVS: type: int @@ -172,7 +172,7 @@ fv3_settings_template: &fv3_settings_template allowed: [ 'C48', 'C96', 'C192', 'C384', 'C768', 'C1152', 'C3072' ] if_present: !FirstTrue - when: !calc CASE=='C48' - do: + do: !Template DELTIM: { default: 3600, type: int } layout_x: { default: 4, type: int } layout_y: { default: 8, type: int } @@ -180,7 +180,7 @@ fv3_settings_template: &fv3_settings_template WRTTASK_PER_GROUP: { default: 12, type: int } - when: !calc CASE=='C96' - do: + do: !Template DELTIM: { default: 1800, type: int } layout_x: { default: 4, type: int } layout_y: { default: 8, type: int } @@ -192,7 +192,7 @@ fv3_settings_template: &fv3_settings_template WRTTASK_PER_GROUP: { default: 12, type: int } - when: !calc CASE=='C192' - do: + do: !Template DELTIM: { default: 900, type: int } layout_x: { default: 4, type: int } layout_y: { default: 8, type: int } @@ -204,7 +204,7 @@ fv3_settings_template: &fv3_settings_template WRTTASK_PER_GROUP: { default: 24, type: int } - when: !calc CASE=='C384' - do: + do: !Template DELTIM: { default: 450, type: int } layout_x: { default: 4, type: int } layout_y: { default: 8, type: int } @@ -216,7 +216,7 @@ fv3_settings_template: &fv3_settings_template WRTTASK_PER_GROUP: { default: 48, type: int } - when: !calc CASE=='C768' - do: + do: !Template DELTIM: { default: 225, type: int } layout_x: { default: 8, type: int } layout_y: { default: 16, type: int } diff --git a/model/fv3gfs/validation/obsproc.yaml b/model/fv3gfs/validation/obsproc.yaml new file mode 100644 index 0000000..2a1649d --- /dev/null +++ b/model/fv3gfs/validation/obsproc.yaml @@ -0,0 +1,119 @@ +obsproc_template: &obsproc_template + SYNDATA: + type: bool + default: YES + description: Execute program SYNDAT_SYNDATA + PREPACQC: + type: bool + default: YES + description: Execute program PREPOBS_PREPACQC + PROCESS_ACQC: + type: bool + default: YES + description: Execute program PREPOBS_PREPACQC + PROCESS_ACPF: + type: bool + default: NO + descriptioN: Execute program PREPOBS_PREPACPF + PROFCQC: + type: bool + default: YES + description: Execute program PREPOBS_PROFCQC + CQCVAD: + type: bool + default: YES + description: Execute program PREPOBS_CQCVAD + CQCBUFR: + type: bool + default: YES + description: Execute program PREPOBS_CQCBUFR + OIQCBUFR: + type: bool + default: NO + description: Execute program PREPOBS_OIQCBUFR + PREPDATA: + type: bool + default: YES + description: > + Execute program PREPOBS_MPCOPYBUFR, PREPOBS_PREPDATA, + PREPOBS_LISTHEADERS, PREPOBS_MONOPREPBUFR + GETGUESS: + type: bool + default: YES + description: > + Encode first guess (background) values interpolated to + observation locations in the PREPBUFR file for use by + the q.c. programs. This guess is always from a global + guess file valid at the center PREPBUFR processing date/time. + DO_QC: + type: bool + default: YES + description: > + IF NO, programs PREPOBS_PREPACQC, PREPOBS_ACARSQC, + PREPOBS_PROFCQC, PREPOBS_CQCVAD, PREPOBS_CQCBUFR and + PREPOBS_OIQCBUFR will NEVER execute regardless of other + switches. Should be set to NO only as a last resort!!! + override: !FirstTrue + - when: !calc DO_QC + do: null + message: "Enabling quality control." + - when: !calc not DO_QC + do: null + message: > + WARNING: DO_QC=NO. Disabling quality control! + You are being naughty! + - otherwise: null + + BUFRLIST: + type: string + description: BUFR data types to process + default: "adpupa proflr aircar aircft satwnd adpsfc sfcshp vadwnd wdsatr ascatw rassda gpsipw" + + NSPLIT: + type: int + default: 4 + description: execute in parallel + + HOMEobsproc_prep: { type: string, default: !calc doc.places.BASE_PREP } + HOMEobsproc_network: { type: string, default: !calc doc.places.BASE_PREP_GLOBAL } + EXECPREP: { type: string, default: !expand "{doc.places.BASE_PREP}/exec" } + FIXPREP: { type: string, default: !expand "{doc.places.BASE_PREP}/fix" } + PARMPREP: { type: string, default: !expand "{doc.places.BASE_PREP_GLOBAL}/parm" } + + USHSYND: { type: string, optional: true } #"$BASE_PREP/ush" + USHPREV: { type: string, optional: true } #"$BASE_PREP/ush" + USHCQC: { type: string, optional: true } #"$BASE_PREP/ush" + USHPQC: { type: string, optional: true } #"$BASE_PREP/ush" + USHVQC: { type: string, optional: true } #"$BASE_PREP/ush" + USHAQC: { type: string, optional: true } #"$BASE_PREP/ush" + USHOIQC: { type: string, optional: true } #"$BASE_PREP/ush" + + # Fix files + CQCS: { type: string, optional: true } #"$BASE_PREP/fix/prepobs_cqc_statbge" + LANDC: {type: string, optional: true } #"$BASE_PREP/fix/prepobs_landc" + PRPT: { type: string, optional: true } #"$BASE_PREP/fix/prepobs_prep.bufrtable" + PRVT: + type: string + default: !expand "{doc.places.BASE_GSI}/fix/prepobs_errtable.global" + OIQCT: { type: string, optional: true } #"$BASE_PREP_GLOBAL/fix/prepobs_oiqc.oberrs" + + # parm files + AQCC: { type: string, optional: true } #"$BASE_PREP_GLOBAL/parm/prepobs_prepacqc.${CDUMP}.parm" + CQCC: { type: string, optional: true } #"$BASE_PREP_GLOBAL/parm/prepobs_cqcbufr.gdas.parm" + PRPC: { type: string, optional: true } #"$BASE_PREP_GLOBAL/parm/prepobs_prepdata.${CDUMP}.parm" + PQCC: { type: string, optional: true } #"$BASE_PREP_GLOBAL/parm/prepobs_profcqc.gdas.parm" + SYNDC: { type: string, optional: true } #"$BASE_PREP_GLOBAL/parm/syndat_syndata.gdas.parm" + + # Executables + PRPX: {type: string, optional: true } #"$BASE_PREP/exec/prepobs_prepdata" + PREX: {type: string, optional: true } #"$BASE_PREP/exec/prepobs_prevents" + AQCX: {type: string, optional: true } #"$BASE_PREP/exec/prepobs_prepacqc" + PQCX: {type: string, optional: true } #"$BASE_PREP/exec/prepobs_profcqc" + CQCX: {type: string, optional: true } #"$BASE_PREP/exec/prepobs_cqcbufr" + SYNDX: {type: string, optional: true} #"$BASE_PREP/exec/syndat_syndata" + MPCOPYX: {type: string, optional: true} #"$BASE_PREP/exec/prepobs_mpcopybufr" + LISTHDX: {type: string, optional: true} #"$BASE_PREP/exec/prepobs_listheaders" + MONOBFRX: {type: string, optional: true} #"$BASE_PREP/exec/prepobs_monoprepbufr" + VQCX: {type: string, optional: true} #"$BASE_PREP/exec/prepobs_cqcvad" + OIQCX: {type: string, optional: true} #"$BASE_PREP/exec/prepobs_oiqcbufr" + diff --git a/model/fv3gfs/validation/003_gfs_output.yaml b/model/fv3gfs/validation/output.yaml similarity index 79% rename from model/fv3gfs/validation/003_gfs_output.yaml rename to model/fv3gfs/validation/output.yaml index 81be87a..7420382 100644 --- a/model/fv3gfs/validation/003_gfs_output.yaml +++ b/model/fv3gfs/validation/output.yaml @@ -24,6 +24,19 @@ gfs_output_settings_template: &gfs_output_settings_template default: 3 description: GDAS ENKF output frequency in hours + FHMIN_GDAS: + type: int + default: 0 + description: GDAS initial hour for output + FHMAX_GDAS: + type: int + default: 9 + description: GDAS forecast length + FHOUT_GDAS: + type: int + default: 3 + description: GDAS output frequency in hours + FHMAX_HF_GFS: type: int default: 0 diff --git a/model/fv3gfs/validation/002_vrfy.yaml b/model/fv3gfs/validation/vrfy.yaml similarity index 90% rename from model/fv3gfs/validation/002_vrfy.yaml rename to model/fv3gfs/validation/vrfy.yaml index 6f1c542..80f7360 100644 --- a/model/fv3gfs/validation/002_vrfy.yaml +++ b/model/fv3gfs/validation/vrfy.yaml @@ -21,23 +21,27 @@ CYC_TRACK_VARS: &CYC_TRACK_VARS !Template homesyndir: type: string default: !calc BASE_GSM + FHMIN: { type: int, default: 0 } + FHMIN_ENKF: { type: int, default: !calc doc.gfs_output_settings.FHMIN_ENKF } + FHMAX_ENKF: { type: int, default: !calc doc.gfs_output_settings.FHMAX_ENKF } + FHOUT_ENKF: { type: int, default: !calc doc.gfs_output_settings.FHOUT_ENKF } + FHMAX_GFS: { type: int, default: !calc doc.gfs_output_settings.FHMAX_GFS } + FHMAX2_GFS: { type: int, default: !calc FHMAX_GFS } FHOUT: type: int default: !FirstTrue - when: !calc CDUMP=="gfs" do: !calc FHOUT_GFS + - when: !calc CDUMP=="gdas" + do: !calc doc.gfs_output_settings.FHOUT_GDAS - otherwise: !error "FHOUT undefined!" - FHMIN: { type: int, default: 0 } - FHMIN_ENKF: { type: int, default: 3 } - FHMAX_ENKF: { type: int, default: 9 } - FHOUT_ENKF: { type: int, default: 3 } - FHMAX_GFS: { type: int, default: 384 } # 384 = operational value - FHMAX2_GFS: { type: int, default: !calc FHMAX_GFS } FHMAX: type: int default: !FirstTrue - when: !calc CDUMP=="gfs" do: !calc FHMAX2_GFS + - when: !calc CDUMP=="gdas" + do: !calc doc.gfs_output_settings.FHMAX_GDAS - otherwise: !error "FHMAX undefined!" FHMAX2: { type: int, default: !calc FHMAX2_GFS } @@ -94,7 +98,7 @@ MIN_VARS: &MIN_VARS !Template default: !calc BASE_GSM MINMON_SUFFIX: type: string - default: !calc experiment_name + default: !calc doc.case.experiment_name M_TANKverf: type: string default: !expand "{NOSCRUB}/minmon" @@ -114,7 +118,7 @@ RAD_VARS: &RAD_VARS !Template default: !calc BASE_GSM RADMON_SUFFIX: type: string - default: !calc experiment_name + default: !calc doc.case.experiment_name TANKverf: type: string default: !expand "{NOSCRUB}/radmon" @@ -135,13 +139,14 @@ OZN_VARS: &OZN_VARS !Template default: NO OZNDIR: type: string - default: !expand "{NOSCRUB}/{LOGNAME}/ozone/stats/{experiment_name}" + stages: [ execution ] + default: !expand "{NOSCRUB}/{tools.env('LOGNAME')}/ozone/stats/{doc.case.experiment_name}" VRFYOZNSH: type: string default: "" BASEDIR_OZNMON: type: string - default: !expand "{BASE_OZNMON}/util/Ozone_Monitor" + default: !expand "{doc.places.BASE_OZNMON}/util/Ozone_Monitor" MIN_RAD_OZN_VARS: &MIN_RAD_OZN_VARS !Template HOMEgdas: @@ -271,9 +276,9 @@ vrfy_template: &vrfy_template !Template fitdir: type: string default: !FirstTrue - - when: !calc ( doc.platform.name=="WCOSS_C" and VRFYFITS ) + - when: !calc doc.platform.name=="WCOSS_C" do: !expand "{BASE_SVN}/verif/global/parafits.fv3nems/batrun" - - when: !calc ( doc.platform.name=="THEIA" and VRFYFITS ) + - when: !calc doc.platform.name=="THEIA" do: !expand "{BASE_SVN}/verif/global/parafits.fv3nems/batrun" - otherwise: !error "Do not know fitdir for this platform" @@ -286,9 +291,8 @@ vrfy_template: &vrfy_template !Template - when: !calc ( doc.platform.name=="THEIA" and VRFYFITS ) do: !expand "{fitdir}/subfits_theia_nems" message: "THEIA fits file" - - when: !calc VRFYFITS!=YES + - when: !calc not VRFYFITS do: "/dev/null" - message: !expand vrfyfits = {VRFYFITS} - otherwise: !error "Do not know subfits file (PREPQFITSH) for {doc.platform.name}" VRFY_CDUMP_GFS: diff --git a/model/fv3gfs/validation/workflow.yaml b/model/fv3gfs/validation/workflow.yaml new file mode 100644 index 0000000..a1c3278 --- /dev/null +++ b/model/fv3gfs/validation/workflow.yaml @@ -0,0 +1,15 @@ +known_workflows: &known_workflows + workflow: + type: str + allowed: [ cycled_workflow ] + if_present: !FirstTrue + - when: workflow=="cycled_workflow" + do: + IC_CDUMP: + type: string + allowed: [ gdas, gfs ] + description: Get initial conditions from 1st cycle from gfs or gdas + default: GDAS + IC_DIR: + type: string + description: Disk location of initial conditions for cycled workflow diff --git a/to_sh.py b/to_sh.py index 26ac332..642ae59 100755 --- a/to_sh.py +++ b/to_sh.py @@ -22,6 +22,31 @@ FAILURE=object() class EpicFail(Exception): pass +class NotValidPosixShString: pass + +######################################################################## + +def shstrok(s): + """!Returns True if the specified string can be expressed as a + POSIX sh string, and false otherwise. + @param s a string""" + # Only allow non-whitespace ASCII and space (chr(32)-chr(126)): + if re.search(r'\A[a-zA-Z0-9 !"#$%&?()*+,./:;<=>?@^_`{|}~\\\]\[\'-]*\Z',s): + return True + else: + return False + +def shbackslash(s): + """!Given a Python str, returns a backslashed POSIX sh string, or + raises NotValidPosixShString if that cannot be done. + @param s a string to backslash""" + if not shstrok(s): + raise NotValidPosixShString('String is not expressable in POSIX sh: %s'%(repr(s),)) + if re.search(r'(?ms)[^a-zA-Z0-9_+.,/-]',s): + return '"' + re.sub(r'(["\\\\$])',r"\\\1",s) + '"' + return s + +######################################################################## class ProcessArgs(object): def __init__(self,quiet,args): @@ -156,7 +181,7 @@ def to_shell(self,var,value): if value is UNSET_VARIABLE: return f'unset {var}' else: - value=str(str_to_posix_sh(value),'ascii') + value=shbackslash(value) return f'{export}{var}={value}' except ( NameError, AttributeError, LookupError, NameError, ReferenceError, ValueError, TypeError, CROWException, @@ -279,7 +304,7 @@ def express_var(self,var,expr): logger.info(f'{var}={expr}: evaluates to null. Unsetting the variable.') formatted=UNSET_VARIABLE else: - formatted=self.format_object(result) + formatted=str(self.format_object(result)) if formatted is NotImplemented: logger.error( f'{var}={expr}: cannot convert a {type(result).__name__} ' @@ -287,14 +312,13 @@ def express_var(self,var,expr): return var,UNSET_VARIABLE return var, formatted - ######################################################################## if __name__ == '__main__': try: verbose=sys.argv[1]=='-v' pa=ProcessArgs(not verbose,sys.argv[verbose+1:]) - writeme=' ; '.join(pa.process_args()) + writeme=' ; '.join([str(s) for s in pa.process_args()]) sys.stdout.write(writeme) except EpicFail: sys.stdout.write('/bin/false failure- see prior errors.') From 0f02a44cdf5e7b4fefcca10f81b6b2f36afc5e90 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 6 Nov 2017 18:45:05 +0000 Subject: [PATCH 202/487] yet more updates; about two thirds of the jobs work now --- model/fv3gfs/actions/eobs.yaml | 10 +- model/fv3gfs/actions/eomg.yaml | 2 +- model/fv3gfs/actions/eupd.yaml | 2 +- model/fv3gfs/actions/post.yaml | 11 +- model/fv3gfs/jobs/eobs.sh | 2 + model/fv3gfs/jobs/post.sh | 3 + model/fv3gfs/main.yaml | 155 -------------------------- model/fv3gfs/resources/resources.yaml | 14 ++- 8 files changed, 37 insertions(+), 162 deletions(-) delete mode 100644 model/fv3gfs/main.yaml diff --git a/model/fv3gfs/actions/eobs.yaml b/model/fv3gfs/actions/eobs.yaml index f052785..400f88c 100644 --- a/model/fv3gfs/actions/eobs.yaml +++ b/model/fv3gfs/actions/eobs.yaml @@ -2,10 +2,11 @@ eobs: &eobs_action !Action <<: *action_base J_JOB: eobs - CASE: !calc doc.fv3_enkf_settings.CASE + CASE_ENKF: !calc doc.fv3_enkf_settings.CASE + CASE: !calc doc.fv3_gdas_settings.CASE walltime: !timedelta 00:15:00 resources: !calc run_eobs.resources - gsi_resources: !calc run_gsi.resources + gsi_resources: !calc run_eobs_gsi.resources memory: !calc run_eobs.memory ANALYSISSH: !expand "{doc.places.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" APRUN_GSI: !calc tools.command_without_exe(par,gsi_resources,'placeholder') @@ -14,11 +15,14 @@ eobs: &eobs_action !Action Inherit: !Inherit - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.fv3_enkf_settings, "FHCYC" ] + - [ doc.fv3_enkf_settings, ".*" ] - [ doc.data_assimilation, ".*" ] + - [ doc.platform.mpi_tuning, '.*' ] - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - [ doc.case, "EDATE|SDATE|gfs_cyc" ] + true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE', 'nst_anl', 'zhao_mic' ] + NMEM_EOMGGRP: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN NTHREADS_GSI: !calc nodes.omp_threads_for(gsi_resources[0]) NTHSTACK: 1024000000 diff --git a/model/fv3gfs/actions/eomg.yaml b/model/fv3gfs/actions/eomg.yaml index 4681ab8..b805336 100644 --- a/model/fv3gfs/actions/eomg.yaml +++ b/model/fv3gfs/actions/eomg.yaml @@ -1,7 +1,7 @@ eomg: &eomg_action !Action <<: *action_base J_JOB: eomg - ANALYSISSH: "{doc.places.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" + ANALYSISSH: !expand "{doc.places.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" APRUN_GSI: !calc tools.command_without_exe(par,run_gsi.resources,'placeholder') walltime: !timedelta 00:15:00 resources: !calc run_eomg.resources diff --git a/model/fv3gfs/actions/eupd.yaml b/model/fv3gfs/actions/eupd.yaml index d1ad5e2..ca440d5 100644 --- a/model/fv3gfs/actions/eupd.yaml +++ b/model/fv3gfs/actions/eupd.yaml @@ -1,7 +1,7 @@ eupd: &eupd_action !Action <<: *action_base J_JOB: eupd - ANALYSISSH: "{doc.places.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" + ANALYSISSH: !expand "{doc.places.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" APRUN_ENKF: !calc | tools.command_without_exe( par,enkf_resources,'placeholder') diff --git a/model/fv3gfs/actions/post.yaml b/model/fv3gfs/actions/post.yaml index 2a32e84..b3392e5 100644 --- a/model/fv3gfs/actions/post.yaml +++ b/model/fv3gfs/actions/post.yaml @@ -18,7 +18,8 @@ post_base: &post_base !Action NTHREADS_DWN: 2 NTHREADS_NP: 1 downset: 1 - shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*" ] + shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*", "downset" ] + true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE' ] post_gfs: &post_gfs_action !Action <<: *post_base @@ -32,6 +33,10 @@ post_gfs: &post_gfs_action !Action - [ doc.platform.mpi_tuning, ".*" ] - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] walltime: !timedelta 02:35:00 + FHOUT: !calc doc.gfs_output_settings.FHOUT_GFS + FHMAX: !calc doc.gfs_output_settings.FHMAX_GFS + FHMIN: !calc doc.gfs_output_settings.FHMIN_GFS + QUILTING: !calc doc.fv3_gfs_settings.QUILTING post_gdas: &post_gdas_action !Action <<: *post_base @@ -45,3 +50,7 @@ post_gdas: &post_gdas_action !Action - [ doc.platform.mpi_tuning, ".*" ] - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] walltime: !timedelta 00:25:00 + FHOUT: !calc doc.gfs_output_settings.FHOUT_GDAS + FHMAX: !calc doc.gfs_output_settings.FHMAX_GDAS + FHMIN: !calc doc.gfs_output_settings.FHMIN_GDAS + QUILTING: !calc doc.fv3_gdas_settings.QUILTING diff --git a/model/fv3gfs/jobs/eobs.sh b/model/fv3gfs/jobs/eobs.sh index 4fefc86..5e74974 100755 --- a/model/fv3gfs/jobs/eobs.sh +++ b/model/fv3gfs/jobs/eobs.sh @@ -24,8 +24,10 @@ eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env impo eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH bool:.true.,.false. from:true_false_vars ) unset JOBNAME if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi +unset DELTIM ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/post.sh b/model/fv3gfs/jobs/post.sh index 9be37fc..995904f 100755 --- a/model/fv3gfs/jobs/post.sh +++ b/model/fv3gfs/jobs/post.sh @@ -24,6 +24,7 @@ JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH bool:.true.,.false. from:true_false_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi @@ -54,6 +55,8 @@ if [ $QUILTING = ".false." ]; then status=$? [[ $status -ne 0 ]] && exit $status else + echo SHOULD NOT GET HERE + exit 99 # write component does not add JCAP anymore res=$(echo $CASE | cut -c2-) export JCAP=$((res*2-2)) diff --git a/model/fv3gfs/main.yaml b/model/fv3gfs/main.yaml deleted file mode 100644 index 11c8cfe..0000000 --- a/model/fv3gfs/main.yaml +++ /dev/null @@ -1,155 +0,0 @@ - -theia: &theia !Platform - <<: *resource_defaults - Evaluate: false - name: THEIA - detect: !calc tools.isdir("/scratch4") and tools.isdir("/scratch3") - - BASE_SVN: "/scratch4/NCEPDEV/global/save/glopara/svn" - - # Environment variables to set in all jobs - general_env: - POSTGRB2TBL: "/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" - NWPROD: "/scratch4/NCEPDEV/global/save/glopara/nwpara" - DMPDIR: "/scratch4/NCEPDEV/global/noscrub/dump" - RTMFIX: "/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" - NEMSIOGET: !expand "{NWPROD}/util/exec/nemsio_get" - NDATE: !expand "{NWPROD}/util/exec/ndate" - NHOUR: !expand "{NWPROD}/util/exec/nhour" - WGRIB: !expand "{NWPROD}/util/exec/wgrib" - WGRIB2: !expand "{NWPROD}/util/exec/wgrib2" - COPYGB: !expand "{NWPROD}/util/exec/copygb" - COPYGB2: !expand "{NWPROD}/util/exec/copygb2" - GRBINDEX: !expand "{NWPROD}/util/exec/grbindex" - GRB2INDEX: !expand "{NWPROD}/util/exec/grb2index" - GRBINDEX2: !expand "{NWPROD}/util/exec/grb2index" - CNVGRIB: "/apps/cnvgrib/1.4.0/bin/cnvgrib" - - prep_step: !expand "{NWPROD}/prod_util.v1.0.15/ush/prep_step" - - NCP: "/bin/cp -p" - NLN: "/bin/ln -sf" - NMV: "/bin/mv" - - CHGRP_CMD: chgrp rstprod - - default_cpu_project: fv3-cpu - - serial_accounting: - queue: debug - project: !calc doc.accounting.cpu_project - transfer_accounting: - queue: urgent - project: !calc doc.accounting.cpu_project - parallel_accounting: - queue: urgent - project: !calc doc.accounting.cpu_project - - scheduler_settings: &theia_scheduler - name: MoabTorque - physical_cores_per_node: 24 - logical_cpus_per_core: 2 - hyperthreading_allowed: true - indent_text: " " - parallelism_settings: { <<: *theia_scheduler, name: HydraIMPI } - node_type_settings: { <<: *theia_scheduler, node_type: generic } - - mpi_tuning: - MPI_BUFS_PER_HOST: 2048 - MPI_BUFS_PER_PROC: 2048 - MPI_GROUP_MAX: 256 - MPI_MEMMAP_OFF: 1 - MP_STDOUTMODE: "ORDERED" - NTHSTACK: 1024000000 - OMP_STACKSIZE: 2048000 - - scheduler: !calc | - tools.get_scheduler(scheduler_settings.name, scheduler_settings) - parallelism: !calc | - tools.get_parallelism(parallelism_settings.name, parallelism_settings) - nodes: !calc | - tools.node_tool_for(node_type_settings.node_type, node_type_settings) - - # Path to pan_df, the program used to get Panasas disk usage information: - pan_df: pan_df - least_used_scrub: !Immediate - - !FirstMax - - do: /scratch3/NCEPDEV/stmp1 - when: !calc tools.panasas_gb(do) - - do: /scratch3/NCEPDEV/stmp2 - when: !calc tools.panasas_gb(do) - - do: /scratch4/NCEPDEV/stmp3 - when: !calc tools.panasas_gb(do) - - do: /scratch4/NCEPDEV/stmp4 - when: !calc tools.panasas_gb(do) - -wcoss_cray: &wcoss_cray !Platform - <<: *resource_defaults - name: WCOSS_C - Evaluate: false - detect: !calc | - tools.isdir("/gpfs/hps") and \ - tools.isfile("/etc/SuSE-release") - default_cpu_project: GFS-T2O - serial_accounting: - queue: dev - project: !calc doc.accounting.cpu_project - transfer_accounting: - queue: transfer - project: !calc doc.accounting.cpu_project - parallel_accounting: - queue: dev - project: !calc doc.accounting.cpu_project - - scheduler_settings: &wcoss_cray_scheduler - name: LSFAlps - physical_cores_per_node: 24 - logical_cpus_per_core: 2 - hyperthreading_allowed: true - indent_text: " " - parallelism_settings: { <<: *wcoss_cray_scheduler, name: LSFAlps } - node_type_settings: { <<: *wcoss_cray_scheduler, node_type: generic } - - mpi_tuning: - # FIXME: Update for WCOSS Cray - MPI_BUFS_PER_HOST: 2048 - MPI_BUFS_PER_PROC: 2048 - MPI_GROUP_MAX: 256 - MPI_MEMMAP_OFF: 1 - MP_STDOUTMODE: "ORDERED" - NTHSTACK: 1024000000 - OMP_STACKSIZE: 2048000 - - scheduler: !calc | - tools.get_scheduler(scheduler_settings.name, scheduler_settings) - parallelism: !calc | - tools.get_parallelism(parallelism_settings.name, parallelism_settings) - nodes: !calc | - tools.node_tool_for(node_type_settings.node_type, node_type_settings) - - BASE_SVN: "/gpfs/hps3/emc/global/noscrub/emc.glopara/svn" - - general_env: - POSTGRB2TBL: "/gpfs/hps/nco/ops/nwprod/lib/g2tmpl/v1.3.0/src/params_grib2_tbl_new" - CHGRP_CMD: chgrp rstprod - - # Path to mmlsquota, the program used to get GPFS disk usage information: - mmlsquota: "/usr/lpp/mmfs/bin/mmlsquota" - least_used_scrub: !Immediate - - !FirstMax - - do: /gpfs/hps2/ptmp - when: !calc tools.gpfs_gb(do,"hps2-ptmp","hps2",mmlsquota) - - do: /gpfs/hps3/ptmp - when: !calc tools.gpfs_gb(do,"hps3-ptmp","hps3",mmlsquota) - -platform: !Immediate - - !FirstTrue - - do: - <<: *wcoss_cray - Evaluate: true - when: !calc do.detect - - do: - <<: *theia - Evaluate: true - when: !calc do.detect - - otherwise: null diff --git a/model/fv3gfs/resources/resources.yaml b/model/fv3gfs/resources/resources.yaml index eae8b37..f154b3d 100644 --- a/model/fv3gfs/resources/resources.yaml +++ b/model/fv3gfs/resources/resources.yaml @@ -41,7 +41,19 @@ resource_defaults: &resource_defaults - otherwise: "" resources: !JobRequest - exe: placeholder - mpi_ranks: !calc 24*6 + mpi_ranks: !calc 12*6 + max_ppn: 6 + OMP_NUM_THREADS: 4 + + run_eobs_gsi: + env: { } + memory: !FirstTrue + - when: !calc doc.platform.name=='WCOSS_C' + do: "3072M" + - otherwise: "" + resources: !JobRequest + - exe: placeholder + mpi_ranks: !calc 12*6 max_ppn: 6 OMP_NUM_THREADS: 4 From ec01247585d4094503c5e6b8758786fe9a8ce856 Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Mon, 6 Nov 2017 21:11:06 +0000 Subject: [PATCH 203/487] added line for hostname and git version for the logger to regression script --- tests/regression/fv3gfs_regression.sh | 9 +++++++-- 1 file changed, 7 insertions(+), 2 deletions(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index d97f862..6fdc607 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -95,6 +95,7 @@ if [[ ! -d $1 ]] && [[ ! -f $1 ]]; then fi fi +log_message "INFO" "running regression script on host $HOST" if [[ -d $1 ]]; then check_base_line_dir=`readlink -f $1` regressionID='baseline' @@ -185,6 +186,7 @@ if [[ $CHECKOUT == 'TRUE' ]]; then if [[ ! -z "${fv3gfs_git_branch}// }" ]]; then cd ${checkout_dir_basename} git checkout remotes/origin/${fv3gfs_git_branch} -b ${fv3gfs_git_branch} + git rev-parse HEAD | xargs git show --stat cd ${CHECKOUT_DIR} fi @@ -237,11 +239,14 @@ fi if [[ ! -d ${EXP_FULLPATH} ]]; then log_message "CRITICAL" "experment directory $EXP_FULLPATH not found" fi -cd ${EXP_FULLPATH} if [[ $RUNROCOTO == 'TRUE' ]]; then + log_message "INFO" "running regression script on host $HOST" + log_message "INTO" "moving to PWD $EXP_FULLPATH to run cycleing in experiment directory" + cd ${EXP_FULLPATH} - log_message "INFO" "Starting to run fv3gfs cycling regression test run using $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml -v 10" + log_message "INFO" "Starting to run fv3gfs cycling regression test run using $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml" + log_message "INFO" "running $rocotoruncmd from $PWD" $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml if [[ $? != 0 ]]; then From c18ae5433cac278fd74c77fca089bf1be4ca5af2 Mon Sep 17 00:00:00 2001 From: Terry McGuinness Date: Tue, 7 Nov 2017 20:17:38 +0000 Subject: [PATCH 204/487] added out of control scripts for fv3gfs vrfy --- .../vrfy/gfs_genesis_para_fv3gfs.sh | 108 ++ .../vrfy/gfs_genesis_para_fv3gfs.txt | 1 + .../vrfy/global_extrkr.sh | 1703 +++++++++++++++++ .../vrfy/global_extrkr.txt | 1 + .../vrfy/global_tracker.sh | 115 ++ .../vrfy/global_tracker.txt | 1 + .../outofcontrol_scripts/vrfy/vsdbjob.sh | 356 ++++ .../outofcontrol_scripts/vrfy/vsdbjob.txt | 1 + 8 files changed, 2286 insertions(+) create mode 100755 model/fv3gfs/outofcontrol_scripts/vrfy/gfs_genesis_para_fv3gfs.sh create mode 100644 model/fv3gfs/outofcontrol_scripts/vrfy/gfs_genesis_para_fv3gfs.txt create mode 100755 model/fv3gfs/outofcontrol_scripts/vrfy/global_extrkr.sh create mode 100644 model/fv3gfs/outofcontrol_scripts/vrfy/global_extrkr.txt create mode 100755 model/fv3gfs/outofcontrol_scripts/vrfy/global_tracker.sh create mode 100644 model/fv3gfs/outofcontrol_scripts/vrfy/global_tracker.txt create mode 100755 model/fv3gfs/outofcontrol_scripts/vrfy/vsdbjob.sh create mode 100644 model/fv3gfs/outofcontrol_scripts/vrfy/vsdbjob.txt diff --git a/model/fv3gfs/outofcontrol_scripts/vrfy/gfs_genesis_para_fv3gfs.sh b/model/fv3gfs/outofcontrol_scripts/vrfy/gfs_genesis_para_fv3gfs.sh new file mode 100755 index 0000000..abb97dc --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/vrfy/gfs_genesis_para_fv3gfs.sh @@ -0,0 +1,108 @@ +#!/bin/sh +##BSUB -J gfs_GEN_00 +##BSUB -W 0:30 +##BSUB -o /gpfs/hps/ptmp/Jiayi.Peng/com2/gfs_genesis_00.o%J +##BSUB -e /gpfs/hps/ptmp/Jiayi.Peng/com2/gfs_genesis_00.o%J +##BSUB -q "dev" +##BSUB -P "GEN-T2O" +##BSUB -M 1000 +##BSUB -extsched 'CRAYLINUX[]' +##export NODES=1 + +date +export PS4=' $SECONDS + ' +set -x + +. $MODULESHOME/init/sh +module use /gpfs/hps/nco/ops/nwprod/modulefiles +module load prod_util +module load grib_util/1.0.3 + +module use /opt/cray/alt-modulefiles +module load PrgEnv-intel +module load iobuf/2.0.5 + +module use /opt/cray/craype/default/alt-modulefiles +module load craype-haswell +module list + +#export IOBUF_PARAMS="*:size=256M:count=4:verbose" +export IOBUF_PARAMS="*:size=32M:count=4:verbose" + +export NWROOTGENESIS=${NWROOTGENESIS:-/gpfs/hps/emc/ensemble/save/Jiayi.Peng} +export COMDATEROOT=/gpfs/hps/nco/ops/com +#export COMROOTp1=/gpfs/tp1/nco/ops/com +export ens_tracker_ver=v2.0.1 + +export CDATE=${1:-?} +export CDUMP=${2:-?} +export COMROT=${3:-?} +export DATA=${4:-?} + +export GESROOT=${COMROT} + +#export APRNRELOC="time aprun -b -j1 -n1 -N1 -d24 -cc depth" +#export APRNGETTX="time aprun -q -j1 -n1 -N1 -d1 -cc depth " +export APRUNTRACK="aprun -j1 -n1 -N1 -d1" + +export JYYYY=`echo ${CDATE} | cut -c1-4` +export PDY=`echo ${CDATE} | cut -c1-8` +export cyc=`echo ${CDATE} | cut -c9-10` +export cycle=t${cyc}z + +mkdir -p $DATA +cd $DATA + +mkdir -p ${ROTDIR}/logs/$CDATE +export jlogfile=${jlogfile:-${ROTDIR}/logs/$CDATE/genesis_tracker.log} + +export SENDECF=${SENDECF:-NO} +export SENDCOM=${SENDCOM:-YES} +export SENDDBN=${SENDDBN:-NO} + +#################################### +# Specify Execution Areas +#################################### +export HOMEens_tracker=${HOMEens_tracker:-${NWROOTGENESIS}/ens_tracker.${ens_tracker_ver}} +export EXECens_tracker=${EXECens_tracker:-$HOMEens_tracker/exec} +export FIXens_tracker=${FIXens_tracker:-$HOMEens_tracker/fix} +export USHens_tracker=${USHens_tracker:-$HOMEens_tracker/ush} +export SCRIPTens_tracker=${SCRIPTens_tracker:-$HOMEens_tracker/scripts} + +############################################## +# Define COM directories +############################################## +#export COMINgfs=${COMINgfs:-${COMROOTp2}/gfs/prod/gfs.${PDY}} +#export COMINsyn=${COMINsyn:-${COMROOTp1}/arch/prod/syndat} +export COMINgfs=${COMINgfs:-$(compath.py gfs/prod/gfs.$PDY)} +export COMINsyn=${COMINsyn:-$(compath.py arch/prod/syndat)} + +export COMIN=${COMIN:-${COMROT}} +export COMOUT=${COMOUT:-${COMROT}} + +export COMINgenvit=${COMINgenvit:-${DATA}/genesis_vital_${JYYYY}} +export COMOUTgenvit=${COMOUTgenvit:-${DATA}/genesis_vital_${JYYYY}} + +export gfspara=${gfspara:-${COMIN}} +#export gfspara=${gfspara:-/gpfs/hps/ptmp/emc.glopara/prtest} +#export gfspara=/ptmpd3/emc.glopara/pr4devbs15 +#export gfspara=/ptmpp2/emc.glopara/pr4devbs12 + +mkdir -m 775 -p $COMOUT $COMOUTgenvit + +msg="HAS BEGUN on `hostname`" + +env + +${SCRIPTens_tracker}/exgfs_tc_genesis_fv3gfs.sh +export err=$?; err_chk + +msg="JOB COMPLETED NORMALLY" +postmsg "$jlogfile" "$msg" + +############################## +# Remove the Temporary working directory +############################## +#rm -rf $DATA + +date diff --git a/model/fv3gfs/outofcontrol_scripts/vrfy/gfs_genesis_para_fv3gfs.txt b/model/fv3gfs/outofcontrol_scripts/vrfy/gfs_genesis_para_fv3gfs.txt new file mode 100644 index 0000000..056afa8 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/vrfy/gfs_genesis_para_fv3gfs.txt @@ -0,0 +1 @@ +GENESISSH=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/gfs/q3fy17/ens_tracker.v2.0.1/gfs_genesis_para_fv3gfs.sh diff --git a/model/fv3gfs/outofcontrol_scripts/vrfy/global_extrkr.sh b/model/fv3gfs/outofcontrol_scripts/vrfy/global_extrkr.sh new file mode 100755 index 0000000..62a3815 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/vrfy/global_extrkr.sh @@ -0,0 +1,1703 @@ +#!/bin/ksh + +#module load ics +export PS4='+t+$SECONDS extrkr.sh:$LINENO -- ' + +userid=$LOGNAME + +set +x +############################################################################## +cat<${DATA}/tmpsynvit.${atcfout}.${PDY}${CYL} + grep "${current_str}" ${synvitdir}/${synvitfile} \ + >>${DATA}/tmpsynvit.${atcfout}.${PDY}${CYL} + grep "${future_str}" ${synvitfuture_dir}/${synvitfuture_file} \ + >>${DATA}/tmpsynvit.${atcfout}.${PDY}${CYL} +else + set +x + echo " " + echo " There is no (synthetic) TC vitals file for ${CYL}z in ${synvitdir}," + echo " nor is there a TC vitals file for ${old_hh}z in ${synvitold_dir}." + echo " nor is there a TC vitals file for ${future_hh}z in ${synvitfuture_dir}," + echo " Checking the raw TC Vitals file ....." + echo " " + set -x +fi + +# Take the vitals from Steve Lord's /com/gfs/prod tcvitals file, +# and cat them with the NHC-only vitals from the raw, original +# /com/arch/prod/synda_tcvitals file. Do this because the nwprod +# tcvitals file is the original tcvitals file, and Steve runs a +# program that ignores the vitals for a storm that's over land or +# even just too close to land, and for tracking purposes for the +# US regional models, we need these locations. Only include these +# "inland" storm vitals for NHC (we're not going to track inland +# storms that are outside of NHC's domain of responsibility -- we +# don't need that info). +# UPDATE 5/12/98 MARCHOK: awk logic is added to screen NHC +# vitals such as "89E TEST", since TPC +# does not want tracks for such storms. + +grep "${old_str}" ${archsyndir}/syndat_tcvitals.${CENT}${syy} | \ + grep -v TEST | awk 'substr($0,6,1) !~ /8/ {print $0}' \ + >${DATA}/tmprawvit.${atcfout}.${PDY}${CYL} +grep "${current_str}" ${archsyndir}/syndat_tcvitals.${CENT}${syy} | \ + grep -v TEST | awk 'substr($0,6,1) !~ /8/ {print $0}' \ + >>${DATA}/tmprawvit.${atcfout}.${PDY}${CYL} +grep "${future_str}" ${archsyndir}/syndat_tcvitals.${CENT}${syy} | \ + grep -v TEST | awk 'substr($0,6,1) !~ /8/ {print $0}' \ + >>${DATA}/tmprawvit.${atcfout}.${PDY}${CYL} + + +# IMPORTANT: When "cat-ing" these files, make sure that the vitals +# files from the "raw" TC vitals files are first in order and Steve's +# TC vitals files second. This is because Steve's vitals file has +# been error-checked, so if we have a duplicate tc vitals record in +# these 2 files (very likely), program supvit.x below will +# only take the last vitals record listed for a particular storm in +# the vitals file (all previous duplicates are ignored, and Steve's +# error-checked vitals records are kept). + +cat ${DATA}/tmprawvit.${atcfout}.${PDY}${CYL} ${DATA}/tmpsynvit.${atcfout}.${PDY}${CYL} \ + >${DATA}/vitals.${atcfout}.${PDY}${CYL} + +#--------------------------------------------------------------# +# Now run a fortran program that will read all the TC vitals +# records for the current dtg and the dtg from 6h ago, and +# sort out any duplicates. If the program finds a storm that +# was included in the vitals file 6h ago but not for the current +# dtg, this program updates the 6h-old first guess position +# and puts these updated records as well as the records from +# the current dtg into a temporary vitals file. It is this +# temporary vitals file that is then used as the input for the +# tracking program. +#--------------------------------------------------------------# + +oldymdh=` ${NDATE:?} -${vit_incr} ${PDY}${CYL}` +oldyy=${oldymdh:2:2} +oldmm=${oldymdh:4:2} +olddd=${oldymdh:6:2} +oldhh=${oldymdh:8:2} +oldymd=${oldyy}${oldmm}${olddd} + +futureymdh=` ${NDATE:?} 6 ${PDY}${CYL}` +futureyy=${futureymdh:2:2} +futuremm=${futureymdh:4:2} +futuredd=${futureymdh:6:2} +futurehh=${futureymdh:8:2} +futureymd=${futureyy}${futuremm}${futuredd} + +cat<${DATA}/suv_input.${atcfout}.${PDY}${CYL} +&datenowin dnow%yy=${syy}, dnow%mm=${smm}, + dnow%dd=${sdd}, dnow%hh=${CYL}/ +&dateoldin dold%yy=${oldyy}, dold%mm=${oldmm}, + dold%dd=${olddd}, dold%hh=${oldhh}/ +&datefuturein dfuture%yy=${futureyy}, dfuture%mm=${futuremm}, + dfuture%dd=${futuredd}, dfuture%hh=${futurehh}/ +&hourinfo vit_hr_incr=${vit_incr}/ +EOF + + +numvitrecs=`cat ${DATA}/vitals.${atcfout}.${PDY}${CYL} | wc -l` +if [ ${numvitrecs} -eq 0 ] +then + + if [ ${trkrtype} = 'tracker' ] + then + set +x + echo " " + echo "!!! NOTE -- There are no vitals records for this time period." + echo "!!! File ${DATA}/vitals.${atcfout}.${PDY}${CYL} is empty." + echo "!!! It could just be that there are no storms for the current" + echo "!!! time. Please check the dates and submit this job again...." + echo " " + set -x + exit 1 + fi + +fi + +# For tcgen cases, filter to use only vitals from the ocean +# basin of interest.... + +if [ ${trkrtype} = 'tcgen' ] + then + + if [ ${numvitrecs} -gt 0 ] + then + + fullvitfile=${DATA}/vitals.${atcfout}.${PDY}${CYL} + cp $fullvitfile ${DATA}/vitals.all_basins.${atcfout}.${PDY}${CYL} + basin=` echo $regtype | cut -c1-2` + + if [ ${basin} = 'al' ]; then + cat $fullvitfile | awk '{if (substr($0,8,1) == "L") print $0}' \ + >${DATA}/vitals.tcgen_al_only.${atcfout}.${PDY}${CYL} + cp ${DATA}/vitals.tcgen_al_only.${atcfout}.${PDY}${CYL} \ + ${DATA}/vitals.${atcfout}.${PDY}${CYL} + fi + if [ ${basin} = 'ep' ]; then + cat $fullvitfile | awk '{if (substr($0,8,1) == "E") print $0}' \ + >${DATA}/vitals.tcgen_ep_only.${atcfout}.${PDY}${CYL} + cp ${DATA}/vitals.tcgen_ep_only.${atcfout}.${PDY}${CYL} \ + ${DATA}/vitals.${atcfout}.${PDY}${CYL} + fi + if [ ${basin} = 'wp' ]; then + cat $fullvitfile | awk '{if (substr($0,8,1) == "W") print $0}' \ + >${DATA}/vitals.tcgen_wp_only.${atcfout}.${PDY}${CYL} + cp ${DATA}/vitals.tcgen_wp_only.${atcfout}.${PDY}${CYL} \ + ${DATA}/vitals.${atcfout}.${PDY}${CYL} + fi + + cat ${DATA}/vitals.${atcfout}.${PDY}${CYL} + + fi + +fi + +# - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - +# Before running the program to read, sort and update the vitals, +# first run the vitals through some awk logic, the purpose of +# which is to convert all the 2-digit years into 4-digit years. +# We need this logic to ensure that all the vitals going +# into supvit.f have uniform, 4-digit years in their records. +# +# 1/8/2000: sed code added by Tim Marchok due to the fact that +# some of the vitals were getting past the syndata/qctropcy +# error-checking with a colon in them; the colon appeared +# in the character immediately to the left of the date, which +# was messing up the "(length($4) == 8)" statement logic. +# - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + +sed -e "s/\:/ /g" ${DATA}/vitals.${atcfout}.${PDY}${CYL} > ${DATA}/tempvit +mv ${DATA}/tempvit ${DATA}/vitals.${atcfout}.${PDY}${CYL} + +awk ' +{ + yycheck = substr($0,20,2) + if ((yycheck == 20 || yycheck == 19) && (length($4) == 8)) { + printf ("%s\n",$0) + } + else { + if (yycheck >= 0 && yycheck <= 50) { + printf ("%s20%s\n",substr($0,1,19),substr($0,20)) + } + else { + printf ("%s19%s\n",substr($0,1,19),substr($0,20)) + } + } +} ' ${DATA}/vitals.${atcfout}.${PDY}${CYL} >${DATA}/vitals.${atcfout}.${PDY}${CYL}.y4 + +mv ${DATA}/vitals.${atcfout}.${PDY}${CYL}.y4 ${DATA}/vitals.${atcfout}.${PDY}${CYL} + +if [ ${numvitrecs} -gt 0 ] +then + + export pgm=supvit + . $prep_step + + ln -s -f ${DATA}/vitals.${atcfout}.${PDY}${CYL} fort.31 + ln -s -f ${DATA}/vitals.upd.${atcfout}.${PDY}${CYL} fort.51 + + msg="$pgm start for $atcfout at ${CYL}z" + $postmsg "$jlogfile" "$msg" + + ${exectrkdir}/supvit <${DATA}/suv_input.${atcfout}.${PDY}${CYL} + suvrcc=$? + + if [ ${suvrcc} -eq 0 ] + then + msg="$pgm end for $atcfout at ${CYL}z completed normally" + $postmsg "$jlogfile" "$msg" + else + set +x + echo " " + echo "!!! ERROR -- An error occurred while running supvit.x, " + echo "!!! which is the program that updates the TC Vitals file." + echo "!!! Return code from supvit.x = ${suvrcc}" + echo "!!! model= ${atcfout}, forecast initial time = ${PDY}${CYL}" + echo "!!! Exiting...." + echo " " + set -x + err_exit " FAILED ${jobid} - ERROR RUNNING SUPVIT IN TRACKER SCRIPT- ABNORMAL EXIT" + fi + +else + + touch ${DATA}/vitals.upd.${atcfout}.${PDY}${CYL} + +fi + +#----------------------------------------------------------------- +# In this section, check to see if the user requested the use of +# operational TC vitals records for the initial time only. This +# option might be used for a retrospective medium range forecast +# in which the user wants to initialize with the storms that are +# currently there, but then let the model do its own thing for +# the next 10 or 14 days.... + +#------------------------------------------------------------------# +# Now select all storms to be processed, that is, process every +# storm that's listed in the updated vitals file for the current +# forecast hour. If there are no storms for the current time, +# then exit. +#------------------------------------------------------------------# + +numvitrecs=`cat ${DATA}/vitals.upd.${atcfout}.${PDY}${CYL} | wc -l` +if [ ${numvitrecs} -eq 0 ] +then + if [ ${trkrtype} = 'tracker' ] + then + set +x + echo " " + echo "!!! NOTE -- There are no vitals records for this time period " + echo "!!! in the UPDATED vitals file." + echo "!!! It could just be that there are no storms for the current" + echo "!!! time. Please check the dates and submit this job again...." + echo " " + set -x + exit 1 + fi +fi + +set +x +echo " " +echo " *--------------------------------*" +echo " | STORM SELECTION |" +echo " *--------------------------------*" +echo " " +set -x + +ict=1 +while [ $ict -le 15 ] +do + stormflag[${ict}]=3 + let ict=ict+1 +done + +dtg_current="${symd} ${CYL}00" +stormmax=` grep "${dtg_current}" ${DATA}/vitals.upd.${atcfout}.${PDY}${CYL} | wc -l` + +if [ ${stormmax} -gt 15 ] +then + stormmax=15 +fi + +sct=1 +while [ ${sct} -le ${stormmax} ] +do + stormflag[${sct}]=1 + let sct=sct+1 +done + + +#---------------------------------------------------------------# +# +# -------- "Genesis" Vitals processing -------- +# +# May 2006: This entire genesis tracking system is being +# upgraded to more comprehensively track and categorize storms. +# One thing that has been missing from the tracking system is +# the ability to keep track of storms from one analysis cycle +# to the next. That is, the current system has been very +# effective at tracking systems within a forecast, but we have +# no methods in place for keeping track of storms across +# difference initial times. For example, if we are running +# the tracker on today's 00z GFS analysis, we will get a +# position for various storms at the analysis time. But then +# if we go ahead and run again at 06z, we have no way of +# telling the tracker that we know about the 00z position of +# this storm. We now address that problem by creating +# "genesis" vitals, that is, when a storm is found at an +# analysis time, we not only produce "atcfunix" output to +# detail the track & intensity of a found storm, but we also +# produce a vitals record that will be used for the next +# run of the tracker script. These "genesis vitals" records +# will be of the format: +# +# YYYYMMDDHH_AAAH_LLLLX_TYP +# +# Where: +# +# YYYYMMDDHH = Date the storm was FIRST identified +# by the tracker. +# AAA = Abs(Latitude) * 10; integer value +# H = 'N' for norther hem, 'S' for southern hem +# LLLL = Abs(Longitude) * 10; integer value +# X = 'E' for eastern hem, 'W' for western hem +# TYP = Tropical cyclone storm id if this is a +# tropical cyclone (e.g., "12L", or "09W", etc). +# If this is one that the tracker instead "Found +# On the Fly (FOF)", we simply put those three +# "FOF" characters in there. + +d6ago_ymdh=` ${NDATE:?} -6 ${PDY}${CYL}` +d6ago_4ymd=` echo ${d6ago_ymdh} | cut -c1-8` +d6ago_ymd=` echo ${d6ago_ymdh} | cut -c3-8` +d6ago_hh=` echo ${d6ago_ymdh} | cut -c9-10` +d6ago_str="${d6ago_ymd} ${d6ago_hh}00" + +d6ahead_ymdh=` ${NDATE:?} 6 ${PDY}${CYL}` +d6ahead_4ymd=` echo ${d6ahead_ymdh} | cut -c1-8` +d6ahead_ymd=` echo ${d6ahead_ymdh} | cut -c3-8` +d6ahead_hh=` echo ${d6ahead_ymdh} | cut -c9-10` +d6ahead_str="${d6ahead_ymd} ${d6ahead_hh}00" + +syyyym6=` echo ${d6ago_ymdh} | cut -c1-4` +smmm6=` echo ${d6ago_ymdh} | cut -c5-6` +sddm6=` echo ${d6ago_ymdh} | cut -c7-8` +shhm6=` echo ${d6ago_ymdh} | cut -c9-10` + +syyyyp6=` echo ${d6ahead_ymdh} | cut -c1-4` +smmp6=` echo ${d6ahead_ymdh} | cut -c5-6` +sddp6=` echo ${d6ahead_ymdh} | cut -c7-8` +shhp6=` echo ${d6ahead_ymdh} | cut -c9-10` + +set +x +echo " " +echo " d6ago_str= --->${d6ago_str}<---" +echo " current_str= --->${current_str}<---" +echo " d6ahead_str= --->${d6ahead_str}<---" +echo " " +echo " for the times 6h ago, current and 6h ahead:" +echo " " +echo " " +set -x + + touch ${DATA}/genvitals.upd.${cmodel}.${atcfout}.${PDY}${CYL} + + +#-----------------------------------------------------------------# +# +# ------ CUT APART INPUT GRIB FILES ------- +# +# For the selected model, cut apart the GRIB input files in order +# to pull out only the variables that we need for the tracker. +# Put these selected variables from all forecast hours into 1 big +# GRIB file that we'll use as input for the tracker. +# +#-----------------------------------------------------------------# + +set +x +echo " " +echo " -----------------------------------------" +echo " NOW CUTTING APART INPUT GRIB FILES TO " +echo " CREATE 1 BIG GRIB INPUT FILE " +echo " -----------------------------------------" +echo " " +set -x + +#gix=$NWPROD/util/exec/grbindex +#g2ix=$NWPROD/util/exec/grb2index +#cgb=$NWPROD/util/exec/copygb +#cgb2=$NWPROD/util/exec/copygb2 + +regflag=`grep NHC ${DATA}/vitals.upd.${atcfout}.${PDY}${CYL} | wc -l` + +# ---------------------------------------------------------------------- +find_gfile() { + # This subroutine finds an input file from a list of possible + # input filenames, and calls err_exit if no file is found. The + # first file found is returned. + + # Calling conventions: + # find_gfile GFS 30 /path/to/file1.master.pgrbq30.grib2 /path/to/file2.master.pgrbq030.grib2 ... + nicename="$1" + nicehour="$2" + shift 2 + gfile=none + echo "Searching for input $nicename data for forecast hour $nicehour" + set -x + now=$( date +%s ) + later=$(( now + wait_max_time )) + # Note: the loop has only one iteration if --wait-max-time is + # unspecified. That is because later=now + while [[ ! ( "$now" -gt "$later" ) ]] ; do + for gfile in "$@" ; do + if [[ ! -e "$gfile" ]] ; then + set +x + echo "$gfile: does not exist" + set -x + gfile=none + elif [[ ! -s "$gfile" ]] ; then + set +x + echo "$gfile: exists, but is empty" + set -x + gfile=none + else + set +x + echo "$gfile: exists, is non-empty, so I will use this file" + set -x + return 0 + fi + done + now=$( date +%s ) + if [[ "$gfile" == none ]] ; then + if [[ ! ( "$now" -lt "$later" ) ]] ; then + set +x + echo " " + echo " " + echo " !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!" + echo " !!! $nicename missing for hour $nicehour" + echo " !!! Check for the existence of these file:" + for gfile in "$@" ; do + echo " !!! $nicename File: $gfile" + done + echo " !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!" + echo " " + set -x + err_exit "ERROR: mandatory input GFS file for hour $nicehour is missing or empty. Aborting. Checked for these files: $*" + continue + else + set +x + echo " " + echo " !!! Mandatory input $nicename missing for hour $nicehour" + echo " !!! Will retry after $sleep_time second sleep." + echo " !!! Checked these files:" + for gfile in "$@" ; do + echo " !!! $nicename File: $gfile" + done + echo " " + set -x + sleep $sleep_time + fi + fi + done +} + +# -------------------------------------------------- +# Process GFS or GDAS data +# -------------------------------------------------- +if [[ ${model} -eq 1 || $model == 8 ]] ; then + + export nest_type="fixed" + export trkrebd=360.0 + export trkrwbd=0.0 + export trkrnbd=85.0 + export trkrsbd=-85.0 + rundescr="xxxx" + atcfdescr="xxxx" + + + if [ $loopnum -eq 1 ] + then + + if [ -s ${DATA}/gfsgribfile.${PDY}${CYL} ] + then + rm ${DATA}/gfsgribfile.${PDY}${CYL} + fi + + rm ${DATA}/master.gfsgribfile.${PDY}${CYL}.f* + rm ${DATA}/gfsgribfile.${PDY}${CYL}.f* + >${DATA}/gfsgribfile.${PDY}${CYL} + + set +x + echo " " + echo "Time before gfs wgrib loop is `date`" + echo " " + set -x + + if [[ "$model" -eq 8 ]] ; then + name=gdas + name1=gdas + nicename=GDAS + else # not model 8, so assume GFS + name=gfs + name1=gfs + nicename=GFS + fi + + for fhour in ${fcsthrs} ; do + fhour=$( echo "$fhour" | bc ) + + if [ ${fhour} -eq $bad_hour ] + then + continue + fi + + fhour00=$( printf %02d "$fhour" ) + fhour000=$( printf %03d "$fhour" ) + fhour0000=$( printf %03d "$fhour" ) + + if [[ "$gribver" == 1 ]] ; then + + find_gfile "$nicename" "$fhour" \ + ${gfsdir}/$name1.t${CYL}z.${flag_pgb}$fhour00 \ + ${gfsdir}/$name1.t${CYL}z.${flag_pgb}$fhour000 \ + ${gfsdir}/pgb${flag_pgb}$fhour00.$name.${symdh} \ + ${gfsdir}/pgrb${flag_pgb}$fhour00.$name.${symdh} + ${WGRIB:?} -s $gfile >gfs.ix + + for parm in ${wgrib_parmlist} + do + case ${parm} in + "SurfaceU") grep "UGRD:10 m " gfs.ix ;; + "SurfaceV") grep "VGRD:10 m " gfs.ix ;; + *) grep "${parm}" gfs.ix ;; + esac + done | ${WGRIB:?} -s $gfile -i -grib -append \ + -o ${DATA}/master.gfsgribfile.${PDY}${CYL}.f${fhour000} + + gfs_master_file=${DATA}/master.gfsgribfile.${PDY}${CYL}.f${fhour000} + gfs_converted_file=${DATA}/gfsgribfile.${PDY}${CYL}.f${fhour000} + gfs_cat_file=${DATA}/gfsgribfile.${PDY}${CYL} +# $cgb -g4 -i2 -x ${gfs_master_file} ${gfs_converted_file} +# cat ${gfs_converted_file} >>${gfs_cat_file} + cat ${gfs_master_file} >>${gfs_cat_file} + + else # gribver is not 1, so assume GRIB2 + + find_gfile "$nicename" "$fhour" \ + ${gfsdir}/$name1.t${CYL}z.pgrb2.0p25.f${fhour000} \ + ${gfsdir}/$name1.t${CYL}z.pgrb2.0p25.f${fhour00} \ + ${gfsdir}/pgb${flag_pgb}$fhour00.$name.${symdh}.grib2 \ + ${gfsdir}/pgrb${flag_pgb}${fhour000}.$name.${symdh}.grib2 + ${WGRIB2:?} -s $gfile >gfs.ix + + for parm in ${wgrib_parmlist} + do + case ${parm} in + "SurfaceU") grep "UGRD:10 m " gfs.ix ;; + "SurfaceV") grep "VGRD:10 m " gfs.ix ;; + *) grep "${parm}" gfs.ix ;; + esac + done | ${WGRIB2:?} -i $gfile -append -grib \ + ${DATA}/master.gfsgribfile.${PDY}${CYL}.f${fhour000} + + gfs_master_file=${DATA}/master.gfsgribfile.${PDY}${CYL}.f${fhour000} + gfs_converted_file=${DATA}/gfsgribfile.${PDY}${CYL}.f${fhour000} + gfs_cat_file=${DATA}/gfsgribfile.${PDY}${CYL} + + ${GRB2INDEX:?} ${gfs_master_file} ${gfs_master_file}.ix + + g1=${gfs_master_file} + x1=${gfs_master_file}.ix + +# grid4="0 6 0 0 0 0 0 0 720 361 0 0 90000000 0 48 -90000000 359500000 500000 500000 0" +# $cgb2 -g "${grid4}" ${g1} ${x1} ${gfs_converted_file} +# cat ${gfs_converted_file} >>${gfs_cat_file} + + cat ${gfs_master_file} >>${gfs_cat_file} + + fi + + done + + if [ ${gribver} -eq 1 ]; then + ${GRBINDEX:?} ${DATA}/gfsgribfile.${PDY}${CYL} ${DATA}/gfsixfile.${PDY}${CYL} + else + ${GRB2INDEX:?} ${DATA}/gfsgribfile.${PDY}${CYL} ${DATA}/gfsixfile.${PDY}${CYL} + fi + +# -------------------------------------------- + + if [[ "$PhaseFlag" == y ]] ; then + + catfile=${DATA}/gfs.${PDY}${CYL}.catfile + >${catfile} + + for fhour in ${fcsthrs} + do + + + fhour=$( echo "$fhour" | bc ) + + if [ ${fhour} -eq $bad_hour ] + then + continue + fi + + fhour00=$( printf %02d "$fhour" ) + fhour000=$( printf %03d "$fhour" ) + fhour0000=$( printf %03d "$fhour" ) + + set +x + echo " " + echo "Date in interpolation for model= $cmodel and fhour= $fhour000 before = `date`" + echo " " + set -x + + gfile=${DATA}/gfsgribfile.${PDY}${CYL} + ifile=${DATA}/gfsixfile.${PDY}${CYL} + + if [ ${gribver} -eq 1 ]; then + ${GRBINDEX:?} $gfile $ifile + else + ${GRB2INDEX:?} $gfile $ifile + fi + + gparm=7 + namelist=${DATA}/vint_input.${PDY}${CYL}.z + echo "&timein ifcsthour=${fhour000}," >${namelist} + echo " iparm=${gparm}," >>${namelist} + echo " gribver=${gribver}," >>${namelist} + echo " g2_jpdtn=${g2_jpdtn}/" >>${namelist} + + ln -s -f ${gfile} fort.11 + ln -s -f ${FIXRELO}/gfs_hgt_levs.txt fort.16 + ln -s -f ${ifile} fort.31 + ln -s -f ${DATA}/${cmodel}.${PDY}${CYL}.z.f${fhour000} fort.51 + + ${exectrkdir}/vint.x <${namelist} + rcc1=$? + + + gparm=11 + namelist=${DATA}/vint_input.${PDY}${CYL}.t + echo "&timein ifcsthour=${fhour000}," >${namelist} + echo " iparm=${gparm}," >>${namelist} + echo " gribver=${gribver}," >>${namelist} + echo " g2_jpdtn=${g2_jpdtn}/" >>${namelist} + + ln -s -f ${gfile} fort.11 + ln -s -f ${FIXRELO}/gfs_tmp_levs.txt fort.16 + ln -s -f ${ifile} fort.31 + ln -s -f ${DATA}/${cmodel}.${PDY}${CYL}.t.f${fhour000} fort.51 + + ${exectrkdir}/vint.x <${namelist} + rcc2=$? + + namelist=${DATA}/tave_input.${PDY}${CYL} + echo "&timein ifcsthour=${fhour000}," >${namelist} + echo " iparm=${gparm}," >>${namelist} + echo " gribver=${gribver}," >>${namelist} + echo " g2_jpdtn=${g2_jpdtn}/" >>${namelist} + + ffile=${DATA}/${cmodel}.${PDY}${CYL}.t.f${fhour000} + ifile=${DATA}/${cmodel}.${PDY}${CYL}.t.f${fhour000}.i + + if [ ${gribver} -eq 1 ]; then + ${GRBINDEX:?} ${ffile} ${ifile} + else + ${GRB2INDEX:?} ${ffile} ${ifile} + fi + + ln -s -f ${ffile} fort.11 + ln -s -f ${ifile} fort.31 + ln -s -f ${DATA}/${cmodel}.tave.${PDY}${CYL}.f${fhour000} fort.51 + ln -s -f ${DATA}/${cmodel}.tave92.${PDY}${CYL}.f${fhour000} fort.92 + + ${exectrkdir}/tave.x <${namelist} + rcc3=$? + + if [ $rcc1 -eq 0 -a $rcc2 -eq 0 -a $rcc3 -eq 0 ]; then + echo " " + else + mailfile=${rundir}/errmail.${cmodel}.${PDY}${CYL} + echo "CPS/WC interp failure for $cmodel ${PDY}${CYL}" >${mailfile} + mail -s "GFS Failure (CPS/WC int) $cmodel ${PDY}${CYL}" ${userid} <${mailfile} + exit 8 + fi + + tavefile=${DATA}/${cmodel}.tave.${PDY}${CYL}.f${fhour000} + zfile=${DATA}/${cmodel}.${PDY}${CYL}.z.f${fhour000} + cat ${zfile} ${tavefile} >>${catfile} +## rm $tavefile $zfile + + set +x + echo " " + echo "Date in interpolation for cmodel= $cmodel and fhour= $fhour000 after = `date`" + echo " " + set -x + + done + fi # end of "If PhaseFlag is on" + fi # end of "If loopnum is 1" + + gfile=${DATA}/gfsgribfile.${PDY}${CYL} + ifile=${DATA}/gfsixfile.${PDY}${CYL} + + if [[ "$PhaseFlag" == y ]] ; then + cat ${catfile} >>${gfile} + if [ ${gribver} -eq 1 ]; then + ${GRBINDEX:?} ${gfile} ${ifile} + else + ${GRB2INDEX:?} ${gfile} ${ifile} + fi + fi + + # File names for input to tracker: + gribfile=${DATA}/gfsgribfile.${PDY}${CYL} + ixfile=${DATA}/gfsixfile.${PDY}${CYL} +fi + +$postmsg "$jlogfile" "SUCCESS: have all inputs needed to run tracker. Will now run the tracker." + +#------------------------------------------------------------------------# +# Now run the tracker # +#------------------------------------------------------------------------# + +ist=1 +while [ $ist -le 15 ] +do + if [ ${stormflag[${ist}]} -ne 1 ] + then + set +x; echo "Storm number $ist NOT selected for processing"; set -x + else + set +x; echo "Storm number $ist IS selected for processing...."; set -x + fi + let ist=ist+1 +done + +namelist=${DATA}/input.${atcfout}.${PDY}${CYL} +ATCFNAME=` echo "${atcfname}" | tr '[a-z]' '[A-Z]'` + +if [ ${cmodel} = 'sref' ]; then + export atcfymdh=` ${NDATE:?} -3 ${scc}${syy}${smm}${sdd}${shh}` +else + export atcfymdh=${scc}${syy}${smm}${sdd}${shh} +fi + +contour_interval=100.0 +write_vit=n +want_oci=.TRUE. + +cat < ${namelist} +&datein inp%bcc=${scc},inp%byy=${syy},inp%bmm=${smm}, + inp%bdd=${sdd},inp%bhh=${shh},inp%model=${model}, + inp%modtyp='${modtyp}', + inp%lt_units='${lead_time_units}', + inp%file_seq='${file_sequence}', + inp%nesttyp='${nest_type}'/ +&atcfinfo atcfnum=${atcfnum},atcfname='${ATCFNAME}', + atcfymdh=${atcfymdh},atcffreq=${atcffreq}/ +&trackerinfo trkrinfo%westbd=${trkrwbd}, + trkrinfo%eastbd=${trkrebd}, + trkrinfo%northbd=${trkrnbd}, + trkrinfo%southbd=${trkrsbd}, + trkrinfo%type='${trkrtype}', + trkrinfo%mslpthresh=${mslpthresh}, + trkrinfo%v850thresh=${v850thresh}, + trkrinfo%gridtype='${modtyp}', + trkrinfo%contint=${contour_interval}, + trkrinfo%want_oci=${want_oci}, + trkrinfo%out_vit='${write_vit}', + trkrinfo%gribver=${gribver}, + trkrinfo%g2_jpdtn=${g2_jpdtn}/ +&phaseinfo phaseflag='${PHASEFLAG}', + phasescheme='${PHASE_SCHEME}', + wcore_depth=${WCORE_DEPTH}/ +&structinfo structflag='${STRUCTFLAG}', + ikeflag='${IKEFLAG}'/ +&fnameinfo gmodname='${atcfname}', + rundescr='${rundescr}', + atcfdescr='${atcfdescr}'/ +&verbose verb=3/ +&waitinfo use_waitfor='n', + wait_min_age=10, + wait_min_size=100, + wait_max_wait=1800, + wait_sleeptime=5, + per_fcst_command=''/ +EOF + +export pgm=gettrk +. $prep_step + +ln -s -f ${gribfile} fort.11 +ln -s -f ${DATA}/vitals.upd.${atcfout}.${PDY}${shh} fort.12 +ln -s -f ${DATA}/genvitals.upd.${cmodel}.${atcfout}.${PDY}${CYL} fort.14 +ihour=1 +for fhour in ${fcsthrs} ; do + fhour=$( echo "$fhour" | bc ) # strip leading zeros + printf "%4d %5d\n" $ihour $(( fhour * 60 )) + let ihour=ihour+1 +done > leadtimes.txt +ln -s -f leadtimes.txt fort.15 +#ln -s -f ${FIXRELO}/${cmodel}.tracker_leadtimes fort.15 +ln -s -f ${ixfile} fort.31 + +if [[ -z "$atcfout" ]] ; then + err_exit 'ERROR: exgfs_trkr script forgot to set $atcfout variable' +fi + +track_file_path=nowhere + +if [ ${trkrtype} = 'tracker' ]; then + if [ ${atcfout} = 'gfdt' -o ${atcfout} = 'gfdl' -o \ + ${atcfout} = 'hwrf' -o ${atcfout} = 'hwft' ]; then + ln -s -f ${DATA}/trak.${atcfout}.all.${stormenv}.${PDY}${CYL} fort.61 + ln -s -f ${DATA}/trak.${atcfout}.atcf.${stormenv}.${PDY}${CYL} fort.62 + ln -s -f ${DATA}/trak.${atcfout}.radii.${stormenv}.${PDY}${CYL} fort.63 + ln -s -f ${DATA}/trak.${atcfout}.atcf_gen.${stormenv}.${PDY}${CYL} fort.66 + ln -s -f ${DATA}/trak.${atcfout}.atcf_sink.${stormenv}.${PDY}${CYL} fort.68 + ln -s -f ${DATA}/trak.${atcfout}.atcf_hfip.${stormenv}.${PDY}${CYL} fort.69 + track_file_path=${DATA}/trak.${atcfout}.atcfunix.${stormenv}.${PDY}${CYL} + else + ln -s -f ${DATA}/trak.${atcfout}.all.${PDY}${CYL} fort.61 + ln -s -f ${DATA}/trak.${atcfout}.atcf.${PDY}${CYL} fort.62 + ln -s -f ${DATA}/trak.${atcfout}.radii.${PDY}${CYL} fort.63 + ln -s -f ${DATA}/trak.${atcfout}.atcf_gen.${PDY}${CYL} fort.66 + ln -s -f ${DATA}/trak.${atcfout}.atcf_sink.${PDY}${CYL} fort.68 + ln -s -f ${DATA}/trak.${atcfout}.atcf_hfip.${PDY}${CYL} fort.69 + track_file_path=${DATA}/trak.${atcfout}.atcfunix.${PDY}${CYL} + fi +else + ln -s -f ${DATA}/trak.${atcfout}.all.${regtype}.${PDY}${CYL} fort.61 + ln -s -f ${DATA}/trak.${atcfout}.atcf.${regtype}.${PDY}${CYL} fort.62 + ln -s -f ${DATA}/trak.${atcfout}.radii.${regtype}.${PDY}${CYL} fort.63 + ln -s -f ${DATA}/trak.${atcfout}.atcf_gen.${regtype}.${PDY}${CYL} fort.66 + ln -s -f ${DATA}/trak.${atcfout}.atcf_sink.${regtype}.${PDY}${CYL} fort.68 + ln -s -f ${DATA}/trak.${atcfout}.atcf_hfip.${regtype}.${PDY}${CYL} fort.69 + track_file_path=${DATA}/trak.${atcfout}.atcfunix.${regtype}.${PDY}${CYL} +fi + +if [[ "$track_file_path" == nowhere ]] ; then + err_exit 'ERROR: exgfs_trkr script forgot to set $track_file_path variable' +fi + +ln -s -f $track_file_path fort.64 + +if [ ${atcfname} = 'aear' ] +then + ln -s -f ${DATA}/trak.${atcfout}.initvitl.${PDY}${CYL} fort.65 +fi + +if [ ${write_vit} = 'y' ] +then + ln -s -f ${DATA}/output_genvitals.${atcfout}.${PDY}${shh} fort.67 +fi + +if [ ${PHASEFLAG} = 'y' ]; then + if [ ${atcfout} = 'gfdt' -o ${atcfout} = 'gfdl' -o \ + ${atcfout} = 'hwrf' -o ${atcfout} = 'hwft' ]; then + ln -s -f ${DATA}/trak.${atcfout}.cps_parms.${stormenv}.${PDY}${CYL} fort.71 + else + ln -s -f ${DATA}/trak.${atcfout}.cps_parms.${PDY}${CYL} fort.71 + fi +fi + +if [ ${STRUCTFLAG} = 'y' ]; then + if [ ${atcfout} = 'gfdt' -o ${atcfout} = 'gfdl' -o \ + ${atcfout} = 'hwrf' -o ${atcfout} = 'hwft' ]; then + ln -s -f ${DATA}/trak.${atcfout}.structure.${stormenv}.${PDY}${CYL} fort.72 + ln -s -f ${DATA}/trak.${atcfout}.fractwind.${stormenv}.${PDY}${CYL} fort.73 + ln -s -f ${DATA}/trak.${atcfout}.pdfwind.${stormenv}.${PDY}${CYL} fort.76 + else + ln -s -f ${DATA}/trak.${atcfout}.structure.${PDY}${CYL} fort.72 + ln -s -f ${DATA}/trak.${atcfout}.fractwind.${PDY}${CYL} fort.73 + ln -s -f ${DATA}/trak.${atcfout}.pdfwind.${PDY}${CYL} fort.76 + fi +fi + +if [ ${IKEFLAG} = 'y' ]; then + if [ ${atcfout} = 'gfdt' -o ${atcfout} = 'gfdl' -o \ + ${atcfout} = 'hwrf' -o ${atcfout} = 'hwft' ]; then + ln -s -f ${DATA}/trak.${atcfout}.ike.${stormenv}.${PDY}${CYL} fort.74 + else + ln -s -f ${DATA}/trak.${atcfout}.ike.${PDY}${CYL} fort.74 + fi +fi + +if [ ${trkrtype} = 'midlat' -o ${trkrtype} = 'tcgen' ]; then + ln -s -f ${DATA}/trkrmask.${atcfout}.${regtype}.${PDY}${CYL} fort.77 +fi + + +set +x +echo " " +echo " -----------------------------------------------" +echo " NOW EXECUTING TRACKER......" +echo " -----------------------------------------------" +echo " " +set -x + +msg="$pgm start for $atcfout at ${CYL}z" +$postmsg "$jlogfile" "$msg" + +set +x +echo "+++ TIMING: BEFORE gettrk ---> `date`" +set -x + +set +x +echo " " +echo "TIMING: Before call to gettrk at `date`" +echo " " +set -x + +##/usrx/local/bin/getrusage -a /hwrf/save/Qingfu.Liu/trak/para/exec/gettrk <${namelist} + +${exectrkdir}/gettrk <${namelist} | tee gettrk.log +gettrk_rcc=$? + +set +x +echo " " +echo "TIMING: After call to gettrk at `date`" +echo " " +set -x + +set +x +echo "+++ TIMING: AFTER gettrk ---> `date`" +set -x + +#--------------------------------------------------------------# +# Send a message to the jlogfile for each storm that used +# tcvitals for hour 0 track/intensity info. +#--------------------------------------------------------------# + +pcount=0 +cat gettrk.log | grep -a 'NOTE: TCVITALS_USED_FOR_ATCF_F00' | \ +while read line +do + echo "line is [$line]" + if [[ ! ( "$pcount" -lt 30 ) ]] ; then + $postmsg "$jlogfile" "Hit maximum number of postmsg commands for tcvitals usage at hour 0. Will stop warning about that, to avoid spamming jlogfile." + break + fi + $postmsg "$jlogfile" "$line" + pcount=$(( pcount + 1 )) +done + +#--------------------------------------------------------------# +# Now copy the output track files to different directories +#--------------------------------------------------------------# + +set +x +echo " " +echo " -----------------------------------------------" +echo " NOW COPYING OUTPUT TRACK FILES TO COM " +echo " -----------------------------------------------" +echo " " +set -x + +if [[ ! -e "$track_file_path" ]] ; then + $postmsg "$jlogfile" "WARNING: tracker output file does not exist. This is probably an error. File: $track_file_path" + $postmsg "$jlogfile" "WARNING: exgfs_trkr will create an empty track file and deliver that." + cat /dev/null > $track_file_path +elif [[ ! -s "$track_file_path" ]] ; then + $postmsg "$jlogfile" "WARNING: tracker output file is empty. That is only an error if there are storms or genesis cases somewhere in the world. File: $track_file_path" +else + $postmsg "$jlogfile" "SUCCESS: Track file exists and is non-empty: $track_file" + if [[ "$PHASEFLAG" == n ]] ; then + echo "Phase information was disabled. I will remove the empty phase information from the track file before delivery." + cp -p $track_file_path $track_file_path.orig + cut -c1-112 < $track_file_path.orig > $track_file_path + if [[ ! -s "$track_file_path" ]] ; then + $postmsg "$jlogfile" "WARNING: Something went wrong with \"cut\" command to remove phase information. Will deliver original file." + /bin/mv -f $track_file_path.orig $track_file_path + else + $postmsg "$jlogfile" "SUCCESS: Removed empty phase information because phase information is disabled." + fi + fi +fi + +#mkdir /global/save/Qingfu.Liu/gfspara_track/gfs.${PDY}${CYL} +#cp /ptmpp1/Qingfu.Liu/trakout2/${PDY}${CYL}/gfs/trak.gfso.atcf* /global/save/Qingfu.Liu/gfspara_track/gfs.${PDY}${CYL}/. +#rm -rf /ptmpp1/Qingfu.Liu/trakout2/${PDY}${CYL}/gfs/* + +if [ ${gettrk_rcc} -eq 0 ]; then + + if [ -s ${DATA}/output_genvitals.${atcfout}.${PDY}${shh} ]; then + cat ${DATA}/output_genvitals.${atcfout}.${PDY}${shh} >>${genvitfile} + fi + + if [ ${PARAFLAG} = 'YES' ] + then + + if [[ ! -s "$track_file_path" ]] ; then + $postmsg "$jlogfile" "WARNING: delivering empty track file to rundir." + fi + + cp $track_file_path ../. + cat $track_file_path >> \ + ${rundir}/${cmodel}.atcfunix.${syyyy} + if [ ${cmodel} = 'gfs' ]; then + cat ${rundir}/${cmodel}.atcfunix.${syyyy} | sed -e "s/ GFSO/ AVNO/g" >>${rundir}/avn.atcfunix.${syyyy} + fi +# cp ${DATA}/trak.${atcfout}.atcf_sink.${regtype}.${PDY}${CYL} ../. +# cp ${DATA}/trak.${atcfout}.atcf_gen.${regtype}.${PDY}${CYL} ../. + fi + + msg="$pgm end for $atcfout at ${CYL}z completed normally" + $postmsg "$jlogfile" "$msg" + +# Now copy track files into various archives.... + + if [ ${SENDCOM} = 'YES' ] + then + + if [[ ! -s "$track_file_path" ]] ; then + $postmsg "$jlogfile" "WARNING: delivering an empty track file to COM." + return + fi + + glatuxarch=${glatuxarch:-${gltrkdir}/tracks.atcfunix.${syy}} + + cat $track_file_path >>${glatuxarch} + if [ ${cmodel} = 'gfs' ]; then + cat $track_file_path | sed -e "s/ GFSO/ AVNO/g" >>${glatuxarch} + fi + + if [ ${PARAFLAG} = 'YES' ] + then + echo " " + tmatuxarch=${tmatuxarch:-/gpfs/gd2/emc/hwrf/save/${userid}/trak/prod/tracks.atcfunix.${syy}} + cat $track_file_path >>${tmatuxarch} + if [ ${cmodel} = 'gfs' ]; then + cat $track_file_path | sed -e "s/ GFSO/ AVNO/g" >>${tmatuxarch} + fi + else + + if [ ${cmodel} = 'gfdl' ] + then + cp $track_file_path ${COM}/${stormenv}.${PDY}${CYL}.trackeratcfunix + else + cp $track_file_path ${COM}/${atcfout}.t${CYL}z.cyclone.trackatcfunix + if [ ${cmodel} = 'gfs' ]; then + cat $track_file_path | sed -e "s/ GFSO/ AVNO/g" >${COM}/avn.t${CYL}z.cyclone.trackatcfunix + fi + fi + + tmscrdir=/gpfs/gd2/emc/hwrf/save/${userid}/trak/prod + + tmtrakstat=${tmscrdir}/tracker.prod.status + echo "${atcfout} tracker completed okay for ${PDY}${CYL}" >>${tmtrakstat} + + export SENDDBN=${SENDDBN:-YES} + if [ ${SENDDBN} = 'YES' ] + then + if [ ${cmodel} = 'gfdl' ] + then + $DBNROOT/bin/dbn_alert ATCFUNIX GFS_NAVY $job ${COM}/${stormenv}.${PDY}${CYL}.trackeratcfunix + else + $DBNROOT/bin/dbn_alert ATCFUNIX GFS_NAVY $job ${COM}/${atcfout}.t${CYL}z.cyclone.trackatcfunix + if [ ${cmodel} = 'gfs' ]; then + $DBNROOT/bin/dbn_alert ATCFUNIX GFS_NAVY $job ${COM}/avn.t${CYL}z.cyclone.trackatcfunix + fi + fi + fi + + if [[ "$SENDNHC" == YES ]] ; then + # We need to parse apart the atcfunix file and distribute the forecasts to + # the necessary directories. To do this, first sort the atcfunix records + # by forecast hour (k6), then sort again by ocean basin (k1), storm number (k2) + # and then quadrant radii wind threshold (k12). Once you've got that organized + # file, break the file up by putting all the forecast records for each storm + # into a separate file. Then, for each file, find the corresponding atcfunix + # file in the /nhc/com/prod/atcf directory and dump the atcfunix records for that + # storm in there. + + if [ ${cmodel} = 'gfdl' ] + then + auxfile=${COM}/${stormenv}.${PDY}${CYL}.trackeratcfunix + else + auxfile=$track_file_path + fi + + sort -k6 ${auxfile} | sort -k1 -k2 -k12 >atcfunix.sorted + + old_string="XX, XX" + + ict=0 + while read unixrec + do + storm_string=` echo "${unixrec}" | cut -c1-6` + if [ "${storm_string}" = "${old_string}" ] + then + echo "${unixrec}" >>atcfunix_file.${ict} + else + let ict=ict+1 + echo "${unixrec}" >atcfunix_file.${ict} + old_string="${storm_string}" + fi + done >${ATCFdir}/${at}${NO}${syyyy}/a${at}${NO}${syyyy}.dat + cat atcfunix_file.$mct >>${ATCFdir}/${at}${NO}${syyyy}/a${at}${NO}${syyyy}.dat + cat atcfunix_file.$mct >>${ATCFdir}/${at}${NO}${syyyy}/ncep_a${at}${NO}${syyyy}.dat + if [ ${cmodel} = 'gfs' ]; then + cat atcfunix_file.$mct | sed -e "s/ GFSO/ AVNO/g" >>${ATCFdir}/${at}${NO}${syyyy}/a${at}${NO}${syyyy}.dat + cat atcfunix_file.$mct | sed -e "s/ GFSO/ AVNO/g" >>${ATCFdir}/${at}${NO}${syyyy}/ncep_a${at}${NO}${syyyy}.dat + fi + set +x + echo " " + echo "+++ Adding records to TPC ATCFUNIX directory: /tpcprd/atcf_unix/${at}${NO}${syyyy}" + echo " " + set -x + else + set +x + echo " " + echo "There is no TPC ATCFUNIX directory for: /tpcprd/atcf_unix/${at}${NO}${syyyy}" + set -x + fi + done + fi + fi + fi + + fi + +else + + if [ ${PARAFLAG} = 'YES' ] + then + echo " " + else + tmtrakstat=/gpfs/gd2/emc/hwrf/save/${userid}/trak/prod/tracker.prod.status + echo "ERROR: ${atcfout} tracker FAILED for ${PDY}${CYL}" >>${tmtrakstat} + fi + + set +x + echo " " + echo "!!! ERROR -- An error occurred while running gettrk.x, " + echo "!!! which is the program that actually gets the track." + echo "!!! Return code from gettrk.x = ${gettrk_rcc}" + echo "!!! model= ${atcfout}, forecast initial time = ${PDY}${CYL}" + echo "!!! Exiting...." + echo " " + set -x + err_exit " FAILED ${jobid} - ERROR RUNNING GETTRK IN TRACKER SCRIPT- ABNORMAL EXIT" + +fi diff --git a/model/fv3gfs/outofcontrol_scripts/vrfy/global_extrkr.txt b/model/fv3gfs/outofcontrol_scripts/vrfy/global_extrkr.txt new file mode 100644 index 0000000..dbf0b45 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/vrfy/global_extrkr.txt @@ -0,0 +1 @@ +PARATRKR=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/fv3gfs/trunk/global_shared.v15.0.0/ush/global_extrkr.sh diff --git a/model/fv3gfs/outofcontrol_scripts/vrfy/global_tracker.sh b/model/fv3gfs/outofcontrol_scripts/vrfy/global_tracker.sh new file mode 100755 index 0000000..f5b9bb5 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/vrfy/global_tracker.sh @@ -0,0 +1,115 @@ +#! /bin/ksh +set -x + +export CDATE=${1:-?} +export CDUMP=${2:-?} +export COMOUT=${3:-?} +export DATA=${4:-?} +export nknd=${5:-0} + +export PSLOT=${PSLOT:-x} +export FHMAX2=${FHMAX2:-252} +export FHOUT=${FHOUT:-3} +user=$LOGNAME + +# Load production utility module. Used by PARATRKR +shell=${SHELL:-ksh} +export machine=${machine:-WCOSS_C} +if [ $machine = WCOSS ]; then + . /usrx/local/Modules/default/init/ksh 2>>/dev/null + module use /nwprod2/modulefiles 2>>/dev/null + module load prod_util 2>>/dev/null + export APRUNTRACK="" +elif [ $machine = WCOSS_C ]; then + . $MODULESHOME/init/sh 2>>/dev/null + module load prod_util 2>>/dev/null + module load iobuf/2.0.5 2>>/dev/null + export IOBUF_PARAMS="*:size=32M:count=4:verbose" + export APRUNTRACK="aprun -j1 -n1 -N1 -d1" +fi +# +export HOMEDIR=${HOMEDIR:-${BASE_TROPCY:-/gpfs/hps/emc/global/noscrub/Qingfu.Liu/gfs/gfs_q3fy17/tropcy_qc_reloc.v13.2.0_NEMS_Russ/}} +export NWPROD=${NWPROD:-/nwprod} +export NWPROD=${NWPROD:-$HOMEDIR} +export USHDIR=${USHDIR:-$HOMEDIR/ush} +export archsyndir=${archsyndir:-$COMROOTp1/arch/prod/syndat} +export HOMERELO=${HOMERELO:-$HOMEDIR} +FIXRELO=${FIXRELO:-${HOMERELO}/fix} +GRIBVERSION=${GRIBVERSION:-"grib2"} +# +#export DISK_GLOB=${DISK_GLOB:-/global/save} +#export DISK_TRAK=${DISK_TRAK:-$DISK_GLOB} +export GETTRKEXEC=${GETTRKEXEC:-$HOMEDIR/exec/gettrk} +export inpdate=$CDATE +export paradir=$COMIN +export prxtrak=$DATA +export vdir=$DATA + +if [ ! -d ${vdir} ]; then + mkdir -p ${vdir} +fi +if [ ! -d ${prxtrak} ]; then + mkdir -p ${prxtrak} +fi + +cd $vdir + +# Always keep cmodel as "para" + +export cmodel=para +if [ "$GRIBVERSION" = "grib1" ]; then + export gribver=1 +else + export gribver=2 +fi + +# TRACKID can be changed to "parx", "pary", "parw", etc.... + +# +nn=$((`echo $PSLOT | wc -c`-1)) +if [ $nn -eq 1 ] ; then + export TRACKID=`echo par$PSLOT | cut -c1-4` +else +# export TRACKID=pr$PSLOT + export TRACKID=`echo pr$PSLOT | cut -c1-4` +fi + + +export YYYYMMDDHH=${inpdate} +export PDY=` echo ${YYYYMMDDHH} | cut -c1-8` +export cyc=` echo ${YYYYMMDDHH} | cut -c9-10` +if [[ -r tcvitl.$CDUMP.$CDATE ]]; then + export AUXTCVIT=$DATA/auxtcvit.$CDATE + NDATE=${NDATE:-$NWPROD/util/exec/ndate} + export GDATE=$($NDATE -06 $CDATE) + cat tcvitl.gdas.$GDATE tcvitl.$CDUMP.$CDATE >$AUXTCVIT +else + export AUXTCVIT=JUNK_NOFILE +fi + +if [ $nknd -gt 1 ] ; then + export CDUMP=${CDUMP}${nknd} +fi + +gfstrackhour1=180; gfstrackhour2=252 +if [ $gfstrackhour1 -gt $FHMAX2 ]; then gfstrackhour1=$FHMAX2 ; fi +if [ $gfstrackhour2 -gt $FHMAX2 ]; then gfstrackhour2=$FHMAX2 ; fi + +if [[ $CDUMP = gdas ]]; then + ${APRUNTRACK} ${PARATRKR:-$USHDIR/global_extrkr.sh} --gdas-last-hour 9 + cp $DATA/trak.$TRACKID.atcfunix.$CDATE $COMOUT/atcfunix.$CDUMP.$CDATE +else + # Run first tracker for 180 hours for NHC/JTWC operational forecast: + ${APRUNTRACK} ${PARATRKR:-$USHDIR/global_extrkr.sh} --gfs-last-hour $gfstrackhour1 --wait-for-data 900 + cp $DATA/trak.$TRACKID.atcfunix.$CDATE $COMOUT/atcfunix.$CDUMP.$CDATE + if [ $machine = WCOSS_C ]; then + # Run a second tracker for 252 hours for experimental ten day forecasts: + if [ $gfstrackhour2 -gt $gfstrackhour1 ]; then; + export SENDNHC=NO + ${APRUNTRACK} ${PARATRKR:-$USHDIR/global_extrkr.sh} --gfs-last-hour $gfstrackhour2 --wait-for-data 900 + cp $DATA/trak.$TRACKID.atcfunix.$CDATE $COMOUT/atcf252hr.$CDUMP.$CDATE + fi + fi +fi + + diff --git a/model/fv3gfs/outofcontrol_scripts/vrfy/global_tracker.txt b/model/fv3gfs/outofcontrol_scripts/vrfy/global_tracker.txt new file mode 100644 index 0000000..b7c4bcf --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/vrfy/global_tracker.txt @@ -0,0 +1 @@ +TRACKERSH=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/fv3gfs/trunk/global_shared.v15.0.0/ush/global_tracker.sh diff --git a/model/fv3gfs/outofcontrol_scripts/vrfy/vsdbjob.sh b/model/fv3gfs/outofcontrol_scripts/vrfy/vsdbjob.sh new file mode 100755 index 0000000..3ae08d8 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/vrfy/vsdbjob.sh @@ -0,0 +1,356 @@ +#!/bin/ksh +set -x + +##--------------------------------------------------------------------------- +## Modified version of vsdbjob_submit.sh for use in NCEP/EMC GFS para_config +## to do verification while forecast is running. +## Fanglin Yang, 01Jan2010 +##--------------------------------------------------------------------------- + +## variables read in from vrfy.sh and/or para_config +export DATEST=${1:-20080701} ;#forecast starting date +export DATEND=${2:-20080801} ;#forecast ending date +export vlength=${3:-384} ;#forecast length in hour +export cycle=${4:-"00"} ;#forecast cycle +export exp1name=${5:-"pre13a"} ;#experiment names +export VSDB_START_DATE=${6:-$VSDB_START_DATE} ;#map starting date +export anl_type=${7:-${anltype:-gfs}} ;#analysis type for verification: gfs, gdas, ecmwf, manl or canl +export gfs_cyc=${8:-${gfs_cyc:-1}} ;#number of GFS cycles, 1-->00Z, 4-->00Z 06Z 12Z and 18Z +export iauf00=${9:-${iauf00:-"NO"}} ;#set pgbf00=pgbanl for forecasts with IAU + +export exp1dir=${ARCDIR1:-/global/hires/glopara/archive} ;#online archive of current exp +export scppgb=${SCP_PGB:-"NO"} ;#whether of not to scp pgb files from CLIENT +export sfcvsdb=${sfcvsdb:-"YES"} ;#include the group of surface variables +export rundir0=${rundir:-$STMP/$LOGNAME/vsdb_exp} + +## +##------------------------------------------------------------------- +##------------------------------------------------------------------- + +MAKEVSDBDATA=${VSDB_STEP1:-NO} ;#To create VSDB date + +MAKEMAPS=${VSDB_STEP2:-NO} ;#To make AC and RMS maps + +CONUSPLOTS=${VSDB_STEP2:-NO} ;#To make precip verification plots + +CONUSDATA=${VRFYPRCP:-NO} ;#To compute precip threat skill scores + +VRFYG2OBS=${VRFYG2OBS:-NO} ;#To create grid2obs vsdb date + +#---------------------------------------------------------------------- +export machine=${machine:-WCOSS} ;#WCOSS, THEIA +export machine=$(echo $machine|tr '[a-z]' '[A-Z]') +export ACCOUNT=${ACCOUNT:-GFS-T2O} ;#ibm computer ACCOUNT task +export CUE2RUN=${CUE2RUN:-shared} ;#dev or devhigh or 1 +export CUE2FTP=${CUE2FTP:-$CUE2RUNA} ;#queue for data transfer +export GROUP=${GROUP:-g01} ;#account group +export webhost=${webhost:-"emcrzdm.ncep.noaa.gov"} ;#host for web display +export webhostid=${webhostid:-$LOGNAME} ;#id of webhost +export ftpdir=${WEBDIR:-/home/people/emc/www/htdocs/gmb/$webhostid}/vsdb +export doftp=${SEND2WEB:-"NO"} ;#whether or not to sent maps to ftpdir +export vsdbsave=${vsdbsave:-/stmp/$LOGNAME/VSDB/vsdb_data} ;#place where vsdb database is saved + +chost=`echo $(hostname) |cut -c 1-1 ` +chost2=`echo $(hostname) |cut -c 1-2 ` + +if [ $machine = THEIA ]; then + export vsdbhome=${vsdbhome:-/scratch4/NCEPDEV/global/save/Fanglin.Yang/VRFY/vsdb} ;#script home, do not change + export GNOSCRUB=${GNOSCRUB:-/scratch4/NCEPDEV/global/noscrub} ;#archive directory + export STMP=${STMP:-/scratch4/NCEPDEV/stmp3} ;#temporary directory + export PTMP=${PTMP:-/scratch4/NCEPDEV/stmp3} ;#temporary directory + + export obdata=/scratch4/NCEPDEV/global/save/Fanglin.Yang/obdata ;#observation data for making 2dmaps + export gstat=/scratch4/NCEPDEV/global/noscrub/stat ;#global stats directory + export gfsvsdb=$gstat/vsdb_data ;#operational gfs vsdb database + export canldir=$gstat/canl ;#consensus analysis directory + export ecmanldir=$gstat/ecm ;#ecmwf analysis directory + export OBSPCP=$gstat/OBSPRCP ;#observed precip for verification + export gfswgnedir=$gstat/wgne1 ;#operational gfs precip QPF scores + export gfsfitdir=$gstat/surufits ;#Suru operational model fit-to-obs database + export gdas_prepbufr_arch=$gstat/prepbufr/gdas + export ndasbufr_arch=$gstat/prepbufr/ndas + export nambufr_arch=$gstat/prepbufr/nam + export SUBJOB=$vsdbhome/bin/sub_theia ;#script for submitting batch jobs + export CUE2FTP=service ;#data transfer queue + export NWPROD=$vsdbhome/nwprod ;#common utilities and libs included in /nwprod + export GRADSBIN=/apps/grads/2.0.1a/bin ;#GrADS executables + export IMGCONVERT=/usr/bin/convert ;#image magic converter + export FC=/apps/intel/composer_xe_2013_sp1.2.144/bin/intel64/ifort ;#intel compiler + export FFLAG="-O2 -convert big_endian -FR" ;#intel compiler options + export APRUN="" + export COMROTNCO=${COMROTNCO:-/scratch4/NCEPDEV/rstprod/com} + export COMROTNAM=$COMROTNCO + +elif [ $machine = JET ]; then + export vsdbhome=${vsdbhome:-/pan2/projects/gnmip/Fanglin.Yang/VRFY/vsdb} ;#script home, do not change + export GNOSCRUB=${GNOSCRUB:-/pan2/projects/gnmip/$LOGNAME/noscrub} ;#temporary directory + export STMP=${STMP:-/pan2/projects/gnmip/$LOGNAME/ptmp} ;#temporary directory + export PTMP=${PTMP:-/pan2/projects/gnmip/$LOGNAME/ptmp} ;#temporary directory + + export obdata=/pan2/projects/gnmip/Fanglin.Yang/VRFY/obdata ;#observation data for making 2dmaps + export gstat=/pan2/projects/gnmip/Fanglin.Yang/VRFY/stat ;#global stats directory + export gfsvsdb=$gstat/vsdb_data ;#operational gfs vsdb database + export canldir=$gstat/canl ;#consensus analysis directory + export ecmanldir=$gstat/ecm ;#ecmwf analysis directory + export OBSPCP=$gstat/OBSPRCP ;#observed precip for verification + export gfswgnedir=$gstat/wgne1 ;#operational gfs precip QPF scores + export gfsfitdir=$gstat/surufits ;#Suru operational model fit-to-obs database + export gdas_prepbufr_arch=$gstat/prepbufr/gdas + export SUBJOB=$vsdbhome/bin/sub_jet ;#script for submitting batch jobs + export NWPROD=$vsdbhome/nwprod ;#common utilities and libs included in /nwprod + export GRADSBIN=/opt/grads/2.0.a2//bin/grads ;#GrADS executables + export IMGCONVERT=/usr/bin/convert ;#image magic converter + export FC=/opt/intel/Compiler/11.1/072//bin/intel64/ifort ;#intel compiler + export FFLAG="-O2 -convert big_endian -FR" ;#intel compiler options + export APRUN="" + +elif [ $chost = t -o $machine = WCOSS ]; then + export vsdbhome=${vsdbhome:-/global/save/Fanglin.Yang/VRFY/vsdb} ;#script home, do not change + export GNOSCRUB=${GNOSCRUB:-/global/noscrub} ;#archive directory + export STMP=${STMP:-/stmp} ;#temporary directory + export PTMP=${PTMP:-/ptmp} ;#temporary directory + + export obdata=/global/save/Fanglin.Yang/obdata ;#observation data for making 2dmaps + export gstat=/global/noscrub/Fanglin.Yang/stat ;#global stats directory + export gfsvsdb=$gstat/vsdb_data ;#operational gfs vsdb database + export canldir=$gstat/canl ;#consensus analysis directory + export ecmanldir=$gstat/ecm ;#ecmwf analysis directory + export OBSPCP=$gstat/OBSPRCP ;#observed precip for verification + export gfswgnedir=$gstat/wgne1 ;#operational gfs precip QPF scores + export gfsfitdir=$gstat/surufits ;#Suru operational model fit-to-obs database + export gdas_prepbufr_arch=/global/noscrub/Fanglin.Yang/prepbufr/gdas ;#ops gdas prepbufr archive + export ndasbufr_arch=/global/noscrub/Fanglin.Yang/prepbufr/ndas + export nambufr_arch=/global/noscrub/Fanglin.Yang/prepbufr/nam + export SUBJOB=$vsdbhome/bin/sub_wcoss ;#script for submitting batch jobs + export CUE2FTP=transfer ;#data transfer queue + export NWPROD=$vsdbhome/nwprod ;#common utilities and libs included in /nwprod + export GRADSBIN=/usrx/local/GrADS/2.0.2/bin ;#GrADS executables + export IMGCONVERT=/usrx/local/ImageMagick/6.8.3-3/bin/convert ;#image magic converter + export FC=/usrx/local/intel/composer_xe_2011_sp1.11.339/bin/intel64/ifort ;#intel compiler + export FFLAG="-O2 -convert big_endian -FR" ;#fortran compiler options + export APRUN="" + export COMROTNCO=${COMROTNCO:-/gpfs/hps/nco/ops/com} + export COMROTNAM=${COMROTNAM:-/com2} + +elif [ $machine = WCOSS_C ]; then + export vsdbhome=${vsdbhome:-/gpfs/hps3/emc/global/noscrub/Fanglin.Yang/VRFY/vsdb} ;#script home, do not change + export GNOSCRUB=${GNOSCRUB:-/gpfs/hps3/emc/global/noscrub} ;#archive directory + export STMP=${STMP:-/gpfs/hps3/stmp} ;#temporary directory + export PTMP=${PTMP:-/gpfs/hps3/ptmp} ;#temporary directory + + export obdata=/gpfs/hps3/emc/global/noscrub/Fanglin.Yang/obdata ;#observation data for making 2dmaps + export gstat=/gpfs/hps3/emc/global/noscrub/Fanglin.Yang/stat ;#global stats directory + export gfsvsdb=$gstat/vsdb_data ;#operational gfs vsdb database + export canldir=$gstat/canl ;#consensus analysis directory + export ecmanldir=$gstat/ecm ;#ecmwf analysis directory + export OBSPCP=$gstat/OBSPRCP ;#observed precip for verification + export gfswgnedir=$gstat/wgne ;#operational gfs precip QPF scores + export gfsfitdir=$gstat/surufits ;#Suru operational model fit-to-obs database + export gdas_prepbufr_arch=/gpfs/hps3/emc/global/noscrub/Fanglin.Yang/prepbufr/gdas ;#ops gdas prepbufr archive + export ndasbufr_arch=/gpfs/hps3/emc/global/noscrub/Fanglin.Yang/prepbufr/ndas + export nambufr_arch=/gpfs/hps3/emc/global/noscrub/Fanglin.Yang/prepbufr/nam + export SUBJOB=$vsdbhome/bin/sub_wcoss_c ;#script for submitting batch jobs + export CUE2FTP=dev_transfer ;#data transfer queue + export NWPROD=$vsdbhome/nwprod ;#common utilities and libs included in /nwprod + export GRADSBIN=/gpfs/hps3/emc/global/noscrub/Fanglin.Yang/software/grads-2.1.a2/bin + export IMGCONVERT=/usr/bin/convert ;#image magic converter + export FC=/opt/intel/composer_xe_2015.3.187/bin/intel64/ifort + export FFLAG="-O2 -convert big_endian -FR" ;#fortran compiler options + export APRUN="aprun -n 1 -N 1 -j 1 -d 1" + . $MODULESHOME/init/sh + module load prod_envir + export COMROTNCO=${COMROTNCO:-$COMROOT} + export COMROTNAM=${COMROTNAM:-$COMROOTp2} +fi + +if [ $gfs_cyc = 1 ]; then + export vhrlist=${vhrlist:-"$cycle"} ;#verification hours for each day + export fcyclist="$cycle" ;#forecast cycles to be included in stats computation + export cyc2runvsdb="$cycle" ;#cycle to run vrfy which will generate vsdb data for all cycles of the day +elif [ $gfs_cyc = 2 ]; then + export vhrlist=${vhrlist:-"00 12 "} ;#verification hours for each day + export fcyclist="00 12" ;#forecast cycles to be included in stats computation + export cyc2runvsdb=12 ;#cycle to run vrfy which will generate vsdb data for all cycles of the day +elif [ $gfs_cyc = 4 ]; then + export vhrlist=${vhrlist:-"00 06 12 18"} ;#verification hours for each day + export fcyclist="00 06 12 18" ;#forecast cycles to be included in stats computation + export cyc2runvsdb=18 ;#cycle to run vrfy which will generate vsdb data for all cycles of the day +else + echo "gfs_cyc must be 1, 2 or 4, quit vsdbjob" + exit +fi + +if [ $cycle != $cyc2runvsdb ]; then + MAKEVSDBDATA=NO + MAKEMAPS=NO + VRFYG2OBS=NO +fi +if [ $cycle != 00 -a $cycle != 12 ]; then + CONUSPLOTS=NO + CONUSDATA=NO +fi + + +### -------------------------------------------------------------- +### make vsdb database + if [ $MAKEVSDBDATA = YES ] ; then +### -------------------------------------------------------------- +export fcyclist="$fcyclist" ;#all fcst cycles to be included in verification +export expnlist=$exp1name ;#experiment names +export expdlist=$exp1dir ;#exp online archive directories +export complist=$(hostname) ;#computers where experiments are run +export dumplist=".gfs." ;#file format pgb${asub}${fhr}${dump}${yyyymmdd}${cyc} + +export anl_type=$anl_type ;#analysis type for verification: gfs, gdas or canl +export DATEST=$DATEST ;#verification starting date +export DATEND=$DATEND ;#verification ending date +export vlength=$vlength ;#forecast length in hour +export asub=${asub:-a} ;#string in pgb anal file after pgb, say, pgbanl, pgbhnl +export fsub=${fsub:-f} ;#string in pgb fcsy file after pgb, say, pgbf06, pgbh06 + +if [ ! -d $vsdbhome ]; then + echo "$vsdbhome does not exist " + exit +fi +if [ ! -d $expdlist ]; then + echo "$expdlist does not exist " + exit +fi + +export rundir=$rundir0/acrmse_stat +#export listvar1=fcyclist,vhrlist,expnlist,expdlist,complist,dumplist,DATEST,DATEND,vlength,rundir +#export listvar2=machine,anl_type,scppgb,sfcvsdb,canldir,ecmanldir,vsdbsave,vsdbhome,gd,NWPROD +#export listvar="$listvar1,$listvar2" + +${vsdbhome}/verify_exp_step1.sh + +### -------------------------------------------------------------- + fi +### -------------------------------------------------------------- + + + +### -------------------------------------------------------------- +### make AC and RMSE maps + if [ $MAKEMAPS = YES ] ; then +### -------------------------------------------------------------- +# +export mdlist=${mdlist:-"gfs $exp1name"} ;#experiment names, up to 10 +export fcyclist="$fcyclist" ;#forecast cycles to show on map +export DATEST=${VSDB_START_DATE:-$DATEST} ;#map starting date starting date to show on map +export DATEND=$DATEND ;#verification ending date to show on map +export vlength=$vlength ;#forecast length in hour to show on map +export maptop=${maptop:-10} ;#can be set to 10, 50 or 100 hPa for cross-section maps +export maskmiss=${maskmiss:-1} ;#remove missing data from all models to unify sample size, 0-->NO, 1-->Yes + +set -A namelist $mdlist +export rundir=$rundir0/acrmse_map + +${vsdbhome}/verify_exp_step2.sh +### -------------------------------------------------------------- + fi +### -------------------------------------------------------------- + + +### -------------------------------------------------------------- +### make CONUS precip plots + if [ $CONUSPLOTS = YES ] ; then +### -------------------------------------------------------------- +export expnlist=$mdlist ;#experiment names, up to 6 +export expdlist=${expd_list:-"$exp1dir $exp1dir $exp1dir $exp1dir $exp1dir $exp1dir"} ;#precip stats online archive dirs +export complist=${comp_list:-"$(hostname) $(hostname) $(hostname) $(hostname) $(hostname) $(hostname) "} ;#computers where experiments are run + +export cycle=$cycle ;#cycle to make QPF plots +export DATEST=$DATEST ;#forecast starting date to show on map +export DATEND=$(echo $($NWPROD/util/exec/ndate -${VBACKUP_PRCP:-00} ${DATEND}00 ) |cut -c1-8 ) +export rundir=$rundir0/rain_map +export scrdir=${vsdbhome}/precip +export vhour=${vhr_rain:-${vhour:-180}} ;#verification length in hour + +${scrdir}/plot_pcp.sh +### -------------------------------------------------------------- + fi +### -------------------------------------------------------------- + + +### -------------------------------------------------------------- +### compute precip threat score stats over CONUS + if [ $CONUSDATA = YES ] ; then +### -------------------------------------------------------------- +export cycle=$cycle ;#cycle to generate QPF stats data +export expnlist=$exp1name ;#experiment names +export expdlist=`dirname $COMROT` ;#exp online archive directories +export complist=$(hostname) ;#computers where experiments are run +export dumplist=".gfs." ;#file format pgb${asub}${fhr}${dump}${yyyymmdd}${cyc} +export DATEST=`$NWPROD/util/exec/ndate -${VBACKUP_PRCP:-00} ${DATEST}00 |cut -c 1-8 ` ;#verification starting date +export DATEND=`$NWPROD/util/exec/ndate -${VBACKUP_PRCP:-00} ${DATEND}00 |cut -c 1-8 ` ;#verification starting date + +export ftyplist=${ftyplist:-"flxf"} ;#file types: pgbq or flxf +export dumplist=${dumplist:-".gfs."} ;#file format ${ftyp}f${fhr}${dump}${yyyymmdd}${cyc} +export ptyplist=${ptyplist:-"PRATE"} ;#precip types in GRIB: PRATE or APCP +export bucket=${bucket:-6} ;#accumulation bucket in hours. bucket=0 -- continuous accumulation +export fhout=6 ;#forecast output frequency in hours +export vhour=${vhr_rain:-${vhour:-180}} ;#verification length in hour +export ARCDIR=${ARCDIR1:-$GNOSCRUB/$LOGNAME/archive} ;#directory to save stats data +export rundir=$rundir0/rain_stat +export scrdir=${vsdbhome}/precip + +#export listvar1=expnlist,expdlist,complist,ftyplist,dumplist,ptyplist,bucket,fhout,cyclist,vhour +#export listvar2=machine,DATEST,DATEND,ARCDIR,rundir,scrdir,OBSPCP,mapdir,scppgb,NWPROD +#export listvar="$listvar1,$listvar2" + +${scrdir}/mkup_rain_stat.sh +### -------------------------------------------------------------- + fi +### -------------------------------------------------------------- + + +### -------------------------------------------------------------- +### make grid2obs vsdb database + if [ $VRFYG2OBS = YES ] ; then +### -------------------------------------------------------------- +export cyclist="$fcyclist" ;#all fcst cycles to be included in verification +export expnlist="$exp1name" ;#experiment names +export expdlist="$exp1dir" ;#exp online archive directories +export complist="$(hostname)" ;#computers where experiments are run +export dumplist=".gfs." ;#file format pgb${asub}${fhr}${dump}${yyyymmdd}${cyc} +export fhoutair="6" ;#forecast output frequency in hours for raobs vrfy +export fhoutsfc="3" ;#forecast output frequency in hours for sfc vrfy +export gdtype="3" ;#pgb file resolution, 2 for 2.5-deg and 3 for 1-deg +export vsdbsfc="YES" ;#run sfc verification +export vsdbair="YES" ;#run upper-air verification +if [ $vlength -ge 168 ]; then + export vlength=168 ;#forecast length in hour +else + export vlength=$vlength ;#forecast length in hour +fi +export DATEST=`$NWPROD/util/exec/ndate -${VBACKUP_G2OBS:-00} ${DATEST}00 |cut -c 1-8 ` ;#verification starting date +export DATEND=`$NWPROD/util/exec/ndate -${VBACKUP_G2OBS:-00} ${DATEND}00 |cut -c 1-8 ` ;#verification ending date +export batch=YES +export rundir=$rundir0/grid2obs_stat +export HPSSTAR=${HPSSTAR:-/u/Fanglin.Yang/bin/hpsstar} +export hpssdirlist=${hpsslist:-"/5year/NCEPDEV/emc-global/$LOGNAME/$machine"} +export runhpss=${runhpss:-NO} ;#run hpsstar in batch mode if data are missing + +if [ ! -d $vsdbhome ]; then + echo "$vsdbhome does not exist " + exit +fi +if [ ! -d $expdlist ]; then + echo "$expdlist does not exist " + exit +fi + + +#listvar1=vsdbhome,vsdbsave,cyclist,expnlist,expdlist,dumplist,complist,fhoutair,fhoutsfc,vsdbsfc,vsdbair,gdtype,vlength +#listvar2=NWPROD,SUBJOB,ACCOUNT,CUE2RUN,CUE2FTP,GROUP,DATEST,DATEND,rundir,HPSSTAR,gdas_prepbufr_arch,batch,runhpss,APRUN,COMROTNCO +#export listvar=$listvar1,$listvar2 +${vsdbhome}/grid2obs/grid2obs.sh + + +### -------------------------------------------------------------- + fi +### -------------------------------------------------------------- + +exit + diff --git a/model/fv3gfs/outofcontrol_scripts/vrfy/vsdbjob.txt b/model/fv3gfs/outofcontrol_scripts/vrfy/vsdbjob.txt new file mode 100644 index 0000000..baf0736 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/vrfy/vsdbjob.txt @@ -0,0 +1 @@ +VSDBSH=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/verif/global/tags/vsdb/vsdbjob.sh From dc4c01a2482c5d057018990395f997e01f684114 Mon Sep 17 00:00:00 2001 From: Terry McGuinness Date: Tue, 7 Nov 2017 20:20:39 +0000 Subject: [PATCH 205/487] moved out of control scipts for vrfy under wcoss_c --- .../{ => wcoss_c}/vrfy/gfs_genesis_para_fv3gfs.sh | 0 .../{ => wcoss_c}/vrfy/gfs_genesis_para_fv3gfs.txt | 0 .../outofcontrol_scripts/{ => wcoss_c}/vrfy/global_extrkr.sh | 0 .../outofcontrol_scripts/{ => wcoss_c}/vrfy/global_extrkr.txt | 0 .../outofcontrol_scripts/{ => wcoss_c}/vrfy/global_tracker.sh | 0 .../outofcontrol_scripts/{ => wcoss_c}/vrfy/global_tracker.txt | 0 model/fv3gfs/outofcontrol_scripts/{ => wcoss_c}/vrfy/vsdbjob.sh | 0 model/fv3gfs/outofcontrol_scripts/{ => wcoss_c}/vrfy/vsdbjob.txt | 0 8 files changed, 0 insertions(+), 0 deletions(-) rename model/fv3gfs/outofcontrol_scripts/{ => wcoss_c}/vrfy/gfs_genesis_para_fv3gfs.sh (100%) rename model/fv3gfs/outofcontrol_scripts/{ => wcoss_c}/vrfy/gfs_genesis_para_fv3gfs.txt (100%) rename model/fv3gfs/outofcontrol_scripts/{ => wcoss_c}/vrfy/global_extrkr.sh (100%) rename model/fv3gfs/outofcontrol_scripts/{ => wcoss_c}/vrfy/global_extrkr.txt (100%) rename model/fv3gfs/outofcontrol_scripts/{ => wcoss_c}/vrfy/global_tracker.sh (100%) rename model/fv3gfs/outofcontrol_scripts/{ => wcoss_c}/vrfy/global_tracker.txt (100%) rename model/fv3gfs/outofcontrol_scripts/{ => wcoss_c}/vrfy/vsdbjob.sh (100%) rename model/fv3gfs/outofcontrol_scripts/{ => wcoss_c}/vrfy/vsdbjob.txt (100%) diff --git a/model/fv3gfs/outofcontrol_scripts/vrfy/gfs_genesis_para_fv3gfs.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/gfs_genesis_para_fv3gfs.sh similarity index 100% rename from model/fv3gfs/outofcontrol_scripts/vrfy/gfs_genesis_para_fv3gfs.sh rename to model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/gfs_genesis_para_fv3gfs.sh diff --git a/model/fv3gfs/outofcontrol_scripts/vrfy/gfs_genesis_para_fv3gfs.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/gfs_genesis_para_fv3gfs.txt similarity index 100% rename from model/fv3gfs/outofcontrol_scripts/vrfy/gfs_genesis_para_fv3gfs.txt rename to model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/gfs_genesis_para_fv3gfs.txt diff --git a/model/fv3gfs/outofcontrol_scripts/vrfy/global_extrkr.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_extrkr.sh similarity index 100% rename from model/fv3gfs/outofcontrol_scripts/vrfy/global_extrkr.sh rename to model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_extrkr.sh diff --git a/model/fv3gfs/outofcontrol_scripts/vrfy/global_extrkr.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_extrkr.txt similarity index 100% rename from model/fv3gfs/outofcontrol_scripts/vrfy/global_extrkr.txt rename to model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_extrkr.txt diff --git a/model/fv3gfs/outofcontrol_scripts/vrfy/global_tracker.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_tracker.sh similarity index 100% rename from model/fv3gfs/outofcontrol_scripts/vrfy/global_tracker.sh rename to model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_tracker.sh diff --git a/model/fv3gfs/outofcontrol_scripts/vrfy/global_tracker.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_tracker.txt similarity index 100% rename from model/fv3gfs/outofcontrol_scripts/vrfy/global_tracker.txt rename to model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_tracker.txt diff --git a/model/fv3gfs/outofcontrol_scripts/vrfy/vsdbjob.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/vsdbjob.sh similarity index 100% rename from model/fv3gfs/outofcontrol_scripts/vrfy/vsdbjob.sh rename to model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/vsdbjob.sh diff --git a/model/fv3gfs/outofcontrol_scripts/vrfy/vsdbjob.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/vsdbjob.txt similarity index 100% rename from model/fv3gfs/outofcontrol_scripts/vrfy/vsdbjob.txt rename to model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/vsdbjob.txt From 7d65acd2ca1563e0070fc7100e0bb2f21e5a56e7 Mon Sep 17 00:00:00 2001 From: Terry McGuinness Date: Tue, 7 Nov 2017 20:22:26 +0000 Subject: [PATCH 206/487] adding obsproc out of control scripts for fv3gfs wcoss_c --- .../obsproc/exglobal_makeprepbufr.sh.ecf | 578 ++++ .../obsproc/exglobal_makeprepbufr.sh.ecf.txt | 1 + .../wcoss_c/obsproc/getges.sh | 1385 ++++++++ .../wcoss_c/obsproc/getges.txt | 1 + .../wcoss_c/obsproc/getges_sig.sh | 3000 +++++++++++++++++ .../wcoss_c/obsproc/prepobs_cqcvad.sh | 101 + .../wcoss_c/obsproc/prepobs_cqcvad.txt | 1 + .../wcoss_c/obsproc/prepobs_makeprepbufr.sh | 2466 ++++++++++++++ .../wcoss_c/obsproc/prepobs_makeprepbufr.txt | 1 + .../wcoss_c/obsproc/repobs_makeprepbufr.txt | 1 + 10 files changed, 7535 insertions(+) create mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf create mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf.txt create mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.sh create mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.txt create mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges_sig.sh create mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.sh create mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.txt create mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.sh create mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.txt create mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/repobs_makeprepbufr.txt diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf b/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf new file mode 100755 index 0000000..074c71b --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf @@ -0,0 +1,578 @@ +#!/bin/ksh +# Run under ksh + +############################################################################# +echo "----------------------------------------------------------------------" +echo "exglobal_makeprepbufr.sh.ecf - Global (GDAS, GFS) model prepbufr " +echo " processing " +echo "----------------------------------------------------------------------" +echo "History: Mar 1 2013 - Original script. " +echo " Dec 3 2014 - CDAS network, split off into its own script " +echo " excdas_makeprepbufr.sh.ecf. This script now " +echo " tailored exclusively to GDAS and GFS. " +echo " Mar 11 2017 - Update to handle nemsio filename patterns " +############################################################################# + +set -x + +# Make sure we are in the $DATA directory +cd $DATA + +msg="HAS BEGUN on `hostname`" +$DATA/postmsg "$jlogfile" "$msg" + +cat break > $pgmout + +CHGRP_RSTPROD=${CHGRP_RSTPROD:-YES} +MAKE_NSSTBUFR=${MAKE_NSSTBUFR:-YES} + +export COMSP=${COMSP:-$COMIN/${RUN}.${cycle}.} + + +if [ "$DO_QC" = 'YES' -a "$CQCBUFR" = 'YES' -a -n "$COM1" -a -n "$CQCC" ]; then + +# NOTE: The following logic currently does not apply to the GFS or GDAS +# network. (It applies only to the CDAS network.) It is maintained here +# in case it ever does. +# ----------------------------------------------------------------------------- +# If running PREPOBS_CQCBUFR, must check its data cards to see if +# namelist switch DOTMP is TRUE - if so, must get prepbufr_pre-qc files +# from t-24, t-12, t+12, t+24 to feed into PREPOBS_CQCBUFR + + DOTMP=`grep DOTMP $CQCC | awk -F, \ + '{print $1; print $2; print $3; print $4; print$5}' | grep DOTMP | \ + awk -F= '{print $2}'` + + if [[ $DOTMP = *T* ]]; then + [ -s ${COM1}${PDYm1}/${RUN}.${cycle}.prepbufr_pre-qc ] && \ + export PRPI_m24=${COM1}${PDYm1}/${RUN}.${cycle}.prepbufr_pre-qc + [ -s ${COM1}${PDYp1}/${RUN}.${cycle}.prepbufr_pre-qc ] && \ + export PRPI_p24=${COM1}${PDYp1}/${RUN}.${cycle}.prepbufr_pre-qc + tdate10=`$NDATE -12 $PDY$cyc` + cyc_m12=`echo $tdate10|cut -c9-10` + pdy_m12=`echo $tdate10|cut -c1-8` + [ -s ${COM1}${pdy_m12}/${RUN}.t${cyc_m12}z.prepbufr_pre-qc ] && \ + export PRPI_m12=${COM1}${pdy_m12}/${RUN}.t${cyc_m12}z.prepbufr_pre-qc + tdate10=`$NDATE +12 $PDY$cyc` + cyc_p12=`echo $tdate10|cut -c9-10` + pdy_p12=`echo $tdate10|cut -c1-8` + [ -s ${COM1}${pdy_p12}/${RUN}.t${cyc_p12}z.prepbufr_pre-qc ] && \ + export PRPI_p12=${COM1}${pdy_p12}/${RUN}.t${cyc_p12}z.prepbufr_pre-qc + fi +fi + +cdate10=`cut -c7-16 ncepdate` + +msg="CENTER TIME FOR PREPBUFR PROCESSING IS $cdate10" +$DATA/postmsg "$jlogfile" "$msg" + +ksh $ushscript_prep/prepobs_makeprepbufr.sh $cdate10 +errsc=$? + +[ "$errsc" -ne '0' ] && exit $errsc + +if [ "$CHGRP_RSTPROD" = 'YES' ]; then + msg="NOTE: These files (if present) are RESTRICTED to rstprod group: \ +prepbufr_pre-qc, prepbufr, prepbufr.acft_profiles*, acqc_???*, \ +acqc_merged*_sorted, tosslist, prepbufr.unblok" + $DATA/postmsg "$jlogfile" "$msg" +set +x + echo " " + echo "$msg" + echo " " +set -x +fi +warning=no + +if [ "$PREPDATA" = 'YES' ]; then + +# save snapshot of prepbufr file after PREPOBS_PREPDATA in COMOUT + cp prepda.prepdata $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc + chmod 664 $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc + + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc + else + cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc + warning=yes + fi + fi + +# save current prepbufr mnemonic table in COMOUT if either it isn't already +# there for a previous cycle or if it has changed from a previous cycle + if [ ! -s $COMOUT/*prep.bufrtable ]; then + cp prep.bufrtable $COMOUT/${RUN}.${cycle}.prep.bufrtable + else + diff `ls -t $COMOUT/*prep.bufrtable | head -n1` prep.bufrtable \ + > /dev/null 2>&1 + errdiff=$? + [ "$errdiff" -ne '0' ] && \ + cp prep.bufrtable $COMOUT/${RUN}.${cycle}.prep.bufrtable + fi +fi + +# save global guess file(s) in COMOUT if they haven't already been saved +# here by previous tropical cyclone relocation processing +# first block is for nemsio input, second is for sigio input +if [[ "$NEMSIO_IN" == .true. ]]; then + [ -s sgm3prep -a ! -s $COMOUT/${RUN}.${cycle}.atmgm3.nemsio ] && \ + cp sgm3prep $COMOUT/${RUN}.${cycle}.atmgm3.nemsio + [ -s sgp3prep -a ! -s $COMOUT/${RUN}.${cycle}.atmgp3.nemsio ] && \ + cp sgp3prep $COMOUT/${RUN}.${cycle}.atmgp3.nemsio + if [ -s sgesprep ]; then + if [ -s sgesprepA ]; then + cp sgesprep $COMOUT/${RUN}.${cycle}.atmges.nemsio_before + cp sgesprepA $COMOUT/${RUN}.${cycle}.atmges.nemsio_after + else + [ ! -s $COMOUT/${RUN}.${cycle}.atmges.nemsio ] && \ + cp sgesprep $COMOUT/${RUN}.${cycle}.atmges.nemsio + fi + fi +else + [ -s sgm3prep -a ! -s $COMOUT/${RUN}.${cycle}.sgm3prep ] && \ + cp sgm3prep $COMOUT/${RUN}.${cycle}.sgm3prep + [ -s sgp3prep -a ! -s $COMOUT/${RUN}.${cycle}.sgp3prep ] && \ + cp sgp3prep $COMOUT/${RUN}.${cycle}.sgp3prep + if [ -s sgesprep ]; then + if [ -s sgesprepA ]; then + cp sgesprep $COMOUT/${RUN}.${cycle}.sgesprep_before + cp sgesprepA $COMOUT/${RUN}.${cycle}.sgesprep_after + else + [ ! -s $COMOUT/${RUN}.${cycle}.sgesprep ] && \ + cp sgesprep $COMOUT/${RUN}.${cycle}.sgesprep + fi + fi +fi +# end nemsio vs sigio logic to copy guess files to COMOUT + +# save path name of global guess file valid at center PREPBUFR +# date/time (encoded into PREPBUFR file and used by q.c. programs) in COMOUT +# FOR NOW, staying with term "sgesprep" for these "pathname" filenames even for +# nemsio (as done in tropical cyclone relocation processing) - 03/2017 +if [ "$GETGUESS" = 'YES' ]; then + if [[ "$NEMSIO_IN" == .true. ]]; then + set +x; echo -e "\n\"sges_pathname\" files point to nemsio files\n";set -x + fi + if [ -s sgesprepA_pathname ]; then + cp sgesprep_pathname \ + $COMOUT/${RUN}.${cycle}.sgesprep_pathname_before.$tmmark + cp sgesprepA_pathname \ + $COMOUT/${RUN}.${cycle}.sgesprep_pathname_after.$tmmark + else + +# if the target file already exists, it was created in previous +# tropcy_relocate.sh script because either there was an error or no +# tcvitals were present - in this case the target file points to the orig. +# getges global sigma guess (since the guess was not modified by relocation) +# - otherwise sgesprep_pathname will either contain either the path to the +# getges guess (if tropical cyclone relocation did not run previously) or +# it will contain the path to the modified sgesprep guess (if tropical +# cyclone relocation did run previously and did modify the guess) +# --------------------------------------------------------------------------- + + [ ! -s $COMOUT/${RUN}.${cycle}.sgesprep_pathname.$tmmark ] && \ + cp sgesprep_pathname $COMOUT/${RUN}.${cycle}.sgesprep_pathname.$tmmark + fi +fi + +# save synthetic bogus files in COMOUT +[ -s bogrept ] && cp bogrept $COMOUT/${RUN}.${cycle}.syndata.bogrept +[ -s bogdata ] && cp bogdata $COMOUT/${RUN}.${cycle}.syndata.bogdata +[ -s dthistry ] && cp dthistry $COMOUT/${RUN}.${cycle}.syndata.dthistry + +if [[ "$SENDDBN" == "YES" ]]; then + if [[ "$RUN" == "gfs" || "$RUN" == "gdas" || "$RUN" == "gdas1" ]]; then + RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) + if [[ -s bogrept ]]; then + $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job $COMOUT/${RUN}.${cycle}.syndata.bogrept + fi + if [[ -s bogdata ]]; then + $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job $COMOUT/${RUN}.${cycle}.syndata.bogdata + fi + fi +fi + +if [ "$DO_QC" = 'YES' ]; then + +# save final form of prepbufr file in COMOUT + cp prepda.${cycle} $COMOUT/${RUN}.${cycle}.prepbufr + chmod 664 $COMOUT/${RUN}.${cycle}.prepbufr + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr + else + cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr + warning=yes + fi + fi + +# save prepacqc prepbufr.acft_profiles file in COMOUT + if [ -s prepbufr.acft_profiles ]; then + cp prepbufr.acft_profiles $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles + else + cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles + warning=yes + fi + fi + fi + +# save prepacqc prepbufr.acft_profiles_sfc file in COMOUT + if [ -s prepbufr.acft_profiles_sfc ]; then + cp prepbufr.acft_profiles_sfc \ + $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc + else + cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc + warning=yes + fi + fi + fi + +# save prepacqc output files in COMOUT + if [ -s acftqc_*.sus ]; then + mv acftqc_*.sus acftqc_sus + cp acftqc_sus $COMOUT/${RUN}.${cycle}.acqc_sus + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_sus + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_sus + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_sus + warning=yes + fi + fi + fi + + if [ -s acftqc_*.stk ]; then + mv acftqc_*.stk acftqc_stk + cp acftqc_stk $COMOUT/${RUN}.${cycle}.acqc_stk + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_stk + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_stk + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_stk + warning=yes + fi + fi + fi + + if [ -s acftqc_*.spk ]; then + mv acftqc_*.spk acftqc_spk + cp acftqc_spk $COMOUT/${RUN}.${cycle}.acqc_spk + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_spk + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_spk + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_spk + warning=yes + fi + fi + fi + + if [ -s acftqc_*.ord ]; then + mv acftqc_*.ord acftqc_ord + cp acftqc_ord $COMOUT/${RUN}.${cycle}.acqc_ord + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_ord + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_ord + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_ord + warning=yes + fi + fi + fi + + if [ -s acftqc_*.lst ]; then + mv acftqc_*.lst acftqc_lst + cp acftqc_lst $COMOUT/${RUN}.${cycle}.acqc_lst + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_lst + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_lst + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_lst + warning=yes + fi + fi + fi + + if [ -s acftqc_*.inv ]; then + mv acftqc_*.inv acftqc_inv + cp acftqc_inv $COMOUT/${RUN}.${cycle}.acqc_inv + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_inv + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_inv + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_inv + warning=yes + fi + fi + fi + + if [ -s acftqc_*.inc ]; then + mv acftqc_*.inc acftqc_inc + cp acftqc_inc $COMOUT/${RUN}.${cycle}.acqc_inc + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_inc + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_inc + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_inc + warning=yes + fi + fi + fi + + if [ -s acftqc_*.grc ]; then + mv acftqc_*.grc acftqc_grc + cp acftqc_grc $COMOUT/${RUN}.${cycle}.acqc_grc + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_grc + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_grc + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_grc + warning=yes + fi + fi + fi + + if [ -s acftqc_*.dup ]; then + mv acftqc_*.dup acftqc_dup + cp acftqc_dup $COMOUT/${RUN}.${cycle}.acqc_dup + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_dup + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_dup + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_dup + warning=yes + fi + fi + fi + + if [ -s acftqc_*.log ]; then + mv acftqc_*.log acftqc_log + cp acftqc_log $COMOUT/${RUN}.${cycle}.acqc_log + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_log + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_log + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_log + warning=yes + fi + fi + fi + + if [ -s merged.reports.post_acftobs_qc.sorted ]; then + cp merged.reports.post_acftobs_qc.sorted \ + $COMOUT/${RUN}.${cycle}.acqc_merged_sorted + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_merged_sorted + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_merged_sorted + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_merged_sorted + warning=yes + fi + fi + fi + + if [ -s merged.profile_reports.post_acftobs_qc.sorted ]; then + cp merged.profile_reports.post_acftobs_qc.sorted \ + $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted + warning=yes + fi + fi + fi + +# save cqcbufr output files in COMOUT + touch cqc_events + cp cqc_events $COMOUT/${RUN}.${cycle}.cqc_events + touch cqc_stncnt + cp cqc_stncnt $COMOUT/${RUN}.${cycle}.cqc_stncnt + touch cqc_stnlst + cp cqc_stnlst $COMOUT/${RUN}.${cycle}.cqc_stnlst + touch cqc_sdm + cp cqc_sdm $COMOUT/${RUN}.${cycle}.cqc_sdm + touch cqc_radcor + cp cqc_radcor $COMOUT/${RUN}.${cycle}.cqc_radcor + +# save oiqc tosslist in COMOUT (if it runs) + if [ -s tosslist ]; then + cp tosslist $COMOUT/${RUN}.${cycle}.tosslist + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.tosslist + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.tosslist + else + cp /dev/null $COMOUT/${RUN}.${cycle}.tosslist + warning=yes + fi + fi + fi + + # Remove the following logic to create unblocked prepbufr files once we know + # it is definitely no longer needed. + if [ "${PROCESS_UNBLKBUFR:-NO}" = 'YES' ]; then +# +# make unblocked prepbufr file +# ---> ON WCOSS prepbufr is already unblocked, so for now just copy it to the +# unblok file location used before on CCS - hopefully this can be removed +# someday! + cp -p prepda.${cycle} prepda.${cycle}.unblok + err_cp=$? + if [ $err_cp -eq 0 ]; then + cp prepda.${cycle}.unblok $COMOUT/${RUN}.${cycle}.prepbufr.unblok + chmod 664 $COMOUT/${RUN}.${cycle}.prepbufr.unblok + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr.unblok + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr.unblok + else + cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr.unblok + warning=yes + fi + fi + fi + fi ## end logic to potentially create unblok version of prepbufr file + + if [[ "$SENDDBN" == "YES" ]]; then + if [[ "$RUN" == "gdas" || "$RUN" == "gdas1" ]]; then + RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) + $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_BUFR_PREPda $job \ + $COMOUT/${RUN}.${cycle}.prepbufr + if [ "${PROCESS_UNBLKBUFR:-NO}" = 'YES' ]; then + $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_BUFR_PREPda_unblok $job \ + $COMOUT/${RUN}.${cycle}.prepbufr.unblok + fi + elif [[ "$RUN" == "gfs" ]]; then + $DBNROOT/bin/dbn_alert MODEL GFS_BUFR_PREPda $job \ + $COMOUT/${RUN}.${cycle}.prepbufr + if [ "${PROCESS_UNBLKBUFR:-NO}" = 'YES' ]; then + $DBNROOT/bin/dbn_alert MODEL GFS_BUFR_PREPda_unblok $job \ + $COMOUT/${RUN}.${cycle}.prepbufr.unblok + fi + if [[ "$NEMSIO_IN" == .true. ]]; then + $DBNROOT/bin/dbn_alert MODEL GFS_atmges_NEMSIO $job \ + $COMOUT/${RUN}.${cycle}.atmges.nemsio + $DBNROOT/bin/dbn_alert MODEL GFS_atmgm3_NEMSIO $job \ + $COMOUT/${RUN}.${cycle}.atmgm3.nemsio + $DBNROOT/bin/dbn_alert MODEL GFS_atmgp3_NEMSIO $job \ + $COMOUT/${RUN}.${cycle}.atmgp3.nemsio + else + $DBNROOT/bin/dbn_alert MODEL GFS_sges_PREP $job \ + $COMOUT/${RUN}.${cycle}.sgesprep + $DBNROOT/bin/dbn_alert MODEL GFS_sgm3_PREP $job \ + $COMOUT/${RUN}.${cycle}.sgm3prep + $DBNROOT/bin/dbn_alert MODEL GFS_sgp3_PREP $job \ + $COMOUT/${RUN}.${cycle}.sgp3prep + fi + fi + fi +fi +## create combined ocean data dump file expected by NSST +if [[ "$MAKE_NSSTBUFR" == 'YES' ]]; then + > nsstbufr + chgrp rstprod nsstbufr + errch=$? + if [ $errch -eq 0 ]; then + for type in sfcshp tesac bathy trkob; do + file=${COMSP}$type.$tmmark.bufr_d + if [ -s $file ]; then + cat $file >> nsstbufr + err=$? + if [ $err -ne 0 ]; then + msg="**WARNING: exit status $err from cat of $file to nsstbufr" + $DATA/postmsg "$jlogfile" "$msg" + fi + else + echo $file is empty or does not exist + fi + done + cp nsstbufr $COMOUT/${RUN}.${cycle}.nsstbufr + chgrp rstprod $COMOUT/${RUN}.${cycle}.nsstbufr + chmod 640 $COMOUT/${RUN}.${cycle}.nsstbufr + msg="NOTE: nsstbufr file contains RESTRICTED data, only users in \ +rstprod group have read permission" + $DATA/postmsg "$jlogfile" "$msg" + else + cp /dev/null $COMOUT/${RUN}.${cycle}.nsstbufr + warning=yes + fi +fi + +if [ "$warning" = 'yes' ]; then + msg="**WARNING: Since user $USER is not in rstprod group all RESTRICTED \ +files are replaced with a null file" + $DATA/postmsg "$jlogfile" "$msg" +set +x + echo " " + echo "$msg" + echo " " +set -x +fi + +######################################################## + +# GOOD RUN +set +x +echo " " +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " " +set -x + + +# save standard output +cat break $pgmout break > allout +cat allout +# rm allout + +sleep 10 + +msg='ENDED NORMALLY.' +$DATA/postmsg "$jlogfile" "$msg" + +################## END OF SCRIPT ####################### diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf.txt new file mode 100644 index 0000000..5452628 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf.txt @@ -0,0 +1 @@ +NO_SUCH_VARIABLe_NAME=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0/scripts/exglobal_makeprepbufr.sh.ecf diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.sh new file mode 100755 index 0000000..1672800 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.sh @@ -0,0 +1,1385 @@ +#!/bin/ksh +################################################################################ +# +# Name: getges.sh Author: Mark Iredell +# +# Abstract: +# This script copies the valid global guess file to a given file. +# Alternatively, it writes the name of the guess file to standard output. +# Specify option "-n network" for the job network (default global). +# Other options are gdas, gfs, cdas, mrf, prx, etc. +# Specify option "-e environment" for the job environment (default prod). +# Another option is test. +# Specify option "-f fhour" for the specific forecast hour wanted (default any). +# Specify option "-q" for quiet mode to turn off script messages. +# Specify option "-r resolution" for the resolution wanted (default high). +# Other options are 25464 17042, 12628, low, 6228, namopl, any. +# Specify option "-t filetype" for the filetype wanted from among these choices: +# sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3, +# sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3, +# biascr, satang, satcnt, gesfil +# pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3, +# sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl, +# enggrb, enggri, icegrb, icegri, snogrb, snogrb_high, snogri, sstgrb, sstgri. +# natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur, +# nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur, +# nstcur, nflges, nflgp3 +# Specify option "-v valid" for the valid date wanted (default $CDATE). +# Currently, the valid hours specified must be a multiple of 3. +# Either 2-digit or 4-digit years are currently allowed. +# Specify positional argument to be the file to which to copy the guess. +# If missing, the NAME of the guess file is written to standard output. +# A nonzero return code from this script means either the arguments are invalid +# or the guess could not be found; a message is written to standard error in +# this case, but neither a file copy nor a standard output write will be done. +# The file returned is guaranteed to exist and be readable. +# The script uses the utility commands NDATE and NHOUR. +# +# Example 1. Copy the production sigma guess for 1998100100 to the file sges. +# getges.sh -e prod -t sigges -v 1998100100 sges +# +# Example 2. Assign the pressure grib guess for the date 1998100121. +# export CDATE=1998100121 +# export XLFUNIT_12="$(getges.sh -qt pgbges||echo /dev/null)" +# +# Example 3. Get the PRX pgb analysis or the best valid guess at 1998100112. +# getges -e prx -t pgbcur -v 1998100112 pgbfile +# +# Example 5. Get the 24-hour GFS forecast sigma file valid at 1998100112. +# getges -t sigcur -v 1998100112 -f 24 -e gfs sigfile +# +# History: 1996 December Iredell Initial implementation +# 1997 March Iredell Nine new filetypes +# 1997 April Iredell Two new filetypes and -f option +# 1997 December Iredell Four new filetypes +# 1998 April Iredell 4-digit year allowed; +# sigges internal date no longer checked +# 1998 May Iredell T170L42 defaulted; four new filetypes +# and two filetypes deleted +# 1998 June Rogers Nam types added +# 1998 September Iredell high is default resolution +# 2000 March Iredell Cdas and -n option +# 2000 June Iredell Eight new filetypes +# 2002 April Treadon T254L64 defaulted; add angle dependent +# bias correction file +# 2003 March Iredell GFS network out to 384 hours +# 2003 August Iredell Hourly global guesses +# 2005 September Treadon Add satellite data count file (satcnt) +# 2006 September Gayno Add high-res snow analysis +# 2009 January Rogers Added sfluxgrb file +# 2011 April Rogers Added GFS pg2ges file +# 2016 May Menlove Changed GETGES_COM variable to $COMINmodel +# 2016 November Iredell Adapted getges for NEMS GSM +# Also removed a lot of dead wood +# +################################################################################ +#------------------------------------------------------------------------------- +# Set some default parameters. +fhbeg=03 # hour to begin searching backward for guess +fhinc=03 # hour to increment backward in search +fhend=384 # hour to end searching backward for guess + +#------------------------------------------------------------------------------- +# Get options and arguments. +netwk=global # default network +envir=prod # default environment +fhour=any # default forecast hour +quiet=NO # default quiet mode +trace=NO # default execution trace mode +resol=high # default resolution +typef=sigges # default filetype +valid=${CDATE:-'?'} # default valid date +err=0 + +while getopts n:e:f:qxr:t:v: opt;do + case $opt in + n) netwk="$OPTARG";; + e) envir="$OPTARG";; + f) fhour="$OPTARG";; + q) quiet=YES;; + x) trace=YES;; + r) resol="$OPTARG";; + t) typef="$OPTARG";; + v) valid="$OPTARG";; + \?) err=1;; + esac +done +shift $(($OPTIND-1)) +gfile=$1 +if [[ -z $valid ]];then + echo "$0: either -v option or environment variable CDATE must be set" >&2 +elif [[ $# -gt 1 ]];then + echo "$0: too many positional arguments" >&2 +elif [[ $err -ne 0 ]];then + echo "$0: invalid option" >&2 +fi +if [[ $gfile = '?' || $# -gt 1 || $err -ne 0 || -z $valid ||\ + $netwk = '?' || $envir = '?' || $fhour = '?' || $resol = '?' ||\ + $typef = '?' || $valid = '?' ]];then + echo "Usage: getges.sh [-n network] [-e environment] [-f fhour] [-q] [-r resolution]" >&2 + echo " [-t filetype] [-v valid] [gfile]" >&2 + if [[ $netwk = '?' ]];then + echo " network choices:" >&2 + echo " global (default), namopl, gdas, gfs, cdas, etc." >&2 + elif [[ $envir = '?' ]];then + echo " environment choices:" >&2 + echo " prod (default), test, para, dump, prx" >&2 + echo " (some network values allowed for compatibility)" >&2 + elif [[ $fhour = '?' ]];then + echo " fhour is optional specific forecast hour" >&2 + elif [[ $resol = '?' ]];then + echo " resolution choices:" >&2 + echo " high (default), 25464, 17042, 12628, low, 6228, namopl, any" >&2 + elif [[ $typef = '?' ]];then + echo " filetype choices:" >&2 + echo " sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3," >&2 + echo " sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3," >&2 + echo " sfgges, sfggp3, biascr, satang, satcnt, gesfil" >&2 + echo " pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3," >&2 + echo " sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl," >&2 + echo " enggrb, enggri, icegrb, icegri, snogrb, snogri, sstgrb, sstgri," >&2 + echo " pg2cur, pg2ges, restrt," >&2 + echo " natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur," >&2 + echo " nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur," >&2 + echo " nstcur, nflges, nflgp3," >&2 + elif [[ $valid = '?' ]];then + echo " valid is the valid date in yyyymmddhh or yymmddhh form" >&2 + echo " (default is environmental variable CDATE)" >&2 + elif [[ $gfile = '?' ]];then + echo " gfile is the guess file to write" >&2 + echo " (default is to write the guess file name to stdout)" >&2 + else + echo " (Note: set a given option to '?' for more details)" >&2 + fi + exit 1 +fi +[[ $trace == YES ]]&&set -x +if [[ $envir != prod && $envir != test && $envir != para && $envir != dump && $envir != pr? && $envir != dev ]];then + netwk=$envir + envir=prod + echo '************************************************************' >&2 + echo '* WARNING: Using "-e" is deprecated in this case. *' >&2 + echo '* Please use "-n" instead. *' >&2 + echo '************************************************************' >&2 +fi +if [[ "$netwk" = "namopl" || "$resol" = "namopl" ]];then + netwk=namopl + typef=restrt + resol=namopl +fi +[[ $resol = 57464 || $resol = 38264 || $resol = 19064 || $resol = 25464 || $resol = 17042 || $resol = 12628 ]]&&resol=high +[[ $resol = 6228 ]]&&resol=low +resolsuf="" +[[ $resol == *deg ]]&&resolsuf=.$resol +fhbeg=$(${NHOUR:?} $valid) +[[ $fhbeg -le 0 ]]&&fhbeg=03 +((fhbeg=(10#$fhbeg-1)/3*3+3)) +[[ $fhbeg -lt 10 ]]&&fhbeg=0$fhbeg +if [[ $typef = enggrb ]];then + typef=icegrb + echo '************************************************************' >&2 + echo '* WARNING: Using "-t enggrb" is now deprecated. *' >&2 + echo '* Please use "-t icegrb". *' >&2 + echo '************************************************************' >&2 +elif [[ $typef = enggri ]];then + typef=icegri + echo '************************************************************' >&2 + echo '* WARNING: Using "-t enggri" is now deprecated. *' >&2 + echo '* Please use "-t icegri". *' >&2 + echo '************************************************************' >&2 +fi + +#------------------------------------------------------------------------------- +# Assemble guess list in descending order from the best guess. +geslist="" +getlist00="" + +# GDAS +if [[ "$netwk" = "gdas" ]];then + if [ -z "$COMINgdas" ]; then + echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 + exit 1 + fi + fhend=12 + case $typef in + biascr) geslist=' + $COMINgdas/gdas.t${cyc}z.abias' + ;; + biascr_pc) geslist=' + $COMINgdas/gdas.t${cyc}z.abias_pc' + ;; + biascr_air) geslist=' + $COMINgdas/gdas.t${cyc}z.abias_air' + ;; + radstat) geslist=' + $COMINgdas/gdas.t${cyc}z.radstat' + ;; + pgbges) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh' + ;; + pg2ges) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' + ;; + pgbgm6) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6' + ;; + pgbgm3) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3' + ;; + pgbgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3' + ;; + pgbcur) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINgdas/gdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINgdas/gdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINgdas/gdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576' + fhbeg=00 + fhinc=06 + ;; + snogrb_1534) geslist=' + $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINgdas/gdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + natges) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' + ;; + natgm3) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio' + ;; + natgm2) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio' + ;; + natgm1) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio' + ;; + natgp1) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio' + ;; + natgp2) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio' + ;; + natgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio' + ;; + natcur) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' + getlist00=' + $COMINgdas/gdas.t${cyc}z.atmanl.nemsio' + fhbeg=00 + ;; + nsfges) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' + ;; + nsfgm3) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio' + ;; + nsfgm2) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio' + ;; + nsfgm1) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio' + ;; + nsfgp1) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio' + ;; + nsfgp2) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio' + ;; + nsfgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio' + ;; + nsfcur) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' + getlist00=' + $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio' + fhbeg=00 + ;; + nstcur) geslist=' + $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio' + getlist00=' + $COMINgdas/gdas.t${cyc}z.nstanl.nemsio' + fhbeg=00 + ;; + nflges) geslist=' + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' + ;; + nflgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio' + ;; + nflcur) geslist=' + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' + fhbeg=00 + ;; + esac + +# CFS-CDAS +elif [[ "$netwk" = "cfs-cdas" ]];then + if [ -z "$COMINcfs_cdas" ]; then + echo "getges.sh ERROR: The \$COMINcfs_cdas variable must be defined." >&2 + exit 1 + fi + fhend=12 + case $typef in + sigges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fh}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm3}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm2}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm1}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp1}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp2}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp3}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.abias' + ;; + satang) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.satang' + ;; + satcnt) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fh' + ;; + sfggp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fhp3' + ;; + pgbges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm6 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm6 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhp3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhp3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' + getlist00=' + $COMINcfs_cdas/cdas1.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' + getlist00=' + $COMINcfs_cdas/cdas1.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574 + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# GFS +elif [[ "$netwk" = "gfs" ]];then + if [ -z "$COMINgfs" ]; then + echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 + exit 1 + fi + fhend=384 + case $typef in + natges) geslist=' + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + ;; + pgbcur) geslist=' + $COMINgfs/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINgfs/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvitl) geslist=' + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINgfs/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINgfs/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_1534) geslist=' + $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINgfs/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + natcur) geslist=' + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + getlist00=' + $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' + fhbeg=00 + ;; + nsfcur) geslist=' + $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' + getlist00=' + $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' + fhbeg=00 + ;; + nstcur) geslist=' + $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' + getlist00=' + $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' + fhbeg=00 + ;; + nflcur) geslist=' + $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' + fhbeg=00 + ;; + esac + +# CDAS +elif [[ "$netwk" = "cdas" ]];then + if [ -z "$COMINcdas" ]; then + echo "getges.sh ERROR: The \$COMINcdas variable must be defined." >&2 + exit 1 + fi + fhbeg=06 + fhend=06 + case $typef in + sigges) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $COMINcdas/cdas.t${cyc}z.abias' + ;; + satang) geslist=' + $COMINcdas/cdas.t${cyc}z.satang' + ;; + satcnt) geslist=' + $COMINcdas/cdas.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $COMINcdas/cdas.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fh' + getlist00=' + $COMINcdas/cdas.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fh' + getlist00=' + $COMINcdas/cdas.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINcdas/cdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINcdas/cdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $COMINcdas/cdas.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINcdas/cdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $COMINcdas/cdas.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINcdas/cdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $COMINcdas/cdas.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# CDC CDAS +elif [[ "$netwk" = "cdc" ]];then + if [ -z "$COMINcdc" ]; then + echo "getges.sh ERROR: The \$COMINcdc variable must be defined." >&2 + exit 1 + fi + fhbeg=06 + fhend=06 + case $typef in + sigges) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $COMINcdc/cdas.t${cyc}z.abias' + ;; + satang) geslist=' + $COMINcdc/cdas.t${cyc}z.satang' + ;; + satcnt) geslist=' + $COMINcdc/cdas.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $COMINcdc/cdas.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fh' + getlist00=' + $COMINcdc/cdas.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fh' + getlist00=' + $COMINcdc/cdas.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINcdc/cdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINcdc/cdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $COMINcdc/cdas.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINcdc/cdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $COMINcdc/cdas.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINcdc/cdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $COMINcdc/cdas.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# Any resolution production +elif [[ "$netwk" = "global" ]];then + if [ -z "$COMINgdas" ]; then + echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 + exit 1 + fi + if [ -z "$COMINgfs" ]; then + echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 + exit 1 + fi + GETGES_NWG=${GETGES_NWG:-${GESROOT:?}} + case $typef in + biascr) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.abias + $COMINgdas/gdas.t${cyc}z.abias + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias + $COMINgfs/gfs.t${cyc}z.abias' + fhbeg=06 + fhinc=06 + ;; + pgbges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $COMINgfs/gfs.t${cyc}z.pgrbf$fh' + ;; + pgbgm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm6 + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm6 + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 + $COMINgfs/gfs.t${cyc}z.pgrbf$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm3 + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm3 + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 + $COMINgfs/gfs.t${cyc}z.pgrbf$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhp3 + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhp3 + $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 + $COMINgfs/gfs.t${cyc}z.pgrbf$fhp3' + ;; + pg2ges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$gh + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$gh + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' + ;; + pg2gm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm6 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm6' + ;; + pg2gm5) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm5 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm5' + ;; + pg2gm4) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm4 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm4' + ;; + pg2gm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm3 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm3' + ;; + pg2gm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm2 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm2' + ;; + pg2gm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm1 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm1' + ;; + pg2gp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp1 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp1' + ;; + pg2gp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp2 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp2' + ;; + pg2gp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp3 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp3' + ;; + pgbcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $COMINgfs/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p50.f$gh + $COMINgdas/gdas.t${cyc}z.pgrb2.0p50.f$gh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f$gh + $COMINgfs/gfs.t${cyc}z.pgrb2.0p50.f$gh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.prepbufr + $COMINgdas/gdas.t${cyc}z.prepbufr + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr + $COMINgfs/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.engicegrb + $COMINgdas/gdas.t${cyc}z.engicegrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb + $COMINgfs/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb + $COMINgdas/gdas.t${cyc}z.snogrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb + $COMINgfs/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t574.1152.576 + $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574.1152.576 + $COMINgfs/gfs.t${cyc}z.snogrb_t574.1152.576' + fhbeg=00 + fhinc=06 + ;; + snogrb_1534) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t1534.3072.1536 + $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t1534.3072.1536 + $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sstgrb + $COMINgdas/gdas.t${cyc}z.sstgrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb + $COMINgfs/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + natges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + ((vhr=$valid%100)) + if [[ $(($vhr % 3)) -ne 0 ]]; then + fhinc=01 + fi + ;; + natgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm3.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm3.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghm3.nemsio' + ;; + natgm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm2.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm2.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghm2.nemsio' + ;; + natgm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm1.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm1.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghm1.nemsio' + ;; + natgp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp1.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp1.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghp1.nemsio' + ;; + natgp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp2.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp2.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghp2.nemsio' + ;; + natgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp3.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp3.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghp3.nemsio' + ;; + natcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmanl.nemsio + $COMINgdas/gdas.t${cyc}z.atmanl.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmanl.nemsio + $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' + fhbeg=00 + ;; + nsfges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' + ;; + nsfgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm3.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm3.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghm3.nemsio' + ;; + nsfgm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm2.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm2.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghm2.nemsio' + ;; + nsfgm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm1.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm1.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghm1.nemsio' + ;; + nsfgp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp1.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp1.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghp1.nemsio' + ;; + nsfgp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp2.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp2.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghp2.nemsio' + ;; + nsfgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp3.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp3.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghp3.nemsio' + ;; + nsfcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcanl.nemsio + $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl.nemsio + $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' + fhbeg=00 + ;; + nstcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstanl.nemsio + $COMINgdas/gdas.t${cyc}z.nstanl.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstanl.nemsio + $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' + fhbeg=00 + ;; + nflges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' + ;; + nflgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$ghp3.nemsio + $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$ghp3.nemsio + $COMINgfs/gfs.t${cyc}z.flxf$ghp3.nemsio' + ;; + nflcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' + fhbeg=00 + ;; + esac +fi + +# Check validity of options. +if [[ $fhour != any ]];then + fhbeg=$fhour + fhend=$fhour +fi +if [[ $valid -lt 20000000 ]];then + valid=20$valid + echo '************************************************************' >&2 + echo '* WARNING: A 2-digit year was converted to a 4-digit year. *' >&2 + echo '* Please use full a 4-digit year in this utility. *' >&2 + echo '************************************************************' >&2 +fi +if [[ $($NDATE 0 $valid 2>/dev/null) != $valid ]];then + echo getges.sh: invalid date $valid >&2 + exit 2 +fi +if [[ -z "$geslist" ]];then + echo getges.sh: filetype $typef or resolution $resol not recognized >&2 + exit 2 +fi + +#------------------------------------------------------------------------------- +# Loop until guess is found. +fh=$fhbeg +if [ -z "$PDY" ];then echo "getges.sh WARNING: \$PDY variable not set" >&2; fi +while [[ $fh -le $fhend ]];do + ((fhm6=10#$fh-6)) + [[ $fhm6 -lt 10 && $fhm6 -ge 0 ]]&&fhm6=0$fhm6 + ((fhm5=10#$fh-5)) + [[ $fhm5 -lt 10 && $fhm5 -ge 0 ]]&&fhm5=0$fhm5 + ((fhm4=10#$fh-4)) + [[ $fhm4 -lt 10 && $fhm4 -ge 0 ]]&&fhm4=0$fhm4 + ((fhm3=10#$fh-3)) + [[ $fhm3 -lt 10 && $fhm3 -ge 0 ]]&&fhm3=0$fhm3 + ((fhm2=10#$fh-2)) + [[ $fhm2 -lt 10 && $fhm2 -ge 0 ]]&&fhm2=0$fhm2 + ((fhm1=10#$fh-1)) + [[ $fhm1 -lt 10 && $fhm1 -ge 0 ]]&&fhm1=0$fhm1 + ((fhp1=10#$fh+1)) + [[ $fhp1 -lt 10 ]]&&fhp1=0$fhp1 + ((fhp2=10#$fh+2)) + [[ $fhp2 -lt 10 ]]&&fhp2=0$fhp2 + ((fhp3=10#$fh+3)) + [[ $fhp3 -lt 10 ]]&&fhp3=0$fhp3 + gh=$fh;[[ $gh -lt 100 ]]&&gh=0$gh + ghm6=$fhm6;[[ $ghm6 -lt 100 ]]&&ghm6=0$ghm6 + ghm5=$fhm5;[[ $ghm5 -lt 100 ]]&&ghm5=0$ghm5 + ghm4=$fhm4;[[ $ghm4 -lt 100 ]]&&ghm4=0$ghm4 + ghm3=$fhm3;[[ $ghm3 -lt 100 ]]&&ghm3=0$ghm3 + ghm2=$fhm2;[[ $ghm2 -lt 100 ]]&&ghm2=0$ghm2 + ghm1=$fhm1;[[ $ghm1 -lt 100 ]]&&ghm1=0$ghm1 + ghp1=$fhp1;[[ $ghp1 -lt 100 ]]&&ghp1=0$ghp1 + ghp2=$fhp2;[[ $ghp2 -lt 100 ]]&&ghp2=0$ghp2 + ghp3=$fhp3;[[ $ghp3 -lt 100 ]]&&ghp3=0$ghp3 + id=$($NDATE -$fh $valid) + typeset -L8 day=$id + typeset -R2 cyc=$id + eval list=\$getlist$fh + [[ -z "$list" ]]&&list=${geslist} + for ges_var in $list;do + # Replace variables in guess with their values + eval ges_val=$ges_var + # Replace the current PDY with the valid date + ges=${ges_val/$PDY\//$day/} + [[ $quiet = NO ]]&&echo Checking: $ges >&2 + [[ -r $ges ]]&&break 2 + done + fh=$((10#$fh+10#$fhinc)) + [[ $fh -lt 10 ]]&&fh=0$fh +done +if [[ $fh -gt $fhend ]];then + echo getges.sh: unable to find $netwk.$envir.$typef.$resol.$valid >&2 + exit 8 +fi + +#------------------------------------------------------------------------------- +# Either copy guess to a file or write guess name to standard output. +if [[ -z "$gfile" ]];then + echo $ges + exit $? +else + cp $ges $gfile + exit $? +fi diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.txt new file mode 100644 index 0000000..c31dc35 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.txt @@ -0,0 +1 @@ +GETGESprep=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/getges.sh diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges_sig.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges_sig.sh new file mode 100755 index 0000000..ee050d4 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges_sig.sh @@ -0,0 +1,3000 @@ +#!/bin/ksh +################################################################################ +# +# Name: getges.sh Author: Mark Iredell +# +# Abstract: +# This script copies the valid global guess file to a given file. +# Alternatively, it writes the name of the guess file to standard output. +# Specify option "-n network" for the job network (default global). +# Other options are gdas, gfs, cdas, mrf, prx, etc. +# Specify option "-e environment" for the job environment (default prod). +# Another option is test. +# Specify option "-f fhour" for the specific forecast hour wanted (default any). +# Specify option "-q" for quiet mode to turn off script messages. +# Specify option "-r resolution" for the resolution wanted (default high). +# Other options are 25464 17042, 12628, low, 6228, namopl, any. +# Specify option "-t filetype" for the filetype wanted from among these choices: +# sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3, +# sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3, +# biascr, satang, satcnt, gesfil +# pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3, +# sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl, +# enggrb, enggri, icegrb, icegri, snogrb, snogrb_high, snogri, sstgrb, sstgri. +# Specify option "-v valid" for the valid date wanted (default $CDATE). +# Currently, the valid hours specified must be a multiple of 3. +# Either 2-digit or 4-digit years are currently allowed. +# Specify positional argument to be the file to which to copy the guess. +# If missing, the NAME of the guess file is written to standard output. +# A nonzero return code from this script means either the arguments are invalid +# or the guess could not be found; a message is written to standard error in +# this case, but neither a file copy nor a standard output write will be done. +# The file returned is guaranteed to exist and be readable. +# The script uses the utility commands NDATE and NHOUR. +# +# Example 1. Copy the production sigma guess for 1998100100 to the file sges. +# getges.sh -e prod -t sigges -v 1998100100 sges +# +# Example 2. Assign the pressure grib guess for the date 1998100121. +# export CDATE=1998100121 +# export XLFUNIT_12="$(getges.sh -qt pgbges||echo /dev/null)" +# +# Example 3. Get the PRX pgb analysis or the best valid guess at 1998100112. +# getges -e prx -t pgbcur -v 1998100112 pgbfile +# +# Example 5. Get the 24-hour GFS forecast sigma file valid at 1998100112. +# getges -t sigcur -v 1998100112 -f 24 -e gfs sigfile +# +# History: 1996 December Iredell Initial implementation +# 1997 March Iredell Nine new filetypes +# 1997 April Iredell Two new filetypes and -f option +# 1997 December Iredell Four new filetypes +# 1998 April Iredell 4-digit year allowed; +# sigges internal date no longer checked +# 1998 May Iredell T170L42 defaulted; four new filetypes +# and two filetypes deleted +# 1998 June Rogers Nam types added +# 1998 September Iredell high is default resolution +# 2000 March Iredell Cdas and -n option +# 2000 June Iredell Eight new filetypes +# 2002 April Treadon T254L64 defaulted; add angle dependent +# bias correction file +# 2003 March Iredell GFS network out to 384 hours +# 2003 August Iredell Hourly global guesses +# 2005 September Treadon Add satellite data count file (satcnt) +# 2006 September Gayno Add high-res snow analysis +# 2009 January Rogers Added sfluxgrb file +# 2011 April Rogers Added GFS pg2ges file +# +################################################################################ +#------------------------------------------------------------------------------- +# Set some default parameters. +fhbeg=03 # hour to begin searching backward for guess +fhinc=03 # hour to increment backward in search +fhend=384 # hour to end searching backward for guess + +#------------------------------------------------------------------------------- +# Get options and arguments. +netwk=global # default network +envir=prod # default environment +fhour=any # default forecast hour +quiet=NO # default quiet mode +resol=high # default resolution +typef=sigges # default filetype +valid=${CDATE:-'?'} # default valid date +valid=$CDATE # default valid date +err=0 +while getopts n:e:f:qr:t:v: opt;do + case $opt in + n) netwk="$OPTARG";; + e) envir="$OPTARG";; + f) fhour="$OPTARG";; + q) quiet=YES;; + r) resol="$OPTARG";; + t) typef="$OPTARG";; + v) valid="$OPTARG";; + \?) err=1;; + esac +done +shift $(($OPTIND-1)) +gfile=$1 +if [[ -z $valid ]];then + echo "$0: either -v option or environment variable CDATE must be set" >&2 +elif [[ $# -gt 1 ]];then + echo "$0: too many positional arguments" >&2 +elif [[ $err -ne 0 ]];then + echo "$0: invalid option" >&2 +fi +if [[ $gfile = '?' || $# -gt 1 || $err -ne 0 || -z $valid ||\ + $netwk = '?' || $envir = '?' || $fhour = '?' || $resol = '?' ||\ + $typef = '?' || $valid = '?' ]];then + echo "Usage: getges.sh [-n network] [-e environment] [-f fhour] [-q] [-r resolution]" >&2 + echo " [-t filetype] [-v valid] [gfile]" >&2 + if [[ $netwk = '?' ]];then + echo " network choices:" >&2 + echo " global (default), namopl, gdas, gfs, cdas, etc." >&2 + elif [[ $envir = '?' ]];then + echo " environment choices:" >&2 + echo " prod (default), test, para, dump, prx" >&2 + echo " (some network values allowed for compatibility)" >&2 + elif [[ $fhour = '?' ]];then + echo " fhour is optional specific forecast hour" >&2 + elif [[ $resol = '?' ]];then + echo " resolution choices:" >&2 + echo " high (default), 25464, 17042, 12628, low, 6228, namopl, any" >&2 + elif [[ $typef = '?' ]];then + echo " filetype choices:" >&2 + echo " sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3," >&2 + echo " sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3," >&2 + echo " sfgges, sfggp3, biascr, satang, satcnt, gesfil" >&2 + echo " pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3," >&2 + echo " sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl," >&2 + echo " enggrb, enggri, icegrb, icegri, snogrb, snogri, sstgrb, sstgri," >&2 + echo " pg2cur, pg2ges, restrt" >&2 + elif [[ $valid = '?' ]];then + echo " valid is the valid date in yyyymmddhh or yymmddhh form" >&2 + echo " (default is environmental variable CDATE)" >&2 + elif [[ $gfile = '?' ]];then + echo " gfile is the guess file to write" >&2 + echo " (default is to write the guess file name to stdout)" >&2 + else + echo " (Note: set a given option to '?' for more details)" >&2 + fi + exit 1 +fi +#[[ $quiet = NO ]]&&set -x +if [[ $envir != prod && $envir != test && $envir != para && $envir != dump && $envir != pr? && $envir != dev ]];then + netwk=$envir + envir=prod + echo '************************************************************' >&2 + echo '* CAUTION: Using "-e" is deprecated in this case. *' >&2 + echo '* Please use "-n" instead. *' >&2 + echo '************************************************************' >&2 +fi +if [[ $netwk = namopl || $resol = namopl ]];then + netwk=namopl + typef=restrt + resol=namopl +fi +[[ $resol = 57464 || $resol = 38264 || $resol = 19064 || $resol = 25464 || $resol = 17042 || $resol = 12628 ]]&&resol=high +[[ $resol = 6228 ]]&&resol=low +resolsuf="" +[[ $resol == *deg ]]&&resolsuf=.$resol +fhbeg=$($NHOUR $valid) +[[ $fhbeg -le 0 ]]&&fhbeg=03 +((fhbeg=(10#$fhbeg-1)/3*3+3)) +[[ $fhbeg -lt 10 ]]&&fhbeg=0$fhbeg +if [[ $typef = enggrb ]];then + typef=icegrb + echo '************************************************************' >&2 + echo '* CAUTION: Using "-t enggrb" is now deprecated. *' >&2 + echo '* Please use "-t icegrb". *' >&2 + echo '************************************************************' >&2 +elif [[ $typef = enggri ]];then + typef=icegri + echo '************************************************************' >&2 + echo '* CAUTION: Using "-t enggri" is now deprecated. *' >&2 + echo '* Please use "-t icegri". *' >&2 + echo '************************************************************' >&2 +fi + +#------------------------------------------------------------------------------- +# Default top level directories. +export GETGES_COM=${GETGES_COM:-${COMROOT}} +export GETGES_NWG=${GETGES_NWG:-${GESROOT}} +export GETGES_GLO=${GETGES_GLO:-/gloptmp} + +#------------------------------------------------------------------------------- +# Assemble guess list in descending order from the best guess. +geslist="" +geslist00="" + +# GDAS +if [[ $netwk = gdas ]];then + fhend=12 + case $typef in + sigges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias' + ;; + biascr_pc) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias_pc' + ;; + biascr_air) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias_air' + ;; + radstat) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.radstat' + ;; + satang) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh' + ;; + sfggp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fhp3' + ;; + pgbges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh' + ;; + pg2ges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2f$fh$resolsuf' + ;; + pgiges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2f$fh$resolsuf' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574.1152.576' + fhbeg=00 + fhinc=06 + ;; + snogrb_1534) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t1534.3072.1536' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# CFS-CDAS +elif [[ $netwk = cfs-cdas ]];then + fhend=12 + case $typef in + sigges) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fh}.LIS + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhm3}.LIS + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhm2}.LIS + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhm1}.LIS + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhp1}.LIS + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhp2}.LIS + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhp3}.LIS + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.abias' + ;; + satang) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sfluxgrbf$fh' + ;; + sfggp3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sfluxgrbf$fhp3' + ;; + pgbges) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fhm6 + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fhm6 + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fhm3 + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fhm3 + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fhp3 + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fhp3 + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# GFS +elif [[ $netwk = gfs ]];then + fhend=384 + case $typef in + sigges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' + ;; + satang) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' + ;; + sfggp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3' + ;; + pgbges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + ;; + pg2ges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f0$fh$resolsuf' + ;; + pgiges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f0$fh$resolsuf' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# GFS +elif [[ $netwk = gfs ]];then + fhend=126 + case $typef in + sigges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' + ;; + satang) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' + ;; + sfggp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3' + ;; + pgbges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + echo '************************************************************' >&2 + echo '* CAUTION: Using "-n gfs" is now deprecated. *' >&2 + echo '* Please use "-n gfs". *' >&2 + echo '************************************************************' >&2 + +# CDAS +elif [[ $netwk = cdas ]];then + fhbeg=06 + fhend=06 + case $typef in + sigges) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.abias' + ;; + satang) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# CDC CDAS +elif [[ $netwk = cdc ]];then + fhbeg=06 + fhend=06 + case $typef in + sigges) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.abias' + ;; + satang) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# MRF +elif [[ $netwk = mrf ]];then + fhend=384 + case $typef in + sigges) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias' + ;; + satang) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + echo '************************************************************' >&2 + echo '* CAUTION: Using "-n mrf" is now deprecated. *' >&2 + echo '* Please use "-n gfs". *' >&2 + echo '************************************************************' >&2 + +# PRZ +elif [[ $netwk = prz ]];then + fhend=384 + case $typef in + sigges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.abias' + ;; + satang) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm6 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm6 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + echo '************************************************************' >&2 + echo '* CAUTION: Using "-n prz" is now deprecated. *' >&2 + echo '* Please use "-n gfs". *' >&2 + echo '************************************************************' >&2 + +# High resolution production +elif [[ $netwk = global && $resol = high ]];then + case $typef in + sigges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.abias + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' + fhbeg=06 + fhinc=06 + ;; + satang) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satang + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satang + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' + fhbeg=06 + fhinc=06 + ;; + satcnt) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satcnt + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satcnt + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' + fhbeg=06 + fhinc=06 + ;; + gesfil) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.gesfile + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.gesfile + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' + ;; + sfggp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3' + ;; + pgbges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' + ;; + pg2ges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh' + ;; + pg2gm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6' + ;; + pg2gm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3' + ;; + pg2gp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3' + ;; + sigcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sanl + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sanl + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sanl + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# Low resolution production +elif [[ $netwk = global && $resol = low ]];then + case $typef in + sigges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm2 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm1 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp1 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp2 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm2 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm1 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp1 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp2 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.abias + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.abias + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.abias + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.abias' + fhbeg=06 + fhinc=06 + ;; + satang) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.satang + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satang + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.satang + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.satang' + fhbeg=06 + fhinc=06 + ;; + satcnt) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.satcnt + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satcnt + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.satcnt + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.satcnt' + fhbeg=06 + fhinc=06 + ;; + gesfil) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.gesfile + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.gesfile + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.gesfile + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' + ;; + pgbges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm6 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm6 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm6 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm6 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhp3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhp3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhp3' + ;; + pg2ges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh' + ;; + pg2gm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6' + ;; + pg2gm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3' + ;; + pg2gp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3' + ;; + sigcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sanl + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sanl + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sanl + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sfcanl + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sfcanl + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sfcanl + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + esac + +# Any resolution production +elif [[ $netwk = global && $resol = any ]];then + case $typef in + sigges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.abias + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' + fhbeg=06 + fhinc=06 + ;; + satang) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satang + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satang + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' + fhbeg=06 + fhinc=06 + ;; + satcnt) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satcnt + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satcnt + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' + fhbeg=06 + fhinc=06 + ;; + gesfil) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.gesfile + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.gesfile + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' + ;; + pgbges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhp3 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3' + ;; + pg2ges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh' + ;; + pg2gm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6' + ;; + pg2gm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3' + ;; + pg2gp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3' + ;; + sigcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sanl + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sanl + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sanl + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# Early nam-32 resolution +elif [[ $netwk = namopl && $resol = namopl ]];then + fhbeg=03 + fhinc=03 + fhend=12 + case $typef in + restrt) geslist=' + $GETGES_NWG/$envir/nam.$day/nam.t${cyc}z.restrt$fh.tm00' + ;; + esac +fi + +# Global parallel +if [[ $envir = dump || $envir = pr? ]];then + fhend=384 + if [[ $netwk = global ]];then + case $typef in + sigges) geslist=' + $GETGES_GLO/$envir/sigf$fh.gdas.$id + $GETGES_GLO/$envir/sigf$fh.gfs.$id' + ;; + siggm3) geslist=' + $GETGES_GLO/$envir/sigf$fhm3.gdas.$id + $GETGES_GLO/$envir/sigf$fhm3.gfs.$id' + ;; + siggm2) geslist=' + $GETGES_GLO/$envir/sigf$fhm2.gdas.$id + $GETGES_GLO/$envir/sigf$fhm2.gfs.$id' + ;; + siggm1) geslist=' + $GETGES_GLO/$envir/sigf$fhm1.gdas.$id + $GETGES_GLO/$envir/sigf$fhm1.gfs.$id' + ;; + siggp1) geslist=' + $GETGES_GLO/$envir/sigf$fhp1.gdas.$id + $GETGES_GLO/$envir/sigf$fhp1.gfs.$id' + ;; + siggp2) geslist=' + $GETGES_GLO/$envir/sigf$fhp2.gdas.$id + $GETGES_GLO/$envir/sigf$fhp2.gfs.$id' + ;; + siggp3) geslist=' + $GETGES_GLO/$envir/sigf$fhp3.gdas.$id + $GETGES_GLO/$envir/sigf$fhp3.gfs.$id' + ;; + sfcges) geslist=' + $GETGES_GLO/$envir/sfcf$fh.gdas.$id + $GETGES_GLO/$envir/sfcf$fh.gfs.$id' + ;; + sfcgm3) geslist=' + $GETGES_GLO/$envir/sfcf$fhm3.gdas.$id + $GETGES_GLO/$envir/sfcf$fhm3.gfs.$id' + ;; + sfcgm2) geslist=' + $GETGES_GLO/$envir/sfcf$fhm2.gdas.$id + $GETGES_GLO/$envir/sfcf$fhm2.gfs.$id' + ;; + sfcgm1) geslist=' + $GETGES_GLO/$envir/sfcf$fhm1.gdas.$id + $GETGES_GLO/$envir/sfcf$fhm1.gfs.$id' + ;; + sfcgp1) geslist=' + $GETGES_GLO/$envir/sfcf$fhp1.gdas.$id + $GETGES_GLO/$envir/sfcf$fhp1.gfs.$id' + ;; + sfcgp2) geslist=' + $GETGES_GLO/$envir/sfcf$fhp2.gdas.$id + $GETGES_GLO/$envir/sfcf$fhp2.gfs.$id' + ;; + sfcgp3) geslist=' + $GETGES_GLO/$envir/sfcf$fhp3.gdas.$id + $GETGES_GLO/$envir/sfcf$fhp3.gfs.$id' + ;; + biascr) geslist=' + $GETGES_GLO/$envir/biascr.gdas.$id + $GETGES_GLO/$envir/biascr.gfs.$id' + fhbeg=06 + fhinc=06 + ;; + satang) geslist=' + $GETGES_GLO/$envir/satang.gdas.$id + $GETGES_GLO/$envir/satang.gfs.$id' + fhbeg=06 + fhinc=06 + ;; + satcnt) geslist=' + $GETGES_GLO/$envir/satcnt.gdas.$id + $GETGES_GLO/$envir/satcnt.gfs.$id' + fhbeg=06 + fhinc=06 + ;; + gesfil) geslist=' + $GETGES_GLO/$envir/gesfile.gdas.$id + $GETGES_GLO/$envir/gesfile.gfs.$id' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $GETGES_GLO/$envir/pgbf$fh.gdas.$id + $GETGES_GLO/$envir/pgbf$fh.gfs.$id' + ;; + pgbgm6) geslist=' + $GETGES_GLO/$envir/pgbf$fhm6.gdas.$id + $GETGES_GLO/$envir/pgbf$fhm6.gfs.$id' + ;; + pgbgm3) geslist=' + $GETGES_GLO/$envir/pgbf$fhm3.gdas.$id + $GETGES_GLO/$envir/pgbf$fhm3.gfs.$id' + ;; + pgbgp3) geslist=' + $GETGES_GLO/$envir/pgbf$fhp3.gdas.$id + $GETGES_GLO/$envir/pgbf$fhp3.gfs.$id' + ;; + sigcur) geslist=' + $GETGES_GLO/$envir/sigf$fh.gdas.$id + $GETGES_GLO/$envir/sigf$fh.gfs.$id' + getlist00=' + $GETGES_GLO/$envir/siganl.gdas.$id + $GETGES_GLO/$envir/siganl.gfs.$id' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_GLO/$envir/sfcf$fh.gdas.$id + $GETGES_GLO/$envir/sfcf$fh.gfs.$id' + getlist00=' + $GETGES_GLO/$envir/sfcanl.gdas.$id + $GETGES_GLO/$envir/sfcanl.gfs.$id' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_GLO/$envir/pgbf$fh.gdas.$id + $GETGES_GLO/$envir/pgbf$fh.gfs.$id' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_GLO/$envir/prepqc.gdas.$id + $GETGES_GLO/$envir/prepqc.gfs.$id' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_GLO/$envir/tcvitl.gdas.$id + $GETGES_GLO/$envir/tcvitl.gfs.$id' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_GLO/$envir/tcvitl.gdas.$id + $GETGES_GLO/$envir/tcvitl.gfs.$id' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_GLO/$envir/tcvitl.gdas.$id + $GETGES_GLO/$envir/tcvitl.gfs.$id' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_GLO/$envir/icegrb.gdas.$id + $GETGES_GLO/$envir/icegrb.gfs.$id' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_GLO/$envir/snogrb.gdas.$id + $GETGES_GLO/$envir/snogrb.gfs.$id' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_GLO/$envir/sstgrb.gdas.$id + $GETGES_GLO/$envir/sstgrb.gfs.$id' + fhbeg=00 + fhinc=06 + ;; + esac + else + case $typef in + sigges) geslist=' + $GETGES_GLO/$envir/sigf$fh.$netwk.$id' + ;; + siggm3) geslist=' + $GETGES_GLO/$envir/sigf$fhm3.$netwk.$id' + ;; + siggm2) geslist=' + $GETGES_GLO/$envir/sigf$fhm2.$netwk.$id' + ;; + siggm1) geslist=' + $GETGES_GLO/$envir/sigf$fhm1.$netwk.$id' + ;; + siggp1) geslist=' + $GETGES_GLO/$envir/sigf$fhp1.$netwk.$id' + ;; + siggp2) geslist=' + $GETGES_GLO/$envir/sigf$fhp2.$netwk.$id' + ;; + siggp3) geslist=' + $GETGES_GLO/$envir/sigf$fhp3.$netwk.$id' + ;; + sfcges) geslist=' + $GETGES_GLO/$envir/sfcf$fh.$netwk.$id' + ;; + sfcgm3) geslist=' + $GETGES_GLO/$envir/sfcf$fhm3.$netwk.$id' + ;; + sfcgm2) geslist=' + $GETGES_GLO/$envir/sfcf$fhm2.$netwk.$id' + ;; + sfcgm1) geslist=' + $GETGES_GLO/$envir/sfcf$fhm1.$netwk.$id' + ;; + sfcgp1) geslist=' + $GETGES_GLO/$envir/sfcf$fhp1.$netwk.$id' + ;; + sfcgp2) geslist=' + $GETGES_GLO/$envir/sfcf$fhp2.$netwk.$id' + ;; + sfcgp3) geslist=' + $GETGES_GLO/$envir/sfcf$fhp3.$netwk.$id' + ;; + biascr) geslist=' + $GETGES_GLO/$envir/biascr.$netwk.$id' + fhbeg=06 + fhinc=06 + ;; + satang) geslist=' + $GETGES_GLO/$envir/satang.$netwk.$id' + fhbeg=06 + fhinc=06 + ;; + satcnt) geslist=' + $GETGES_GLO/$envir/satcnt.$netwk.$id' + fhbeg=06 + fhinc=06 + ;; + gesfil) geslist=' + $GETGES_GLO/$envir/gesfile.$netwk.$id' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $GETGES_GLO/$envir/pgbf$fh.$netwk.$id' + ;; + pgbgm6) geslist=' + $GETGES_GLO/$envir/pgbf$fhm6.$netwk.$id' + ;; + pgbgm3) geslist=' + $GETGES_GLO/$envir/pgbf$fhm3.$netwk.$id' + ;; + pgbgp3) geslist=' + $GETGES_GLO/$envir/pgbf$fhp3.$netwk.$id' + ;; + sigcur) geslist=' + $GETGES_GLO/$envir/sigf$fh.$netwk.$id' + getlist00=' + $GETGES_GLO/$envir/siganl.$netwk.$id' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_GLO/$envir/sfcf$fh.$netwk.$id' + getlist00=' + $GETGES_GLO/$envir/sfcanl.$netwk.$id' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_GLO/$envir/pgbf$fh.$netwk.$id' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_GLO/$envir/prepqc.$netwk.$id' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_GLO/$envir/tcvitl.$netwk.$id' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_GLO/$envir/tcvitl.$netwk.$id' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_GLO/$envir/tcvitl.$netwk.$id' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_GLO/$envir/icegrb.$netwk.$id' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_GLO/$envir/snogrb.$netwk.$id' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_GLO/$envir/sstgrb.$netwk.$id' + fhbeg=00 + fhinc=06 + ;; + esac + fi +fi + +#------------------------------------------------------------------------------- +# Check validity of options. +if [[ $fhour != any ]];then + fhbeg=$fhour + fhend=$fhour +fi +if [[ $valid -lt 20000000 ]];then + valid=20$valid + echo '************************************************************' >&2 + echo '* CAUTION: A 2-digit year was converted to a 4-digit year. *' >&2 + echo '* Please use full a 4-digit year in this utility. *' >&2 + echo '************************************************************' >&2 +elif [[ $valid -lt 100000000 ]];then + valid=19$valid + echo '************************************************************' >&2 + echo '* CAUTION: A 2-digit year was converted to a 4-digit year. *' >&2 + echo '* Please use full a 4-digit year in this utility. *' >&2 + echo '************************************************************' >&2 +fi +if [[ $($NDATE 0 $valid 2>/dev/null) != $valid ]];then + echo getges.sh: invalid date $valid >&2 + exit 2 +fi +if [[ -z $geslist ]];then + echo getges.sh: filetype $typef or resolution $resol not recognized >&2 + exit 2 +fi + +#------------------------------------------------------------------------------- +# Loop until guess is found. +fh=$fhbeg +while [[ $fh -le $fhend ]];do + ((fhm6=10#$fh-6)) + [[ $fhm6 -lt 10 && $fhm6 -ge 0 ]]&&fhm6=0$fhm6 + ((fhm3=10#$fh-3)) + [[ $fhm3 -lt 10 && $fhm3 -ge 0 ]]&&fhm3=0$fhm3 + ((fhm2=10#$fh-2)) + [[ $fhm2 -lt 10 && $fhm2 -ge 0 ]]&&fhm2=0$fhm2 + ((fhm1=10#$fh-1)) + [[ $fhm1 -lt 10 && $fhm1 -ge 0 ]]&&fhm1=0$fhm1 + ((fhp1=10#$fh+1)) + [[ $fhp1 -lt 10 ]]&&fhp1=0$fhp1 + ((fhp2=10#$fh+2)) + [[ $fhp2 -lt 10 ]]&&fhp2=0$fhp2 + ((fhp3=10#$fh+3)) + [[ $fhp3 -lt 10 ]]&&fhp3=0$fhp3 + id=$($NDATE -$fh $valid) + typeset -L8 day=$id + typeset -R2 cyc=$id + eval list=\$getlist$fh + [[ -z $list ]]&&list=${geslist} + for gestest in $list;do + eval ges=$gestest + [[ $quiet = NO ]]&&echo Checking: $ges >&2 + [[ -r $ges ]]&&break 2 + done + fh=$((10#$fh+10#$fhinc)) + [[ $fh -lt 10 ]]&&fh=0$fh +done +if [[ $fh -gt $fhend ]];then + echo getges.sh: unable to find $netwk.$envir.$typef.$resol.$valid >&2 + exit 8 +fi + +#------------------------------------------------------------------------------- +# Either copy guess to a file or write guess name to standard output. +if [[ -z "$gfile" ]];then + echo $ges + exit $? +else + cp $ges $gfile + exit $? +fi diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.sh new file mode 100755 index 0000000..bb8f950 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.sh @@ -0,0 +1,101 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + + +# This script performs VAD wind complex quality control checking +# +# It is normally executed by the script prepobs_makeprepbufr.sh +# but can also be executed from a checkout parent script +# -------------------------------------------------------------- + +set -aux + +qid=$$ + +# Positional parameters passed in: +# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr +# file upon successful completion of this script (note that input +# prepbufr file is NOT saved by this script) +# 2 - ncep production date (YYYYMMDDHH) + +# Imported variables that must be passed in: +# DATA - path to working directory +# VQCX - path to PREPOBS_CQCVAD program executable + +# Imported variables that can be passed in: +# pgmout - string indicating path to for standard output file (skipped +# over by this script if not passed in) + +cd $DATA +PRPI=$1 +if [ ! -s $PRPI ] ; then exit 1;fi +CDATE10=$2 + +set +x +cat <<\EOFc > cqcvad05 + &NAMLST + HONOR_FLAGS=TRUE, ! If TRUE then levels with bad q.m. flags are honored + PRINT_52=TRUE, ! If TRUE then writes bird quality control information + ! to unit 52 + PRINT_53=FALSE, ! If TRUE then writes a final report listing with q.c. + ! information to unit 53 + PRINT_60=FALSE, ! If TRUE then writes event information to unit 60 + TEST=FALSE ! If TRUE then writes diagnostic print to stdout (unit 06) + / +EOFc +set -x + +rm $PRPI.cqcvad + +pgm=`basename $VQCX` +if [ -s $DATA/prep_step ]; then + set +u + . $DATA/prep_step + set -u +else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +fi + +export FORT11=$PRPI +echo "$CDATE10" > cdate10.dat +export FORT14=cdate10.dat +export FORT51=$PRPI.cqcvad +export FORT52=cqcvad.birdqc +export FORT53=cqcvad.unit53.wrk +export FORT55=cqcvad.unit55.wrk +export FORT60=cqcvad.unit60.wrk +TIMEIT=${TIMEIT:-""} +[ -s $DATA/time ] && TIMEIT="$DATA/time -p" +$TIMEIT $VQCX < cqcvad05 > outout 2> errfile +err=$? +###cat errfile +cat errfile >> outout +cat outout >> cqcvad.out +set +u +[ -n "$pgmout" ] && cat outout >> $pgmout +set -u +rm outout +set +x +echo +echo 'The foreground exit status for PREPOBS_CQCVAD is ' $err +echo +set -x +if [ -s $DATA/err_chk ]; then + $DATA/err_chk +else + if test "$err" -gt '0' + then +######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out + # in ops + exit 55 + fi +fi + +if [ "$err" -gt '0' ]; then + exit 9 +else + mv $PRPI.cqcvad $PRPI +fi + +exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.txt new file mode 100644 index 0000000..1898aed --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.txt @@ -0,0 +1 @@ +VQCX=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_cqcvad diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.sh new file mode 100755 index 0000000..ff85639 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.sh @@ -0,0 +1,2466 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + +#### UNIX Script Documentation Block +# +# Script name: prepobs_makeprepbufr.sh +# Script description: Prepares & quality controls PREPBUFR file +# +# Author: Keyser Org: EMC Date: 2017-04-20 +# +# Abstract: This script creates the PREPBUFR file containing observational data +# assimilated by all versions of NCEP atmospheric analyses. It points to BUFR +# observational data dumps as input files. PREPOBS_PREPDATA combines them to +# generate an initial form of the PREPBUFR file which also contains the +# background guess as well as the observational error field. If tropical +# cyclone relocation processing has previously occurred, the background global +# guess read in by PREPOBS_PREPDATA (and later by SYNDAT_SYNDATA if it runs) +# is the relocated guess valid at the center date/time for the PREPBUFR +# processing. Otherwise it is the regular (unrelocated) global atmosperic +# guess obtained via the getges utility script. After PREPOBS_PREPDATA runs, +# this script can execute SYNDAT_SYNDATA to generate synthetic wind bogus +# data, as well as their background guess and observational error fields, +# which are appended to the PREPBUFR file. +# +# In the global networks the decision to append synthetic wind bogus data in +# the SYNDATA processing is determined by the outcome of the previous +# tropical cyclone relocation processing (if it was run). There are three +# possible outcomes: +# 1) If all storms in the original tcvitals file have vorticies of at +# least medium intensity such that a relocation was previously +# performed for each, then SYNDAT_SYNDATA will still run but will not +# append synthetic wind bogus data to the PREPBUFR file for any storm. +# It will input the original tcvitals file (output from qctropcy +# processing) and (if the option is set) it will flag dropwinsonde +# winds in the vicinity of each tropical storm in the file. +# 2) If all storms in the original tcvitals file (output from qctropcy +# processing) have weak vorticies such that a relocation was not +# previously performed for any, then SYNDAT_SYNDATA will run, inputting +# the original tcvitals file, and it will append synthetic wind bogus +# data to the PREPBUFR file for each storm in it. It will also +# possibly flag mass pressure and/or dropwinsonde wind reports in the +# vicinity of each storm (if requested). +# 3) If some storms in the original tcvitals file (output from qctropcy +# processing) have weak vorticies, such that a relocation was not +# previously performed for them, and others have vorticies of at least +# medium intensity, such that a relocation was previously performed for +# these, then SYNDAT_SYNDATA will run twice. The first time, it will +# input the relocation-generated tcvitals file, which contains all of +# the weak storms, and it will append synthetic wind bogus data to the +# PREPBUFR file for each storm in it. It will also possibly flag mass +# pressure and/or dropwinsonde wind reports in the vicinity of each of +# these storms (if requested). The second time SYNDAT_SYNDATA runs, it +# will input any storm records that were in the original tcvitals file +# but not in the relocation-generated tcvitals file (i.e., storms with +# vorticies of at least medium intensity). It will not append +# synthetic wind bogus data to the PREPBUFR file for any of these +# storms, but it will flag dropwinsonde winds in the vicinity of each +# storm in the original tcvitals file but not in the relocation- +# generated tcvitals file (if requested). +# If this is the nam network, the only reason relocation processing would +# have been previously run would be to update the first guess read in here by +# PREPOBS_PREPDATA and SYNDAT_SYNDATA. In this case, SYNDAT_SYNDATA inputs +# the original tcvitals file (output from qctropcy processing), appends +# synthetic wind bogus data to the PREPBUFR file for each storm in it, and +# possibly also flags mass pressure and/or dropwinsonde wind reports in the +# vicinity of each storm in the file (if requested). +# +# After all of this, the script then executes a series of quality control +# programs which can change the observation value and/or its quality marker. +# The PREPBUFR file is set up such that all changes to data are stacked on +# top of previous values. Such changes are considered to be "events", with +# the event containing an associated program code and reason code to describe +# it. This allows the PREPBUFR file to internally contain a record of all +# events preformed on the observations. This script has been designed to be +# executed by either an "operational J-job" script, a "test J-job" script, a +# "parallel J-job" script, or a stand-alone batch run initiated by a user. +# +# Script history log: +# 1999-07-20 Dennis A. Keyser -- Original version for implementation +# 2000-06-14 Dennis A. Keyser -- Added the tropical cyclone relocation +# processing +# 2001-03-20 Dennis A. Keyser -- Now gets tcvitals file for t-12 as well as +# t-06 in tropical cyclone relocation processing and passes both to ush +# relocate_relocate_ts.sh as new pos. parameters 3 and 4 +# 2004-01-15 Dennis A. Keyser -- Reads file *aircar_status_flag* in $COMSP +# path to see whether ARINC (primary) or AFWA (backup) reports in AIRCAR +# dump should be read and processed as ACARS data in PREPBUFR (flag file +# generated in upstream dump process and is based on a comparison of report +# counts), inserts proper switch in parm cards read by PREPOBS_PREPDATA +# program +# 2004-08-30 Dennis A. Keyser -- Connects new data dumps to PREPDATA +# processing, copies t-3 and t+3 sigma guess files for GFS and GDAS even if +# DO_RELOCATE = NO (unless GETGUESS = NO); Copies the "PRE-QC" snapshot of +# the PREPBUFR file AFTER SYNDAT_SYNDATA runs (if it does) rather than +# before it runs (ensures that the PRE-QC PREPBUFR file contains ALL of the +# observations); Variable PRVT (observational error table file path) is now +# read by NAM network and defaults to $FIXPREP/prepobs_errtable.nam if not +# imported (obs. errors are now read into PREPBUFR file in NAM network in +# preparation for the switch to the GSI analysis, the operational 3DVAR +# analysis ignores the obs errors in PREPBUFR and still reads them in from +# $PARMPREP/nam_errtable.r3dv) +# 2005-07-01 Dennis A. Keyser -- Logic changes to run SYNDAT_SYNDATA in all +# networks where requested regardless of outcome of relocation processing, +# but sets new script variable DO_BOGUS to NO if SYNDAT_SYNDATA is to NOT +# generate synthetic wind bogus reports and append them to PREPBUFR file +# (SYNDAT_SYNDATA program also modified to read in this variable) - change +# needed because (if requested) SYNDAT_SYNDATA will now flag all +# dropwinsonde wind reports in vicinity of each storm in original tcvitals +# file, regardless of whether or not bogus winds are generated +# 2006-03-22 Dennis A. Keyser -- Accounts for possibility of split dump status +# files (status1 and status2) at the time this runs {in the test for the +# presence of the dump status file(s)} +# 2006-06-13 Dennis A. Keyser -- Adds dump file "wdsatr" to default BUFRLIST +# value and assigns it to unit 38 read in to PREPOBS_PREPDATA; Removed +# tropical cyclone relocation processing, this is now done (if requested) +# in a new script called tropcy_relocate.sh which runs in the new +# TROPCY_QC_RELOC job prior to the PREP job that executes this script +# (TROPCY_QC_RELOC first performs qctropcy processing, this was moved from +# the DUMP job) - this was done to allow the TROPCY_QC_RELOC job to run at +# the same time as the DUMP job in order to speed up overall obs +# processing and remove variability in the PREP job executing this script +# (i.e., this job had run faster when no tropical storms were present) +# 2007-09-14 Dennis A. Keyser -- Replaced "export XLFUNIT_xx=" with +# "ln .sf fort.xx" in preparation for PREPOBS_PREPDATA +# interfacing with global spectral guess files using sigio routines (via +# W3LIB routine GBLEVENTS - sigio requires explicit open statements in the +# code and this conflicts with XLFUNIT statements; Removed test on +# existence of status2 file from NAM_DUMP2 and NDAS_DUMP2 jobs since dump +# files here (currently only "nexrad") are not processed into the PREPBUFR +# file - PREP job initiation may soon no longer be dependent upon +# completion of DUMP2 job in NAM and NDAS networks; in the case where an +# input (normally, pre-QC) PREPBUFR file is passed into the script via the +# variable PREPBUFR_IN, it had been assumed that this file had already +# been run through SYNDATA processing (but that was not the case prior to +# 12Z 25 Jan 2005) - this script changed to use the value of variable +# SYNDATA to determine if the file in PREPBUFR_IN should be run through +# SYNDATA processing (i.e., if SYNDATA=YES, run it through SYNDATA +# processing) (this change will allow reanalysis runs prior to 12Z 25 Jan +# 2005 to work properly) +# 2008-09-25 Dennis A. Keyser -- Added dump file "ascatw" to default BUFRLIST +# value and assigns it to unit 39 read in to PREPOBS_PREPDATA; in +# preparation for future NRL aircraft QC code NRLACQC, added new script +# variables NRLACQC (def=NO), USHNQC (def=${HOMEALL}/ush), NQCX +# (def=$EXECPREP/prepobs_nrlacqc) and NQCC +# (def=$PARMPREP/prepobs_nrlacqc.${NET}.parm"), if NRLACQC=YES will +# execute script USHNQC to perform NRL aircraft QC (not yet ready) +# 2011-10-14 D.A. Keyser -- Updated to handle new "rap" (Rapid Refresh) +# network and its model runs "rap", "rap_p" and "rap_e" +# 2012-05-09 S. Bender/D. Keyser -- Removed all prior references to "NRL" +# aircraft QC script variables (never actually used) since the NRL +# aircraft QC nomenclature is being dropped in place of the existing +# PREPACQC nomenclature and will use its existing script variables; +# removed all references to the ACARSQC processing since it is no longer +# executed (ACARS QC is now performed within the revamped PREPACQC +# processing); removed script variables no longer used by the new version +# of the PREPACQC processing; added new script variables which are +# associated with the new program PREPOBS_PREPACPF which now runs as a +# second program within the PREPACQC processing (after program +# PREPOBS_PREPACQC) in the ush script prepobs_prepacqc.sh {PROCESS_ACQC +# (def=YES), PROCESS_ACPF (def=YES), DICTPREP (def=$HOMEALL/dictionaries), +# DICT (def=$DICTPREP/metar.tbl), APFX (def=$EXECPREP/prepobs_prepacpf), +# and the new second argument "$DATA/adpsfc" passed to +# prepobs_prepacqc.sh} +# 2013-03-05 D.A. Keyser -- Modified to properly run on WCOSS system: replaced +# all usage of "timex" with "time -p."; replaced script variables +# XLFUNIT_n with FORTn (where n is the unit number connected to the +# filename defined by the variable FORTn) - needed because ifort uses +# FORTn; script is now set to run under ksh shell as the default; added +# script variable "BACK" which, when YES, threads the mp_prepdata herefile +# into background shells that run simultaneously (an alternative option +# to poe which is not ready on WCOSS); touches all dump files not included +# in BUFRLIST so that they will not cause a read error if PREPOBS_PREPDATA +# tries to read them +# 2014-01-15 S. Melchior -- Placed into new OBSPROC_PREP vertical directory +# structure/environmental equivalence paradigm. As a result: imports new +# environment variable $HOMEobsproc_prep which points to directory path for +# generic prep subdirectories under version control (in production this is +# normally /nwprod/obsproc_prep.vX.Y.Z where X.Y.Z is version number being +# used, usually the latest); and imports new environment variable +# $HOMEobsproc_network which points to directory path for network-specific +# prep subdirectories under version control (in production this is normally +# /nwprod//obsproc_NETWORK.vX.Y.Z where NETWORK is, e.g., global, namp, rap, +# rtma, urma, and X.Y.Z is version number being used, usually the latest) - +# these replace /nw${envir} in order to point to files moved from +# horizontal to vertical directory structure. +# 2014-02-25 D.A. Keyser -- Removed all references to RUC. Removed option +# to run on MACHINE=sgi - this is obsolete (as a result variables $MACHINE +# and $HOMEALL are no longer used in this script). Replaced variable +# $EXECUTIL with $utilexec for directory path to utility program ndate +# (both were exported from job scripts with same value, $EXECUTIL has now +# been removed from all job scripts). Removed all references to "cdc" +# network (this is obsolete). +# 2014-07-23 D.A. Keyser -- Imported script environment variable DICTPREP now +# defaults to new vertical structure directory path location for metar.tbl +# dictionary, /nw${envir}/decoders/decod_shared/dictionaries, rather than +# old horizontal structure location, /nw${envir}/dictionaries (the latter +# will be removed in September 2014). +# 2016-02-05 JWhiting -- Use NCO-established variables to point to root +# directories for main software components and input/output directories in +# order to run on WCOSS Phase 1 or Phase 2 (here, $COMROOT which replaces +# hardwire to "/com", $NWROOT which replaces hardwire to "/nwprod" in +# comments only). Use NCO-established variables (presumably obtained from +# modules) to point to prod utilities [here, $NDATE from module prod_util +# (default or specified version, loaded in each network which executes this +# script) which replaces executable ndate in non-versioned, horizontal +# structure utility directory path defined by imported variable $utilexec]. +# 2016-04-29 D.A. Keyser -- Updated logic such that when tropical cyclone +# relocation has not run, a first guess is required, the network is gfs or +# gdas, but the cycle time is not 00, 06, 12 or 18z, no attempt will be +# made to obtain a guess 3-hrs before and after cycle time (since it can +# fail). Instead this is treated the same as any 3- or 1-hrly cycle run +# (like rap, e.g.) meaning two guess files will be obtained at the +# spanning 3 hour interval around any cycle time not a multiple of 3 hrs. +# BENEFIT: Allows future hourly WAM model to run properly. +# 2016-07-12 D.C. Stokes -- Reinstated poe option to run multiple instances +# of the PREPDATA processing script in parallel. New variable $launcher +# defines the parallel scripting launch mechanism (description below). +# Added logic to create scaled down versions of err_chk and err_exit +# scripts if they don't exist in the working directory and eliminated +# similar blocks of logic that had been repeated throughout the script. +# Updated USHGETGES default to pick up more recent versions of getges.sh. +# 2017-02-07 D.C. Stokes -- Updated to run on Cray-XC40 as well as iDataPlex. +# If on Cray-XC40, default parallel scripting launching mechanism is cfp +# inovked by aprun. Variable name used for launching mechanism changed from +# "launcher" to "launcher_PREP". Variable COMDATEROOT is now the primary +# default for the root of the directory containing NCEP date files. The +# variable NWROOTp1 is now the default root for directory DICTPREP. Logic +# used to determine if $COMSP points to production "com" directory was +# updated to recognize full path name (as needed on luna/surge). +# 2017-03-19 D.C. Stokes/D.A. Keyser -- Updated to input nemsio atmopheric +# guess files -or- the older sigio atmospheric files. The nemsio option +# is triggered by flag NEMSIO_IN=.true. For nemsio runs, a single guess +# file valid at the prepbufr center time is picked up, even for runs with +# center time that is not a multiple of 3. Also the dbn_alert subtype is +# now dependent upon $RUN (for transition from "gdas1" to "gdas"). +# 2017-04-20 D.C. Stokes -- Relocated assignments of variable stype to ensure +# it always passes the proper value to the getges utility script. +# +# +# Usage: prepobs_makeprepbufr.sh yyyymmddhh +# +# Input script positional parameters: +# 1 String indicating the center date/time for the PREPBUFR +# processing - if missing, then this time +# is obtained from the ${COMDATEROOT}/date/$cycle file +# +# Imported Shell Variables: +# +# These must ALWAYS be exported to this script by the parent script -- +# +# COMROOT Root to input/output "com" directory (in production, +# normally "/com", "/com2", or "/gpfs/hps/nco/ops/com") +# NSPLIT Number of parts into which the PREPDATA processing shell +# script (herefile MP_PREPDATA) will be split in order to +# run in parallel for computational efficiency (either using +# multiple tasks when POE is not "NO" or in background threads +# when BACK is "YES") +# NOTE : This is required ONLY if the imported shell variable +# POE is not "NO" (see below) or the imported shell +# variable BACK is "YES" (see below) (i.e., a parallel +# environment), and the imported shell variable +# PREPDATA=YES (see below) +# NET String indicating system network {either "gfs", "gdas", +# "cdas", "nam", "rap", "rtma" or "urma"} +# NOTE : NET is changed to gdas in the parent Job script for +# RUN=gdas or RUN=gdas1 (was gfs) +# RUN String indicating model run {either "gfs", "gdas", "gdas1", +# "cdas", "nam", "ndas", "rap", "rap_p", "rap_e", +# "rtma", or "urma"} +# cycle String indicating the center cycle hour for PREPBUFR +# processing {"txxz", where xx is two-digit hour of the day +# (UTC)} +# NOTE : This is required ONLY if input script positional +# parameter 1 is missing (see above) +# DATA String indicating the working directory path (usually a +# temporary location) +# COMSP String indicating the directory/filename path to input BUFR +# observational data dumps, tropical cyclone location +# (tcvitals) files, global atmos guess files, and status +# files (e.g., "$COMROOT/gfs/prod/gfs.20060612/gfs.t12z.") +# DBNROOT String indicating directory path to bin/dbn_alert file +# location +# NOTE : This is required ONLY if the imported shell variable +# SENDDBN is "YES" (see below) +# job - String indicating job name (e.g., 'gdas_prep_12') +# NOTE : This is required ONLY if the imported shell variable +# SENDDBN is "YES" (see below) +# $HOMEobsproc_prep - string indicating directory path to generic prep +# subdirectories under version control +# (in production this is normally +# ${NWROOT}/obsproc_prep.vX.Y.Z where X.Y.Z is +# version number being used, usually the latest) +# $HOMEobsproc_network - string indicating directory path to network- +# specific prep subdirectories under version control +# (in production this is normally +# ${NWROOT}/obsproc_NETWORK.vX.Y.Z where NETWORK is, +# e.g., global, nam, rap, rtma, urma, and X.Y.Z is +# version number being used, usually the latest) +# +# These will be set to their default value in this script if not exported +# to this script by the parent script -- +# +# SITE Site name (may have been set by local shell startup script) +# Default is "" +# sys_tp System type and phase. If not imported, an attempt is made +# to set it using getsystem.pl (an NCO prod_util script). +# A failed attempt results in an empty string. +# NEMSIO_IN Flag that if ".true." indicates that nemsio atmospheric +# background fields will be input rather than sigio. +# Default is "" +# SENDDBN String indicating whether or not to alert an output file to +# the NWS/TOC (= "YES" - invoke alert; anything else - do not +# invoke alert) +# Default is "NO" +# NPROCS Number of "poe" tasks to use for mpmd (must be .GE. $NSPLIT) +# NOTE : This is applicable ONLY if the imported shell +# variable POE is not "NO" (see below) and variable +# launcher_PREP is not "cfp" or "aprun" (see below) and +# the imported shell variable PREPDATA=YES (see below) +# For LSF jobs, the count of hosts listed in string $LSB_HOSTS +# will be used to set NPROCS (overriding any imported value). +# Default is "$NSPLIT" +# envir String indicating environment under which job runs ('prod' +# or 'test') +# Default is "prod" +# envir_getges String indicating environment under which GETGES utility +# ush runs (see getges.sh docblock for more information) +# Default is "$envir" +# network_getges +# String indicating job network under which GETGES utility +# ush runs (see getges.sh docblock for more information) +# Default is "global" unless the center PREPBUFR processing +# date/time is not a multiple of 3-hrs and the global guess is +# sigio-based, then the default is "gfs" +# pgmout String indicating file containing standard output (output +# always contatenated onto this file) +# Default is "/dev/null" +# tstsp String indicating the directory/filename path to one or +# more BUFR observational data dumps and/or tropical cyclone +# location (tcvitals) files and/or global atmos guess files +# and/or status files that are to override the corresponding +# file in $COMSP (this should be imported with the same +# naming convention as $COMSP; e.g., +# "/gpfstmp/wx22dk/test_dump/ndas.20060612/ndas.t12z." - +# (if tstsp is not imported, the default is used and no +# overriding file would exist; if tstsp is imported then any +# file found would override the correspoding file in $COMSP) +# Default is "/tmp/null/" +# tmmark - string indicating hour for center PREPBUFR processing date/ +# time relative to the analysis time embedded in $tstsp or +# $COMSP (e.g., "tm12", "tm09", "tm06", "tm03", "tm00") +# Default is "tm00" +# BUFRLIST String indicating list of BUFR data dump file names to +# process +# Default is "adpupa proflr aircar aircft satwnd adpsfc \ +# sfcshp sfcbog vadwnd goesnd spssmi erscat qkswnd msonet \ +# gpsipw rassda wdsatr ascatw" +# POE String indicating whether or not to use a poe-like launcher +# to spread instances of the PREPBUFR processing herefile +# MP_PREPDATA over multiple pes in parallel. (= "NO" - +# do not invoke invoke "poe"; anything else - invoke "poe") +# Default is "YES" +# launcher_PREP Parallel scripting launch tool. Settings are in place for +# aprun, mpirun.lsf, and cfp but a different tool can be +# specified. +# NOTE : This is applicable ONLY if the imported shell +# variable POE is not "NO" and the imported shell +# variable PREPDATA=YES (see below) +# Default on Cray-XC40 is "aprun". Otherwise: "mpirun.lsf" +# BACK String indicating whether or not to run background shells +# (on the same task) for the PREPBUFR processing (= "YES" - +# run background shells; anything else - do not run +# background shells). IF BACK=YES on Cray-XC40, the shells +# are invoked by aprun. +# USHSYND String indicating directory path for SYNDATA ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHPREV String indicating directory path for PREVENTS ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHCQC String indicating directory path for CQCBUFR ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHPQC String indicating directory path for PROFCQC ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHVQC String indicating directory path for CQCVAD ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHAQC String indicating directory path for PREPACQC ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHOIQC String indicating directory path for OIQCBUFR ush file +# Default is "${HOMEobsproc_prep}/ush" +# EXECPREP String indicating directory path for PREPOBS executables +# Default is "${HOMEobsproc_prep}/exec" +# PARMPREP String indicating directory path for PREPOBS parm files +# Default is "${HOMEobsproc_network}/parm" +# FIXPREP String indicating directory path for PREPOBS fix-field +# files +# Default is "${HOMEobsproc_prep}/fix" +# DICTPREP String indicating directory path for PREPOBS dictionary +# files +# Default is "${NWROOTp1}/decoders/decod_shared/dictionaries" +# EXECSYND String indicating directory path for SYNTHETIC data +# executables +# Default is "${HOMEobsproc_prep}/exec" +# PARMSYND String indicating directory path for SYNTHETIC parm files +# Default is "${HOMEobsproc_network}/parm" +# FIXSYND String indicating directory path for SYNTHETIC data fix- +# field files +# Default is "${HOMEobsproc_prep}/fix" +# GETGUESS String: if = "YES" will encode first guess (background) +# values interpolated by the program PREPOBS_PREPDATA to +# observation locations in the PREPBUFR file for use by the +# q.c. programs. This guess is always from a global atmos +# guess file valid at the center PREPBUFR processing date/ +# time or from an interpolated guess obtained from global +# atmos guess files valid at times 3-hours apart which span +# the PREPBUFR processing date/time (the latter is performed +# by the program PREPOBS_PREPDATA and occurs when the guess +# files are sigio-based and the PREPBUFR date/time hour is not +# a multiple of 3, e.g. 02Z rap or tm04 nam catchup runs). The +# guess file (or files) may be obtained in one of two ways: +# 1) From pre-existing files in the working directory +# $DATA called sgesprep and sgesprepA (either copied +# there prior to the execution of this script, or +# copied there earlier in this script from either +# $tstsp, or if not found there, $COMSP which was +# populated by the previous running of tropical +# cyclone relocation processing +# NOTE 1: sgesprepA is needed only when the guess is +# sigio-based and the PREPBUFR processing +# date/time is not a multiple of 3-hrs. +# NOTE 2: if previous tropical cyclone relocation +# processing was run, then an sgesprepA file +# is NEVER generated, not a problem since +# previous tropical cyclone relocation +# processing is not run in rap, rap_p or +# rap_e runs +# 2) Via the execution of the GETGES utility ush to +# obtain sgesprep (if pre-existing file $DATA/sgesprep +# does not exist), and possibly via the execution of +# the GETGES utility ush to obtain sgesprepA (if +# PREPBUFR processing date/time is not a multiple of +# 3-hrs and the global guess is sigio-based, and the +# pre-existing file $DATA/sgesprepA does not exist) +# Default is "YES" +# NOTE: If GETGUESS=NO, then the program PREPOBS_PREPDATA +# will NOT call w3emc routine GBLEVENTS to perform +# "prevents" processing +# PREPDATA String: if = "YES" will perform PREPDATA processing +# (in either a parallel or serial environment depending upon +# the values for POE and BACK) +# Default is "YES" +# SYNDATA String: if = "YES" will attempt to perform synthetic bogus +# processing (generation of synthetic bogus winds to be +# appended to PREPBUFR file and, possibly, flagging of mass +# pressure data "near" storms; and, possibly, flagging of +# dropwinsonde wind data "near" storms) +# Default is "YES" +# DO_QC String: if = "YES" will perform quality control +# Default is "YES" +# PREVENTS String: if = "YES" will encode background and obs. errors +# into PREPBUFR file (usually this should be "NO" since the +# programs PREPOBS_PREPDATA and SYNDAT_SYNDATA normally are +# set to perform this function) +# NOTE: Only invoked if DO_QC=YES +# Default is "NO" +# CQCBUFR String: if = "YES" will complex quality control radiosonde +# data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# PROFCQC String: if = "YES" will quality control wind profiler data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# CQCVAD String: if = "YES" will quality control VAD wind data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# PREPACQC String: if = "YES" will quality control aircraft data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# PROCESS_ACQC String: if = "YES" will execute PREPOBS_PREPACQC program as +# part of PREPACQC processing +# NOTE: Only invoked if PREPACQC=YES +# Default is "YES" +# PROCESS_ACPF String: if = "YES" will execute PREPOBS_PREPACPF program as +# part of PREPACQC processing +# NOTE: Only invoked if PREPACQC=YES +# Default is "YES" +# OIQCBUFR String: if = "YES" will perform final oi-based quality +# control on all data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# MPCOPYX String indicating executable path for PREPOBS_MPCOPYBUFR +# program +# Default is "$EXECPREP/prepobs_mpcopybufr" +# PRPX String indicating executable path for PREPOBS_PREPDATA +# program +# Default is "$EXECPREP/prepobs_prepdata" +# errPREPDATA_limit +# String indicating the highest allowed foreground exit +# status for program PREPOBS_PREPDATA (any exit status higher +# than this is considered a failure) +# (Note: errPREPDATA_limit=1 is ALWAYS considered a FAILURE) +# Default is "0" +# PRPC String indicating data card path for PREPOBS_PREPDATA +# program +# Default is "$PARMPREP/prepobs_prepdata.${NET}.parm" +# PRPT String indicating bufrtable file path for PREPOBS_PREPDATA +# program +# Default is "$FIXPREP/prepobs_prep.bufrtable" +# LANDC String indicating land/sea mask file path for +# PREPOBS_PREPDATA program +# Default is "$FIXPREP/prepobs_landc" +# PRVT String indicating observational error table file path for +# PREPOBS_PREPDATA, SYNDAT_SYNDATA and PREPOBS_PREVENTS +# programs (used by GBLEVENTS subroutine) +# NOTE: Only read by gdas, gfs, cdas and nam networks +# If imported "NET=gdas" or "NET=gfs", default is +# "$HOMEobproc_network/fix/prepobs_errtable.global"; +# if imported "NET=cdas", default is +# "$HOMEobsproc_network/fix/prepobs_errtable.cdas"; +# if imported "NET=nam", default is +# "$HOMEobsproc_network/fix/prepobs_errtable.nam" +# otherwise, default is "$DATA/scratch.PRVT" a null file +# LISTHDX String indicating executable path for PREPOBS_LISTHEADERS +# program +# Default is "$EXECPREP/prepobs_listheaders" +# MONOBFRX String indicating executable path for PREPOBS_MONOPREPBUFR +# program +# Default is "$EXECPREP/prepobs_monoprepbufr" +# SYNDX String indicating executable path for SYNDAT_SYNDATA +# program +# Default is "$EXECSYND/syndat_syndata" +# SYNDC String indicating data card path for SYNDAT_SYNDATA program +# Default is "$PARMSYND/syndat_syndata.${NET}.parm" +# PREX String indicating executable path for PREPOBS_PREVENTS +# program +# Default is "$EXECPREP/prepobs_prevents" +# PREC String indicating data card path for PREPOBS_PREVENTS +# program +# Default is "$PARMPREP/prepobs_prevents.${NET}.parm" +# AQCX String indicating executable path for PREPOBS_PREPACQC +# program +# Default is "$EXECPREP/prepobs_prepacqc" +# AQCC String indicating data card path for PREPOBS_PREPACQC +# program +# Default is "$PARMPREP/prepobs_prepacqc.${NET}.parm" +# APFX String indicating executable path for PREPOBS_PREPACPF +# program +# Default is "$EXECPREP/prepobs_prepacpf" +# DICT String indicating METAR station dictionary path for +# PREPOBS_PREPACPF program +# Default is "$DICTPREP/metar.tbl" +# PQCX String indicating executable path for PREPOBS_PROFCQC +# program +# Default is "$EXECPREP/prepobs_profcqc" +# PQCC String indicating data card path for PREPOBS_PROFCQC +# program +# Default is "$PARMPREP/prepobs_profcqc.${NET}.parm" +# VQCX String indicating executable path for PREPOBS_CQCVAD +# program +# Default is "$EXECPREP/prepobs_cqcvad" +# CQCX String indicating executable path for PREPOBS_CQCBUFR +# program +# Default is "$EXECPREP/prepobs_cqcbufr" +# CQCC String indicating data card path for PREPOBS_CQCBUFR +# program +# Default is "$PARMPREP/prepobs_cqcbufr.${NET}.parm" +# CQCS String indicating statbge path for PREPOBS_CQCBUFR program +# Default is "$FIXPREP/prepobs_cqc_statbge" +# OIQCX String indicating executable path for PREPOBS_OIQCBUFR +# program +# Default is "$EXECPREP/prepobs_oiqcbufr" +# OIQCT String indicating observational error table file path for +# PREPOBS_OIQCBUFR program +# NOTE: If imported "NET=cdas", default is +# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas"; +# otherwise default is +# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs" +# +# These do not have to be exported to this script. If they are, they will +# be used by the script. If they are not, they will be skipped +# over by the script. +# +# PREPBUFR_APP String indicating path to output PREPBUFR file for +# PREPOBS_PREPDATA program. +# If present and POE is "NO" and BACK is not "YES" (i.e., a +# serial environment), PREPOBS_PREPDATA will append all +# output BUFR messages to a copy of this file (prepda) in +# the current working directory, using the internal BUFR +# mnemonic table in the first several BUFR messages at the +# top of the file +# NOTE 1: In this case, it is assumed the the switch APPEND +# is set to TRUE in the parm cards $PRPC (careful, +# if APPEND is FALSE, the original copy of +# $PREPBUFR_APP will be wiped out and the case below +# will occur) +# NOTE 2: When POE is not "NO" or BACK is "YES" (i.e., a +# parallel environment), appending makes no sense +# because the original output PREPBUFR file is +# monolithic +# If not present or POE is not "NO" or BACK is "YES" (i.e., a +# parallel environment), PREPOBS_PREPDATA will write all +# output BUFR messages to a new file (prepda) in the current +# working directory using the external BUFR mnemonic table +# in the file $PRPT +# NOTE 3: In this case, it is assumed the the switch APPEND +# is set to FALSE in the parm cards $PRPC (careful, +# if APPEND is TRUE, PREPOBS_PREPDATA will abort +# because the original empty PREPBUFR file has no +# internal BUFR mnemonic table) +# PREPBUFR_IN String indicating path to input PREPBUFR file +# If present, this file will be used by SYNDAT_SYNDATA (if +# SYNDATA=YES - see @ below) and by all applicable Q.C. +# programs (set to to be invoked here) rather than the +# PREPBUFR file generated in this script by PREPOBS_PREPDATA +# (normally this would be used when PREPDATA=NO) +# @ - if the PREPBUFR_IN target file is obtained from +# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, +# then for all runs on and after 12Z 25 Jan 2005, +# SYNDATA should be NO because the target files +# will already contain synthetic bogus data; +# if the PREPBUFR_IN target file is obtained from +# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, +# then for all runs prior to 12Z 25 Jan 2005, +# SYNDATA should be YES because the target files +# will not have contain synthetic bogus data. +# If not present, then the PREPBUFR file generated in this +# script by PREPOBS_PREPDATA and possibly appended to by +# SYNDAT_SYNDATA is passed on as input to all applicable +# Q.C. programs +# jlogfile String indicating path to joblog file +# +# These do not have be exported to this script. +# +# COMDATEROOT Primary default for the root of the directory containing +# produciton date files. +# +# NWROOTp1 Root directory for production software on WCOSS Phase 1. +# +# USHGETGES String indicating directory path for GETGES utility script. +# Default is $HOMEobsproc_prep/ush. +# +# GETGESprep GETGES utility script. If NEMSIO_IN=.true., defaults to: +# $USHGETGES/getges.sh +# otherwise, defaults to: +# $USHGETGES/getges_sig.sh +# +# PREPDATAtpn Tasks per node when invoking cfp on Cray-XC40. Will be +# computed if needed but was not imported. +# +# These do not have to be exported to this script. If they are, they will +# be passed on to the script $USHCQC/prepobs_cqcbufr.sh. They are not used +# by this script. +# +# PRPI_m24 See documentation in $USHCQC/prepobs_cqcbufr.sh +# PRPI_m12 See documentation in $USHCQC/prepobs_cqcbufr.sh +# PRPI_p12 See documentation in $USHCQC/prepobs_cqcbufr.sh +# PRPI_p24 See documentation in $USHCQC/prepobs_cqcbufr.sh +# +# Exported Shell Variables: +# CDATE10 String indicating the center date/time for the PREPBUFR +# processing +# SGES Either ... +# 1) String indicating the full path name for global +# sigio-based or nemsio-based guess file valid at the +# center PREPBUFR processing date/time (in which case the +# center PREPBUFR processing date/time is a multiple of +# 3-hrs, or for any PREPBUFR center hour if global guess +# is nemsio-based) - This guess file will be encoded +# into the PREPBUFR file for use by the q.c. programs. +# -- or -- +# 2) String indicating the full path name for the global +# atmosperic guess file valid at the nearest cycle time +# prior to the center PREPBUFR processing date/time which +# is a multiple of 3 (in which case the center PREPBUFR +# processing date/time is not a multiple of 3-hrs and the +# global guess is sigio-based) - A linear interpolation +# (of the spectal coefficients) between this file and the +# guess file indicated by SGESA case 2 below will be +# performed by program PREPOBS_PREPDATA and encoded into +# the PREPBUFR file for use by the q.c. programs. The +# SGES file is always from the GFS in this case. +# NOTE 1: Only case 1 above is valid when tropical cyclone +# relocation processing previously occurred. +# NOTE 2: Case 2 above is necessary because the w3emc lib +# routine gblevents called by PREPOBS_PREPDATA +# expects that sigio-based guess files will only +# have valid hours which are a multiple of 3 +# NOTE 3: Only case 1 above is valid when global guess is +# nemsio-based. +# SGESA Either ... +# 1) String set to "/dev/null" for case 1 of SGES above +# (default) +# -- or -- +# 2) String indicating the full path name for the global +# sigma guess file valid at the nearest cycle time after +# the center PREPBUFR processing/date time which is a +# multiple of 3 for case 2 of SGES above - A linear +# interpolation (of the spectal coefficients) between +# this guess file and the guess file indicated by SGES +# above (see case 2 for SGES) will be performed by the +# program PREPOBS_PREPDATA and encoded into the PREPBUFR +# file for use by the q.c. programs. The SGESA file is +# always from the GFS in this case and its forecast hour +# is 3-hrs later than the SGES file (thus both initiate +# at the same time). +# NOTE 1: Only case 1 above is valid when tropical cyclone +# relocation processing previously occurred. +# NOTE 2: Case 2 above is necessary because the w3emc lib +# routine gblevents called by PREPOBS_PREPDATA +# expects that sigio-based guess files will only +# have valid hours which are a multiple of 3 +# NOTE 3: Only case 1 above is valid when global guess is +# nemsio-based. +# +# +# Modules and files referenced: +# herefiles : $DATA/MP_PREPDATA +# $DATA/MERGE_MSGS +# scripts : $USHGETGES/getges.sh +# $USHGETGES/getges_sig.sh +# $USHSYND/prepobs_syndata.sh +# $USHPREV/prepobs_prevents.sh +# $USHCQC/prepobs_cqcbufr.sh +# $USHPQC/prepobs_profcqc.sh +# $USHVQC/prepobs_cqcvad.sh +# $USHAQC/prepobs_prepacqc.sh +# $USHOIQC/prepobs_oiqcbufr.sh +# $DATA/postmsg (required ONLY if "$jlogfile" is present) +# $DATA/prep_step {here and by referenced script(s)} +# $DATA/err_exit +# $DATA/err_chk {here and by referenced script(s)} +# (NOTE: The last three scripts above are NOT REQUIRED +# utilities. If $DATA/prep_step not found, a scaled down +# version of it is executed in-line. If $DATA/err_exit +# or $DATA/err_chk are not found, scaled down versions, +# created in-line, are executed. +# executables: $NDATE (from prod_util module) +# programs : +# PREPOBS_MPCOPYBUFR - executable: $MPCOPYX +# PREPOBS_PREPDATA - executable: $PRPX +# land/sea mask: $LANDC +# bufr mnemonic user table: $PRPT +# obs. error table: $PRVT +# data cards: $PRPC +# PREPOBS_LISTHEADERS - executable: $LISTHDX +# PREPOBS_MONOPREPBUFR - executable: $MONOBFRX +# SYNDAT_SYNDATA - executable: $SYNDX +# T126 gaussian land/sea mask: +# $FIXSYND/syndat_syndata.slmask.t126.gaussian +# weights: $FIXSYND/syndat_weight +# obs. error table: $PRVT +# data cards: $SYNDC +# PREPOBS_PREVENTS - executable: $PREX +# obs. error table: $PRVT +# data cards: $PREC +# PREPOBS_PREPACQC - executable: $AQCX +# data cards: $AQCC +# PREPOBS_PREPACPF - executable: $APFX +# dictionary: $DICT +# PREPOBS_PROFCQC - executable: $PQCX +# data cards: $PQCC +# PREPOBS_CQCVAD - executable: $VQCX +# PREPOBS_CQCBUFR - executable: $CQCX +# data cards: $CQCC +# PREPOBS_OIQCBUFR - executable: $OIQCX +# obs. error table: $OIQCT +# +# Remarks: +# +# Condition codes +# 0 - no problem encountered +# >0 - some problem encountered +# +# Attributes: +# Language: Korn shell under linux +# Machine: NCEP WCOSS +# +#### + +set -aux + +NEMSIO_IN=${NEMSIO_IN:=""} +jlogfile=${jlogfile:=""} +SENDDBN=${SENDDBN:-NO} + +if [ ! -d $DATA ] ; then mkdir -p $DATA ;fi + +cd $DATA + +qid=$$ + +##################################################### +##################################################### +# create error check and exit utilities if necessary. +# (as may be the case for some developer runs) +##################################################### + +if [ ! -x $DATA/err_exit ]; then +cat <<\EOFerrexit > $DATA/err_exit + set -x + if [ -n "$LSB_JOBID" ]; then + bkill $LSB_JOBID + sleep 60 + date + else + set -e + kill -n 9 $qid + fi + exit 7 # for extra measure +EOFerrexit +chmod 775 $DATA/err_exit +fi + +if [ ! -x $DATA/err_chk ]; then +cat <<\EOFerrchk > $DATA/err_chk + set -x + if [ "$err" != '0' ]; then + $DATA/err_exit + fi +EOFerrchk +chmod 775 $DATA/err_chk +fi + +##################################################### +##################################################### + + +# determine local system name and type if available +# ------------------------------------------------- +SITE=${SITE:-""} +sys_tp=${sys_tp:-$(getsystem.pl -tp)} +getsystp_err=$? +if [ $getsystp_err -ne 0 ]; then + msg="***WARNING: error using getsystem.pl to determine system type and phase" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" +fi +echo sys_tp is set to: $sys_tp + +#------------------------------------------------------------------------------- + +# obtain the center date/time for PREPBUFR processing +# --------------------------------------------------- + +if [ $# -ne 1 ] ; then + cp ${COMDATEROOT:-$COMROOT}/date/$cycle ncepdate + err0=$? + CDATE10=`cut -c7-16 ncepdate` +else + CDATE10=$1 + if [ "${#CDATE10}" -ne '10' ]; then + err0=1 + else + cycle=t`echo $CDATE10|cut -c9-10`z + err0=0 + fi +fi + +if test $err0 -ne 0 +then +# problem with obtaining date record so exit + set +x + echo + echo "problem with obtaining date record;" + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure +fi + +cyc=`echo $CDATE10|cut -c9-10` +modhr=`expr $cyc % 3` + +set +x +echo +echo "CENTER DATE/TIME FOR PREPBUFR PROCESSING IS $CDATE10" +echo +set -x + +#---------------------------------------------------------------------------- + +# Create variables needed for this script and its children +# -------------------------------------------------------- + +envir=${envir:-prod} + +envir_getges=${envir_getges:-$envir} +if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then + network_getges=${network_getges:-global} +else + network_getges=${network_getges:-gfs} +fi + +pgmout=${pgmout:-/dev/null} + +tstsp=${tstsp:-/tmp/null/} +tmmark=${tmmark:-tm00} + +BUFRLIST=${BUFRLIST:-"adpupa proflr aircar aircft satwnd adpsfc sfcshp \ + sfcbog vadwnd goesnd spssmi erscat qkswnd msonet gpsipw rassda wdsatr \ + ascatw"} + +PREPDATA=${PREPDATA:-YES} + +if [ "$PREPDATA" != 'YES' ] ; then + POE=NO + BACK=NO +else + set +u + [ -z "$POE" -a "$BACK" = 'YES' ] && POE=NO + POE=${POE:-YES} + if [ "$POE" != 'NO' -a "$BACK" = 'YES' ]; then + set -u + set +x +echo +echo "YOU have set both POE and BACK to YES - choose one or the other!!" +echo "Defaults are POE=YES and BACK=NO, as is preferable for WCOSS." +echo + set -x + exit 99 + fi + BACK=${BACK:-NO} + PARALLEL=NO + [ "$POE" != 'NO' -o "$BACK" = 'YES' ] && PARALLEL=YES + if [ "$POE" != 'NO' ] ; then + if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + launcher_PREP=${launcher_PREP:-aprun} + else + launcher_PREP=${launcher_PREP:-mpirun.lsf} + fi + if [ "$launcher_PREP" != 'cfp' -a "$launcher_PREP" != aprun ]; then + if [ -n ${LSB_HOSTS:-""} ]; then + NPROCS=$(echo $LSB_HOSTS|wc -w) + set +x; echo "Setting NPROCS based on LSB_HOSTS count"; set -x + else + NPROCS=${NPROCS:-$NSPLIT} + fi + if [ $NPROCS -lt $NSPLIT ]; then + set +x +echo "********************************************************************" +echo " P R O B L E M ! ! ! " +echo "********************************************************************" +echo " NPROCS=$NPROCS IS NOT SUFFICIENT FOR NSPLIT=$NSPLIT " +echo " NPROCS must be greater than NSPLIT when using a " +echo " parallel processing launcher other than cfp " +echo "********************************************************************" + set -x + msg="***FATAL ERROR: Insufficient NPROCS for NSPLIT=$NSPLIT" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + $DATA/err_exit + exit 55 # for extra measure + fi + fi + elif [ "$BACK" = 'YES' ] ; then + NPROCS=$NSPLIT + fi +# fi for PREPDATA != YES +fi + +USHSYND=${USHSYND:-${HOMEobsproc_prep}/ush} +USHPREV=${USHPREV:-${HOMEobsproc_prep}/ush} +USHCQC=${USHCQC:-${HOMEobsproc_prep}/ush} +USHPQC=${USHPQC:-${HOMEobsproc_prep}/ush} +USHVQC=${USHVQC:-${HOMEobsproc_prep}/ush} +USHAQC=${USHAQC:-${HOMEobsproc_prep}/ush} +USHOIQC=${USHOIQC:-${HOMEobsproc_prep}/ush} + +EXECPREP=${EXECPREP:-${HOMEobsproc_prep}/exec} +PARMPREP=${PARMPREP:-${HOMEobsproc_network}/parm} +FIXPREP=${FIXPREP:-${HOMEobsproc_prep}/fix} +DICTPREP=${DICTPREP:-${NWROOTp1}/decoders/decod_shared/dictionaries} + +EXECSYND=${EXECSYND:-${HOMEobsproc_prep}/exec} +PARMSYND=${PARMSYND:-${HOMEobsproc_network}/parm} +FIXSYND=${FIXSYND:-${HOMEobsproc_prep}/fix} + +GETGUESS=${GETGUESS:-YES} +if [ "$GETGUESS" = 'YES' ]; then + USHGETGES=${USHGETGES:-${HOMEobsproc_prep}/ush} + if [ "$NEMSIO_IN" = .true. ]; then + GETGESprep=${GETGESprep:-$USHGETGES/getges.sh} + else + GETGESprep=${GETGESprep:-$USHGETGES/getges_sig.sh} + fi +fi + +PREPDATA=${PREPDATA:-YES} + +SYNDATA=${SYNDATA:-YES} + +DO_QC=${DO_QC:-YES} + +PREVENTS=${PREVENTS:-NO} +CQCBUFR=${CQCBUFR:-YES} +PROFCQC=${PROFCQC:-YES} +CQCVAD=${CQCVAD:-YES} +PREPACQC=${PREPACQC:-YES} +PROCESS_ACQC=${PROCESS_ACQC:-YES} +PROCESS_ACPF=${PROCESS_ACPF:-YES} +OIQCBUFR=${OIQCBUFR:-YES} + +MPCOPYX=${MPCOPYX:-$EXECPREP/prepobs_mpcopybufr} +PRPX=${PRPX:-$EXECPREP/prepobs_prepdata} +errPREPDATA_limit=${errPREPDATA_limit:-0} +PRPC=${PRPC:-$PARMPREP/prepobs_prepdata.${NET}.parm} +PRPT=${PRPT:-$FIXPREP/prepobs_prep.bufrtable} +cp $PRPT prep.bufrtable +LANDC=${LANDC:-$FIXPREP/prepobs_landc} +if [ "$NET" = 'gdas' -o "$NET" = 'gfs' ]; then + PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.global} +elif [ "$NET" = 'cdas' ]; then + PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.cdas} +elif [ "$NET" = 'nam' ]; then + PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.nam} +else + cp /dev/null $DATA/scratch.PRVT + PRVT=${PRVT:-$DATA/scratch.PRVT} +fi +LISTHDX=${LISTHDX:-$EXECPREP/prepobs_listheaders} +MONOBFRX=${MONOBFRX:-$EXECPREP/prepobs_monoprepbufr} +SYNDX=${SYNDX:-$EXECSYND/syndat_syndata} +SYNDC=${SYNDC:-$PARMSYND/syndat_syndata.${NET}.parm} +PREX=${PREX:-$EXECPREP/prepobs_prevents} +PREC=${PREC:-$PARMPREP/prepobs_prevents.${NET}.parm} +AQCX=${AQCX:-$EXECPREP/prepobs_prepacqc} +AQCC=${AQCC:-$PARMPREP/prepobs_prepacqc.${NET}.parm} +APFX=${APFX:-$EXECPREP/prepobs_prepacpf} +DICT=${DICT:-$DICTPREP/metar.tbl} +PQCX=${PQCX:-$EXECPREP/prepobs_profcqc} +PQCC=${PQCC:-$PARMPREP/prepobs_profcqc.${NET}.parm} +VQCX=${VQCX:-$EXECPREP/prepobs_cqcvad} +CQCX=${CQCX:-$EXECPREP/prepobs_cqcbufr} +CQCC=${CQCC:-$PARMPREP/prepobs_cqcbufr.${NET}.parm} +CQCS=${CQCS:-$FIXPREP/prepobs_cqc_statbge} +OIQCX=${OIQCX:-$EXECPREP/prepobs_oiqcbufr} +if [ "$NET" = 'cdas' ]; then + OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas} +else + OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs} +fi +TIMEIT=${TIMEIT:-""} +[ -s $DATA/time ] && TIMEIT="$DATA/time -p" + + +# See if tropical cyclone relocation previously ran for this network and cycle +# by checking for status file in first in $tstsp, and if not found there, +# then in $COMSP +# ---------------------------------------------------------------------------- + +relo_rec=no # this will remain no even if relocation run, in the event it did + # not process an tropical cyclone records +if [ -s ${tstsp}tropcy_relocation_status.$tmmark ]; then + RELOCATION_HAS_RUN=YES + msg="Tropical cyclone RELOCATION RAN prior to this job - \ +`cat ${tstsp}tropcy_relocation_status.$tmmark`" + [ "`cat ${tstsp}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ + && relo_rec=yes +elif [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then + RELOCATION_HAS_RUN=YES + msg="Tropical cyclone RELOCATION RAN prior to this job - \ +`cat ${COMSP}tropcy_relocation_status.$tmmark`" + [ "`cat ${COMSP}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ + && relo_rec=yes +else + RELOCATION_HAS_RUN=NO + msg="Tropical cyclone RELOCATION did NOT run prior to this job" +fi +[ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + +if [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then + if [ "$SENDDBN" = "YES" ]; then + if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then + RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) + $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job \ + ${COMSP}tropcy_relocation_status.$tmmark + fi + fi +fi + +if [ "$RELOCATION_HAS_RUN" != 'YES' -a "$GETGUESS" != 'NO' ]; then + + if [ $cyc = 00 -o $cyc = 06 -o $cyc = 12 -o $cyc = 18 ]; then + +# The GFS and GDAS networks at 00, 06, 12 and 18z will get the t-3 and t+3 +# atmos guess files here since they are needed by the GSI even if tropical +# cyclone relocation was not previously performed (RELOCATION_HAS_RUN=NO) +# (NOTE 1: Normally RELOCATION_HAS_RUN=YES for these networks) +# (NOTE 2: If RELOCATION_HAS_RUN=YES, the t-3 and t+3 atmos guess files have +# already been obtained for all networks including the GFS and GDAS) +# (NOTE 3: This is not done if GETGUESS is NO) +# + + if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then + for fhr in -3 +3 ;do + if [ "$NEMSIO_IN" = .true. ]; then + if [ $fhr = "-3" ] ; then + sges=sgm3prep + stype=natgm3 + echo $sges + else + sges=sgp3prep + stype=natgp3 + echo $sges + fi + else + if [ $fhr = "-3" ] ; then + sges=sgm3prep + stype=siggm3 + echo $sges + else + sges=sgp3prep + stype=siggp3 + echo $sges + fi + fi + if [ ! -s $sges ]; then + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Tropical cylone relocation HAS NOT previously run" +echo " Get global atmospheric GUESS valid for $fhr hrs relative to center" +echo " PREPBUFR processing date/time" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + $GETGESprep -e $envir_getges -n $network_getges \ + -v $CDATE10 -t $stype $sges + errges=$? + if test $errges -ne 0; then +# problem obtaining global atmospheric first guess so exit + set +x + echo + echo "problem obtaining global atmos guess valid $fhr hrs \ +relative to center PREPBUFR date/time;" + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + set +x + echo +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + fi + done + fi + fi + +elif [ "$RELOCATION_HAS_RUN" = 'YES' ]; then + +# If Tropical cyclone relocation previously ran for this network and cycle +# copy the t-3, t+0 and t+3 atmos guess files and the tcvitals_relocate file +# from either $tstsp or, if not found there, $COMSP to working directory +# (Note: tcvitals_relocate file can be empty, but it must exist) +# -------------------------------------------------------------------------- + + qual_last=".$tmmark" # need this because gfs and gdas don't add $tmmark + # qualifier to end of output atmos guess files + [ $NET = gfs -o $NET = gdas ] && qual_last="" + for file in sgm3prep sgesprep sgp3prep tcvitals.relocate.$tmmark; do + case $file in + tcvitals.relocate.$tmmark) infile=$file; qual_last="";; # already has $tmmark at end + sgm3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgm3.nemsio;else infile=$file;fi;; + sgesprep) if [ "$NEMSIO_IN" = .true. ];then infile=atmges.nemsio;else infile=$file;fi;; + sgp3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgp3.nemsio;else infile=$file;fi;; + esac + if [ -s ${tstsp}${infile}${qual_last} ]; then + cp ${tstsp}${infile}${qual_last} $file + continue + elif [ -s ${COMSP}${infile}${qual_last} ]; then + cp ${COMSP}${infile}${qual_last} $file + continue + else + if [ $file = tcvitals.relocate.$tmmark ]; then + if [ -f ${tstsp}$file ]; then + > $file + continue + elif [ -f ${COMSP}$file ]; then + > $file + continue + fi + fi + fi +# either t-3,t+0 or t+3 atmos guess file or the tcvitals_relocate file not +# found in expected location so exit + set +x + echo + echo "$file file not found in expected location where it should have \ +populated by earlier tropical cyclone relocation processing" + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + done + cp tcvitals.relocate.$tmmark tcvitals + if [ $relo_rec = yes ]; then # come here if relocation ran and processed + # 1 or more records, means it updated + # sgesprep + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" +echo " Global atmospheric GUESS valid for 0 hrs relative to center" +echo " PREPBUFR processing date/time was generated by" +echo " previous tropical cyclone relocation processing" +echo " It will be encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + else # come here if relocation ran but did not + # process any records, means it did not update + # sgesprep (sgesprep obtained via getges used) + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" +echo " Global atmospheric GUESS valid for 0 hrs relative to center" +echo " PREPBUFR processing date/time was obtained via GETGES" +echo " It will be encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + fi + +fi + +############################################################################### +# POSSIBLY OBTAIN GBL ATMOS GUESS FILE(S) FOR LATER ENCODING INTO PREPBUFR FILE +############################################################################### + +if [ "$PREPDATA" = 'YES' -o "$SYNDATA" = 'YES' -o "$PREVENTS" = 'YES' ]; then + + SGES=/dev/null + SGESA=/dev/null + > sgesprep_pathname + > sgesprepA_pathname + + if [ "$GETGUESS" != 'NO' ]; then + +# Either ... +# If the global background guess will be nemsio-based -OR- if the global +# background guess will be sigio-based and the center PREPBUFR processing +# date/time is a multiple of 3-hrs, then get a global atmospheric guess valid +# at the center PREPBUFR processing date/time - this will be interpolated to +# observation locations by PREPDATA and encoded into the PREPBUFR file for +# use by the q.c. programs; if a non-zero length file sgesprep exists in the +# working directory, then this guess is used - otherwise: the GETGES utility +# is executed to obtain the global atmospheric guess file here +# +# (NOTE 1: a pre-existing sgesprep file in the working directory at this +# point was either: +# copied there prior to the execution of this script +# or +# copied there earlier in this script from either $tstsp, or if +# not found there, $COMSP which was populated by the previous +# running of tropical cyclone relocation processing +# (NOTE 2: If imported variable GETGUESS=NO, then bypass this step - a +# global atmos guess valid at center PREPBUFR time is not obtained) +# +# -- or -- +# +# (AND THIS APPLIES ONLY TO A GLOBAL SIGIO-BASED GUESS!!) +# +# If center PREPBUFR processing date/time is not a multiple of 3-hrs -AND- +# global guess is sigio-based, then get a global sigma guess valid at the +# nearest cycle time prior to the center PREPBUFR processing date/time which +# is a multiple of 3, then get a global sigma guess valid at the nearest +# cycle time after the center PREPBUFR processing date/time which is a +# multiple of 3 - the spectral coefficients will be linearly interpolated to +# the center PREPBUFR processing date/time by the program PREPOBS_PREPDATA +# and this guess will then be interpolated to observation locations (again by +# the program PREPOBS_PREPDATA) and encoded into the PREPBUFR file for use by +# the q.c. programs; if a non-zero length file sgesprep exists in the working +# directory, then this guess is used for time prior to the center PREPBUFR +# processing date/time - otherwise: the utility ush GETGES is executed to +# obtain the global atmos guess file here (will always be from GFS network); +# +# likewise if a non-zero length file sgesprepA exists in the working +# directory, then this guess is used for time after the center PREPBUFR +# processing date/time - otherwise: the utility ush GETGES is executed to +# obtain the global atmos guess file here (will always be from the GFS +# network and initiate at the same time as the guess file valid prior to the +# PREPBUFR processing date/time) +# +# (NOTE 1: a pre-existing sgesprep file in the working directory at this +# point was either: +# copied there prior to the execution of this script +# or +# copied there earlier in this script from either $tstsp, or if +# not found there, $COMSP which was populated by the previous +# running of tropical cyclone relocation processing +# (NOTE 2: a pre-existing sgesprepA file in the working directory at this +# point was copied there prior to the execution of this script - +# it could not have been copied from either $tstsp or $COMSP +# because previous tropical cyclone relocation processing can run +# only when the center tropical cyclone relocation (or PREPBUFR) +# processing date/time is a multiple of 3) +# (NOTE 3: this case is necessary because the gblevents subroutine used to +# add background forecast values to the prepbufr file expects sigio- +# based files to be valid only at hours that are a multiple of 3) +# (NOTE 4: if imported variable GETGUESS=NO, then bypass this step - a +# global atmos guess valid at center PREPBUFR time is not obtained) +# ---------------------------------------------------------------------- + + for sfx in "" A; do + if [ ! -s sgesprep${sfx} ]; then + fhr=any + if [ "$NEMSIO_IN" = .true. ]; then + dhr=0 + stype=natges + else + dhr=`expr 0 - $modhr` + stype=sigges + fi + if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then + [ "$sfx" = 'A' ] && break + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Either center PREPBUFR processing date/time is a multiple of 3-hrs" +echo " -OR-" +echo " global guess is nemsio-based" +echo " Use GETGES to get global sigio-based or nemsio-based GUESS valid for" +echo " 0 hrs relative to center PREPBUFR processing date/time" +echo " Will be encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + else + if [ "$sfx" = 'A' ]; then + typeset -Z2 fhr + fhr=`awk -F"sf" '{print$2}' sgesprep_pathname | cut -c1-2` + fhr=`expr $fhr + 03` + dhr=`expr 3 - $modhr` + fi + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Center PREPBUFR processing date/time is not a multiple of 3-hrs" + if [ "$sfx" != 'A' ]; then +echo " Get global atmos GUESS valid at the nearest cycle time prior to" + else +echo " Get global atmos GUESS valid at the nearest cycle time after" + fi +echo " center PREPBUFR processing date/time which is a multiple of 3" +echo " Will be used to generate an interpolated guess which will be" +echo " encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + fi + $GETGESprep -e $envir_getges -n $network_getges -t $stype\ + -f $fhr -v `${NDATE} $dhr $CDATE10` > sgesprep${sfx}_pathname + errges=$? + if test $errges -ne 0 + then +# problem obtaining global sigio-based or nemsio-based guess - exit if center +# PREPBUFR processing date/time is a multiple of 3-hrs or if global guess is +# nemsio-based, otherwise continue running but set GETGUESS=NO meaning a +# first guess will NOT be encoded in PREPBUFR file + if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then + if [ "$NEMSIO_IN" = .true. ]; then + set +x + echo +echo "problem obtaining global nemsio-based guess;" + else + set +x + echo +echo "problem obtaining global sigio-based guess valid 0 hrs relative to \ +center PREPBUFR date/time;" + fi +echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + else + set +x + echo +echo "problem obtaining global atmos guess valid at the nearest cycle time " + if [ "$sfx" != 'A' ]; then +echo "prior to center PREPBUFR processing date/time which is a multiple of 3" + else +echo "after center PREPBUFR processing date/time which is a multiple of 3" + fi +echo "will continue running but a GUESS will NOT be encoded in PREPBUFR file!!" + echo + set -x + msg="PROBLEM OBTAINING ONE OR BOTH SPANNING ATMOS GUESS \ +FILES, GUESS NOT ENCODED IN PREPBUFR FILE --> non-fatal" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + GETGUESS=NO + SGES=/dev/null + SGESA=/dev/null + > sgesprep + > sgesprepA + > sgesprep_pathname + > sgesprepA_pathname + break + fi + fi + cp `cat sgesprep${sfx}_pathname | awk '{ print $1 }'` sgesprep${sfx} + set +x + echo +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + else + if [ $RELOCATION_HAS_RUN = YES ]; then + +# If relocation ran, then ${sfx} is always "" (null) because relocation will +# only run on center times that are a multiple of 3-hrs - come here to +# store the pathname for the sgesprep file in sgesprep${sfx}_pathname - +# note that it will not be stored here if relocation did not process any +# records, i.e., it did not update the guess, because it was already stored +# in tropcy_relocate.sh (with the getges path) +# -------------------------------------------------------------------------- + + qual_last=".$tmmark" # need this because gfs and gdas don't add + # $tmmark qualifer to end of output atmos + # guess files + [ $NET = gfs -o $NET = gdas ] && qual_last="" + if [ "$NEMSIO_IN" = .true. ]; then + gesbase="atmges.nemsio" + else + gesbase="sgesprep" + fi + if [ -s ${tstsp}${gesbase}${qual_last} ]; then + echo "${tstsp}${gesbase}${qual_last}" > sgesprep${sfx}_pathname + elif [ -s ${COMSP}${gesbase}${qual_last} ]; then + echo "${COMSP}${gesbase}${qual_last}" > sgesprep${sfx}_pathname + fi + else + +# If relocation did not run, then the guess files in $DATA were copied there +# prior to the execution of this script by the user - just echo the path +# to this guess file in $DATA into sgesprep${sfx}_pathname +# -------------------------------------------------------------------------- + + echo "$DATA/sgesprep${sfx}" > sgesprep${sfx}_pathname + fi + fi + eval SGES${sfx}=$DATA/sgesprep${sfx} + done + fi +fi + +################################ +# EXECUTE PREPDATA PROCESSING +################################ + +if [ "$PREPDATA" = 'YES' ]; then + + cd $DATA + +set +u + if [ -z "$PREPBUFR_APP" -o "$PARALLEL" = 'YES' ]; then +set -u + if [ ! -s ${tstsp}status.${tmmark}.bufr_d -a \ + ! -s ${COMSP}status.${tmmark}.bufr_d ]; then + +#########if [ \( ! -s ${tstsp}status1.${tmmark}.bufr_d -o \ +######### ! -s ${tstsp}status2.${tmmark}.bufr_d \) -a \ +######### \( ! -s ${COMSP}status1.${tmmark}.bufr_d -o \ +######### ! -s ${COMSP}status2.${tmmark}.bufr_d \) ]; then + if [ ! -s ${tstsp}status1.${tmmark}.bufr_d -a \ + ! -s ${COMSP}status1.${tmmark}.bufr_d ]; then + +# problem: status file not found - indicates some or all data dumps were not +# found (produced) for requested time ... +# If highest level directory pointing to input BUFR observational +# data dumps is /com or /com2 then EXIT (assumes all data dumps are +# required) +# Otherwise, just echo a diagnostic (assumes only some data dumps are +# required) +# ---------------------------------------------------------------------------- + +echo +echo "Some or all BUFR data dumps were not found for requested time ... " +echo + set -x + + if [[ "$COMSP" =~ (^/com/|^/com2/|^/gpfs/.../nco/ops/com/) && \ + "$tstsp" =~ (^/tmp/null) ]]; then + set +x +echo +echo "ABNORMAL EXIT!!!!!!!!!!!" +echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + fi + fi + + echo $BUFRLIST | grep adpsfc + grp_adpsfc=$? + echo $BUFRLIST | grep adpupa + grp_adpupa=$? + if [ \( ! -f ${COMSP}adpsfc.${tmmark}.bufr_d -a \ + ! -f ${tstsp}adpsfc.${tmmark}.bufr_d -a $grp_adpsfc -eq 0 \) -o \ + \( ! -f ${COMSP}adpupa.${tmmark}.bufr_d -a \ + ! -f ${tstsp}adpupa.${tmmark}.bufr_d -a $grp_adpupa -eq 0 \) ] + then + +# problem: either adpsfc (surface land) or adpupa (raob/pibal/recco) file, or +# both, not found for requested time - this is unacceptable; EXIT +# (unless the culprit file was not included in the $BUFRLIST) +# --------------------------------------------------------------------------- + + set +x +echo +echo "ADPSFC and/or ADPUPA BUFR data dump was not produced for requested" +echo " time (but is in BUFRLIST); ABNORMAL EXIT!!!!!!!!!!!" +echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + + fi + + for name in ${BUFRLIST} ;do + > $name + if [ -f ${tstsp}${name}.${tmmark}.bufr_d ]; then + cp ${tstsp}${name}.${tmmark}.bufr_d $name + elif [ -s ${COMSP}${name}.${tmmark}.bufr_d ]; then + cp ${COMSP}${name}.${tmmark}.bufr_d $name + fi + done + + > prep_exec.cmd + + > prepda.${cycle} + + echo " $CDATE10" > cdate10.dat + +# If GETGUESS=YES, then either ... +# a global sigio-based guess file valid at the center PREPBUFR processing +# date/time which is a multiple of 3-hrs is valid at this point +# -- or -- +# global sigio-based guess files valid at times which are multiples of 3-hrs +# and span the center PREPBUFR processing date/time which is NOT a multiple of +# 3-hrs are available and valid at this point +# -- or -- +# a global nemsio-based guess file valid at the center PREPBUFR processing +# date/time for any hour is valid at this point + +# In any case, namelist "GBLEVN" with PREVEN=T is cat'ed to the beginning +# of the PREPOBS_PREPDATA program data cards file - this means +# PREPOBS_PREPDATA will call w3emc routine GBLEVENTS to do the "prevents" +# processing (otherwise PREVEN=F by default) + + > prepdata.stdin + [ "$GETGUESS" != 'NO' ] && echo " &gblevn preven=true /" >>prepdata.stdin + cat $PRPC >> prepdata.stdin + +# Check contents of *aircar_status_flag* file in $tstsp, or if not found there, +# $COMSP path - this was generated by previous bufr_dump_obs.sh script: if it +# exists and indicates that there were more AFWA (backup) ACARS reports than +# ARINC (primary) ACARS reports in the AIRCAR dump, then skip processing of +# ARINC ACARS messages in PREPOBS_PREPDATA (meaning process ONLY AFWA ACARS +# messages); otherwise, as is usually the case, skip processing of AFWA ACARS +# messages (meaning process only ARINC ACARS messages in PREPOBS_PREPDATA) + + echo " SUBSKP(004,007) = TRUE," > insert + if [ -s ${tstsp}aircar_status_flag.${tmmark}.bufr_d ]; then + grep -q -Fe "004.007" ${tstsp}aircar_status_flag.${tmmark}.bufr_d + err_grep=$? + if [ $err_grep -eq 0 ]; then + echo " SUBSKP(004,004) = TRUE," > insert + msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ +backup AFWA ACARS into PREPBUFR" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + fi + elif [ -s ${COMSP}aircar_status_flag.${tmmark}.bufr_d ]; then + grep -q -Fe "004.007" ${COMSP}aircar_status_flag.${tmmark}.bufr_d + err_grep=$? + if [ $err_grep -eq 0 ]; then + echo " SUBSKP(004,004) = TRUE," > insert + msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ +backup AFWA ACARS into PREPBUFR" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + fi + fi + + grep -q -Fe "`cat insert`" prepdata.stdin + err_grep=$? + if [ $err_grep -ne 0 ]; then + nlines=`cat < prepdata.stdin | wc -l` + line=`grep -n -Fe "&LDTA" prepdata.stdin | cut -f1 -d:` + head -n $line prepdata.stdin > top_part + mlines=`expr $nlines - $line` + tail -n $mlines prepdata.stdin > bottom_part + [ $mlines -gt 2 ] && cat top_part insert bottom_part > prepdata.stdin + rm top_part bottom_part + fi + rm insert + + +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## +## HEREFILE MP_PREPDATA ## +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## + +set +x +cat <<\EOFmpp > MP_PREPDATA + +{ echo + +# This herefile script performs the "prepdata" processing. It is designed to +# run in either a parallel (e.g., poe/mpi or background threads) or serial +# environment. In the parallel environment, it first splits the input BUFR +# data dump files into $NSPLIT equally-sized parts (analogous to dealing +# multiple sets of cards to $NSPLIT players, where each set of cards is a +# different BUFR data dump file). Next, in either the parallel or serial +# environment, it runs PREPOBS_PREPDATA to write out prepbufr files (either +# a single complete file in the serial environment or $NSPLIT partial +# PREPBUFR files in the parallel environment). Finally, it generates a list of +# PREPBUFR message headers which, in the parallel environment, is needed to +# later merge the partial PREPBUFR files together in the proper order. +# +# IMPORTANT: This script assumes that the BUFR data dump files it is to +# process have been copied into the $DATA directory and that each +# file name is the same as in $BUFRLIST. It also assumes that the +# NCEP production date file is present in the $DATA directory and +# that it is called cdate10.dat. Finally, it assumes that the +# PREPOBS_PREPDATA program data cards (parm) file is present in the +# $DATA directory and it is called prepdata.stdin +# ----------------------------------------------------------------------------- +# +# Positional parameters passed in: +# 1 - Stream index ($multi) (0 to $NSPLIT-1) +# +# Imported variables that must be passed in: +# DATA - path to working directory +# PARALLEL - indicates whether or not this script is running in a parallel +# (e.g., poe/mpi or background threads) or serial environment +# "YES" - running in a parallel environment; "NO" running in a +# serial environment) +# NSPLIT number of parts into which the input BUFR data dump files are to +# be evenly divided (applicable only when PARALLEL is "YES") +# BUFRLIST - list of BUFR data dump files to process +# MPCOPYX - path to PREPOBS_MPCOPYBUFR program executable +# PRPT - path to PREPOBS_PREPDATA bufrtable file +# LANDC - path to land/sea mask file +# SGES - path to COPY OF global sigio-based or nemsio-based first guess +# file valid at either center PREPBUFR processing date/time or, +# for global sigio-based guess only, nearest 3-hrly cycle time +# prior to center PREPBUFR processing date/time +# SGESA - path to COPY OF global sigio-based guess file valid at nearest +# 3-hrly cycle AFTER center PREPBUFR processing date/time (if +# needed, otherwise /dev/null). Only used if SGES is valid at +# 3-hrly cycle time PRIOR to center PREPBUFR processing date/time +# (and thus not used if NEMSIO_IN=.true.) +# PRVT - path to observation error table file +# PRPX - path to PREPOBS_PREPDATA program executable +# LISTHDX - path to PREPOBS_LISTHEADERS program executable + +set -aux +multi=$1 + +data=$DATA/multi$multi + +if [ ! -d $DATA/multi$multi ] ; then + mkdir -p $DATA/multi$multi +fi + +status=$data/mstatus ; > $status +mp_pgmout=$data/mp_pgmout ; > $mp_pgmout + + +{ echo +set +x +echo +echo "********************************************************************" +echo "This is stream (task/thread) $multi executing on node `hostname -s`" +echo "Starting time: `date`" +echo "********************************************************************" +echo +set -x +} >> $mp_pgmout + +cd $data + +if [ "$PARALLEL" = 'YES' ]; then + + n=0 + + pgm=`basename $MPCOPYX` +#-----mimics prep_step----- + set +x + echo $pgm > pgmname + set +u + [ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" + set -u + [ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout + rm pgmname + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` + [ -s $DATA/tracer ] && cat $DATA/tracer > errfile + set -x +#-------------------------- + + for name in ${BUFRLIST[*]} ;do + > $name + if [ -s $DATA/$name ] ; then + ((n+=1)) + export FORT$((10+n))=$DATA/$name + export FORT$((50+n))=$name + fi + done + + cat<> $mp_pgmout 2>&1 + &namin nfiles=$n / + &mp nprocs=$NSPLIT,mp_process=$multi / +EOF + err=$? + set +x + echo + echo "The foreground exit status for PREPOBS_MPCOPYBUFR is " $err + echo + set -x + + [ "$err" -gt '0' ] && exit + + dump_dir=$data + +else + + dump_dir=$DATA + +# fi for $PARALLEL = YES +fi + + +pgm=`basename $PRPX` +#-----mimics prep_step----- +set +x +echo $pgm > pgmname +set +u +[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" +set -u +[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout +rm pgmname +[ -f errfile ] && rm errfile +unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +[ -s $DATA/tracer ] && cat $DATA/tracer > errfile +set -x +#-------------------------- + +set +u +[ -n "$PREPBUFR_APP" -a "$PARALLEL" = 'NO' ] && \ + cp $PREPBUFR_APP prepda +set -u + +# Namelist "TASK" with mp_process set to the value of $multi - either the poe/ +# mpi task number (for POE not equal to "NO") or to the background thread +# number (for BACK equal to "YES") in the parallel environment, or hardwired +# to zero in the serial environment, is cat'ed to the beginning of the +# PREPOBS_PREPDATA program data cards (parm) file - this will allow +# PREPOBS_PREPDATA to identify this stream + +> prepdata.stdin +echo " &task mp_process=$multi /" >>prepdata.stdin +cat $DATA/prepdata.stdin >> prepdata.stdin + +BUFRLIST_all="adpupa aircar aircft satwnd proflr vadwnd rassda adpsfc sfcshp \ + sfcbog msonet spssmi erscat qkswnd wdsatr ascatw rtovs atovs goesnd gpsipw" +###BUFRLIST_all_array=($BUFRLIST_all) # this does not work on all platforms +set -A BUFRLIST_all_array `echo $BUFRLIST_all` # this works on all platforms + + +# Any dump file not included in BUFRLIST is "touched" so that it will not +# cause a read error in the event that PREPOBS_PREPDATA still tries to read it + +for name in $BUFRLIST_all;do +[ ! -f $dump_dir/$name ] && > $dump_dir/$name +done + +export FORT11=$DATA/cdate10.dat +export FORT12=$PRPT +export FORT15=$LANDC +## export FORT18=$SGES +## export FORT19=$SGESA + +# The PREPOBS_PREPDATA code opens GFS spectral coefficient guess files using +# sigio routines or GFS gaussian grid guess files using nemsio routines (via +# W3EMC routine GBLEVENTS) in a manner that may not recognize the FORTxx +# variables above. So, the above statements setting FORTxx vars for $SGES and +# $SGESA are replaced by the soft links below. + +ln -sf $SGES fort.18 +ln -sf $SGESA fort.19 +export FORT20=$PRVT +export FORT21=$dump_dir/${BUFRLIST_all_array[0]} +export FORT22=$dump_dir/${BUFRLIST_all_array[1]} +export FORT23=$dump_dir/${BUFRLIST_all_array[2]} +export FORT24=$dump_dir/${BUFRLIST_all_array[3]} +export FORT25=$dump_dir/${BUFRLIST_all_array[4]} +export FORT26=$dump_dir/${BUFRLIST_all_array[5]} +export FORT27=$dump_dir/${BUFRLIST_all_array[6]} +export FORT31=$dump_dir/${BUFRLIST_all_array[7]} +export FORT32=$dump_dir/${BUFRLIST_all_array[8]} +export FORT33=$dump_dir/${BUFRLIST_all_array[9]} +export FORT34=$dump_dir/${BUFRLIST_all_array[10]} +export FORT35=$dump_dir/${BUFRLIST_all_array[11]} +export FORT36=$dump_dir/${BUFRLIST_all_array[12]} +export FORT37=$dump_dir/${BUFRLIST_all_array[13]} +export FORT38=$dump_dir/${BUFRLIST_all_array[14]} +export FORT39=$dump_dir/${BUFRLIST_all_array[15]} +export FORT41=$dump_dir/${BUFRLIST_all_array[16]} +export FORT42=$dump_dir/${BUFRLIST_all_array[17]} +export FORT46=$dump_dir/${BUFRLIST_all_array[18]} +export FORT48=$dump_dir/${BUFRLIST_all_array[19]} +export FORT51=prepda +export FORT52=prevents.filtering.prepdata + +#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) +#If program ever fails, try changing 64000000 to 20000000 +#set +u +#[ -n "$LOADL_PROCESSOR_LIST" ] && XLSMPOPTS=parthds=2:stack=64000000 +#set -u + +# The following improves performance on Cray-XC40 if $PRPX was +# linked to the IOBUF i/o buffering library +export IOBUF_PARAMS='*prevents.filtering.prepdata:verbose' + +$TIMEIT $PRPX >$mp_pgmout 2>&1 +errPREPDATA=$? +unset IOBUF_PARAMS +cat prevents.filtering.prepdata >> $mp_pgmout +set +x +echo +echo "The foreground exit status for PREPOBS_PREPDATA is " $errPREPDATA +echo +set -x + +[ "$errPREPDATA" -gt '4' -o "$errPREPDATA" -eq '1' ] && exit + +# Will execute PREPOBS_LISTHEADERS even if PARALLEL is "NO", because it will +# reorder the monolithic PREPBUFR file to ensure that all messages of the same +# subtype will always be grouped together in sequential messages, arranged in +# the order found in $PRPT (Note: This is a necessity when PARALLEL is "YES" +# because the later program PREPOBS_MONOPREPBUFR must merge the $NSPLIT +# individual (partial) PREPBUFR files together in the proper order) + + +# Build listhdx.stdin from bufrtable entries of possible message headers first +# line is count, followed by list + +grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|wc -l|tee listhdx.stdin +grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|tee -a listhdx.stdin + +pgm=`basename $LISTHDX` +#-----mimics prep_step----- +set +x +echo $pgm > pgmname +set +u +[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" +set -u +[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout +rm pgmname +[ -f errfile ] && rm errfile +unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +[ -s $DATA/tracer ] && cat $DATA/tracer > errfile +set -x +#-------------------------- + +export FORT11=prepda +export FORT51=prepda.reorder +export FORT52=prepda.hdrs + +$TIMEIT $LISTHDX < listhdx.stdin >>$mp_pgmout 2>&1 +err=$? +cat prepda.hdrs +set +x +echo +echo "The foreground exit status for PREPOBS_LISTHEADERS is " $err +echo +set -x + +[ "$err" -gt '0' ] && exit + +mv prepda.reorder prepda +rm listhdx.stdin + +echo "$multi finished -- errPREPDATA = $errPREPDATA" > $status + +{ echo +set +x +echo +echo "********************************************************************" +echo "Finished executing on node `hostname -s`" +echo "Ending time : `date`" +echo "********************************************************************" +echo +set -x +} >> $mp_pgmout + +} 1> $DATA/mp_stream${1}.stdout 2> $DATA/mp_stream${1}.errfile + +exit 0 +EOFmpp +set -x + +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## +## end of HEREFILE MP_PREPDATA ## +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## + + chmod 775 MP_PREPDATA + + if [ "$PARALLEL" = 'YES' ]; then + +# In the parallel environment, either cat the multiple MP_PREPDATA tasks +# into a poe command file (for poe/mpi/cfp) - or - set up a script that will +# fire off each MP_PREPDATA thread as a background process +# ----------------------------------------------------------------------- + if [ "$POE" != 'NO' ]; then + multi=-1 + while [ $((multi+=1)) -lt $NSPLIT ] ; do + echo "ksh $DATA/MP_PREPDATA $multi "|tee -a $DATA/prep_exec.cmd + done + if [ "$launcher_PREP" != cfp -a "$launcher_PREP" != aprun ]; then + # fill in empty tasks + multi=$((multi-=1)) #need to go back one + while [ $((multi+=1)) -lt $NPROCS ] ; do + echo "echo do-nothing" >> $DATA/prep_exec.cmd + done + fi + elif [ $BACK = 'YES' ] ; then + multi=-1 + echo "#!/bin/ksh" > $DATA/prepthrds.sh + while [ $((multi+=1)) -lt $NSPLIT ] ; do + echo "$DATA/MP_PREPDATA $multi &" >> $DATA/prepthrds.sh + echo "echo $DATA/MP_PREPDATA $multi submitted in background" \ + >> $DATA/prepthrds.sh + done + echo "wait" >> $DATA/prepthrds.sh + chmod 775 $DATA/prepthrds.sh + fi + +# In the parallel environment, next either execute the poe wrapper (for poe/ +# mpi/cfp) (do not execute a time command with poe!) - or - run prepthrds.sh +# to kick off background processes and wait for them to complete +# -------------------------------------------------------------------------- + if [ "$POE" != 'NO' ]; then + if [ "$launcher_PREP" = mpirun.lsf ]; then + export MP_CMDFILE=$DATA/prep_exec.cmd + export MP_PGMMODEL=mpmd + export MP_PULSE=0 + export MP_DEBUG_NOTIMEOUT=yes + export MP_LABELIO=yes + export MP_STDOUTMODE=ordered + mpirun.lsf + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + elif [ "$launcher_PREP" = cfp ]; then + export MP_CSS_INTERRUPT=yes + export MP_LABELIO=yes + export MP_STDOUTMODE=ordered + mpirun.lsf cfp $DATA/prep_exec.cmd + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + elif [ "$launcher_PREP" = aprun ]; then + ## Determine tasks per node (PREPDATAtpn) and + ## max number of concurrent procs (PREPDATAprocs) for cfp + typeset -i nodesall=$(echo -e "${LSB_HOSTS// /\\n}"|sort -u|wc -w) + typeset -i ncnodes=$(($nodesall-1)) # we want compute nodes only + if [ $ncnodes -lt 1 ]; then + set +x + echo + echo " ** Could not get positive compute node count for aprun **" + echo " ** Are we using LSF queue with compute node access? **" + echo + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + if [[ -z ${PREPDATAtpn:-""} ]]; then + PREPDATAtpn=$((($NSPLIT+$ncnodes-1)/$ncnodes)) + # cfp is faster with extra thread so add one if there is room. + # (this logic needs an update to avoid hardwired 24) + [ $PREPDATAtpn -lt 24 ] && PREPDATAtpn=$(($PREPDATAtpn+1)) + fi + if [[ -z ${PREPDATAprocs:-""} ]]; then + PREPDATAprocs=$(($ncnodes*$PREPDATAtpn)) # max concurrent processes + fi + aprun -j 1 -n${PREPDATAprocs} -N${PREPDATAtpn} -d1 cfp $DATA/prep_exec.cmd + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + else # unknown launcher and options (eg, for use on R&D system) + $launcher_PREP + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + fi + elif [ $BACK = 'YES' ] ; then + if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + aprun -n 1 -d $NSPLIT $DATA/prepthrds.sh + else + $DATA/prepthrds.sh + fi + fi + totalt=$NSPLIT + else + +# In the serial environment, just fire off a single thread of MP_PREPDATA +# ----------------------------------------------------------------------- + multi=0 + if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + aprun -n 1 -N 1 ksh $DATA/MP_PREPDATA $multi + else + $DATA/MP_PREPDATA $multi + fi + totalt=1 + + # fi for $PARALLEL = YES + fi + + set +x + multi=0 + while [ $multi -lt $totalt ]; do +echo +echo "********************************************************************" +echo " ++ Script STDOUT from MP_PREPDATA for stream (task/thread) $multi ++" +echo "********************************************************************" +echo + cat $DATA/mp_stream${multi}.stdout +echo "********************************************************************" +echo " ++ End of Script STDOUT from MP_PREPDATA for stream $multi ++ " +echo "********************************************************************" + multi=`expr $multi + 1` + done + +echo +echo "********************************************************************" +echo " ++ Script trace from MP_PREPDATA for stream (task/thread) 0 ++ " + if [ "$PARALLEL" = 'YES' ]; then +echo +echo " In order to conserve space, the script trace from other " +echo " streams is not invoked unless the stream failed. " + fi +echo "********************************************************************" +echo + + cat mp_stream0.errfile + +echo +echo "********************************************************************" +echo " ++ End of Script trace from MP_PREPDATA for stream 0 ++ " +echo "********************************************************************" +echo + set -x + +# check status files +# ------------------ + + errSTATUS=0 + errPREPDATA=0 + four_check=yes + multi=0 + while [ $multi -lt $totalt ]; do + cat $DATA/multi$multi/mp_pgmout >> prepdata.out + cat $DATA/multi$multi/mp_pgmout >> $pgmout + status=$DATA/multi$multi/mstatus + if [ ! -s $status ]; then + set +x +echo +echo "********************************************************************" +echo " P R O B L E M ! ! ! " +echo "********************************************************************" +echo " ###> MP_PREPDATA stream (task/thread) $multi FAILED - Cycle date: \ +$CDATE10" +echo " Current working directory: $DATA " +echo +echo " Script trace from MP_PREPDATA for stream $multi follows ... " +echo "********************************************************************" +echo + cat $DATA/mp_stream${multi}.errfile +echo +echo "********************************************************************" +echo " ++ End of Script trace from MP_PREPDATA for stream $multi ++ " +echo "********************************************************************" +echo + set -x + errSTATUS=99 + else + err_this=`cut -f 2 -d = $status` + [ "$err_this" -gt "$errPREPDATA" ] && errPREPDATA=$err_this + [ "$err_this" -eq '0' ] && four_check=no + fi + multi=`expr $multi + 1` + done + + if [ "$errSTATUS" -gt '0' ]; then + $DATA/err_exit + exit 55 # for extra measure + fi + + [ "$errPREPDATA" -eq '4' -a "$four_check" = 'no' ] && errPREPDATA=0 + + set +x + echo + echo "For all MP_PREPDATA Streams, the largest foreground exit status \ + amongst all PREPOBS_PREPDATA runs is " $errPREPDATA + echo + set -x + + if [ "$errPREPDATA" -le "$errPREPDATA_limit" -a $errPREPDATA -ne 1 ]; then + err=0 + if [ "$errPREPDATA" -eq '4' ]; then + set +x + echo + echo "WARNING: PREPOBS_PREPDATA FOUND EITHER NO ADPUPA OR NO ADPSFC DATA" + echo "-------- THESE DATA WILL NOT BE AVAILABLE TO ANALYSES" + echo + set -x + fi + else + err=$errPREPDATA + fi + + pgm=`basename $PRPX` + touch errfile + $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + + if [ "$PARALLEL" = 'YES' ]; then + +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## +## HEREFILE MERGE_MSGS ## +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## + +set +x +cat <<\EOFmrg > MERGE_MSGS + +# This herefile script merges the individual partial PREPBUFR files present at +# this point into a complete, monolithic PREPBUFR file in the proper message +# type order. It is the last step in the PREPDATA processing. It runs only +# in the parallel environment. +# ---------------------------------------------------------------------------- + +# Positional parameters passed in: +# 1 - Number of input partial PREPBUFR files that are going to be merged +# ($nfiles) +# 2 - Working directory path ($DATA) (contains separate partial PREPBUFR +# files and text files containing headers for each, one directory down) +# 3 - Beginning string of sub-directories in $DATA ($subdir) (each sub- +# directory contains an input partial PREPBUFR file and a text file +# containing headers for all messages in that PREPBUFR file) +# 4 - File in each sub-directory containing headers for all messages in +# partial PREPBUFR file in same sub-directory (file name only - same name +# in all sub-directories) ($header_file_name) +# 5 - Partial PREPBUFR file in each sub-directory (file name only - same name +# in all sub-directories) ($prep_in) +# 6 - Output monolithic PREPBUFR file name (file name only) ($prep_out) +# +# Imported variables that must be passed in: +# MONOBFRX - path to PREPOBS_MONOPREPBUFR program executable +# +# Imported variables that can be passed in: +# pgmout - string indicating path to for standard output file (skipped over +# by this script if not passed in) + + +if [ $# -ne 6 ] ; then + echo "Usage: $0 nfiles DATA subdir header_file_name prep_in prep_out" + exit 1 +fi + +set -aux + +qid=$$ + +nfiles=$1;DATA=$2;subdir=$3;header_file_name=$4;prep_in=$5;prep_out=$6 + + +# From all the header files, extract the header counts and names build +# namelist input to drive $MONOBFRX program +# --------------------------------------------------------------------- + +nheaders=`cat $DATA/${subdir}*/$header_file_name|awk '{print $1}'|sort -u|wc -l` +((nheaders+=0)) + +>$DATA/input echo +echo " &namin nfiles=$nfiles, nheaders=$nheaders," >>$DATA/input + +cd $DATA + + +# Assign the fort units to the files +# ----------------------------------- + +pgm=`basename $MONOBFRX` +if [ -s $DATA/prep_step ]; then + . $DATA/prep_step +else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +fi + + +n=-1 +while [ $((n+=1)) -lt $nfiles ] ;do + [ ! -s $DATA/${subdir}$n/$prep_in ] && exit 1 + export FORT$((11+n))=$DATA/${subdir}$n/$prep_in +done +export FORT51=$prep_out +set +x + + +# Extract the total span of headers by searching through all the header files +# --------------------------------------------------------------------------- + +n=-1 +while [ $((n+=1)) -lt $nfiles ]; do + file=$DATA/${subdir}$n/$header_file_name + [ ! -s $file ] && exit 1 + if [ `cat $file|awk '{print $1}'| \ + sort -u|wc -l` -eq $nheaders ] ; then + headers="" + nlines=`cat $file|wc -l` + i=0 + while [ $((i+=1)) -le $nlines ]; do + line=`sed -n $i,${i}p $file` + header=`echo $line|awk '{print $1}'` + echo " cheaders($i)='$header',">>$DATA/input + headers="$headers $header" + done + break + fi +done + + +# Tranlate the hdrs file contents into namelist array +# --------------------------------------------------- + +n=-1 +while [ $((n+=1)) -lt $nfiles ]; do + file=$DATA/${subdir}$n/$header_file_name + line= + i=0 + for hdr in $headers; do + ((i+=1)) + count=`grep $hdr $file|awk '{print $2}'` + set +u + [ -z "$count" ] && count=0 + set -u + line="${line}msgs($i,$((n+1)))=$count," + done + echo " $line " >>$DATA/input +done + +echo " &end" >>$DATA/input +set -x +cat $DATA/input + +$TIMEIT $MONOBFRX <$DATA/input > outout 2> errfile +export err=$? +###cat errfile +cat errfile >> outout +cat outout >> monoprepbufr.out +set +u +[ -n "$pgmout" ] && cat outout >> $pgmout +set -u +rm outout +set +x +echo +echo "The foreground exit status for PREPOBS_MONOPREPBUFR is " $err +echo +set -x +$DATA/err_chk +[ $err != 0 ] && exit 55 # for extra measure + +exit 0 +EOFmrg +set -x + +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## +## end of HEREFILE MERGE_MSGS ## +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## + + chmod 775 MERGE_MSGS + +# In parallel environment, make monolithic PREPBUFR file by meriging the +# partial PREPBUFR files +# ---------------------------------------------------------------------- + $TIMEIT $DATA/MERGE_MSGS $NSPLIT $DATA multi prepda.hdrs prepda \ + prepda.${cycle} + errsc=$? + if test $errsc -ne 0 + then +# problem with merge script + $DATA/err_exit + exit 55 # for extra measure + fi + else + +# In serial environment, already have a monolithic PREPBUFR file - just +# copy it to expected local monolithic PREPBUFR file location +# --------------------------------------------------------------------- + cp $DATA/multi0/prepda prepda.${cycle} + + # fi for $PARALLEL = YES + fi + +# fi for $PREPDATA = YES +fi + +set +u +[ -n "$PREPBUFR_IN" ] && cp $PREPBUFR_IN $DATA/prepda.${cycle} +set -u + + +############################################ +# EXECUTE SYNTHETIC CYCLONE DATA PROCESSING +############################################ + +if [ "$SYNDATA" = 'YES' ]; then + +# Check condition code - SDM can shut-off synthetic cyclone bogusing +# ------------------------------------------------------------------ +# ==> this switch is NOT YET in place, so it will be hardwired to "YES" + +###cp ???????????? syndata_cond + echo "YES" > syndata_cond + SYN=`cat tcvitals_orig_sort + sort tcvitals > tcvitals_sort + comm -23 tcvitals_orig_sort tcvitals_sort > tcvitals_removed + [ -s tcvitals_removed ] && run_syndat_twice=yes + fi + fi + + $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ + $DATA/tcvitals $CDATE10 + + if [ $run_syndat_twice = yes ]; then + +# Run SYNDATA a second time when switch "run_syndat_twice" was set to "yes" in +# above logic (see %% above) + + DO_BOGUS=NO + $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ + $DATA/tcvitals_removed $CDATE10 + fi + fi +fi + +[ "$PREPDATA" = 'YES' ] && cp prepda.${cycle} prepda.prepdata + + +########################################### +# EXECUTE GSI QUALITY-CONTROL PROCESSING +########################################### + +if [ "$DO_QC" = 'YES' ]; then + if [ "$PREVENTS" = 'YES' ];then + $TIMEIT $USHPREV/prepobs_prevents.sh $DATA/prepda.${cycle} $CDATE10 + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$CQCBUFR" = 'YES' ];then + $TIMEIT $USHCQC/prepobs_cqcbufr.sh $DATA/prepda.${cycle} + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$PROFCQC" = 'YES' ];then + $TIMEIT $USHPQC/prepobs_profcqc.sh $DATA/prepda.${cycle} + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$CQCVAD" = 'YES' ];then + $TIMEIT $USHVQC/prepobs_cqcvad.sh $DATA/prepda.${cycle} $CDATE10 + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$PREPACQC" = 'YES' ];then + $TIMEIT $USHAQC/prepobs_prepacqc.sh $DATA/prepda.${cycle} $DATA/adpsfc + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$OIQCBUFR" = 'YES' ];then + $TIMEIT $USHOIQC/prepobs_oiqcbufr.sh $DATA/prepda.${cycle} $CDATE10 + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi +fi + + +# Look for "OVERLARGE" subsets in stdout (print out of bufrlib when subset +# discarded because it is too big to fit in a BUFR message) -- post to +# jlogfile if appropriate + +msg=`grep "OVERLARGE SUBSET DISCARDED" $pgmout` +err=$? +if [ "$err" -eq '0' ]; then + set +x + echo + echo "$msg" + echo + set -x + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" +fi + +exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.txt new file mode 100644 index 0000000..7d83a25 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.txt @@ -0,0 +1 @@ +KEPREPBUFRSH=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/prepobs_makeprepbufr.sh diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/repobs_makeprepbufr.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/repobs_makeprepbufr.txt new file mode 100644 index 0000000..22e7705 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/repobs_makeprepbufr.txt @@ -0,0 +1 @@ +MAKEPREPBUFRSH=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/prepobs_makeprepbufr.sh From a893c25c2814113cc4c721d3179e2796288cd206 Mon Sep 17 00:00:00 2001 From: Terry McGuinness Date: Tue, 7 Nov 2017 20:23:50 +0000 Subject: [PATCH 207/487] dding post out of control scripts for fv3gfs wcoss_c --- .../wcoss_c/post/global_nceppost.sh | 501 ++++++++++++++++++ .../wcoss_c/post/global_nceppost.txt | 1 + 2 files changed, 502 insertions(+) create mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.sh create mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.txt diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.sh new file mode 100755 index 0000000..7d131ab --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.sh @@ -0,0 +1,501 @@ + +################################################################################ +#### UNIX Script Documentation Block +# . . +# Script name: global_nceppost.sh +# Script description: Posts the global pressure GRIB file +# +# Author: Mark Iredell Org: NP23 Date: 1999-05-01 +# +# Abstract: This script reads a single global GFS IO file and (optionally) +# a global flux file and creates a global pressure GRIB file. +# The resolution and generating code of the output GRIB file can also +# be set in the argument list. +# +# Script history log: +# 1999-05-01 Mark Iredell +# 2007-04-04 Huiya Chuang: Modify the script to run unified post +# 2012-06-04 Jun Wang: add grib2 option +# 2015-03-20 Lin Gan: add Perl for Post XML performance upgrade +# 2016-02-08 Lin Gan: Modify to use Vertical Structure +# +# Usage: global_postgp.sh SIGINP FLXINP FLXIOUT PGBOUT PGIOUT IGEN +# +# Input script positional parameters: +# 1 Input sigma file +# defaults to $SIGINP +# 2 Input flux file +# defaults to $FLXINP +# 3 Output flux index file +# defaults to $FLXIOUT +# 4 Output pressure GRIB file +# defaults to $PGBOUT +# 5 Output pressure GRIB index file +# defaults to $PGIOUT, then to none +# 8 Model generating code, +# defaults to $IGEN, then to input sigma generating code +# +# Imported Shell Variables: +# SIGINP Input sigma file +# overridden by $1 +# FLXINP Input flux file +# overridden by $2 +# FLXIOUT Output flux index file +# overridden by $3 +# PGBOUT Output pressure GRIB file +# overridden by $4. If not defined, +# post will use the filename specified in +# the control file +# PGIOUT Output pressure GRIB index file +# overridden by $5; defaults to none +# IGEN Model generating code +# overridden by $8; defaults to input sigma generating code +##### Moorthi: Add new imported shell variable for running chgres +# CHGRESSH optional: the script to run chgres +# default to to ${USHglobal}/global_chgres.sh +# SIGLEVEL optional: the coordinate text file +# default to to /nwprod/fix/global_hyblev.l${LEVS}.txt +##### Chuang: Add new imported Shell Variable for ncep post +# OUTTYP Output file type read in by post +# 1: if user has a sigma file and needs post to run chgres to convert to gfs io file +# 2: if user already has a gfs io file +# 3: if user uses post to read sigma file directly +# 0: if user wishes to generate both gfsio and sigma files +# 4: if user uses post to read nemsio file directly +# VDATE Verifying date 10 digits yyyymmddhh +# GFSOUT Optional, output file name from chgres which is input file name to nceppost +# if model already runs gfs io, make sure GFSOUT is linked to the gfsio file +# CTLFILE Optional, Your version of control file if not using operational one +# OVERPARMEXEC Optional, the executable for changing Grib KPDS ID +# default to to ${EXECglobal}/overparm_grib +# CHGRESTHREAD Optional, speed up chgres by using multiple threads +# default to 1 +# FILTER Optional, set to 1 to filter SLP and 500 mb height using copygb +# D3DINP Optional, Inout D3D file, if not defined, post will run +# without processing D3D file +# D3DOUT Optional, output D3D file, if not defined, post will +# use the file name specified in the control file +# IPVOUT Optional, output IPV file, if not defined, post will +# use the file name specified in the control file +# GENPSICHI Optional, set to YES will generate psi and chi and +# append it to the end of PGBOUT. Default to NO +# GENPSICHIEXE Optional, specify where executable is for generating +# psi and chi. +######################################################################## +# EXECUTIL Directory for utility executables +# defaults to /nwprod/util/exec +# USHUTIL Directory for utility scripts +# defaults to /nwprod/util/ush +# EXECglobal Directory for global executables +# defaults to /nwprod/exec +# USHglobal Directory for global scripts +# defaults to /nwprod/ush +# DATA working directory +# (if nonexistent will be made, used and deleted) +# defaults to current working directory +# MP Multi-processing type ("p" or "s") +# defaults to "p", or "s" if LOADL_STEP_TYPE is not PARALLEL +# XC Suffix to add to executables +# defaults to none +# POSTGPEXEC Global post executable +# defaults to ${EXECglobal}/ncep_post +# GRBINDEX GRIB index maker +# defaults to ${EXECUTIL}/grbindex$XC +# ANOMCATSH Global anomaly GRIB script +# defaults to ${USHglobal/global_anomcat.sh +# POSTGPLIST File containing further namelist inputs +# defaults to /dev/null +# INISCRIPT Preprocessing script +# defaults to none +# LOGSCRIPT Log posting script +# defaults to none +# ERRSCRIPT Error processing script +# defaults to 'eval [[ $err = 0 ]]' +# ENDSCRIPT Postprocessing script +# defaults to none +# POSTGPVARS Other namelist inputs to the global post executable +# such as IDRT,KO,PO,KTT,KT,PT,KZZ,ZZ, +# NCPUS,MXBIT,IDS,POB,POT,MOO,MOOA,MOW,MOWA, +# ICEN,ICEN2,IENST,IENSI +# defaults to none set +# NTHREADS Number of threads +# defaults to 1 +# NTHSTACK Size of stack per thread +# defaults to 64000000 +# VERBOSE Verbose flag (YES or NO) +# defaults to NO +# PGMOUT Executable standard output +# defaults to $pgmout, then to '&1' +# PGMERR Executable standard error +# defaults to $pgmerr, then to '&1' +# pgmout Executable standard output default +# pgmerr Executable standard error default +# REDOUT standard output redirect ('1>' or '1>>') +# defaults to '1>', or to '1>>' to append if $PGMOUT is a file +# REDERR standard error redirect ('2>' or '2>>') +# defaults to '2>', or to '2>>' to append if $PGMERR is a file +# +# Exported Shell Variables: +# PGM Current program name +# pgm +# ERR Last return code +# err +# +# Modules and files referenced: +# scripts : $INISCRIPT +# $LOGSCRIPT +# $ERRSCRIPT +# $ENDSCRIPT +# $ANOMCATSH +# +# programs : $POSTGPEXEC +# $GRBINDEX +# +# input data : $1 or $SIGINP +# $2 or $SFCINP +# $POSTGPLIST +# +# output data: $3 or $FLXIOUT +# $4 or $PGBOUT +# $5 or $PGIOUT +# $PGMOUT +# $PGMERR +# +# scratch : ${DATA}/postgp.inp.sig +# ${DATA}/postgp.inp.flx +# ${DATA}/postgp.out.pgb +# +# Remarks: +# +# Condition codes +# 0 - no problem encountered +# >0 - some problem encountered +# +# Control variable resolution priority +# 1 Command line argument. +# 2 Environment variable. +# 3 Inline default. +# +# Attributes: +# Language: POSIX shell +# Machine: IBM SP +# +#### +################################################################################ +# Set environment. +export VERBOSE=${VERBOSE:-"NO"} +if [[ "$VERBOSE" = "YES" ]] +then + echo $(date) EXECUTING $0 $* >&2 + set -x +fi +# Command line arguments. +export SIGINP=${1:-${SIGINP}} +export FLXINP=${2:-${FLXINP}} +export FLXIOUT=${3:-${FLXIOUT}} +export PGBOUT=${4:-${PGBOUT}} +#export PGIOUT=${5:-${PGIOUT}} +export PGIOUT=${PGIOUT:-pgb.idx} +export IO=${6:-${IO:-0}} +export JO=${7:-${JO:-0}} +export IGEN=${8:-${IGEN:-0}} +# Directories. +export NWPROD=${NWPROD:-/nwprod} +export EXECUTIL=${EXECUTIL:-$NWPROD/util/exec} +export USHUTIL=${USHUTIL:-$NWPROD/util/ush} +export EXECglobal=${EXECglobal:-$NWPROD/exec} +export USHglobal=${USHglobal:-$NWPROD/ush} +export DATA=${DATA:-$(pwd)} +# Filenames. +export MP=${MP:-$([[ $LOADL_STEP_TYPE = PARALLEL ]]&&echo "p"||echo "s")} +export XC=${XC} +export POSTGPEXEC=${POSTGPEXEC:-${EXECglobal}/ncep_post} +export OVERPARMEXEC=${OVERPARMEXEC:-${EXECglobal}/overparm_grib} +export ANOMCATSH=${ANOMCATSH:-${USHglobal}/global_anomcat.sh} +export CHGRESSH=${CHGRESSH:-${USHglobal}/global_chgres.sh} +export POSTGPLIST=${POSTGPLIST:-/dev/null} +export INISCRIPT=${INISCRIPT} +export ERRSCRIPT=${ERRSCRIPT:-'eval [[ $err = 0 ]]'} +export LOGSCRIPT=${LOGSCRIPT} +export ENDSCRIPT=${ENDSCRIPT} +export GFSOUT=${GFSOUT:-gfsout} +export CTLFILE=${CTLFILE:-$NWPROD/parm/gfs_cntrl.parm} +export MODEL_OUT_FORM=${MODEL_OUT_FORM:-binarynemsiompiio} +export GRIBVERSION=${GRIBVERSION:-'grib1'} +# Other variables. +export POSTGPVARS=${POSTGPVARS} +export NTHREADS=${NTHREADS:-1} +export NTHSTACK=${NTHSTACK:-64000000} +export PGMOUT=${PGMOUT:-${pgmout:-'&1'}} +export PGMERR=${PGMERR:-${pgmerr:-'&2'}} +export CHGRESTHREAD=${CHGRESTHREAD:-1} +export FILTER=${FILTER:-1} +export GENPSICHI=${GENPSICHI:-NO} +export GENPSICHIEXE=${GENPSICHIEXE:-${EXECglobal}/genpsiandchi} +export ens=${ens:-NO} +#export D3DINP=${D3DINP:-/dev/null} +typeset -L1 l=$PGMOUT +[[ $l = '&' ]]&&a=''||a='>' +export REDOUT=${REDOUT:-'1>'$a} +typeset -L1 l=$PGMERR +[[ $l = '&' ]]&&a=''||a='>' +export REDERR=${REDERR:-'2>'$a} +################################################################################ +# Preprocessing +$INISCRIPT + +# Chuang: Run chgres if OUTTYP=1 or 0 + +export APRUN=${APRUNP:-${APRUN:-""}} + +# exit if SIGINP does not exist +if [ ${OUTTYP} -le 3 ] ; then + if [ ! -s $SIGINP ] ; then + echo "sigma file not found, exitting" + exit 111 + fi +fi + +export SIGHDR=${SIGHDR:-$NWPROD/exec/global_sighdr} +export IDRT=${IDRT:-4} + +if [ ${OUTTYP} -le 1 ] ; then + export JCAP=${JCAP:-`echo jcap|$SIGHDR ${SIGINP}`} + export LEVS=${LEVS:-`echo levs|$SIGHDR ${SIGINP}`} + export IDVC=${IDVC:-$(echo idvc|$SIGHDR ${SIGINP})} + export IDVM=${IDVM:-$(echo idvm|$SIGHDR ${SIGINP})} + export NVCOORD=${NVCOORD:-$(echo nvcoord|$SIGHDR ${SIGINP})} + export IVSSIG=${IVSSIG:-$(echo ivs|$SIGHDR ${SIGINP})} + export LATCH=${LATCH:-8} + if [ ${OUTTYP} -eq 1 ] ; then + export CHGRESVARS="IDVC=$IDVC,IDVM=$IDVM,NVCOORD=$NVCOORD,IVSSIG=$IVSSIG,LATCH=$LATCH," + elif [ ${OUTTYP} -eq 0 ] ; then + export CHGRESVARS="LATCH=$LATCH,$CHGRESVARS" + fi + #export SIGLEVEL=${SIGLEVEL:-""} + export SIGLEVEL=${SIGLEVEL:-"$NWPROD/fix/global_hyblev.l${LEVS}.txt"} + # specify threads for running chgres + export OMP_NUM_THREADS=$CHGRESTHREAD + export NTHREADS=$OMP_NUM_THREADS + if [ ${JCAP} -eq 574 -a ${IDRT} -eq 4 ] + then + export NTHSTACK=1024000000 + fi + export XLSMPOPTS="parthds=$NTHREADS:stack=$NTHSTACK" + + $CHGRESSH + + export ERR=$? + export err=$ERR + $ERRSCRIPT||exit 1 + +# run post to read sigma file directly if OUTTYP=3 +elif [ ${OUTTYP} -eq 3 ] ; then + export LONB=${LONB:-`echo lonb|$SIGHDR ${SIGINP}`} + export LATB=${LATB:-`echo latb|$SIGHDR ${SIGINP}`} + export MODEL_OUT_FORM=sigio + export GFSOUT=${SIGINP} + +# run post to read nemsio file if OUTTYP=4 +elif [ ${OUTTYP} -eq 4 ] ; then + export nemsioget=${nemsioget:-$EXECglobal/nemsio_get} + export LONB=${LONB:-$($nemsioget $NEMSINP lonf |grep -i "lonf" |awk -F"= " '{print $2}' |awk -F" " '{print $1}')} + export LATB=${LATB:-$($nemsioget $NEMSINP latg |grep -i "latg" |awk -F"= " '{print $2}' |awk -F" " '{print $1}')} + export JCAP=${JCAP:-$($nemsioget $NEMSINP jcap |grep -i "jcap" |awk -F"= " '{print $2}' |awk -F" " '{print $1}')} + + export MODEL_OUT_FORM=${MODEL_OUT_FORM:-binarynemsiompiio} + export GFSOUT=${NEMSINP} + ln -sf $FIXglobal/fix_am/global_lonsperlat.t${JCAP}.${LONB}.${LATB}.txt ./lonsperlat.dat + ln -sf $FIXglobal/fix_am/global_hyblev.l${LEVS}.txt ./global_hyblev.txt +fi + +# allow threads to use threading in Jim's sp lib +# but set default to 1 +export OMP_NUM_THREADS=${OMP_NUM_THREADS:-1} + +pwd=$(pwd) +if [[ -d $DATA ]] +then + mkdata=NO +else + mkdir -p $DATA + mkdata=YES +fi +cd $DATA||exit 99 +################################################################################ +# Post GRIB +export PGM=$POSTGPEXEC +export pgm=$PGM +$LOGSCRIPT +cat <postgp.inp.nml$$ + &NAMPGB + $POSTGPVARS +EOF + +cat <>postgp.inp.nml$$ + / +EOF +if [[ "$VERBOSE" = "YES" ]] +then + cat postgp.inp.nml$$ +fi + +# making the time stamp format for ncep post +export YY=`echo $VDATE | cut -c1-4` +export MM=`echo $VDATE | cut -c5-6` +export DD=`echo $VDATE | cut -c7-8` +export HH=`echo $VDATE | cut -c9-10` + +cat > itag <> itag + +cat itag + +rm -f fort.* + +#ln -sf $SIGINP postgp.inp.sig$$ +#ln -sf $FLXINP postgp.inp.flx$$ +#ln -sf $PGBOUT postgp.out.pgb$$ + +# change model generating Grib number +if [ ${GRIBVERSION} = grib1 ]; then + + if [ ${IGEN} -le 9 ] ; then + cat ${CTLFILE}|sed s:00082:0000${IGEN}:>./gfs_cntrl.parm + elif [ ${IGEN} -le 99 ] ; then + cat ${CTLFILE}|sed s:00082:000${IGEN}:>./gfs_cntrl.parm + elif [ ${IGEN} -le 999 ] ; then + cat ${CTLFILE}|sed s:00082:00${IGEN}:>./gfs_cntrl.parm + else + ln -sf ${CTLFILE} ./gfs_cntrl.parm + fi + ln -sf ./gfs_cntrl.parm fort.14 + +elif [ ${GRIBVERSION} = grib2 ]; then + cp ${POSTGRB2TBL} . + cp ${PostFlatFile} ./postxconfig-NT.txt + if [ ${ens} = "YES" ] ; then + sed < ${PostFlatFile} -e "s#negatively_pert_fcst#${ens_pert_type}#" > ./postxconfig-NT.txt + fi +# cp ${CTLFILE} postcntrl.xml + +fi +export CTL=`basename $CTLFILE` + +ln -sf griddef.out fort.110 +cp ${PARMglobal}/nam_micro_lookup.dat ./eta_micro_lookup.dat + +${APRUN:-mpirun.lsf} $POSTGPEXEC < itag > outpost_gfs_${VDATE}_${CTL} + +export ERR=$? +export err=$ERR +$ERRSCRIPT||exit 2 + +if [ $FILTER = "1" ] ; then + +# Filter SLP and 500 mb height using copygb, change GRIB ID, and then +# cat the filtered fields to the pressure GRIB file, from Iredell + +if [ $GRIBVERSION = grib1 ]; then + $COPYGB -x -i'4,0,80' -k'4*-1,1,102' $PGBOUT tfile + ln -s -f tfile fort.11 + ln -s -f prmsl fort.51 + echo 0 2|$OVERPARMEXEC + $COPYGB -x -i'4,1,5' -k'4*-1,7,100,500' $PGBOUT tfile + ln -s -f tfile fort.11 + ln -s -f h5wav fort.51 + echo 0 222|$OVERPARMEXEC + +#cat $PGBOUT prmsl h5wav >> $PGBOUT + cat prmsl h5wav >> $PGBOUT + +elif [ $GRIBVERSION = grib2 ]; then + if [ ${ens} = YES ] ; then + $COPYGB2 -x -i'4,0,80' -k'1 3 0 7*-9999 101 0 0' $PGBOUT tfile + else + $COPYGB2 -x -i'4,0,80' -k'0 3 0 7*-9999 101 0 0' $PGBOUT tfile + fi + $WGRIB2 tfile -set_byte 4 11 1 -grib prmsl + if [ ${ens} = YES ] ; then + $COPYGB2 -x -i'4,1,5' -k'1 3 5 7*-9999 100 0 50000' $PGBOUT tfile + else + $COPYGB2 -x -i'4,1,5' -k'0 3 5 7*-9999 100 0 50000' $PGBOUT tfile + fi + $WGRIB2 tfile -set_byte 4 11 193 -grib h5wav + +#cat $PGBOUT prmsl h5wav >> $PGBOUT + cat prmsl h5wav >> $PGBOUT + +fi + +fi + +################################################################################ +# Anomaly concatenation +# for now just do anomaly concentration for grib1 +if [ $GRIBVERSION = grib1 ]; then + + if [[ -x $ANOMCATSH ]] + then + if [[ -n $PGIOUT ]] + then + $GRBINDEX $PGBOUT $PGIOUT + fi + export PGM=$ANOMCATSH + export pgm=$PGM + $LOGSCRIPT + + eval $ANOMCATSH $PGBOUT $PGIOUT + + export ERR=$? + export err=$ERR + $ERRSCRIPT||exit 3 + fi +fi +################################################################################ +# Make GRIB index file +if [[ -n $PGIOUT ]] +then + if [ $GRIBVERSION = grib2 ]; then + # JY $GRBINDEX2 $PGBOUT $PGIOUT + $GRB2INDEX $PGBOUT $PGIOUT + else + $GRBINDEX $PGBOUT $PGIOUT + fi +fi +if [[ -r $FLXINP && -n $FLXIOUT && $OUTTYP -le 3 ]] +then + $GRBINDEX $FLXINP $FLXIOUT +fi +################################################################################ +# generate psi and chi +echo "GENPSICHI= " $GENPSICHI +if [ $GENPSICHI = YES ] ; then +#echo "PGBOUT PGIOUT=" $PGBOUT $PGIOUT +#echo "YY MM=" $YY $MM + export psichifile=./psichi.grb + $GENPSICHIEXE < postgp.inp.nml$$ + rc=$? + if [[ $rc -ne 0 ]] ; then echo 'Nonzero return code rc= '$rc ; exit 3 ; fi + cat ./psichi.grb >> $PGBOUT +fi +################################################################################ +# Postprocessing +cd $pwd +[[ $mkdata = YES ]]&&rmdir $DATA +$ENDSCRIPT +set +x +if [[ "$VERBOSE" = "YES" ]] +then + echo $(date) EXITING $0 with return code $err >&2 +fi +exit $err diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.txt new file mode 100644 index 0000000..a63b13f --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.txt @@ -0,0 +1 @@ +STGPSH=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/post/tags/ncep_post.v7.7.2/ush/global_nceppost.sh From 427402847143936723ed69038dd1409e94a64b2a Mon Sep 17 00:00:00 2001 From: Terry McGuinness Date: Tue, 7 Nov 2017 20:25:07 +0000 Subject: [PATCH 208/487] adding dump and prep out of control scripts for fv3gfs wcoss_c --- .../wcoss_c/dump/exglobal_dump.sh.ecf | 1732 ++++++++++++ .../wcoss_c/dump/exglobal_dump.sh.ecf.txt | 1 + .../wcoss_c/prep/getges.sh | 1385 +++++++++ .../wcoss_c/prep/getges.txt | 1 + .../wcoss_c/prep/prepobs_cqcbufr.sh | 127 + .../wcoss_c/prep/prepobs_cqcbufr.txt | 1 + .../wcoss_c/prep/prepobs_cqcvad.sh | 101 + .../wcoss_c/prep/prepobs_cqcvad.txt | 1 + .../wcoss_c/prep/prepobs_makeprepbufr.sh | 2466 +++++++++++++++++ .../wcoss_c/prep/prepobs_makeprepbufr.txt | 1 + .../wcoss_c/prep/prepobs_oiqcbufr.sh | 152 + .../wcoss_c/prep/prepobs_oiqcbufr.txt | 1 + .../wcoss_c/prep/prepobs_prepacqc.sh | 211 ++ .../wcoss_c/prep/prepobs_prepacqc.txt | 1 + .../wcoss_c/prep/prepobs_prepdata.txt | 1 + .../wcoss_c/prep/prepobs_prevents.txt | 1 + .../wcoss_c/prep/prepobs_profcqc.txt | 1 + .../wcoss_c/prep/prepobs_syndata.sh | 209 ++ .../wcoss_c/prep/prepobs_syndata.txt | 1 + 19 files changed, 6394 insertions(+) create mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf create mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf.txt create mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.sh create mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.txt create mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.sh create mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.txt create mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.sh create mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.txt create mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.sh create mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.txt create mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.sh create mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.txt create mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.sh create mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.txt create mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepdata.txt create mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prevents.txt create mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_profcqc.txt create mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.sh create mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.txt diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf b/model/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf new file mode 100755 index 0000000..085b631 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf @@ -0,0 +1,1732 @@ +#!/bin/ksh +############################################################################# +echo "----------------------------------------------------------------------" +echo "exglobal_dump.sh.ecf - Global (GDAS, GFS) network data dump processing" +echo "----------------------------------------------------------------------" +echo "History: Jan 18 2000 - Original script. " +echo " May 16 2007 - Added DBNet alerts for GFS products. " +echo " Apr 2014 - Pick up grib files for planned GFS upgrade. " +echo " Oct 2014 - Remove attempts to dump obsolete sources. " +echo " Nov 2014 - Use parallel scripting to process dump groups. " +echo " Widen hourly satwnd dump window for GFS/GDAS. " +echo " Add new satwnd subtypes for GFS & GDAS. " +echo " GFS/GDAS continue if surface file unavailable. " +echo " Remove DBNet alerts for old surface files. " +echo " Dec 3 2014 - CDAS network, split off into its own script " +echo " excdas_dump.sh.ecf. This script now tailored " +echo " exclusively to GDAS and GFS. " +echo " Feb 2 2015 - Dump window for new satwnd type NC005090 set " +echo " to 3.00 to +2.99 hours about center dump time. " +echo " Removed ADD_satwnd=\"005019 005080\" since " +echo " types are now part of "satwnd" dump group " +echo " mnemonic in bufr_dumplist. " +echo " Aug 22 2016 - GSPIPW dump window reset for new data stream " +echo " (moved to dump group #4 where TIME_TRIM=on) " +echo " Jan 5 2017 - Dump new satellite data types. Reordered to " +echo " improve run time with all the new data. " +echo " Feb 8 2017 - Update to run on Cray-XC40 or IBM iDataPlex " +############################################################################# + +# NOTE: NET is changed to gdas in the parent Job script for the gdas RUN +# (was gfs - NET remains gfs for gfs RUN) +# ----------------------------------------------------------------------- + +set -xau + +# function to highlight an echoed msg with surrounding hashed separator lines. + echo_hashed_msg () { + set +x + msg=$* + echo -e "\n ${msg//?/#}" + echo " ${msg}" + echo -e " ${msg//?/#}\n" + set -x + } +# end of function setup +# +# set some variables if they have not already been set + +set +u + +# JOB_NUMBER = 1 indicates the prepbufr dump job. +# JOB_NUMBER = 2 indicates the non-prepbufr dump job. +# JOB_NUMBER not present indicates dump BOTH prepbufr and non-prepbufr data. +# ----------------------------------------------------------------------------- +# Dump group #1 (non-pb, TIME_TRIM defaults to OFF) = +# avcsam eshrs3 ssmisu cris saphir atms 1bhrs4 sevcsr tesac mls +# esatms +# +# Dump group #2 (pb, TIME_TRIM defaults to OFF) = +# sfcshp atovs* adpsfc ascatt +# * - for GDAS only +# +# Dump group #3 (pb, TIME_TRIM defaults to OFF) = +# adpupa +# +# Dump group #4 (pb, TIME_TRIM defaults to ON) = +# aircar aircft proflr vadwnd rassda gpsipw +# +# Dump group #5 (pb, TIME_TRIM defaults to OFF) = +# msonet +# +# Dump group #6 (non-pb, TIME_TRIM defaults to OFF) = +# nexrad +# +# Dump group #7 (non-pb, TIME_TRIM defaults to OFF) = +# avcspm esmhs goesfv 1bmhs airsev atmsdb gome omi trkob gpsro +# escris +# +# Dump group #8 (pb, TIME_TRIM defaults to ON) = +# satwnd +# +# Dump group #9 (non-pb, TIME_TRIM defaults to ON) = +# geoimr +# +# Dump group #10 (non-pb, TIME_TRIM defaults to OFF) = +# esiasi mtiasi esamua crisdb iasidb sevasr 1bamua bathy osbuv8 +# +# Dump group #11 (non-pb, TIME_TRIM defaults to OFF) = +# amsr2 +# +# Dump group #12 STATUS FILE +# ----------------------------------------------------------------------------- + +#VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV +# The settings below are based on a future change when the DUMP job will dump +# only types that go into PREPBUFR and the DUMP2 job will dump only types that +# do not go into PREPBUFR. This will speed up the DUMP + PREP processing. +# Although the logic is in place to now do this (see below), for now we will +# continue to run only a DUMP job which will dump ALL types (no DUMP2 job) - +# since JOB_NUMBER is not imported to this script, the logic below will dump +# all types ... +# ----------------------------------------------------------------------------- +#^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ + +if [ -n "$JOB_NUMBER" ]; then +set -u + if [ $JOB_NUMBER = 2 ]; then + dump_ind=DUMP2 + DUMP_group1=${DUMP_group1:-"YES"} + DUMP_group2=${DUMP_group2:-"NO"} + DUMP_group3=${DUMP_group3:-"NO"} + DUMP_group4=${DUMP_group4:-"NO"} + DUMP_group5=${DUMP_group5:-"NO"} + DUMP_group6=${DUMP_group6:-"NO"} + DUMP_group7=${DUMP_group7:-"YES"} + DUMP_group8=${DUMP_group8:-"NO"} + DUMP_group9=${DUMP_group9:-"YES"} + DUMP_group10=${DUMP_group10:-"YES"} + DUMP_group11=${DUMP_group11:-"YES"} + else + dump_ind=DUMP + DUMP_group1=${DUMP_group1:-"NO"} + DUMP_group2=${DUMP_group2:-"YES"} + DUMP_group3=${DUMP_group3:-"YES"} + DUMP_group4=${DUMP_group4:-"YES"} + DUMP_group5=${DUMP_group5:-"NO"} + DUMP_group6=${DUMP_group6:-"NO"} + DUMP_group7=${DUMP_group7:-"NO"} + DUMP_group8=${DUMP_group8:-"YES"} + DUMP_group9=${DUMP_group9:-"NO"} + DUMP_group10=${DUMP_group10:-"NO"} + DUMP_group11=${DUMP_group11:-"NO"} + fi +else + dump_ind=DUMP + DUMP_group1=${DUMP_group1:-"YES"} + DUMP_group2=${DUMP_group2:-"YES"} + DUMP_group3=${DUMP_group3:-"YES"} + DUMP_group4=${DUMP_group4:-"YES"} + DUMP_group5=${DUMP_group5:-"NO"} + DUMP_group6=${DUMP_group6:-"NO"} + DUMP_group7=${DUMP_group7:-"YES"} + DUMP_group8=${DUMP_group8:-"YES"} + DUMP_group9=${DUMP_group9:-"YES"} + DUMP_group10=${DUMP_group10:-"YES"} + DUMP_group11=${DUMP_group11:-"YES"} +fi + +if [ "$NET" = 'gfs' ]; then + ADPUPA_wait=${ADPUPA_wait:-"YES"} +########ADPUPA_wait=${ADPUPA_wait:-"NO"} # saves time if ADPUPA_wait=NO +else + ADPUPA_wait=${ADPUPA_wait:-"NO"} +fi + + +# send extra output of DUMP2 for monitoring purposes. +set +u +if [ -n "$JOB_NUMBER" ]; then + [ $JOB_NUMBER = 2 ] && export PS4='$SECONDS + ' +fi +set -u + +# Make sure we are in the $DATA directory +cd $DATA + +msg="HAS BEGUN on `hostname`" +$DATA/postmsg "$jlogfile" "$msg" + +cat break > $pgmout + +export dumptime=`cut -c7-16 ncepdate` +export cycp=`echo $dumptime|cut -c9-10` + +export NET_uc=$(echo $NET | tr [a-z] [A-Z]) +export tmmark_uc=$(echo $tmmark | tr [a-z] [A-Z]) + +msg="$NET_uc ANALYSIS TIME IS $PDY$cyc" +$DATA/postmsg "$jlogfile" "$msg" + +set +x +echo +echo "CENTER DATA DUMP DATE-TIME FOR $tmmark_uc $NET_uc IS $dumptime" +echo +set -x + +export COMSP=$COMOUT/$RUN.${cycle}. + +if [ "$PROCESS_GRIBFLDS" = 'YES' ]; then + +######################################################## +######################################################## +## The following files are not *required* but will still +# be processed here for the near term (missing files +# will not cause job to fail) +# +# copy snogrb (0.5 deg) from $TANK_GRIBFLDS +# copy snogrb_t574 from $TANK_GRIBFLDS +# copy engicegrb from $COM_ENGICE +# copy sstgrb from $COM_SSTOI +# generate sstgrb index file +######################################################## +######################################################## + + snogrb=$TANK_GRIBFLDS/$PDY/wgrbbul/snowdepth.global.grb + snoold=$TANK_GRIBFLDS/$PDYm1/wgrbbul/snowdepth.global.grb + + if [ -s $snogrb ]; then + cp $snogrb ${COMSP}snogrb + msg="todays 0.5 degree snow grib file located and copied to ${COMSP}snogrb" + $DATA/postmsg "$jlogfile" "$msg" + elif [ -s $snoold ]; then + cp $snoold ${COMSP}snogrb + msg="**todays 0.5 degree snow grib file not located - copy 1-day old file" + $DATA/postmsg "$jlogfile" "$msg" + else + set +x + echo " " + echo " #####################################################" + echo " cannot locate 0.5 degree snow grib file" + echo " #####################################################" + echo " " + set -x + msg="***WARNING: CANNOT LOCATE 0.5 DEGREE SNOW GRIB FILE. Not critical." + $DATA/postmsg "$jlogfile" "$msg" + fi + + snogrb_t574=$TANK_GRIBFLDS/$PDY/wgrbbul/snowdepth.t574.grb + snoold_t574=$TANK_GRIBFLDS/$PDYm1/wgrbbul/snowdepth.t574.grb + + if [ -s $snogrb_t574 ]; then + cp $snogrb_t574 ${COMSP}snogrb_t574 + msg="todays T574 snow grib file located and copied to ${COMSP}snogrb_t574" + $DATA/postmsg "$jlogfile" "$msg" + elif [ -s $snoold_t574 ]; then + cp $snoold_t574 ${COMSP}snogrb_t574 + msg="**todays T574 snow grib file not located - copy 1-day old file" + $DATA/postmsg "$jlogfile" "$msg" + else + set +x + echo " " + echo " ###############################################" + echo " cannot locate T574 snow grib file" + echo " ###############################################" + echo " " + set -x + msg="***WARNING: CANNOT LOCATE T574 SNOW GRIB FILE. Not critical." + $DATA/postmsg "$jlogfile" "$msg" + fi + + engicegrb=${COM_ENGICE}.$PDY/engice.t00z.grb + engiceold=${COM_ENGICE}.$PDYm1/engice.t00z.grb + + if [ -s $engicegrb ]; then + cp $engicegrb ${COMSP}engicegrb + msg="todays engice grib file located and copied to ${COMSP}engicegrb" + $DATA/postmsg "$jlogfile" "$msg" + elif [ -s $engiceold ]; then + cp $engiceold ${COMSP}engicegrb + msg="**todays engice grib file not located - copy 1-day old file" + $DATA/postmsg "$jlogfile" "$msg" + else + set +x + echo " " + echo " ############################################" + echo " cannot locate engice grib file" + echo " ############################################" + echo " " + set -x + msg="***WARNING: CANNOT LOCATE LOW RES ENGICE GRIB FILE. Not critical." + $DATA/postmsg "$jlogfile" "$msg" + fi + + sstgrb=${COM_SSTOI}.$PDY/sstoi_grb + sstold=${COM_SSTOI}.$PDYm1/sstoi_grb + + if [ -s $sstgrb ]; then + cp $sstgrb ${COMSP}sstgrb + msg="todays lowres sst grib file located and copied to ${COMSP}sstgrb" + $DATA/postmsg "$jlogfile" "$msg" + elif [ -s $sstold ]; then + cp $sstold ${COMSP}sstgrb + msg="**todays lowres sst grib file not located - copy 1-day old file" + $DATA/postmsg "$jlogfile" "$msg" + else + set +x + echo " " + echo " #########################################" + echo " cannot locate lowres sst grib file" + echo " #########################################" + echo " " + set -x + msg="***WARNING: CANNOT LOCATE LOW RES SST GRIB FILE. Not critical." + $DATA/postmsg "$jlogfile" "$msg" + fi + + if [ -s ${COMSP}sstgrb ]; then + rm errfile + $GRBINDEX ${COMSP}sstgrb ${COMSP}sstgrb.index 2> errfile + errindx=$? + [ "$errindx" -ne '0' ] && cat errfile + rm errfile + else + echo_hashed_msg "cannot create grib index since sst file does not exist" + fi + +# The following may no longer be needed, but leave them in place for now. +# Print msg in the rare case the grib2 files cannot be created. + if [ "$NET" = 'gdas' ]; then + if [ -s ${COMSP}engicegrb ]; then + $CNVGRIB -g12 -p40 ${COMSP}engicegrb ${COMSP}engicegrb.grib2 + else + echo_hashed_msg "Skip engicegrb.grib2 since grib1 file does not exist" + fi + if [ -s ${COMSP}sstgrb ]; then + $CNVGRIB -g12 -p40 ${COMSP}sstgrb ${COMSP}sstgrb.grib2 + else + echo_hashed_msg "Skip sstgrb.grib2 since grib1 file does not exist" + fi + if [ -s ${COMSP}snogrb ]; then + $CNVGRIB -g12 -p40 ${COMSP}snogrb ${COMSP}snogrb.grib2 + else + echo_hashed_msg "Skip snogrb.grib2 since grib1 file does not exist" + fi + fi + + +###################################################################### +###################################################################### +# For the following, try as far as $ndaysback to find recent file. # +# Post warning if no file found for $ndaysback_warn or beyond. # +# The job will continue if no suitable file is available. # +# ---------------------------------------------------------------- # +# copy NPR.SNWN.SP.S1200.MESH16 from $TANK_GRIBFLDS # +# copy NPR.SNWS.SP.S1200.MESH16 from $TANK_GRIBFLDS # +# copy imssnow96.grb.grib2 from $TANK_GRIBFLDS # +# copy seaice.t00z.5min.grb from $COM_ICE5MIN # +# copy seaice.t00z.5min.grb.grib2 from $COM_ICE5MIN # +# copy rtgssthr_grb_0.083 from $COM_SSTRTG # +# copy rtgssthr_grb_0.083.grib2 from $COM_SSTRTG # +###################################################################### +###################################################################### + for gribfile in \ + NPR.SNWN.SP.S1200.MESH16 \ + NPR.SNWS.SP.S1200.MESH16 \ + imssnow96.grb.grib2 \ + seaice.t00z.5min.grb \ + seaice.t00z.5min.grb.grib2 \ + rtgssthr_grb_0.083 \ + rtgssthr_grb_0.083.grib2 + do +# set the values specific to each file + case $gribfile in + NPR.SNWN.SP.S1200.MESH16 | NPR.SNWS.SP.S1200.MESH16 ) # AFWA snow + grib_source='$TANK_GRIBFLDS/$DDATE/wgrbbul'; + target_filename=$gribfile.grb + ndaysback=1; + ndaysback_warn=1;; + imssnow96.grb.grib2 ) # IMS snow + grib_source='$TANK_GRIBFLDS/$DDATE/wgrbbul'; + target_filename=imssnow96.grib2 + ndaysback=1; + ndaysback_warn=1;; + seaice.t00z.5min.grb ) + grib_source='${COM_ICE5MIN}.$DDATE'; + target_filename=seaice.5min.grb + ndaysback=7; + ndaysback_warn=1;; + seaice.t00z.5min.grb.grib2 ) + grib_source='${COM_ICE5MIN}.$DDATE'; + target_filename=seaice.5min.grib2 + ndaysback=7; + ndaysback_warn=1;; + rtgssthr_grb_0.083 ) + grib_source='${COM_SSTRTG}.$DDATE'; + target_filename=rtgssthr.grb + ndaysback=10; + ndaysback_warn=1;; + rtgssthr_grb_0.083.grib2 ) + grib_source='${COM_SSTRTG}.$DDATE'; + target_filename=rtgssthr.grib2 + ndaysback=10; + ndaysback_warn=1;; + *) + msg="***FATAL ERROR: unexpected grib field file $gribfile"; + echo_hashed_msg "$msg" + $DATA/postmsg "$jlogfile" "$msg" + $DATA/err_exit;; + esac +# set up string of dates to check + if [ $ndaysback -gt 0 ];then +set +x; echo -e "\n---> path to finddate.sh below is: `which finddate.sh`"; set -x + CHECK_DATES="$PDY $(finddate.sh $PDY s-$ndaysback)" + else + CHECK_DATES=$PDY + fi + set +x; + echo -e "\nWill check as far back as ${CHECK_DATES##* } for $gribfile" + set -x + ndtry=0 + found=false +# loop through dates to check for this file type + for DDATE in $CHECK_DATES;do + ndtry=`expr $ndtry + 1` + eval tryfile=$grib_source/$gribfile + if [ -s $tryfile ];then + set +x; echo -e "\nPicking up file $tryfile\n"; set -x + cp $tryfile ${COMSP}$target_filename + found=true + break + fi + if [ $DDATE -ne ${CHECK_DATES##* } ]; then + set +x;echo -e "\n$tryfile not available. Try previous day.\n" + set -x + else + set +x;echo -e "\n$tryfile not available.\n";set -x + fi + if [ $ndtry -gt $ndaysback_warn ];then + msg="***WARNING: INVESTIGATE UNEXPECTED ABSENCE OF $tryfile" + echo_hashed_msg "$msg" + $DATA/postmsg "$jlogfile" "$msg" + fi + done + if [ $found != true ]; then + msg="***WARNING: NO USEFUL RECENT FILES FOUND FOR $gribfile!!!" + echo_hashed_msg "$msg" + $DATA/postmsg "$jlogfile" "$msg" + fi + done + if [ "$SENDECF" = "YES" ]; then + ecflow_client --event=release_sfcprep + fi + +# endif loop $PROCESS_GRIBFLDS +fi + + +echo "=======> Dump group 1 (thread_1) not executed." > $DATA/1.out +echo "=======> Dump group 2 (thread_2) not executed." > $DATA/2.out +echo "=======> Dump group 3 (thread_3) not executed." > $DATA/3.out +echo "=======> Dump group 4 (thread_4) not executed." > $DATA/4.out +echo "=======> Dump group 5 (thread_5) not executed." > $DATA/5.out +echo "=======> Dump group 6 (thread_6) not executed." > $DATA/6.out +echo "=======> Dump group 7 (thread_7) not executed." > $DATA/7.out +echo "=======> Dump group 8 (thread_8) not executed." > $DATA/8.out +echo "=======> Dump group 9 (thread_9) not executed." > $DATA/9.out +echo "=======> Dump group 10 (thread_10) not executed." > $DATA/10.out +echo "=======> Dump group 11 (thread_11) not executed." > $DATA/11.out + +err1=0 +err2=0 +err3=0 +err4=0 +err5=0 +err6=0 +err7=0 +err8=0 +err9=0 +err10=0 +err11=0 +if [ "$PROCESS_DUMP" = 'YES' ]; then + +#################################### +#################################### +# The data "dump" script for tm00 +#################################### +#################################### + +msg="START THE $tmmark_uc $NET_uc DATA $dump_ind CENTERED ON $dumptime" +$DATA/postmsg "$jlogfile" "$msg" + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_1; chmod +x thread_1 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_1 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=1 + +#========================================================================= +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump # 1 : AVCSAM: 1 subtype(s) +# ESHRS3: 1 subtype(s) +# SSMISU: 1 subtype(s) +# CRIS: 1 subtype(s) (if present in past 10 days of tanks) +# SAPHIR: 1 subtype(s) +# ATMS: 1 subtype(s) (if present in past 10 days of tanks) +# 1BHRS4: 1 subtype(s) +# SEVCSR: 1 subtype(s) +# TESAC: 1 subtype(s) +# MLS: 1 subtype(s) (if present in past 10 days of tanks) +# ESATMS: 1 subtype(s) (if present in past 10 days of tanks) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 11 +# +#========================================================================= + +DTIM_latest_avcsam=${DTIM_latest_avcsam:-"+2.99"} +DTIM_latest_eshrs3=${DTIM_latest_eshrs3:-"+2.99"} +DTIM_latest_ssmisu=${DTIM_latest_ssmisu:-"+2.99"} +#----------------------------------------------- +# check for cris tank presence in past 10 days +cris="" +err_check_tanks=0 +sh $USHobsproc_dump/check_tanks.sh cris +err_check_tanks=$? +if [ $err_check_tanks -eq 0 ];then + cris=cris + DTIM_latest_cris=${DTIM_latest_cris:-"+2.99"} +fi +#----------------------------------------------- +DTIM_latest_saphir=${DTIM_latest_saphir:-"+2.99"} +#----------------------------------------------- +# check for atms tank presence in past 10 days +atms="" +err_check_tanks=0 +sh $USHobsproc_dump/check_tanks.sh atms +err_check_tanks=$? +if [ $err_check_tanks -eq 0 ];then + atms=atms + DTIM_latest_atms=${DTIM_latest_atms:-"+2.99"} +fi +#----------------------------------------------- +DTIM_latest_1bhrs4=${DTIM_latest_1bhrs4:-"+2.99"} +DTIM_latest_sevcsr=${DTIM_latest_sevcsr:-"+2.99"} +DTIM_latest_tesac=${DTIM_latest_tesac:-"+2.99"} +#----------------------------------------------- +# check for mls tank presence in past 10 days +mls="" +err_check_tanks=0 +sh $USHobsproc_dump/check_tanks.sh mls +err_check_tanks=$? +if [ $err_check_tanks -eq 0 ];then + mls=mls + DTIM_latest_mls=${DTIM_latest_mls:-"+2.99"} +fi +#----------------------------------------------- +#----------------------------------------------- +# check for esatms tank presence in past 10 days +esatms="" +err_check_tanks=0 +sh $USHobsproc_dump/check_tanks.sh esatms +err_check_tanks=$? +if [ $err_check_tanks -eq 0 ];then + esatms=esatms + DTIM_latest_esatms=${DTIM_latest_esatms:-"+2.99"} +fi +#----------------------------------------------- + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM1:-off}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 avcsam eshrs3 ssmisu $cris \ + saphir $atms 1bhrs4 sevcsr tesac $mls $esatms +error1=$? +echo "$error1" > $DATA/error1 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_avcsam $job \ + ${COMSP}avcsam.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_eshrs3 $job \ + ${COMSP}eshrs3.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_ssmisu $job \ + ${COMSP}ssmisu.tm00.bufr_d + if [ "$cris" = cris ];then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_cris $job \ + ${COMSP}cris.tm00.bufr_d + fi +### restricted $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_saphir $job \ +### restricted ${COMSP}saphir.tm00.bufr_d + if [ "$atms" = atms ];then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_atms $job \ + ${COMSP}atms.tm00.bufr_d + fi + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_1bhrs4 $job \ + ${COMSP}1bhrs4.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_sevcsr $job \ + ${COMSP}sevcsr.tm00.bufr_d +####### ALERTS TURNED OFF UNTIL REQUESTED BY USER ######################### +# $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_tesac $job \ +# ${COMSP}tesac.tm00.bufr_d +########################################################################### + if [ "$mls" = mls ];then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_mls $job \ + ${COMSP}mls.tm00.bufr_d + fi + if [ "$esatms" = esatms ];then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esatms $job \ + ${COMSP}esatms.tm00.bufr_d + fi +fi + +set +x +echo "********************************************************************" +echo Script thread_1 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/1.out 2>&1 +EOF +set -x + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_2; chmod +x thread_2 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_2 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=2 + +#========================================================================== +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# (3) GDAS GSI doesn't use ATOVS, but NASA/GSFC is pulling them off our +# server, also CDAS may be running special tests using data from GDAS +# cutoff time (ATOVS is not dumped in GFS) +# +#-------------------------------------------------------------------------- +# GDAS: +# Dump # 2 : SFCSHP: 5 subtype(s) +# ATOVS: 1 subtype(s) +# ADPSFC: 4 subtype(s) +# ASCATT: 1 subtype(s) +# xxxxxxxxx WNDSAT: 1 subtype(s) (if present in past 10 days of tanks) +# ===> Dumping of WNDSAT removed from here until new ingest feed is established +# (had been dumped with a time window radius of -3.00 to +2.99 hours) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 11 +# +#-------------------------------------------------------------------------- +# GFS: +# Dump # 2 : SFCSHP: 5 subtype(s) +# ADPSFC: 4 subtype(s) +# ASCATT: 1 subtype(s) +# xxxxxxxxx WNDSAT: 1 subtype(s) (if present in past 10 days of tanks) +# ===> Dumping of WNDSAT removed from here until new ingest feed is established +# (had been dumped with a time window radius of -3.00 to +2.99 hours) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 10 +# +#========================================================================== + +DTIM_latest_sfcshp=${DTIM_latest_sfcshp:-"+2.99"} + +atovs="" +if [ "$NET" = 'gdas' ]; then + atovs=atovs + DTIM_latest_atovs=${DTIM_latest_atovs:-"+2.99"} +fi + +DTIM_latest_adpsfc=${DTIM_latest_adpsfc:-"+2.99"} +DTIM_latest_ascatt=${DTIM_latest_ascatt:-"+2.99"} +#----------------------------------------------- +# check for wndsat tank presence in past 10 days +wndsat="" +err_check_tanks=0 +##########sh $USHobsproc_dump/check_tanks.sh wndsat +##########err_check_tanks=$? +err_check_tanks=99 # comment out 2 lines above & add this line to ensure wndsat + # is not ever dumped +if [ $err_check_tanks -eq 0 ];then + wndsat=wndsat + DTIM_latest_wndsat=${DTIM_latest_wndsat:-"+2.99"} +fi +#----------------------------------------------- + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM2:-off}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 sfcshp $atovs adpsfc ascatt $wndsat +error2=$? +echo "$error2" > $DATA/error2 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_sfcshp $job \ + ${COMSP}sfcshp.tm00.bufr_d + [ -f ${COMSP}atovs.tm00.bufr_d ] && \ + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_atovs $job \ + ${COMSP}atovs.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_adpsfc $job \ + ${COMSP}adpsfc.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_ascatt $job \ + ${COMSP}ascatt.tm00.bufr_d + if [ "$NET" = 'gdas' ]; then + ####### ALERT TURNED ON for GDAS only ######################## + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_ascatw $job \ + ${COMSP}ascatw.tm00.bufr_d + fi + if [ "$wndsat" = wndsat ];then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_wndsat $job \ + ${COMSP}wndsat.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_wdsatr $job \ + ${COMSP}wdsatr.tm00.bufr_d + fi +fi + +set +x +echo "********************************************************************" +echo Script thread_2 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/2.out 2>&1 +EOF +set -x + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_3; chmod +x thread_3 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_3 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=3 + +#==================================================================== +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump #3: ADPUPA: 6 subtype(s) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 6 +# +#==================================================================== + +DTIM_latest_adpupa=${DTIM_latest_adpupa:-"+2.99"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM3:-off}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 adpupa +error3=$? +echo "$error3" > $DATA/error3 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_adpupa $job \ + ${COMSP}adpupa.tm00.bufr_d +fi + +set +x +echo "********************************************************************" +echo Script thread_3 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/3.out 2>&1 +EOF +set -x + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_4; chmod +x thread_4 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_4 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=4 + +#======================================================================= +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# EXCEPT: AIRCFT where it is +/- 3.25 hours +# AIRCAR where it is +/- 3.25 hours +# PROFLR where it is -4.00 to +3.99 hours +# GSPIPW where it is +/- 0.05 hours (+/- 3min) +# (2) TIME TRIMMING IS DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump # 4 : AIRCAR: 2 subtype(s) +# AIRCFT: 6 subtype(s) +# PROFLR: 4 subtype(s) +# VADWND: 1 subtype(s) +# RASSDA: 1 subtype(s) +# GPSIPW: 1 subtype(s) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 15 +# +#======================================================================= + +# Skip NeXRaD VAD WINDS FROM LEVEL 2 DECODER (not ready to be handled in GSI) + +export SKIP_002017=YES + +# Dump AIRCFT and AIRCAR with wide time window to improve PREPOBS_PREPACQC +# track-check performance +# (time window will be winnowed down to +/- 3.00 hours in output from +# PREPOBS_PREPACQC) + +# Dump PROFLR with wide time window to improve PREPOBS_PROFCQC performance +# (time window will be winnowed down in output from PREPOBS_PROFCQC, see +# parm cards for output time window) + +# Dump GPSIPW with narrow (+/- 3-min) time window since new Ground Based +# GPS-IPW/ZTD (from U.S.-ENI and foreign GNSS providers) is currently limited +# to obs only at cycle-time + +DTIM_earliest_aircft=${DTIM_earliest_aircft:-"-3.25"} +DTIM_latest_aircft=${DTIM_latest_aircft:-"+3.25"} + +DTIM_earliest_aircar=${DTIM_earliest_aircar:-"-3.25"} +DTIM_latest_aircar=${DTIM_latest_aircar:-"+3.25"} + +DTIM_earliest_proflr=${DTIM_earliest_proflr:-"-4.00"} +DTIM_latest_proflr=${DTIM_latest_proflr:-"+3.99"} + +DTIM_latest_vadwnd=${DTIM_latest_vadwnd:-"+2.99"} +DTIM_latest_rassda=${DTIM_latest_rassda:-"+2.99"} + +DTIM_earliest_gpsipw=${DTIM_latest_gpsipw:-"-0.05"} +DTIM_latest_gpsipw=${DTIM_latest_gpsipw:-"+0.05"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM4:-on}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 aircar aircft proflr vadwnd \ + rassda gpsipw +error4=$? +echo "$error4" > $DATA/error4 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_aircar $job \ + ${COMSP}aircar.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_aircft $job \ + ${COMSP}aircft.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_proflr $job \ + ${COMSP}proflr.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_vadwnd $job \ + ${COMSP}vadwnd.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_rassda $job \ + ${COMSP}rassda.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_gpsipw $job \ + ${COMSP}gpsipw.tm00.bufr_d +fi + +set +x +echo "********************************************************************" +echo Script thread_4 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/4.out 2>&1 +EOF +set -x + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_5; chmod +x thread_5 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_5 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=5 + +#=================================================================== +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Currently not executed in GDAS or GFS: +# Dump # 5 : MSONET: 30 subtype(s) +# --------------------- +# TOTAL NUMBER OF SUBTYPES = 30 +# +#=================================================================== + +DTIM_latest_msonet=${DTIM_latest_msonet:-"+2.99"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM5:-off}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 msonet +error5=$? +echo "$error5" > $DATA/error5 + +set +x +echo "********************************************************************" +echo Script thread_5 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/5.out 2>&1 +EOF +set -x + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_6; chmod +x thread_6 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_6 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=6 + +#=================================================================== +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Currently not executed in GDAS or GFS: +# Dump # 6 : NEXRAD: 8 subtype(s) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 8 +# +#=================================================================== + +DTIM_latest_nexrad=${DTIM_latest_nexrad:-"+2.99"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM6:-off}} + +# NEXRAD tanks are hourly +# Process only those hourly tanks w/i requested dump center cycle time window + +SKIP_006010=YES # radial wind 00Z +SKIP_006011=YES # radial wind 01Z +SKIP_006012=YES # radial wind 02Z +SKIP_006013=YES # radial wind 03Z +SKIP_006014=YES # radial wind 04Z +SKIP_006015=YES # radial wind 05Z +SKIP_006016=YES # radial wind 06Z +SKIP_006017=YES # radial wind 07Z +SKIP_006018=YES # radial wind 08Z +SKIP_006019=YES # radial wind 09Z +SKIP_006020=YES # radial wind 10Z +SKIP_006021=YES # radial wind 11Z +SKIP_006022=YES # radial wind 12Z +SKIP_006023=YES # radial wind 13Z +SKIP_006024=YES # radial wind 14Z +SKIP_006025=YES # radial wind 15Z +SKIP_006026=YES # radial wind 16Z +SKIP_006027=YES # radial wind 17Z +SKIP_006028=YES # radial wind 18Z +SKIP_006029=YES # radial wind 19Z +SKIP_006030=YES # radial wind 20Z +SKIP_006031=YES # radial wind 21Z +SKIP_006032=YES # radial wind 22Z +SKIP_006033=YES # radial wind 23Z + +SKIP_006040=YES # reflectivity 00Z +SKIP_006041=YES # reflectivity 01Z +SKIP_006042=YES # reflectivity 02Z +SKIP_006043=YES # reflectivity 03Z +SKIP_006044=YES # reflectivity 04Z +SKIP_006045=YES # reflectivity 05Z +SKIP_006046=YES # reflectivity 06Z +SKIP_006047=YES # reflectivity 07Z +SKIP_006048=YES # reflectivity 08Z +SKIP_006049=YES # reflectivity 09Z +SKIP_006050=YES # reflectivity 10Z +SKIP_006051=YES # reflectivity 11Z +SKIP_006052=YES # reflectivity 12Z +SKIP_006053=YES # reflectivity 13Z +SKIP_006054=YES # reflectivity 14Z +SKIP_006055=YES # reflectivity 15Z +SKIP_006056=YES # reflectivity 16Z +SKIP_006057=YES # reflectivity 17Z +SKIP_006058=YES # reflectivity 18Z +SKIP_006059=YES # reflectivity 19Z +SKIP_006060=YES # reflectivity 20Z +SKIP_006061=YES # reflectivity 21Z +SKIP_006062=YES # reflectivity 22Z +SKIP_006063=YES # reflectivity 23Z + +if [ $cycp -eq 00 ]; then # (22.5 - 01.5 Z) + unset SKIP_006032 # radial wind 22Z + unset SKIP_006033 # radial wind 23Z + unset SKIP_006010 # radial wind 00Z + unset SKIP_006011 # radial wind 01Z + unset SKIP_006062 # reflectivity 22Z + unset SKIP_006063 # reflectivity 23Z + unset SKIP_006040 # reflectivity 00Z + unset SKIP_006041 # reflectivity 01Z +elif [ $cycp -eq 06 ]; then # (04.5 - 07.5 Z) + unset SKIP_006014 # radial wind 04Z + unset SKIP_006015 # radial wind 05Z + unset SKIP_006016 # radial wind 06Z + unset SKIP_006017 # radial wind 07Z + unset SKIP_006044 # reflectivity 04Z + unset SKIP_006045 # reflectivity 05Z + unset SKIP_006046 # reflectivity 06Z + unset SKIP_006047 # reflectivity 07Z +elif [ $cycp -eq 12 ]; then # (10.5 - 13.5 Z) + unset SKIP_006020 # radial wind 10Z + unset SKIP_006021 # radial wind 11Z + unset SKIP_006022 # radial wind 12Z + unset SKIP_006023 # radial wind 13Z + unset SKIP_006050 # reflectivity 10Z + unset SKIP_006051 # reflectivity 11Z + unset SKIP_006052 # reflectivity 12Z + unset SKIP_006053 # reflectivity 13Z +elif [ $cycp -eq 18 ]; then # (16.5 - 19.5 Z) + unset SKIP_006026 # radial wind 16Z + unset SKIP_006027 # radial wind 17Z + unset SKIP_006028 # radial wind 18Z + unset SKIP_006029 # radial wind 19Z + unset SKIP_006056 # reflectivity 16Z + unset SKIP_006057 # reflectivity 17Z + unset SKIP_006058 # reflectivity 18Z + unset SKIP_006059 # reflectivity 19Z +fi + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 nexrad +error6=$? +echo "$error6" > $DATA/error6 + +set +x +echo "********************************************************************" +echo Script thread_6 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/6.out 2>&1 +EOF +set -x + +set +x +#------------------------------------------------------------------------------ +cat<<\EOF>thread_7; chmod +x thread_7 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_7 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=7 + +#========================================================================= +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump # 7 : AVCSPM: 1 subtype(s) +# ESMHS: 1 subtype(s) +# GOESFV: 1 subtype(s) +# 1BMHS: 1 subtype(s) +# AIRSEV: 1 subtype(s) +# ATMSDB: 1 subtype(s) +# GOME: 1 subtype(s) +# OMI: 1 subtype(s) +# TRKOB: 1 subtype(s) +# GPSRO: 1 subtype(s) +# ESCRIS: 1 subtype(s) (if present in past 10 days of tanks) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 11 +# +#========================================================================= + +DTIM_latest_avcspm=${DTIM_latest_avcspm:-"+2.99"} +DTIM_latest_esmhs=${DTIM_latest_esmhs:-"+2.99"} +DTIM_latest_goesfv=${DTIM_latest_goesfv:-"+2.99"} +DTIM_latest_1bmhs=${DTIM_latest_1bmhs:-"+2.99"} +DTIM_latest_airsev=${DTIM_latest_airsev:-"+2.99"} +DTIM_latest_atmsdb=${DTIM_latest_atmsdb:-"+2.99"} +DTIM_latest_gome=${DTIM_latest_gome:-"+2.99"} +DTIM_latest_omi=${DTIM_latest_omi:-"+2.99"} +DTIM_latest_trkob=${DTIM_latest_trkob:-"+2.99"} +DTIM_latest_gpsro=${DTIM_latest_gpsro:-"+2.99"} +#----------------------------------------------- +# check for escris tank presence in past 10 days +escris="" +err_check_tanks=0 +sh $USHobsproc_dump/check_tanks.sh escris +err_check_tanks=$? +if [ $err_check_tanks -eq 0 ];then + escris=escris + DTIM_latest_escris=${DTIM_latest_escris:-"+2.99"} +fi +#----------------------------------------------- + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM7:-off}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 avcspm esmhs goesfv 1bmhs \ + airsev atmsdb gome omi trkob gpsro $escris +error7=$? +echo "$error7" > $DATA/error7 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_avcspm $job \ + ${COMSP}avcspm.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esmhs $job \ + ${COMSP}esmhs.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_goesfv $job \ + ${COMSP}goesfv.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_1bmhs $job \ + ${COMSP}1bmhs.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_airsev $job \ + ${COMSP}airsev.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_atmsdb $job \ + ${COMSP}atmsdb.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_gome $job \ + ${COMSP}gome.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_omi $job \ + ${COMSP}omi.tm00.bufr_d +####### ALERTS TURNED OFF UNTIL REQUESTED BY USER ######################### +# $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_trkob $job \ +# ${COMSP}trkob.tm00.bufr_d +########################################################################### + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_gpsro $job \ + ${COMSP}gpsro.tm00.bufr_d + if [ "$escris" = escris ];then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_escris $job \ + ${COMSP}escris.tm00.bufr_d + fi +fi + +set +x +echo "********************************************************************" +echo Script thread_7 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/7.out 2>&1 +EOF +set -x + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_8; chmod +x thread_8 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_8 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=8 + +#======================================================================= +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is +/- 1.5 hrs for all SATWND types +# EXCEPT: SATWND subtypes 005/010, 005/011, 005/12, 005/019, 005/064, +# 005/065, 005/066, 005/070, 005/071, 005/080 and 005/090 where +# it is -3.00 to +2.99 hours. +# (2) TIME TRIMMING IS DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump # 8 : SATWND: 17 subtype(s) +# --------------------- +# TOTAL NUMBER OF SUBTYPES = 17 +# +#======================================================================= + +# satwnd types +# ------------ +DTIM_earliest_005010=${DTIM_earliest_005010:-"-3.00"} +DTIM_latest_005010=${DTIM_latest_005010:-"+2.99"} +DTIM_earliest_005011=${DTIM_earliest_005011:-"-3.00"} +DTIM_latest_005011=${DTIM_latest_005011:-"+2.99"} +DTIM_earliest_005012=${DTIM_earliest_005012:-"-3.00"} +DTIM_latest_005012=${DTIM_latest_005012:-"+2.99"} +DTIM_earliest_005019=${DTIM_earliest_005019:-"-3.00"} +DTIM_latest_005019=${DTIM_latest_005019:-"+2.99"} +DTIM_earliest_005064=${DTIM_earliest_005064:-"-3.00"} +DTIM_latest_005064=${DTIM_latest_005064:-"+2.99"} +DTIM_earliest_005065=${DTIM_earliest_005065:-"-3.00"} +DTIM_latest_005065=${DTIM_latest_005065:-"+2.99"} +DTIM_earliest_005066=${DTIM_earliest_005066:-"-3.00"} +DTIM_latest_005066=${DTIM_latest_005066:-"+2.99"} +DTIM_earliest_005070=${DTIM_earliest_005070:-"-3.00"} +DTIM_latest_005070=${DTIM_latest_005070:-"+2.99"} +DTIM_earliest_005071=${DTIM_earliest_005071:-"-3.00"} +DTIM_latest_005071=${DTIM_latest_005071:-"+2.99"} +DTIM_earliest_005080=${DTIM_earliest_005080:-"-3.00"} +DTIM_latest_005080=${DTIM_latest_005080:-"+2.99"} +DTIM_earliest_005090=${DTIM_earliest_005090:-"-3.00"} +DTIM_latest_005090=${DTIM_latest_005090:-"+2.99"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM8:-on}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 1.5 1 satwnd +error8=$? +echo "$error8" > $DATA/error8 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_satwnd $job \ + ${COMSP}satwnd.tm00.bufr_d +fi + +set +x +echo "********************************************************************" +echo Script thread_8 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/8.out 2>&1 +EOF +set -x + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_9; chmod +x thread_9 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_9 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=9 + +#======================================================================= +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# EXCEPT: GEOIMR where it is -0.50 to +0.50 hour +# (2) TIME TRIMMING IS DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump # 9 : GEOIMR: 1 subtype(s) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 1 +# +#======================================================================= + +DTIM_earliest_geoimr=${DTIM_earliest_geoimr:-"-0.50"} +DTIM_latest_geoimr=${DTIM_latest_geoimr:-"+0.50"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM9:-on}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 geoimr +error9=$? +echo "$error9" > $DATA/error9 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_geoimr $job \ + ${COMSP}geoimr.tm00.bufr_d +fi + +set +x +echo "********************************************************************" +echo Script thread_9 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/9.out 2>&1 +EOF +set -x + +set +x +#------------------------------------------------------------------------------ +cat<<\EOF>thread_10; chmod +x thread_10 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_10 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=10 + +#========================================================================= +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump #10 : ESIASI: 1 subtype(s) +# MTIASI: 1 subtype(s) +# ESAMUA: 1 subtype(s) +# CRISDB: 1 subtype(s) +# IASIDB: 1 subtype(s) +# SEVASR: 1 subtype(s) +# 1BAMUA: 1 subtype(s) +# BATHY: 1 subtype(s) +# OSBUV8: 1 subtype(s) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 9 +# +#========================================================================= + +DTIM_latest_esiasi=${DTIM_latest_esiasi:-"+2.99"} +DTIM_latest_mtiasi=${DTIM_latest_mtiasi:-"+2.99"} +DTIM_latest_esamua=${DTIM_latest_esamua:-"+2.99"} +DTIM_latest_crisdb=${DTIM_latest_crisdb:-"+2.99"} +DTIM_latest_iasidb=${DTIM_latest_iasidb:-"+2.99"} +DTIM_latest_sevasr=${DTIM_latest_sevasr:-"+2.99"} +DTIM_latest_1bamua=${DTIM_latest_1bamua:-"+2.99"} +DTIM_latest_bathy=${DTIM_latest_bathy:-"+2.99"} +DTIM_latest_osbuv8=${DTIM_latest_osbuv8:-"+2.99"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM10:-off}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 esiasi mtiasi esamua \ + crisdb iasidb sevasr 1bamua bathy osbuv8 +error10=$? +echo "$error10" > $DATA/error10 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esiasi $job \ + ${COMSP}esiasi.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_mtiasi $job \ + ${COMSP}mtiasi.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esamua $job \ + ${COMSP}esamua.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_crisdb $job \ + ${COMSP}crisdb.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_iasidb $job \ + ${COMSP}iasidb.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_sevasr $job \ + ${COMSP}sevasr.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_1bamua $job \ + ${COMSP}1bamua.tm00.bufr_d +####### ALERTS TURNED OFF UNTIL REQUESTED BY USER ######################### +# $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_bathy $job \ +# ${COMSP}bathy.tm00.bufr_d +########################################################################### + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_osbuv8 $job \ + ${COMSP}osbuv8.tm00.bufr_d +fi + +set +x +echo "********************************************************************" +echo Script thread_10 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/10.out 2>&1 +EOF +set -x + +set +x +#------------------------------------------------------------------------------ +cat<<\EOF>thread_11; chmod +x thread_11 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_11 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=11 + +#========================================================================= +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump #11 : AMSR2: 1 subtype(s) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 1 +# +#========================================================================= + +DTIM_latest_amsr2=${DTIM_latest_amsr2:-"+2.99"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM11:-off}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 amsr2 +error11=$? +echo "$error11" > $DATA/error11 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_amsr2 $job \ + ${COMSP}amsr2.tm00.bufr_d +fi + +set +x +echo "********************************************************************" +echo Script thread_11 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/11.out 2>&1 +EOF +set -x + + +#---------------------------------------------------------------- +# Now launch the threads + +# determine local system name and type if available +# ------------------------------------------------- +SITE=${SITE:-""} +sys_tp=${sys_tp:-$(getsystem.pl -tp)} +getsystp_err=$? +if [ $getsystp_err -ne 0 ]; then + msg="***WARNING: error using getsystem.pl to determine system type and phase" + set +u + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + set -u +fi +echo sys_tp is set to: $sys_tp + +if [ "$sys_tp" = 'Cray-XC40' -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + launcher=${launcher:-"aprun_cfp"} +else + launcher=${launcher:-"cfp"} +fi +if [ "$launcher" = aprun_cfp ]; then + # Get compute node count: Subtract one from the total number of unique + # hosts to account for the MAMU node that runs serial portion of job + typeset -i nodesall=$(echo -e "${LSB_HOSTS// /\\n}"|sort -u|wc -w) + typeset -i ncnodes=$(($nodesall-1)) # we want compute nodes only + if [ $ncnodes -lt 1 ]; then + set +x + echo + echo " ######################################################## " + echo " --> Could not get positive compute node count for aprun! " + echo " --> Check that BSUB directives included a reservation " + echo " request for one or more compute nodes. " + echo " --> @@ F A T A L E R R O R @@ -- ABNORMAL EXIT " + echo " ######################################################## " + echo + set -x + $DATA/err_exit "***FATAL: Check if compute nodes were allocated" + fi +elif [[ "$launcher" = cfp && -z "$LSB_HOSTS" ]]; then + set +x + echo + echo "You requested the cfp poe launcher but are not running under LSF!!" + echo "You must run under LSF to use cfp option on IBM. Exiting..." + echo + set -x + $DATA/err_exit +fi +if [ "$launcher" = cfp -o "$launcher" = aprun_cfp ]; then + > $DATA/poe.cmdfile + +# To better take advantage of cfp, execute the longer running commands first. +# Some reordering was done here based on recent sample runtimes. + [ $DUMP_group7 = YES ] && echo thread_7 >> $DATA/poe.cmdfile # moved up + [ $DUMP_group1 = YES ] && echo thread_1 >> $DATA/poe.cmdfile + [ $DUMP_group5 = YES ] && echo thread_5 >> $DATA/poe.cmdfile # moved up + [ $DUMP_group6 = YES ] && echo thread_6 >> $DATA/poe.cmdfile # moved up + [ $DUMP_group8 = YES ] && echo thread_8 >> $DATA/poe.cmdfile # moved up + [ $DUMP_group11 = YES ] && echo thread_11 >> $DATA/poe.cmdfile # moved up + [ $DUMP_group10 = YES ] && echo thread_10 >> $DATA/poe.cmdfile # moved up + [ $DUMP_group2 = YES ] && echo thread_2 >> $DATA/poe.cmdfile + [ $DUMP_group3 = YES -a $ADPUPA_wait != YES ] && echo thread_3 >> $DATA/poe.cmdfile + [ $DUMP_group4 = YES ] && echo thread_4 >> $DATA/poe.cmdfile + [ $DUMP_group9 = YES ] && echo thread_9 >> $DATA/poe.cmdfile + + if [ -s $DATA/poe.cmdfile ]; then + nthreads=$(cat $DATA/poe.cmdfile | wc -l) + if [ $nthreads -eq 1 ]; then # don't expect to need this, but just in case + echo "do not need cfp for 1 thread" + if [ "$launcher" = aprun_cfp ]; then + aprun -n 1 -N 1 -d 1 sh $DATA/poe.cmdfile + else + sh $DATA/poe.cmdfile + fi + elif [ "$launcher" = cfp ]; then # iDataPlex + module load cfp + export MP_CSS_INTERRUPT=yes + mpirun.lsf cfp $DATA/poe.cmdfile 2>&1 + elif [ "$launcher" = aprun_cfp ]; then + if [[ -z ${DUMPStpn:-""} ]]; then # pes per node + # cfp is faster with extra thread so add one if there is room. + # For now, going with 20 as default max rather than 24. + if [ $nthreads -lt 20 ]; then + DUMPStpn=$(($nthreads+1)) + else + DUMPStpn=20 + fi + fi + NPROCS=$(($ncnodes*$DUMPStpn)) # concurrent processes + aprun -j 1 -n${NPROCS} -N${DUMPStpn} -d 1 --cc depth cfp $DATA/poe.cmdfile + fi + errpoe=$? + if [ $errpoe -ne 0 ]; then + $DATA/err_exit "***FATAL: EXIT STATUS $errpoe RUNNING POE COMMAND FILE" + fi + else + echo + echo "==> There are no tasks in POE Command File - POE not run" + echo + fi +else + if [ "$sys_tp" = 'Cray-XC40' -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + set +x + echo + echo " ############################################################# " + echo " --> Option to use background threads is disabled on Cray-XC40." + echo " --> @@ F A T A L E R R O R @@ -- ABNORMAL EXIT " + echo " ############################################################# " + echo + set -x + $DATA/err_exit "***FATAL: Check if compute nodes were allocated" + else + echo "Spawning background threads" + [ $DUMP_group1 = YES ] && thread_1 & + [ $DUMP_group2 = YES ] && thread_2 & + [ $DUMP_group3 = YES -a $ADPUPA_wait != YES ] && thread_3 & + [ $DUMP_group4 = YES ] && thread_4 & + [ $DUMP_group5 = YES ] && thread_5 & + [ $DUMP_group6 = YES ] && thread_6 & + [ $DUMP_group7 = YES ] && thread_7 & + [ $DUMP_group8 = YES ] && thread_8 & + [ $DUMP_group9 = YES ] && thread_9 & + [ $DUMP_group10 = YES ] && thread_10 & + [ $DUMP_group11 = YES ] && thread_11 & + wait + fi +fi + +# if ADPUPA_wait is YES, adpupa is dumped AFTER all other dump threads have +# run (normally done in real-time GFS runs to dump as late as possible in +# order to maximize data availability in GFS network, particularly DROPs) +# -------------------------------------------------------------------------- + +[ $DUMP_group3 = YES -a $ADPUPA_wait = YES ] && thread_3 + +cat $DATA/1.out $DATA/2.out $DATA/3.out $DATA/4.out $DATA/5.out $DATA/6.out $DATA/7.out $DATA/8.out $DATA/9.out $DATA/10.out $DATA/11.out + +set +x +echo " " +echo " " +set -x + +[ -s $DATA/error1 ] && err1=`cat $DATA/error1` +[ -s $DATA/error2 ] && err2=`cat $DATA/error2` +[ -s $DATA/error3 ] && err3=`cat $DATA/error3` +[ -s $DATA/error4 ] && err4=`cat $DATA/error4` +[ -s $DATA/error5 ] && err5=`cat $DATA/error5` +[ -s $DATA/error6 ] && err6=`cat $DATA/error6` +[ -s $DATA/error7 ] && err7=`cat $DATA/error7` +[ -s $DATA/error8 ] && err8=`cat $DATA/error8` +[ -s $DATA/error9 ] && err9=`cat $DATA/error9` +[ -s $DATA/error10 ] && err10=`cat $DATA/error10` +[ -s $DATA/error11 ] && err11=`cat $DATA/error11` + + +#=============================================================================== + +export STATUS=YES +export DUMP_NUMBER=12 +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.00 1 null + +# endif loop $PROCESS_DUMP +fi + +echo " " >> $pgmout +echo "##################################################################\ +####################" >> $pgmout +echo " " >> $pgmout + +#================================================================ +#================================================================ + + +if [ "$PROCESS_DUMP" = 'YES' ]; then + + if [ "$err1" -gt '5' -o "$err2" -gt '5' -o "$err3" -gt '5' -o \ + "$err4" -gt '5' -o "$err5" -gt '5' -o "$err6" -gt '5' -o \ + "$err7" -gt '5' -o "$err8" -gt '5' -o "$err9" -gt '5' -o \ + "$err10" -gt '5' -o "$err11" -gt '5' ]; then + for n in $err1 $err2 $err3 $err4 $err5 $err6 $err7 $err8 $err9 $err10 $err11 + do + if [ "$n" -gt '5' ]; then + if [ "$n" -ne '11' -a "$n" -ne '22' ]; then + +## fatal error in dumping of BUFR obs. files + + set +x +echo +echo " ###################################################### " +echo " --> > 22 RETURN CODE FROM DATA DUMP, $err1, $err2, $err3, $err4, \ +$err5, $err6, $err7, $err8, $err9, $err10, $err11 " +echo " --> @@ F A T A L E R R O R @@ -- ABNORMAL EXIT " +echo " ###################################################### " +echo + set -x + $DATA/err_exit + exit 9 + fi + fi + done + +## a status code of 11 or 22 from dumping of BUFR obs. files +## is non-fatal but still worth noting + + set +x + echo + echo " ###################################################### " + echo " --> > 5 RETURN CODE FROM DATA DUMP, $err1, $err2, $err3, $err4, \ +$err5, $err6, $err7, $err8, $err9, $err10, $err11 " + echo " --> NOT ALL DATA DUMP FILES ARE COMPLETE - CONTINUE " + echo " ###################################################### " + echo + set -x + fi + +# endif loop $PROCESS_DUMP +fi + + +# GOOD RUN +set +x +echo " " +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " " +set -x + + +# save standard output +cat break $pgmout break > allout +cat allout +# rm allout + +sleep 10 + +msg='ENDED NORMALLY.' +$DATA/postmsg "$jlogfile" "$msg" + +################## END OF SCRIPT ####################### diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf.txt new file mode 100644 index 0000000..211909b --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf.txt @@ -0,0 +1 @@ +NO_SCUCH_VARIABLE_NAME=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0/scripts/exglobal_dump.sh.ecf diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.sh new file mode 100755 index 0000000..1672800 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.sh @@ -0,0 +1,1385 @@ +#!/bin/ksh +################################################################################ +# +# Name: getges.sh Author: Mark Iredell +# +# Abstract: +# This script copies the valid global guess file to a given file. +# Alternatively, it writes the name of the guess file to standard output. +# Specify option "-n network" for the job network (default global). +# Other options are gdas, gfs, cdas, mrf, prx, etc. +# Specify option "-e environment" for the job environment (default prod). +# Another option is test. +# Specify option "-f fhour" for the specific forecast hour wanted (default any). +# Specify option "-q" for quiet mode to turn off script messages. +# Specify option "-r resolution" for the resolution wanted (default high). +# Other options are 25464 17042, 12628, low, 6228, namopl, any. +# Specify option "-t filetype" for the filetype wanted from among these choices: +# sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3, +# sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3, +# biascr, satang, satcnt, gesfil +# pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3, +# sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl, +# enggrb, enggri, icegrb, icegri, snogrb, snogrb_high, snogri, sstgrb, sstgri. +# natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur, +# nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur, +# nstcur, nflges, nflgp3 +# Specify option "-v valid" for the valid date wanted (default $CDATE). +# Currently, the valid hours specified must be a multiple of 3. +# Either 2-digit or 4-digit years are currently allowed. +# Specify positional argument to be the file to which to copy the guess. +# If missing, the NAME of the guess file is written to standard output. +# A nonzero return code from this script means either the arguments are invalid +# or the guess could not be found; a message is written to standard error in +# this case, but neither a file copy nor a standard output write will be done. +# The file returned is guaranteed to exist and be readable. +# The script uses the utility commands NDATE and NHOUR. +# +# Example 1. Copy the production sigma guess for 1998100100 to the file sges. +# getges.sh -e prod -t sigges -v 1998100100 sges +# +# Example 2. Assign the pressure grib guess for the date 1998100121. +# export CDATE=1998100121 +# export XLFUNIT_12="$(getges.sh -qt pgbges||echo /dev/null)" +# +# Example 3. Get the PRX pgb analysis or the best valid guess at 1998100112. +# getges -e prx -t pgbcur -v 1998100112 pgbfile +# +# Example 5. Get the 24-hour GFS forecast sigma file valid at 1998100112. +# getges -t sigcur -v 1998100112 -f 24 -e gfs sigfile +# +# History: 1996 December Iredell Initial implementation +# 1997 March Iredell Nine new filetypes +# 1997 April Iredell Two new filetypes and -f option +# 1997 December Iredell Four new filetypes +# 1998 April Iredell 4-digit year allowed; +# sigges internal date no longer checked +# 1998 May Iredell T170L42 defaulted; four new filetypes +# and two filetypes deleted +# 1998 June Rogers Nam types added +# 1998 September Iredell high is default resolution +# 2000 March Iredell Cdas and -n option +# 2000 June Iredell Eight new filetypes +# 2002 April Treadon T254L64 defaulted; add angle dependent +# bias correction file +# 2003 March Iredell GFS network out to 384 hours +# 2003 August Iredell Hourly global guesses +# 2005 September Treadon Add satellite data count file (satcnt) +# 2006 September Gayno Add high-res snow analysis +# 2009 January Rogers Added sfluxgrb file +# 2011 April Rogers Added GFS pg2ges file +# 2016 May Menlove Changed GETGES_COM variable to $COMINmodel +# 2016 November Iredell Adapted getges for NEMS GSM +# Also removed a lot of dead wood +# +################################################################################ +#------------------------------------------------------------------------------- +# Set some default parameters. +fhbeg=03 # hour to begin searching backward for guess +fhinc=03 # hour to increment backward in search +fhend=384 # hour to end searching backward for guess + +#------------------------------------------------------------------------------- +# Get options and arguments. +netwk=global # default network +envir=prod # default environment +fhour=any # default forecast hour +quiet=NO # default quiet mode +trace=NO # default execution trace mode +resol=high # default resolution +typef=sigges # default filetype +valid=${CDATE:-'?'} # default valid date +err=0 + +while getopts n:e:f:qxr:t:v: opt;do + case $opt in + n) netwk="$OPTARG";; + e) envir="$OPTARG";; + f) fhour="$OPTARG";; + q) quiet=YES;; + x) trace=YES;; + r) resol="$OPTARG";; + t) typef="$OPTARG";; + v) valid="$OPTARG";; + \?) err=1;; + esac +done +shift $(($OPTIND-1)) +gfile=$1 +if [[ -z $valid ]];then + echo "$0: either -v option or environment variable CDATE must be set" >&2 +elif [[ $# -gt 1 ]];then + echo "$0: too many positional arguments" >&2 +elif [[ $err -ne 0 ]];then + echo "$0: invalid option" >&2 +fi +if [[ $gfile = '?' || $# -gt 1 || $err -ne 0 || -z $valid ||\ + $netwk = '?' || $envir = '?' || $fhour = '?' || $resol = '?' ||\ + $typef = '?' || $valid = '?' ]];then + echo "Usage: getges.sh [-n network] [-e environment] [-f fhour] [-q] [-r resolution]" >&2 + echo " [-t filetype] [-v valid] [gfile]" >&2 + if [[ $netwk = '?' ]];then + echo " network choices:" >&2 + echo " global (default), namopl, gdas, gfs, cdas, etc." >&2 + elif [[ $envir = '?' ]];then + echo " environment choices:" >&2 + echo " prod (default), test, para, dump, prx" >&2 + echo " (some network values allowed for compatibility)" >&2 + elif [[ $fhour = '?' ]];then + echo " fhour is optional specific forecast hour" >&2 + elif [[ $resol = '?' ]];then + echo " resolution choices:" >&2 + echo " high (default), 25464, 17042, 12628, low, 6228, namopl, any" >&2 + elif [[ $typef = '?' ]];then + echo " filetype choices:" >&2 + echo " sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3," >&2 + echo " sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3," >&2 + echo " sfgges, sfggp3, biascr, satang, satcnt, gesfil" >&2 + echo " pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3," >&2 + echo " sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl," >&2 + echo " enggrb, enggri, icegrb, icegri, snogrb, snogri, sstgrb, sstgri," >&2 + echo " pg2cur, pg2ges, restrt," >&2 + echo " natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur," >&2 + echo " nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur," >&2 + echo " nstcur, nflges, nflgp3," >&2 + elif [[ $valid = '?' ]];then + echo " valid is the valid date in yyyymmddhh or yymmddhh form" >&2 + echo " (default is environmental variable CDATE)" >&2 + elif [[ $gfile = '?' ]];then + echo " gfile is the guess file to write" >&2 + echo " (default is to write the guess file name to stdout)" >&2 + else + echo " (Note: set a given option to '?' for more details)" >&2 + fi + exit 1 +fi +[[ $trace == YES ]]&&set -x +if [[ $envir != prod && $envir != test && $envir != para && $envir != dump && $envir != pr? && $envir != dev ]];then + netwk=$envir + envir=prod + echo '************************************************************' >&2 + echo '* WARNING: Using "-e" is deprecated in this case. *' >&2 + echo '* Please use "-n" instead. *' >&2 + echo '************************************************************' >&2 +fi +if [[ "$netwk" = "namopl" || "$resol" = "namopl" ]];then + netwk=namopl + typef=restrt + resol=namopl +fi +[[ $resol = 57464 || $resol = 38264 || $resol = 19064 || $resol = 25464 || $resol = 17042 || $resol = 12628 ]]&&resol=high +[[ $resol = 6228 ]]&&resol=low +resolsuf="" +[[ $resol == *deg ]]&&resolsuf=.$resol +fhbeg=$(${NHOUR:?} $valid) +[[ $fhbeg -le 0 ]]&&fhbeg=03 +((fhbeg=(10#$fhbeg-1)/3*3+3)) +[[ $fhbeg -lt 10 ]]&&fhbeg=0$fhbeg +if [[ $typef = enggrb ]];then + typef=icegrb + echo '************************************************************' >&2 + echo '* WARNING: Using "-t enggrb" is now deprecated. *' >&2 + echo '* Please use "-t icegrb". *' >&2 + echo '************************************************************' >&2 +elif [[ $typef = enggri ]];then + typef=icegri + echo '************************************************************' >&2 + echo '* WARNING: Using "-t enggri" is now deprecated. *' >&2 + echo '* Please use "-t icegri". *' >&2 + echo '************************************************************' >&2 +fi + +#------------------------------------------------------------------------------- +# Assemble guess list in descending order from the best guess. +geslist="" +getlist00="" + +# GDAS +if [[ "$netwk" = "gdas" ]];then + if [ -z "$COMINgdas" ]; then + echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 + exit 1 + fi + fhend=12 + case $typef in + biascr) geslist=' + $COMINgdas/gdas.t${cyc}z.abias' + ;; + biascr_pc) geslist=' + $COMINgdas/gdas.t${cyc}z.abias_pc' + ;; + biascr_air) geslist=' + $COMINgdas/gdas.t${cyc}z.abias_air' + ;; + radstat) geslist=' + $COMINgdas/gdas.t${cyc}z.radstat' + ;; + pgbges) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh' + ;; + pg2ges) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' + ;; + pgbgm6) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6' + ;; + pgbgm3) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3' + ;; + pgbgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3' + ;; + pgbcur) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINgdas/gdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINgdas/gdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINgdas/gdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576' + fhbeg=00 + fhinc=06 + ;; + snogrb_1534) geslist=' + $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINgdas/gdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + natges) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' + ;; + natgm3) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio' + ;; + natgm2) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio' + ;; + natgm1) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio' + ;; + natgp1) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio' + ;; + natgp2) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio' + ;; + natgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio' + ;; + natcur) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' + getlist00=' + $COMINgdas/gdas.t${cyc}z.atmanl.nemsio' + fhbeg=00 + ;; + nsfges) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' + ;; + nsfgm3) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio' + ;; + nsfgm2) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio' + ;; + nsfgm1) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio' + ;; + nsfgp1) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio' + ;; + nsfgp2) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio' + ;; + nsfgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio' + ;; + nsfcur) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' + getlist00=' + $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio' + fhbeg=00 + ;; + nstcur) geslist=' + $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio' + getlist00=' + $COMINgdas/gdas.t${cyc}z.nstanl.nemsio' + fhbeg=00 + ;; + nflges) geslist=' + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' + ;; + nflgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio' + ;; + nflcur) geslist=' + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' + fhbeg=00 + ;; + esac + +# CFS-CDAS +elif [[ "$netwk" = "cfs-cdas" ]];then + if [ -z "$COMINcfs_cdas" ]; then + echo "getges.sh ERROR: The \$COMINcfs_cdas variable must be defined." >&2 + exit 1 + fi + fhend=12 + case $typef in + sigges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fh}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm3}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm2}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm1}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp1}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp2}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp3}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.abias' + ;; + satang) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.satang' + ;; + satcnt) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fh' + ;; + sfggp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fhp3' + ;; + pgbges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm6 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm6 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhp3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhp3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' + getlist00=' + $COMINcfs_cdas/cdas1.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' + getlist00=' + $COMINcfs_cdas/cdas1.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574 + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# GFS +elif [[ "$netwk" = "gfs" ]];then + if [ -z "$COMINgfs" ]; then + echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 + exit 1 + fi + fhend=384 + case $typef in + natges) geslist=' + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + ;; + pgbcur) geslist=' + $COMINgfs/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINgfs/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvitl) geslist=' + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINgfs/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINgfs/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_1534) geslist=' + $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINgfs/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + natcur) geslist=' + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + getlist00=' + $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' + fhbeg=00 + ;; + nsfcur) geslist=' + $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' + getlist00=' + $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' + fhbeg=00 + ;; + nstcur) geslist=' + $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' + getlist00=' + $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' + fhbeg=00 + ;; + nflcur) geslist=' + $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' + fhbeg=00 + ;; + esac + +# CDAS +elif [[ "$netwk" = "cdas" ]];then + if [ -z "$COMINcdas" ]; then + echo "getges.sh ERROR: The \$COMINcdas variable must be defined." >&2 + exit 1 + fi + fhbeg=06 + fhend=06 + case $typef in + sigges) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $COMINcdas/cdas.t${cyc}z.abias' + ;; + satang) geslist=' + $COMINcdas/cdas.t${cyc}z.satang' + ;; + satcnt) geslist=' + $COMINcdas/cdas.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $COMINcdas/cdas.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fh' + getlist00=' + $COMINcdas/cdas.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fh' + getlist00=' + $COMINcdas/cdas.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINcdas/cdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINcdas/cdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $COMINcdas/cdas.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINcdas/cdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $COMINcdas/cdas.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINcdas/cdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $COMINcdas/cdas.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# CDC CDAS +elif [[ "$netwk" = "cdc" ]];then + if [ -z "$COMINcdc" ]; then + echo "getges.sh ERROR: The \$COMINcdc variable must be defined." >&2 + exit 1 + fi + fhbeg=06 + fhend=06 + case $typef in + sigges) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $COMINcdc/cdas.t${cyc}z.abias' + ;; + satang) geslist=' + $COMINcdc/cdas.t${cyc}z.satang' + ;; + satcnt) geslist=' + $COMINcdc/cdas.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $COMINcdc/cdas.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fh' + getlist00=' + $COMINcdc/cdas.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fh' + getlist00=' + $COMINcdc/cdas.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINcdc/cdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINcdc/cdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $COMINcdc/cdas.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINcdc/cdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $COMINcdc/cdas.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINcdc/cdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $COMINcdc/cdas.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# Any resolution production +elif [[ "$netwk" = "global" ]];then + if [ -z "$COMINgdas" ]; then + echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 + exit 1 + fi + if [ -z "$COMINgfs" ]; then + echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 + exit 1 + fi + GETGES_NWG=${GETGES_NWG:-${GESROOT:?}} + case $typef in + biascr) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.abias + $COMINgdas/gdas.t${cyc}z.abias + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias + $COMINgfs/gfs.t${cyc}z.abias' + fhbeg=06 + fhinc=06 + ;; + pgbges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $COMINgfs/gfs.t${cyc}z.pgrbf$fh' + ;; + pgbgm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm6 + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm6 + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 + $COMINgfs/gfs.t${cyc}z.pgrbf$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm3 + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm3 + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 + $COMINgfs/gfs.t${cyc}z.pgrbf$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhp3 + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhp3 + $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 + $COMINgfs/gfs.t${cyc}z.pgrbf$fhp3' + ;; + pg2ges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$gh + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$gh + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' + ;; + pg2gm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm6 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm6' + ;; + pg2gm5) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm5 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm5' + ;; + pg2gm4) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm4 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm4' + ;; + pg2gm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm3 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm3' + ;; + pg2gm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm2 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm2' + ;; + pg2gm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm1 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm1' + ;; + pg2gp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp1 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp1' + ;; + pg2gp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp2 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp2' + ;; + pg2gp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp3 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp3' + ;; + pgbcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $COMINgfs/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p50.f$gh + $COMINgdas/gdas.t${cyc}z.pgrb2.0p50.f$gh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f$gh + $COMINgfs/gfs.t${cyc}z.pgrb2.0p50.f$gh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.prepbufr + $COMINgdas/gdas.t${cyc}z.prepbufr + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr + $COMINgfs/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.engicegrb + $COMINgdas/gdas.t${cyc}z.engicegrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb + $COMINgfs/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb + $COMINgdas/gdas.t${cyc}z.snogrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb + $COMINgfs/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t574.1152.576 + $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574.1152.576 + $COMINgfs/gfs.t${cyc}z.snogrb_t574.1152.576' + fhbeg=00 + fhinc=06 + ;; + snogrb_1534) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t1534.3072.1536 + $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t1534.3072.1536 + $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sstgrb + $COMINgdas/gdas.t${cyc}z.sstgrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb + $COMINgfs/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + natges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + ((vhr=$valid%100)) + if [[ $(($vhr % 3)) -ne 0 ]]; then + fhinc=01 + fi + ;; + natgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm3.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm3.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghm3.nemsio' + ;; + natgm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm2.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm2.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghm2.nemsio' + ;; + natgm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm1.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm1.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghm1.nemsio' + ;; + natgp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp1.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp1.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghp1.nemsio' + ;; + natgp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp2.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp2.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghp2.nemsio' + ;; + natgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp3.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp3.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghp3.nemsio' + ;; + natcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmanl.nemsio + $COMINgdas/gdas.t${cyc}z.atmanl.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmanl.nemsio + $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' + fhbeg=00 + ;; + nsfges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' + ;; + nsfgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm3.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm3.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghm3.nemsio' + ;; + nsfgm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm2.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm2.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghm2.nemsio' + ;; + nsfgm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm1.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm1.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghm1.nemsio' + ;; + nsfgp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp1.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp1.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghp1.nemsio' + ;; + nsfgp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp2.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp2.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghp2.nemsio' + ;; + nsfgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp3.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp3.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghp3.nemsio' + ;; + nsfcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcanl.nemsio + $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl.nemsio + $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' + fhbeg=00 + ;; + nstcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstanl.nemsio + $COMINgdas/gdas.t${cyc}z.nstanl.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstanl.nemsio + $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' + fhbeg=00 + ;; + nflges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' + ;; + nflgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$ghp3.nemsio + $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$ghp3.nemsio + $COMINgfs/gfs.t${cyc}z.flxf$ghp3.nemsio' + ;; + nflcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' + fhbeg=00 + ;; + esac +fi + +# Check validity of options. +if [[ $fhour != any ]];then + fhbeg=$fhour + fhend=$fhour +fi +if [[ $valid -lt 20000000 ]];then + valid=20$valid + echo '************************************************************' >&2 + echo '* WARNING: A 2-digit year was converted to a 4-digit year. *' >&2 + echo '* Please use full a 4-digit year in this utility. *' >&2 + echo '************************************************************' >&2 +fi +if [[ $($NDATE 0 $valid 2>/dev/null) != $valid ]];then + echo getges.sh: invalid date $valid >&2 + exit 2 +fi +if [[ -z "$geslist" ]];then + echo getges.sh: filetype $typef or resolution $resol not recognized >&2 + exit 2 +fi + +#------------------------------------------------------------------------------- +# Loop until guess is found. +fh=$fhbeg +if [ -z "$PDY" ];then echo "getges.sh WARNING: \$PDY variable not set" >&2; fi +while [[ $fh -le $fhend ]];do + ((fhm6=10#$fh-6)) + [[ $fhm6 -lt 10 && $fhm6 -ge 0 ]]&&fhm6=0$fhm6 + ((fhm5=10#$fh-5)) + [[ $fhm5 -lt 10 && $fhm5 -ge 0 ]]&&fhm5=0$fhm5 + ((fhm4=10#$fh-4)) + [[ $fhm4 -lt 10 && $fhm4 -ge 0 ]]&&fhm4=0$fhm4 + ((fhm3=10#$fh-3)) + [[ $fhm3 -lt 10 && $fhm3 -ge 0 ]]&&fhm3=0$fhm3 + ((fhm2=10#$fh-2)) + [[ $fhm2 -lt 10 && $fhm2 -ge 0 ]]&&fhm2=0$fhm2 + ((fhm1=10#$fh-1)) + [[ $fhm1 -lt 10 && $fhm1 -ge 0 ]]&&fhm1=0$fhm1 + ((fhp1=10#$fh+1)) + [[ $fhp1 -lt 10 ]]&&fhp1=0$fhp1 + ((fhp2=10#$fh+2)) + [[ $fhp2 -lt 10 ]]&&fhp2=0$fhp2 + ((fhp3=10#$fh+3)) + [[ $fhp3 -lt 10 ]]&&fhp3=0$fhp3 + gh=$fh;[[ $gh -lt 100 ]]&&gh=0$gh + ghm6=$fhm6;[[ $ghm6 -lt 100 ]]&&ghm6=0$ghm6 + ghm5=$fhm5;[[ $ghm5 -lt 100 ]]&&ghm5=0$ghm5 + ghm4=$fhm4;[[ $ghm4 -lt 100 ]]&&ghm4=0$ghm4 + ghm3=$fhm3;[[ $ghm3 -lt 100 ]]&&ghm3=0$ghm3 + ghm2=$fhm2;[[ $ghm2 -lt 100 ]]&&ghm2=0$ghm2 + ghm1=$fhm1;[[ $ghm1 -lt 100 ]]&&ghm1=0$ghm1 + ghp1=$fhp1;[[ $ghp1 -lt 100 ]]&&ghp1=0$ghp1 + ghp2=$fhp2;[[ $ghp2 -lt 100 ]]&&ghp2=0$ghp2 + ghp3=$fhp3;[[ $ghp3 -lt 100 ]]&&ghp3=0$ghp3 + id=$($NDATE -$fh $valid) + typeset -L8 day=$id + typeset -R2 cyc=$id + eval list=\$getlist$fh + [[ -z "$list" ]]&&list=${geslist} + for ges_var in $list;do + # Replace variables in guess with their values + eval ges_val=$ges_var + # Replace the current PDY with the valid date + ges=${ges_val/$PDY\//$day/} + [[ $quiet = NO ]]&&echo Checking: $ges >&2 + [[ -r $ges ]]&&break 2 + done + fh=$((10#$fh+10#$fhinc)) + [[ $fh -lt 10 ]]&&fh=0$fh +done +if [[ $fh -gt $fhend ]];then + echo getges.sh: unable to find $netwk.$envir.$typef.$resol.$valid >&2 + exit 8 +fi + +#------------------------------------------------------------------------------- +# Either copy guess to a file or write guess name to standard output. +if [[ -z "$gfile" ]];then + echo $ges + exit $? +else + cp $ges $gfile + exit $? +fi diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.txt new file mode 100644 index 0000000..87bbf4e --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.txt @@ -0,0 +1 @@ +GETGESpre=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/getges.sh diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.sh new file mode 100755 index 0000000..4448067 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.sh @@ -0,0 +1,127 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + + +# This script performs rawinsonde upper-air complex quality control checking +# +# It is normally executed by the script prepobs_makeprepbufr.sh +# but can also be executed from a checkout parent script +# -------------------------------------------------------------------------- + +set -aux + +qid=$$ + +# Positional parameters passed in: +# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr +# file upon successful completion of this script (note that input +# prepbufr file is NOT saved by this script) + +# Imported variables that must be passed in: +# DATA - path to working directory +# CQCS - path to PREPOBS_CQCBUFR program statbge file +# CQCX - path to PREPOBS_CQCBUFR program executable +# CQCC - path to PREPOBS_CQCBUFR program parm cards + +# Imported variables that can be passed in: +# pgmout - string indicating path to for standard output file +# (skipped over by this script if not passed in) +# PRPI_m24 - string indicating path to prepbufr file valid 24-hours previous +# (only needed if temporal checking is being done) +# (skipped over by this script if not passed in) +# PRPI_m12 - string indicating path to prepbufr file valid 12-hours previous +# (only needed if temporal checking is being done) +# (skipped over by this script if not passed in) +# PRPI_p12 - string indicating path to prepbufr file valid 12-hours ahead +# (only needed if temporal checking is being done) +# (skipped over by this script if not passed in) +# PRPI_p24 - string indicating path to prepbufr file valid 24-hours ahead +# (only needed if temporal checking is being done) +# (skipped over by this script if not passed in) + +cd $DATA +PRPI=$1 +if [ ! -s $PRPI ] ; then exit 1 ;fi + +cp /dev/null $DATA/prepbufr_m24 +cp /dev/null $DATA/prepbufr_m12 +cp /dev/null $DATA/prepbufr_p12 +cp /dev/null $DATA/prepbufr_p24 + +set +u +[ -n "$PRPI_m24" ] && cp $PRPI_m24 prepbufr_m24 +[ -n "$PRPI_m12" ] && cp $PRPI_m12 prepbufr_m12 +[ -n "$PRPI_p12" ] && cp $PRPI_p12 prepbufr_p12 +[ -n "$PRPI_p24" ] && cp $PRPI_p24 prepbufr_p24 +set -u + +rm $PRPI.cqcbufr +rm cqc_events cqc_stncnt cqc_stnlst + +pgm=`basename $CQCX` +if [ -s $DATA/prep_step ]; then + set +u + . $DATA/prep_step + set -u +else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +fi + +export FORT4=cqcbufr.unit04.wrk +export FORT12=cqc_events +export FORT14=$PRPI +export FORT15=cqc_stncnt +export FORT16=cqc_stnlst +export FORT17=prepbufr_m24 +export FORT18=prepbufr_m12 +export FORT19=prepbufr_p12 +export FORT20=prepbufr_p24 +export FORT22=cqc_wndpbm +export FORT23=$CQCS +export FORT51=$PRPI.cqcbufr +export FORT52=cqc_sdm +export FORT60=cqcbufr.unit60.wrk +export FORT61=cqcbufr.unit61.wrk +export FORT62=cqcbufr.unit62.wrk +export FORT64=cqcbufr.unit64.wrk +export FORT68=cqc_radcor +export FORT80=cqcbufr.unit80.wrk +TIMEIT=${TIMEIT:-""} +[ -s $DATA/time ] && TIMEIT="$DATA/time -p" +# The following improves performance on Cray-XC40 if $CQCX was +# linked to the IOBUF i/o buffering library +export IOBUF_PARAMS='*wrk:verbose,*cqc_*:verbose' +$TIMEIT $CQCX< $CQCC > outout 2> errfile +err=$? +unset IOBUF_PARAMS +###cat errfile +cat errfile >> outout +cat outout >> cqcbufr.out +set +u +[ -n "$pgmout" ] && cat outout >> $pgmout +set -u +rm outout +set +x +echo +echo 'The foreground exit status for PREPOBS_CQCBUFR is ' $err +echo +set -x +if [ -s $DATA/err_chk ]; then + $DATA/err_chk +else + if test "$err" -gt '0' + then +######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out + # in ops + exit 55 + fi +fi + +if [ "$err" -gt '0' ]; then + exit 9 +else + mv $PRPI.cqcbufr $PRPI +fi + +exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.txt new file mode 100644 index 0000000..0395d77 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.txt @@ -0,0 +1 @@ +CQCX=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_cqcbufr diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.sh new file mode 100755 index 0000000..bb8f950 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.sh @@ -0,0 +1,101 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + + +# This script performs VAD wind complex quality control checking +# +# It is normally executed by the script prepobs_makeprepbufr.sh +# but can also be executed from a checkout parent script +# -------------------------------------------------------------- + +set -aux + +qid=$$ + +# Positional parameters passed in: +# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr +# file upon successful completion of this script (note that input +# prepbufr file is NOT saved by this script) +# 2 - ncep production date (YYYYMMDDHH) + +# Imported variables that must be passed in: +# DATA - path to working directory +# VQCX - path to PREPOBS_CQCVAD program executable + +# Imported variables that can be passed in: +# pgmout - string indicating path to for standard output file (skipped +# over by this script if not passed in) + +cd $DATA +PRPI=$1 +if [ ! -s $PRPI ] ; then exit 1;fi +CDATE10=$2 + +set +x +cat <<\EOFc > cqcvad05 + &NAMLST + HONOR_FLAGS=TRUE, ! If TRUE then levels with bad q.m. flags are honored + PRINT_52=TRUE, ! If TRUE then writes bird quality control information + ! to unit 52 + PRINT_53=FALSE, ! If TRUE then writes a final report listing with q.c. + ! information to unit 53 + PRINT_60=FALSE, ! If TRUE then writes event information to unit 60 + TEST=FALSE ! If TRUE then writes diagnostic print to stdout (unit 06) + / +EOFc +set -x + +rm $PRPI.cqcvad + +pgm=`basename $VQCX` +if [ -s $DATA/prep_step ]; then + set +u + . $DATA/prep_step + set -u +else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +fi + +export FORT11=$PRPI +echo "$CDATE10" > cdate10.dat +export FORT14=cdate10.dat +export FORT51=$PRPI.cqcvad +export FORT52=cqcvad.birdqc +export FORT53=cqcvad.unit53.wrk +export FORT55=cqcvad.unit55.wrk +export FORT60=cqcvad.unit60.wrk +TIMEIT=${TIMEIT:-""} +[ -s $DATA/time ] && TIMEIT="$DATA/time -p" +$TIMEIT $VQCX < cqcvad05 > outout 2> errfile +err=$? +###cat errfile +cat errfile >> outout +cat outout >> cqcvad.out +set +u +[ -n "$pgmout" ] && cat outout >> $pgmout +set -u +rm outout +set +x +echo +echo 'The foreground exit status for PREPOBS_CQCVAD is ' $err +echo +set -x +if [ -s $DATA/err_chk ]; then + $DATA/err_chk +else + if test "$err" -gt '0' + then +######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out + # in ops + exit 55 + fi +fi + +if [ "$err" -gt '0' ]; then + exit 9 +else + mv $PRPI.cqcvad $PRPI +fi + +exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.txt new file mode 100644 index 0000000..1898aed --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.txt @@ -0,0 +1 @@ +VQCX=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_cqcvad diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.sh new file mode 100755 index 0000000..ff85639 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.sh @@ -0,0 +1,2466 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + +#### UNIX Script Documentation Block +# +# Script name: prepobs_makeprepbufr.sh +# Script description: Prepares & quality controls PREPBUFR file +# +# Author: Keyser Org: EMC Date: 2017-04-20 +# +# Abstract: This script creates the PREPBUFR file containing observational data +# assimilated by all versions of NCEP atmospheric analyses. It points to BUFR +# observational data dumps as input files. PREPOBS_PREPDATA combines them to +# generate an initial form of the PREPBUFR file which also contains the +# background guess as well as the observational error field. If tropical +# cyclone relocation processing has previously occurred, the background global +# guess read in by PREPOBS_PREPDATA (and later by SYNDAT_SYNDATA if it runs) +# is the relocated guess valid at the center date/time for the PREPBUFR +# processing. Otherwise it is the regular (unrelocated) global atmosperic +# guess obtained via the getges utility script. After PREPOBS_PREPDATA runs, +# this script can execute SYNDAT_SYNDATA to generate synthetic wind bogus +# data, as well as their background guess and observational error fields, +# which are appended to the PREPBUFR file. +# +# In the global networks the decision to append synthetic wind bogus data in +# the SYNDATA processing is determined by the outcome of the previous +# tropical cyclone relocation processing (if it was run). There are three +# possible outcomes: +# 1) If all storms in the original tcvitals file have vorticies of at +# least medium intensity such that a relocation was previously +# performed for each, then SYNDAT_SYNDATA will still run but will not +# append synthetic wind bogus data to the PREPBUFR file for any storm. +# It will input the original tcvitals file (output from qctropcy +# processing) and (if the option is set) it will flag dropwinsonde +# winds in the vicinity of each tropical storm in the file. +# 2) If all storms in the original tcvitals file (output from qctropcy +# processing) have weak vorticies such that a relocation was not +# previously performed for any, then SYNDAT_SYNDATA will run, inputting +# the original tcvitals file, and it will append synthetic wind bogus +# data to the PREPBUFR file for each storm in it. It will also +# possibly flag mass pressure and/or dropwinsonde wind reports in the +# vicinity of each storm (if requested). +# 3) If some storms in the original tcvitals file (output from qctropcy +# processing) have weak vorticies, such that a relocation was not +# previously performed for them, and others have vorticies of at least +# medium intensity, such that a relocation was previously performed for +# these, then SYNDAT_SYNDATA will run twice. The first time, it will +# input the relocation-generated tcvitals file, which contains all of +# the weak storms, and it will append synthetic wind bogus data to the +# PREPBUFR file for each storm in it. It will also possibly flag mass +# pressure and/or dropwinsonde wind reports in the vicinity of each of +# these storms (if requested). The second time SYNDAT_SYNDATA runs, it +# will input any storm records that were in the original tcvitals file +# but not in the relocation-generated tcvitals file (i.e., storms with +# vorticies of at least medium intensity). It will not append +# synthetic wind bogus data to the PREPBUFR file for any of these +# storms, but it will flag dropwinsonde winds in the vicinity of each +# storm in the original tcvitals file but not in the relocation- +# generated tcvitals file (if requested). +# If this is the nam network, the only reason relocation processing would +# have been previously run would be to update the first guess read in here by +# PREPOBS_PREPDATA and SYNDAT_SYNDATA. In this case, SYNDAT_SYNDATA inputs +# the original tcvitals file (output from qctropcy processing), appends +# synthetic wind bogus data to the PREPBUFR file for each storm in it, and +# possibly also flags mass pressure and/or dropwinsonde wind reports in the +# vicinity of each storm in the file (if requested). +# +# After all of this, the script then executes a series of quality control +# programs which can change the observation value and/or its quality marker. +# The PREPBUFR file is set up such that all changes to data are stacked on +# top of previous values. Such changes are considered to be "events", with +# the event containing an associated program code and reason code to describe +# it. This allows the PREPBUFR file to internally contain a record of all +# events preformed on the observations. This script has been designed to be +# executed by either an "operational J-job" script, a "test J-job" script, a +# "parallel J-job" script, or a stand-alone batch run initiated by a user. +# +# Script history log: +# 1999-07-20 Dennis A. Keyser -- Original version for implementation +# 2000-06-14 Dennis A. Keyser -- Added the tropical cyclone relocation +# processing +# 2001-03-20 Dennis A. Keyser -- Now gets tcvitals file for t-12 as well as +# t-06 in tropical cyclone relocation processing and passes both to ush +# relocate_relocate_ts.sh as new pos. parameters 3 and 4 +# 2004-01-15 Dennis A. Keyser -- Reads file *aircar_status_flag* in $COMSP +# path to see whether ARINC (primary) or AFWA (backup) reports in AIRCAR +# dump should be read and processed as ACARS data in PREPBUFR (flag file +# generated in upstream dump process and is based on a comparison of report +# counts), inserts proper switch in parm cards read by PREPOBS_PREPDATA +# program +# 2004-08-30 Dennis A. Keyser -- Connects new data dumps to PREPDATA +# processing, copies t-3 and t+3 sigma guess files for GFS and GDAS even if +# DO_RELOCATE = NO (unless GETGUESS = NO); Copies the "PRE-QC" snapshot of +# the PREPBUFR file AFTER SYNDAT_SYNDATA runs (if it does) rather than +# before it runs (ensures that the PRE-QC PREPBUFR file contains ALL of the +# observations); Variable PRVT (observational error table file path) is now +# read by NAM network and defaults to $FIXPREP/prepobs_errtable.nam if not +# imported (obs. errors are now read into PREPBUFR file in NAM network in +# preparation for the switch to the GSI analysis, the operational 3DVAR +# analysis ignores the obs errors in PREPBUFR and still reads them in from +# $PARMPREP/nam_errtable.r3dv) +# 2005-07-01 Dennis A. Keyser -- Logic changes to run SYNDAT_SYNDATA in all +# networks where requested regardless of outcome of relocation processing, +# but sets new script variable DO_BOGUS to NO if SYNDAT_SYNDATA is to NOT +# generate synthetic wind bogus reports and append them to PREPBUFR file +# (SYNDAT_SYNDATA program also modified to read in this variable) - change +# needed because (if requested) SYNDAT_SYNDATA will now flag all +# dropwinsonde wind reports in vicinity of each storm in original tcvitals +# file, regardless of whether or not bogus winds are generated +# 2006-03-22 Dennis A. Keyser -- Accounts for possibility of split dump status +# files (status1 and status2) at the time this runs {in the test for the +# presence of the dump status file(s)} +# 2006-06-13 Dennis A. Keyser -- Adds dump file "wdsatr" to default BUFRLIST +# value and assigns it to unit 38 read in to PREPOBS_PREPDATA; Removed +# tropical cyclone relocation processing, this is now done (if requested) +# in a new script called tropcy_relocate.sh which runs in the new +# TROPCY_QC_RELOC job prior to the PREP job that executes this script +# (TROPCY_QC_RELOC first performs qctropcy processing, this was moved from +# the DUMP job) - this was done to allow the TROPCY_QC_RELOC job to run at +# the same time as the DUMP job in order to speed up overall obs +# processing and remove variability in the PREP job executing this script +# (i.e., this job had run faster when no tropical storms were present) +# 2007-09-14 Dennis A. Keyser -- Replaced "export XLFUNIT_xx=" with +# "ln .sf fort.xx" in preparation for PREPOBS_PREPDATA +# interfacing with global spectral guess files using sigio routines (via +# W3LIB routine GBLEVENTS - sigio requires explicit open statements in the +# code and this conflicts with XLFUNIT statements; Removed test on +# existence of status2 file from NAM_DUMP2 and NDAS_DUMP2 jobs since dump +# files here (currently only "nexrad") are not processed into the PREPBUFR +# file - PREP job initiation may soon no longer be dependent upon +# completion of DUMP2 job in NAM and NDAS networks; in the case where an +# input (normally, pre-QC) PREPBUFR file is passed into the script via the +# variable PREPBUFR_IN, it had been assumed that this file had already +# been run through SYNDATA processing (but that was not the case prior to +# 12Z 25 Jan 2005) - this script changed to use the value of variable +# SYNDATA to determine if the file in PREPBUFR_IN should be run through +# SYNDATA processing (i.e., if SYNDATA=YES, run it through SYNDATA +# processing) (this change will allow reanalysis runs prior to 12Z 25 Jan +# 2005 to work properly) +# 2008-09-25 Dennis A. Keyser -- Added dump file "ascatw" to default BUFRLIST +# value and assigns it to unit 39 read in to PREPOBS_PREPDATA; in +# preparation for future NRL aircraft QC code NRLACQC, added new script +# variables NRLACQC (def=NO), USHNQC (def=${HOMEALL}/ush), NQCX +# (def=$EXECPREP/prepobs_nrlacqc) and NQCC +# (def=$PARMPREP/prepobs_nrlacqc.${NET}.parm"), if NRLACQC=YES will +# execute script USHNQC to perform NRL aircraft QC (not yet ready) +# 2011-10-14 D.A. Keyser -- Updated to handle new "rap" (Rapid Refresh) +# network and its model runs "rap", "rap_p" and "rap_e" +# 2012-05-09 S. Bender/D. Keyser -- Removed all prior references to "NRL" +# aircraft QC script variables (never actually used) since the NRL +# aircraft QC nomenclature is being dropped in place of the existing +# PREPACQC nomenclature and will use its existing script variables; +# removed all references to the ACARSQC processing since it is no longer +# executed (ACARS QC is now performed within the revamped PREPACQC +# processing); removed script variables no longer used by the new version +# of the PREPACQC processing; added new script variables which are +# associated with the new program PREPOBS_PREPACPF which now runs as a +# second program within the PREPACQC processing (after program +# PREPOBS_PREPACQC) in the ush script prepobs_prepacqc.sh {PROCESS_ACQC +# (def=YES), PROCESS_ACPF (def=YES), DICTPREP (def=$HOMEALL/dictionaries), +# DICT (def=$DICTPREP/metar.tbl), APFX (def=$EXECPREP/prepobs_prepacpf), +# and the new second argument "$DATA/adpsfc" passed to +# prepobs_prepacqc.sh} +# 2013-03-05 D.A. Keyser -- Modified to properly run on WCOSS system: replaced +# all usage of "timex" with "time -p."; replaced script variables +# XLFUNIT_n with FORTn (where n is the unit number connected to the +# filename defined by the variable FORTn) - needed because ifort uses +# FORTn; script is now set to run under ksh shell as the default; added +# script variable "BACK" which, when YES, threads the mp_prepdata herefile +# into background shells that run simultaneously (an alternative option +# to poe which is not ready on WCOSS); touches all dump files not included +# in BUFRLIST so that they will not cause a read error if PREPOBS_PREPDATA +# tries to read them +# 2014-01-15 S. Melchior -- Placed into new OBSPROC_PREP vertical directory +# structure/environmental equivalence paradigm. As a result: imports new +# environment variable $HOMEobsproc_prep which points to directory path for +# generic prep subdirectories under version control (in production this is +# normally /nwprod/obsproc_prep.vX.Y.Z where X.Y.Z is version number being +# used, usually the latest); and imports new environment variable +# $HOMEobsproc_network which points to directory path for network-specific +# prep subdirectories under version control (in production this is normally +# /nwprod//obsproc_NETWORK.vX.Y.Z where NETWORK is, e.g., global, namp, rap, +# rtma, urma, and X.Y.Z is version number being used, usually the latest) - +# these replace /nw${envir} in order to point to files moved from +# horizontal to vertical directory structure. +# 2014-02-25 D.A. Keyser -- Removed all references to RUC. Removed option +# to run on MACHINE=sgi - this is obsolete (as a result variables $MACHINE +# and $HOMEALL are no longer used in this script). Replaced variable +# $EXECUTIL with $utilexec for directory path to utility program ndate +# (both were exported from job scripts with same value, $EXECUTIL has now +# been removed from all job scripts). Removed all references to "cdc" +# network (this is obsolete). +# 2014-07-23 D.A. Keyser -- Imported script environment variable DICTPREP now +# defaults to new vertical structure directory path location for metar.tbl +# dictionary, /nw${envir}/decoders/decod_shared/dictionaries, rather than +# old horizontal structure location, /nw${envir}/dictionaries (the latter +# will be removed in September 2014). +# 2016-02-05 JWhiting -- Use NCO-established variables to point to root +# directories for main software components and input/output directories in +# order to run on WCOSS Phase 1 or Phase 2 (here, $COMROOT which replaces +# hardwire to "/com", $NWROOT which replaces hardwire to "/nwprod" in +# comments only). Use NCO-established variables (presumably obtained from +# modules) to point to prod utilities [here, $NDATE from module prod_util +# (default or specified version, loaded in each network which executes this +# script) which replaces executable ndate in non-versioned, horizontal +# structure utility directory path defined by imported variable $utilexec]. +# 2016-04-29 D.A. Keyser -- Updated logic such that when tropical cyclone +# relocation has not run, a first guess is required, the network is gfs or +# gdas, but the cycle time is not 00, 06, 12 or 18z, no attempt will be +# made to obtain a guess 3-hrs before and after cycle time (since it can +# fail). Instead this is treated the same as any 3- or 1-hrly cycle run +# (like rap, e.g.) meaning two guess files will be obtained at the +# spanning 3 hour interval around any cycle time not a multiple of 3 hrs. +# BENEFIT: Allows future hourly WAM model to run properly. +# 2016-07-12 D.C. Stokes -- Reinstated poe option to run multiple instances +# of the PREPDATA processing script in parallel. New variable $launcher +# defines the parallel scripting launch mechanism (description below). +# Added logic to create scaled down versions of err_chk and err_exit +# scripts if they don't exist in the working directory and eliminated +# similar blocks of logic that had been repeated throughout the script. +# Updated USHGETGES default to pick up more recent versions of getges.sh. +# 2017-02-07 D.C. Stokes -- Updated to run on Cray-XC40 as well as iDataPlex. +# If on Cray-XC40, default parallel scripting launching mechanism is cfp +# inovked by aprun. Variable name used for launching mechanism changed from +# "launcher" to "launcher_PREP". Variable COMDATEROOT is now the primary +# default for the root of the directory containing NCEP date files. The +# variable NWROOTp1 is now the default root for directory DICTPREP. Logic +# used to determine if $COMSP points to production "com" directory was +# updated to recognize full path name (as needed on luna/surge). +# 2017-03-19 D.C. Stokes/D.A. Keyser -- Updated to input nemsio atmopheric +# guess files -or- the older sigio atmospheric files. The nemsio option +# is triggered by flag NEMSIO_IN=.true. For nemsio runs, a single guess +# file valid at the prepbufr center time is picked up, even for runs with +# center time that is not a multiple of 3. Also the dbn_alert subtype is +# now dependent upon $RUN (for transition from "gdas1" to "gdas"). +# 2017-04-20 D.C. Stokes -- Relocated assignments of variable stype to ensure +# it always passes the proper value to the getges utility script. +# +# +# Usage: prepobs_makeprepbufr.sh yyyymmddhh +# +# Input script positional parameters: +# 1 String indicating the center date/time for the PREPBUFR +# processing - if missing, then this time +# is obtained from the ${COMDATEROOT}/date/$cycle file +# +# Imported Shell Variables: +# +# These must ALWAYS be exported to this script by the parent script -- +# +# COMROOT Root to input/output "com" directory (in production, +# normally "/com", "/com2", or "/gpfs/hps/nco/ops/com") +# NSPLIT Number of parts into which the PREPDATA processing shell +# script (herefile MP_PREPDATA) will be split in order to +# run in parallel for computational efficiency (either using +# multiple tasks when POE is not "NO" or in background threads +# when BACK is "YES") +# NOTE : This is required ONLY if the imported shell variable +# POE is not "NO" (see below) or the imported shell +# variable BACK is "YES" (see below) (i.e., a parallel +# environment), and the imported shell variable +# PREPDATA=YES (see below) +# NET String indicating system network {either "gfs", "gdas", +# "cdas", "nam", "rap", "rtma" or "urma"} +# NOTE : NET is changed to gdas in the parent Job script for +# RUN=gdas or RUN=gdas1 (was gfs) +# RUN String indicating model run {either "gfs", "gdas", "gdas1", +# "cdas", "nam", "ndas", "rap", "rap_p", "rap_e", +# "rtma", or "urma"} +# cycle String indicating the center cycle hour for PREPBUFR +# processing {"txxz", where xx is two-digit hour of the day +# (UTC)} +# NOTE : This is required ONLY if input script positional +# parameter 1 is missing (see above) +# DATA String indicating the working directory path (usually a +# temporary location) +# COMSP String indicating the directory/filename path to input BUFR +# observational data dumps, tropical cyclone location +# (tcvitals) files, global atmos guess files, and status +# files (e.g., "$COMROOT/gfs/prod/gfs.20060612/gfs.t12z.") +# DBNROOT String indicating directory path to bin/dbn_alert file +# location +# NOTE : This is required ONLY if the imported shell variable +# SENDDBN is "YES" (see below) +# job - String indicating job name (e.g., 'gdas_prep_12') +# NOTE : This is required ONLY if the imported shell variable +# SENDDBN is "YES" (see below) +# $HOMEobsproc_prep - string indicating directory path to generic prep +# subdirectories under version control +# (in production this is normally +# ${NWROOT}/obsproc_prep.vX.Y.Z where X.Y.Z is +# version number being used, usually the latest) +# $HOMEobsproc_network - string indicating directory path to network- +# specific prep subdirectories under version control +# (in production this is normally +# ${NWROOT}/obsproc_NETWORK.vX.Y.Z where NETWORK is, +# e.g., global, nam, rap, rtma, urma, and X.Y.Z is +# version number being used, usually the latest) +# +# These will be set to their default value in this script if not exported +# to this script by the parent script -- +# +# SITE Site name (may have been set by local shell startup script) +# Default is "" +# sys_tp System type and phase. If not imported, an attempt is made +# to set it using getsystem.pl (an NCO prod_util script). +# A failed attempt results in an empty string. +# NEMSIO_IN Flag that if ".true." indicates that nemsio atmospheric +# background fields will be input rather than sigio. +# Default is "" +# SENDDBN String indicating whether or not to alert an output file to +# the NWS/TOC (= "YES" - invoke alert; anything else - do not +# invoke alert) +# Default is "NO" +# NPROCS Number of "poe" tasks to use for mpmd (must be .GE. $NSPLIT) +# NOTE : This is applicable ONLY if the imported shell +# variable POE is not "NO" (see below) and variable +# launcher_PREP is not "cfp" or "aprun" (see below) and +# the imported shell variable PREPDATA=YES (see below) +# For LSF jobs, the count of hosts listed in string $LSB_HOSTS +# will be used to set NPROCS (overriding any imported value). +# Default is "$NSPLIT" +# envir String indicating environment under which job runs ('prod' +# or 'test') +# Default is "prod" +# envir_getges String indicating environment under which GETGES utility +# ush runs (see getges.sh docblock for more information) +# Default is "$envir" +# network_getges +# String indicating job network under which GETGES utility +# ush runs (see getges.sh docblock for more information) +# Default is "global" unless the center PREPBUFR processing +# date/time is not a multiple of 3-hrs and the global guess is +# sigio-based, then the default is "gfs" +# pgmout String indicating file containing standard output (output +# always contatenated onto this file) +# Default is "/dev/null" +# tstsp String indicating the directory/filename path to one or +# more BUFR observational data dumps and/or tropical cyclone +# location (tcvitals) files and/or global atmos guess files +# and/or status files that are to override the corresponding +# file in $COMSP (this should be imported with the same +# naming convention as $COMSP; e.g., +# "/gpfstmp/wx22dk/test_dump/ndas.20060612/ndas.t12z." - +# (if tstsp is not imported, the default is used and no +# overriding file would exist; if tstsp is imported then any +# file found would override the correspoding file in $COMSP) +# Default is "/tmp/null/" +# tmmark - string indicating hour for center PREPBUFR processing date/ +# time relative to the analysis time embedded in $tstsp or +# $COMSP (e.g., "tm12", "tm09", "tm06", "tm03", "tm00") +# Default is "tm00" +# BUFRLIST String indicating list of BUFR data dump file names to +# process +# Default is "adpupa proflr aircar aircft satwnd adpsfc \ +# sfcshp sfcbog vadwnd goesnd spssmi erscat qkswnd msonet \ +# gpsipw rassda wdsatr ascatw" +# POE String indicating whether or not to use a poe-like launcher +# to spread instances of the PREPBUFR processing herefile +# MP_PREPDATA over multiple pes in parallel. (= "NO" - +# do not invoke invoke "poe"; anything else - invoke "poe") +# Default is "YES" +# launcher_PREP Parallel scripting launch tool. Settings are in place for +# aprun, mpirun.lsf, and cfp but a different tool can be +# specified. +# NOTE : This is applicable ONLY if the imported shell +# variable POE is not "NO" and the imported shell +# variable PREPDATA=YES (see below) +# Default on Cray-XC40 is "aprun". Otherwise: "mpirun.lsf" +# BACK String indicating whether or not to run background shells +# (on the same task) for the PREPBUFR processing (= "YES" - +# run background shells; anything else - do not run +# background shells). IF BACK=YES on Cray-XC40, the shells +# are invoked by aprun. +# USHSYND String indicating directory path for SYNDATA ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHPREV String indicating directory path for PREVENTS ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHCQC String indicating directory path for CQCBUFR ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHPQC String indicating directory path for PROFCQC ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHVQC String indicating directory path for CQCVAD ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHAQC String indicating directory path for PREPACQC ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHOIQC String indicating directory path for OIQCBUFR ush file +# Default is "${HOMEobsproc_prep}/ush" +# EXECPREP String indicating directory path for PREPOBS executables +# Default is "${HOMEobsproc_prep}/exec" +# PARMPREP String indicating directory path for PREPOBS parm files +# Default is "${HOMEobsproc_network}/parm" +# FIXPREP String indicating directory path for PREPOBS fix-field +# files +# Default is "${HOMEobsproc_prep}/fix" +# DICTPREP String indicating directory path for PREPOBS dictionary +# files +# Default is "${NWROOTp1}/decoders/decod_shared/dictionaries" +# EXECSYND String indicating directory path for SYNTHETIC data +# executables +# Default is "${HOMEobsproc_prep}/exec" +# PARMSYND String indicating directory path for SYNTHETIC parm files +# Default is "${HOMEobsproc_network}/parm" +# FIXSYND String indicating directory path for SYNTHETIC data fix- +# field files +# Default is "${HOMEobsproc_prep}/fix" +# GETGUESS String: if = "YES" will encode first guess (background) +# values interpolated by the program PREPOBS_PREPDATA to +# observation locations in the PREPBUFR file for use by the +# q.c. programs. This guess is always from a global atmos +# guess file valid at the center PREPBUFR processing date/ +# time or from an interpolated guess obtained from global +# atmos guess files valid at times 3-hours apart which span +# the PREPBUFR processing date/time (the latter is performed +# by the program PREPOBS_PREPDATA and occurs when the guess +# files are sigio-based and the PREPBUFR date/time hour is not +# a multiple of 3, e.g. 02Z rap or tm04 nam catchup runs). The +# guess file (or files) may be obtained in one of two ways: +# 1) From pre-existing files in the working directory +# $DATA called sgesprep and sgesprepA (either copied +# there prior to the execution of this script, or +# copied there earlier in this script from either +# $tstsp, or if not found there, $COMSP which was +# populated by the previous running of tropical +# cyclone relocation processing +# NOTE 1: sgesprepA is needed only when the guess is +# sigio-based and the PREPBUFR processing +# date/time is not a multiple of 3-hrs. +# NOTE 2: if previous tropical cyclone relocation +# processing was run, then an sgesprepA file +# is NEVER generated, not a problem since +# previous tropical cyclone relocation +# processing is not run in rap, rap_p or +# rap_e runs +# 2) Via the execution of the GETGES utility ush to +# obtain sgesprep (if pre-existing file $DATA/sgesprep +# does not exist), and possibly via the execution of +# the GETGES utility ush to obtain sgesprepA (if +# PREPBUFR processing date/time is not a multiple of +# 3-hrs and the global guess is sigio-based, and the +# pre-existing file $DATA/sgesprepA does not exist) +# Default is "YES" +# NOTE: If GETGUESS=NO, then the program PREPOBS_PREPDATA +# will NOT call w3emc routine GBLEVENTS to perform +# "prevents" processing +# PREPDATA String: if = "YES" will perform PREPDATA processing +# (in either a parallel or serial environment depending upon +# the values for POE and BACK) +# Default is "YES" +# SYNDATA String: if = "YES" will attempt to perform synthetic bogus +# processing (generation of synthetic bogus winds to be +# appended to PREPBUFR file and, possibly, flagging of mass +# pressure data "near" storms; and, possibly, flagging of +# dropwinsonde wind data "near" storms) +# Default is "YES" +# DO_QC String: if = "YES" will perform quality control +# Default is "YES" +# PREVENTS String: if = "YES" will encode background and obs. errors +# into PREPBUFR file (usually this should be "NO" since the +# programs PREPOBS_PREPDATA and SYNDAT_SYNDATA normally are +# set to perform this function) +# NOTE: Only invoked if DO_QC=YES +# Default is "NO" +# CQCBUFR String: if = "YES" will complex quality control radiosonde +# data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# PROFCQC String: if = "YES" will quality control wind profiler data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# CQCVAD String: if = "YES" will quality control VAD wind data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# PREPACQC String: if = "YES" will quality control aircraft data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# PROCESS_ACQC String: if = "YES" will execute PREPOBS_PREPACQC program as +# part of PREPACQC processing +# NOTE: Only invoked if PREPACQC=YES +# Default is "YES" +# PROCESS_ACPF String: if = "YES" will execute PREPOBS_PREPACPF program as +# part of PREPACQC processing +# NOTE: Only invoked if PREPACQC=YES +# Default is "YES" +# OIQCBUFR String: if = "YES" will perform final oi-based quality +# control on all data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# MPCOPYX String indicating executable path for PREPOBS_MPCOPYBUFR +# program +# Default is "$EXECPREP/prepobs_mpcopybufr" +# PRPX String indicating executable path for PREPOBS_PREPDATA +# program +# Default is "$EXECPREP/prepobs_prepdata" +# errPREPDATA_limit +# String indicating the highest allowed foreground exit +# status for program PREPOBS_PREPDATA (any exit status higher +# than this is considered a failure) +# (Note: errPREPDATA_limit=1 is ALWAYS considered a FAILURE) +# Default is "0" +# PRPC String indicating data card path for PREPOBS_PREPDATA +# program +# Default is "$PARMPREP/prepobs_prepdata.${NET}.parm" +# PRPT String indicating bufrtable file path for PREPOBS_PREPDATA +# program +# Default is "$FIXPREP/prepobs_prep.bufrtable" +# LANDC String indicating land/sea mask file path for +# PREPOBS_PREPDATA program +# Default is "$FIXPREP/prepobs_landc" +# PRVT String indicating observational error table file path for +# PREPOBS_PREPDATA, SYNDAT_SYNDATA and PREPOBS_PREVENTS +# programs (used by GBLEVENTS subroutine) +# NOTE: Only read by gdas, gfs, cdas and nam networks +# If imported "NET=gdas" or "NET=gfs", default is +# "$HOMEobproc_network/fix/prepobs_errtable.global"; +# if imported "NET=cdas", default is +# "$HOMEobsproc_network/fix/prepobs_errtable.cdas"; +# if imported "NET=nam", default is +# "$HOMEobsproc_network/fix/prepobs_errtable.nam" +# otherwise, default is "$DATA/scratch.PRVT" a null file +# LISTHDX String indicating executable path for PREPOBS_LISTHEADERS +# program +# Default is "$EXECPREP/prepobs_listheaders" +# MONOBFRX String indicating executable path for PREPOBS_MONOPREPBUFR +# program +# Default is "$EXECPREP/prepobs_monoprepbufr" +# SYNDX String indicating executable path for SYNDAT_SYNDATA +# program +# Default is "$EXECSYND/syndat_syndata" +# SYNDC String indicating data card path for SYNDAT_SYNDATA program +# Default is "$PARMSYND/syndat_syndata.${NET}.parm" +# PREX String indicating executable path for PREPOBS_PREVENTS +# program +# Default is "$EXECPREP/prepobs_prevents" +# PREC String indicating data card path for PREPOBS_PREVENTS +# program +# Default is "$PARMPREP/prepobs_prevents.${NET}.parm" +# AQCX String indicating executable path for PREPOBS_PREPACQC +# program +# Default is "$EXECPREP/prepobs_prepacqc" +# AQCC String indicating data card path for PREPOBS_PREPACQC +# program +# Default is "$PARMPREP/prepobs_prepacqc.${NET}.parm" +# APFX String indicating executable path for PREPOBS_PREPACPF +# program +# Default is "$EXECPREP/prepobs_prepacpf" +# DICT String indicating METAR station dictionary path for +# PREPOBS_PREPACPF program +# Default is "$DICTPREP/metar.tbl" +# PQCX String indicating executable path for PREPOBS_PROFCQC +# program +# Default is "$EXECPREP/prepobs_profcqc" +# PQCC String indicating data card path for PREPOBS_PROFCQC +# program +# Default is "$PARMPREP/prepobs_profcqc.${NET}.parm" +# VQCX String indicating executable path for PREPOBS_CQCVAD +# program +# Default is "$EXECPREP/prepobs_cqcvad" +# CQCX String indicating executable path for PREPOBS_CQCBUFR +# program +# Default is "$EXECPREP/prepobs_cqcbufr" +# CQCC String indicating data card path for PREPOBS_CQCBUFR +# program +# Default is "$PARMPREP/prepobs_cqcbufr.${NET}.parm" +# CQCS String indicating statbge path for PREPOBS_CQCBUFR program +# Default is "$FIXPREP/prepobs_cqc_statbge" +# OIQCX String indicating executable path for PREPOBS_OIQCBUFR +# program +# Default is "$EXECPREP/prepobs_oiqcbufr" +# OIQCT String indicating observational error table file path for +# PREPOBS_OIQCBUFR program +# NOTE: If imported "NET=cdas", default is +# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas"; +# otherwise default is +# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs" +# +# These do not have to be exported to this script. If they are, they will +# be used by the script. If they are not, they will be skipped +# over by the script. +# +# PREPBUFR_APP String indicating path to output PREPBUFR file for +# PREPOBS_PREPDATA program. +# If present and POE is "NO" and BACK is not "YES" (i.e., a +# serial environment), PREPOBS_PREPDATA will append all +# output BUFR messages to a copy of this file (prepda) in +# the current working directory, using the internal BUFR +# mnemonic table in the first several BUFR messages at the +# top of the file +# NOTE 1: In this case, it is assumed the the switch APPEND +# is set to TRUE in the parm cards $PRPC (careful, +# if APPEND is FALSE, the original copy of +# $PREPBUFR_APP will be wiped out and the case below +# will occur) +# NOTE 2: When POE is not "NO" or BACK is "YES" (i.e., a +# parallel environment), appending makes no sense +# because the original output PREPBUFR file is +# monolithic +# If not present or POE is not "NO" or BACK is "YES" (i.e., a +# parallel environment), PREPOBS_PREPDATA will write all +# output BUFR messages to a new file (prepda) in the current +# working directory using the external BUFR mnemonic table +# in the file $PRPT +# NOTE 3: In this case, it is assumed the the switch APPEND +# is set to FALSE in the parm cards $PRPC (careful, +# if APPEND is TRUE, PREPOBS_PREPDATA will abort +# because the original empty PREPBUFR file has no +# internal BUFR mnemonic table) +# PREPBUFR_IN String indicating path to input PREPBUFR file +# If present, this file will be used by SYNDAT_SYNDATA (if +# SYNDATA=YES - see @ below) and by all applicable Q.C. +# programs (set to to be invoked here) rather than the +# PREPBUFR file generated in this script by PREPOBS_PREPDATA +# (normally this would be used when PREPDATA=NO) +# @ - if the PREPBUFR_IN target file is obtained from +# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, +# then for all runs on and after 12Z 25 Jan 2005, +# SYNDATA should be NO because the target files +# will already contain synthetic bogus data; +# if the PREPBUFR_IN target file is obtained from +# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, +# then for all runs prior to 12Z 25 Jan 2005, +# SYNDATA should be YES because the target files +# will not have contain synthetic bogus data. +# If not present, then the PREPBUFR file generated in this +# script by PREPOBS_PREPDATA and possibly appended to by +# SYNDAT_SYNDATA is passed on as input to all applicable +# Q.C. programs +# jlogfile String indicating path to joblog file +# +# These do not have be exported to this script. +# +# COMDATEROOT Primary default for the root of the directory containing +# produciton date files. +# +# NWROOTp1 Root directory for production software on WCOSS Phase 1. +# +# USHGETGES String indicating directory path for GETGES utility script. +# Default is $HOMEobsproc_prep/ush. +# +# GETGESprep GETGES utility script. If NEMSIO_IN=.true., defaults to: +# $USHGETGES/getges.sh +# otherwise, defaults to: +# $USHGETGES/getges_sig.sh +# +# PREPDATAtpn Tasks per node when invoking cfp on Cray-XC40. Will be +# computed if needed but was not imported. +# +# These do not have to be exported to this script. If they are, they will +# be passed on to the script $USHCQC/prepobs_cqcbufr.sh. They are not used +# by this script. +# +# PRPI_m24 See documentation in $USHCQC/prepobs_cqcbufr.sh +# PRPI_m12 See documentation in $USHCQC/prepobs_cqcbufr.sh +# PRPI_p12 See documentation in $USHCQC/prepobs_cqcbufr.sh +# PRPI_p24 See documentation in $USHCQC/prepobs_cqcbufr.sh +# +# Exported Shell Variables: +# CDATE10 String indicating the center date/time for the PREPBUFR +# processing +# SGES Either ... +# 1) String indicating the full path name for global +# sigio-based or nemsio-based guess file valid at the +# center PREPBUFR processing date/time (in which case the +# center PREPBUFR processing date/time is a multiple of +# 3-hrs, or for any PREPBUFR center hour if global guess +# is nemsio-based) - This guess file will be encoded +# into the PREPBUFR file for use by the q.c. programs. +# -- or -- +# 2) String indicating the full path name for the global +# atmosperic guess file valid at the nearest cycle time +# prior to the center PREPBUFR processing date/time which +# is a multiple of 3 (in which case the center PREPBUFR +# processing date/time is not a multiple of 3-hrs and the +# global guess is sigio-based) - A linear interpolation +# (of the spectal coefficients) between this file and the +# guess file indicated by SGESA case 2 below will be +# performed by program PREPOBS_PREPDATA and encoded into +# the PREPBUFR file for use by the q.c. programs. The +# SGES file is always from the GFS in this case. +# NOTE 1: Only case 1 above is valid when tropical cyclone +# relocation processing previously occurred. +# NOTE 2: Case 2 above is necessary because the w3emc lib +# routine gblevents called by PREPOBS_PREPDATA +# expects that sigio-based guess files will only +# have valid hours which are a multiple of 3 +# NOTE 3: Only case 1 above is valid when global guess is +# nemsio-based. +# SGESA Either ... +# 1) String set to "/dev/null" for case 1 of SGES above +# (default) +# -- or -- +# 2) String indicating the full path name for the global +# sigma guess file valid at the nearest cycle time after +# the center PREPBUFR processing/date time which is a +# multiple of 3 for case 2 of SGES above - A linear +# interpolation (of the spectal coefficients) between +# this guess file and the guess file indicated by SGES +# above (see case 2 for SGES) will be performed by the +# program PREPOBS_PREPDATA and encoded into the PREPBUFR +# file for use by the q.c. programs. The SGESA file is +# always from the GFS in this case and its forecast hour +# is 3-hrs later than the SGES file (thus both initiate +# at the same time). +# NOTE 1: Only case 1 above is valid when tropical cyclone +# relocation processing previously occurred. +# NOTE 2: Case 2 above is necessary because the w3emc lib +# routine gblevents called by PREPOBS_PREPDATA +# expects that sigio-based guess files will only +# have valid hours which are a multiple of 3 +# NOTE 3: Only case 1 above is valid when global guess is +# nemsio-based. +# +# +# Modules and files referenced: +# herefiles : $DATA/MP_PREPDATA +# $DATA/MERGE_MSGS +# scripts : $USHGETGES/getges.sh +# $USHGETGES/getges_sig.sh +# $USHSYND/prepobs_syndata.sh +# $USHPREV/prepobs_prevents.sh +# $USHCQC/prepobs_cqcbufr.sh +# $USHPQC/prepobs_profcqc.sh +# $USHVQC/prepobs_cqcvad.sh +# $USHAQC/prepobs_prepacqc.sh +# $USHOIQC/prepobs_oiqcbufr.sh +# $DATA/postmsg (required ONLY if "$jlogfile" is present) +# $DATA/prep_step {here and by referenced script(s)} +# $DATA/err_exit +# $DATA/err_chk {here and by referenced script(s)} +# (NOTE: The last three scripts above are NOT REQUIRED +# utilities. If $DATA/prep_step not found, a scaled down +# version of it is executed in-line. If $DATA/err_exit +# or $DATA/err_chk are not found, scaled down versions, +# created in-line, are executed. +# executables: $NDATE (from prod_util module) +# programs : +# PREPOBS_MPCOPYBUFR - executable: $MPCOPYX +# PREPOBS_PREPDATA - executable: $PRPX +# land/sea mask: $LANDC +# bufr mnemonic user table: $PRPT +# obs. error table: $PRVT +# data cards: $PRPC +# PREPOBS_LISTHEADERS - executable: $LISTHDX +# PREPOBS_MONOPREPBUFR - executable: $MONOBFRX +# SYNDAT_SYNDATA - executable: $SYNDX +# T126 gaussian land/sea mask: +# $FIXSYND/syndat_syndata.slmask.t126.gaussian +# weights: $FIXSYND/syndat_weight +# obs. error table: $PRVT +# data cards: $SYNDC +# PREPOBS_PREVENTS - executable: $PREX +# obs. error table: $PRVT +# data cards: $PREC +# PREPOBS_PREPACQC - executable: $AQCX +# data cards: $AQCC +# PREPOBS_PREPACPF - executable: $APFX +# dictionary: $DICT +# PREPOBS_PROFCQC - executable: $PQCX +# data cards: $PQCC +# PREPOBS_CQCVAD - executable: $VQCX +# PREPOBS_CQCBUFR - executable: $CQCX +# data cards: $CQCC +# PREPOBS_OIQCBUFR - executable: $OIQCX +# obs. error table: $OIQCT +# +# Remarks: +# +# Condition codes +# 0 - no problem encountered +# >0 - some problem encountered +# +# Attributes: +# Language: Korn shell under linux +# Machine: NCEP WCOSS +# +#### + +set -aux + +NEMSIO_IN=${NEMSIO_IN:=""} +jlogfile=${jlogfile:=""} +SENDDBN=${SENDDBN:-NO} + +if [ ! -d $DATA ] ; then mkdir -p $DATA ;fi + +cd $DATA + +qid=$$ + +##################################################### +##################################################### +# create error check and exit utilities if necessary. +# (as may be the case for some developer runs) +##################################################### + +if [ ! -x $DATA/err_exit ]; then +cat <<\EOFerrexit > $DATA/err_exit + set -x + if [ -n "$LSB_JOBID" ]; then + bkill $LSB_JOBID + sleep 60 + date + else + set -e + kill -n 9 $qid + fi + exit 7 # for extra measure +EOFerrexit +chmod 775 $DATA/err_exit +fi + +if [ ! -x $DATA/err_chk ]; then +cat <<\EOFerrchk > $DATA/err_chk + set -x + if [ "$err" != '0' ]; then + $DATA/err_exit + fi +EOFerrchk +chmod 775 $DATA/err_chk +fi + +##################################################### +##################################################### + + +# determine local system name and type if available +# ------------------------------------------------- +SITE=${SITE:-""} +sys_tp=${sys_tp:-$(getsystem.pl -tp)} +getsystp_err=$? +if [ $getsystp_err -ne 0 ]; then + msg="***WARNING: error using getsystem.pl to determine system type and phase" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" +fi +echo sys_tp is set to: $sys_tp + +#------------------------------------------------------------------------------- + +# obtain the center date/time for PREPBUFR processing +# --------------------------------------------------- + +if [ $# -ne 1 ] ; then + cp ${COMDATEROOT:-$COMROOT}/date/$cycle ncepdate + err0=$? + CDATE10=`cut -c7-16 ncepdate` +else + CDATE10=$1 + if [ "${#CDATE10}" -ne '10' ]; then + err0=1 + else + cycle=t`echo $CDATE10|cut -c9-10`z + err0=0 + fi +fi + +if test $err0 -ne 0 +then +# problem with obtaining date record so exit + set +x + echo + echo "problem with obtaining date record;" + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure +fi + +cyc=`echo $CDATE10|cut -c9-10` +modhr=`expr $cyc % 3` + +set +x +echo +echo "CENTER DATE/TIME FOR PREPBUFR PROCESSING IS $CDATE10" +echo +set -x + +#---------------------------------------------------------------------------- + +# Create variables needed for this script and its children +# -------------------------------------------------------- + +envir=${envir:-prod} + +envir_getges=${envir_getges:-$envir} +if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then + network_getges=${network_getges:-global} +else + network_getges=${network_getges:-gfs} +fi + +pgmout=${pgmout:-/dev/null} + +tstsp=${tstsp:-/tmp/null/} +tmmark=${tmmark:-tm00} + +BUFRLIST=${BUFRLIST:-"adpupa proflr aircar aircft satwnd adpsfc sfcshp \ + sfcbog vadwnd goesnd spssmi erscat qkswnd msonet gpsipw rassda wdsatr \ + ascatw"} + +PREPDATA=${PREPDATA:-YES} + +if [ "$PREPDATA" != 'YES' ] ; then + POE=NO + BACK=NO +else + set +u + [ -z "$POE" -a "$BACK" = 'YES' ] && POE=NO + POE=${POE:-YES} + if [ "$POE" != 'NO' -a "$BACK" = 'YES' ]; then + set -u + set +x +echo +echo "YOU have set both POE and BACK to YES - choose one or the other!!" +echo "Defaults are POE=YES and BACK=NO, as is preferable for WCOSS." +echo + set -x + exit 99 + fi + BACK=${BACK:-NO} + PARALLEL=NO + [ "$POE" != 'NO' -o "$BACK" = 'YES' ] && PARALLEL=YES + if [ "$POE" != 'NO' ] ; then + if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + launcher_PREP=${launcher_PREP:-aprun} + else + launcher_PREP=${launcher_PREP:-mpirun.lsf} + fi + if [ "$launcher_PREP" != 'cfp' -a "$launcher_PREP" != aprun ]; then + if [ -n ${LSB_HOSTS:-""} ]; then + NPROCS=$(echo $LSB_HOSTS|wc -w) + set +x; echo "Setting NPROCS based on LSB_HOSTS count"; set -x + else + NPROCS=${NPROCS:-$NSPLIT} + fi + if [ $NPROCS -lt $NSPLIT ]; then + set +x +echo "********************************************************************" +echo " P R O B L E M ! ! ! " +echo "********************************************************************" +echo " NPROCS=$NPROCS IS NOT SUFFICIENT FOR NSPLIT=$NSPLIT " +echo " NPROCS must be greater than NSPLIT when using a " +echo " parallel processing launcher other than cfp " +echo "********************************************************************" + set -x + msg="***FATAL ERROR: Insufficient NPROCS for NSPLIT=$NSPLIT" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + $DATA/err_exit + exit 55 # for extra measure + fi + fi + elif [ "$BACK" = 'YES' ] ; then + NPROCS=$NSPLIT + fi +# fi for PREPDATA != YES +fi + +USHSYND=${USHSYND:-${HOMEobsproc_prep}/ush} +USHPREV=${USHPREV:-${HOMEobsproc_prep}/ush} +USHCQC=${USHCQC:-${HOMEobsproc_prep}/ush} +USHPQC=${USHPQC:-${HOMEobsproc_prep}/ush} +USHVQC=${USHVQC:-${HOMEobsproc_prep}/ush} +USHAQC=${USHAQC:-${HOMEobsproc_prep}/ush} +USHOIQC=${USHOIQC:-${HOMEobsproc_prep}/ush} + +EXECPREP=${EXECPREP:-${HOMEobsproc_prep}/exec} +PARMPREP=${PARMPREP:-${HOMEobsproc_network}/parm} +FIXPREP=${FIXPREP:-${HOMEobsproc_prep}/fix} +DICTPREP=${DICTPREP:-${NWROOTp1}/decoders/decod_shared/dictionaries} + +EXECSYND=${EXECSYND:-${HOMEobsproc_prep}/exec} +PARMSYND=${PARMSYND:-${HOMEobsproc_network}/parm} +FIXSYND=${FIXSYND:-${HOMEobsproc_prep}/fix} + +GETGUESS=${GETGUESS:-YES} +if [ "$GETGUESS" = 'YES' ]; then + USHGETGES=${USHGETGES:-${HOMEobsproc_prep}/ush} + if [ "$NEMSIO_IN" = .true. ]; then + GETGESprep=${GETGESprep:-$USHGETGES/getges.sh} + else + GETGESprep=${GETGESprep:-$USHGETGES/getges_sig.sh} + fi +fi + +PREPDATA=${PREPDATA:-YES} + +SYNDATA=${SYNDATA:-YES} + +DO_QC=${DO_QC:-YES} + +PREVENTS=${PREVENTS:-NO} +CQCBUFR=${CQCBUFR:-YES} +PROFCQC=${PROFCQC:-YES} +CQCVAD=${CQCVAD:-YES} +PREPACQC=${PREPACQC:-YES} +PROCESS_ACQC=${PROCESS_ACQC:-YES} +PROCESS_ACPF=${PROCESS_ACPF:-YES} +OIQCBUFR=${OIQCBUFR:-YES} + +MPCOPYX=${MPCOPYX:-$EXECPREP/prepobs_mpcopybufr} +PRPX=${PRPX:-$EXECPREP/prepobs_prepdata} +errPREPDATA_limit=${errPREPDATA_limit:-0} +PRPC=${PRPC:-$PARMPREP/prepobs_prepdata.${NET}.parm} +PRPT=${PRPT:-$FIXPREP/prepobs_prep.bufrtable} +cp $PRPT prep.bufrtable +LANDC=${LANDC:-$FIXPREP/prepobs_landc} +if [ "$NET" = 'gdas' -o "$NET" = 'gfs' ]; then + PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.global} +elif [ "$NET" = 'cdas' ]; then + PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.cdas} +elif [ "$NET" = 'nam' ]; then + PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.nam} +else + cp /dev/null $DATA/scratch.PRVT + PRVT=${PRVT:-$DATA/scratch.PRVT} +fi +LISTHDX=${LISTHDX:-$EXECPREP/prepobs_listheaders} +MONOBFRX=${MONOBFRX:-$EXECPREP/prepobs_monoprepbufr} +SYNDX=${SYNDX:-$EXECSYND/syndat_syndata} +SYNDC=${SYNDC:-$PARMSYND/syndat_syndata.${NET}.parm} +PREX=${PREX:-$EXECPREP/prepobs_prevents} +PREC=${PREC:-$PARMPREP/prepobs_prevents.${NET}.parm} +AQCX=${AQCX:-$EXECPREP/prepobs_prepacqc} +AQCC=${AQCC:-$PARMPREP/prepobs_prepacqc.${NET}.parm} +APFX=${APFX:-$EXECPREP/prepobs_prepacpf} +DICT=${DICT:-$DICTPREP/metar.tbl} +PQCX=${PQCX:-$EXECPREP/prepobs_profcqc} +PQCC=${PQCC:-$PARMPREP/prepobs_profcqc.${NET}.parm} +VQCX=${VQCX:-$EXECPREP/prepobs_cqcvad} +CQCX=${CQCX:-$EXECPREP/prepobs_cqcbufr} +CQCC=${CQCC:-$PARMPREP/prepobs_cqcbufr.${NET}.parm} +CQCS=${CQCS:-$FIXPREP/prepobs_cqc_statbge} +OIQCX=${OIQCX:-$EXECPREP/prepobs_oiqcbufr} +if [ "$NET" = 'cdas' ]; then + OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas} +else + OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs} +fi +TIMEIT=${TIMEIT:-""} +[ -s $DATA/time ] && TIMEIT="$DATA/time -p" + + +# See if tropical cyclone relocation previously ran for this network and cycle +# by checking for status file in first in $tstsp, and if not found there, +# then in $COMSP +# ---------------------------------------------------------------------------- + +relo_rec=no # this will remain no even if relocation run, in the event it did + # not process an tropical cyclone records +if [ -s ${tstsp}tropcy_relocation_status.$tmmark ]; then + RELOCATION_HAS_RUN=YES + msg="Tropical cyclone RELOCATION RAN prior to this job - \ +`cat ${tstsp}tropcy_relocation_status.$tmmark`" + [ "`cat ${tstsp}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ + && relo_rec=yes +elif [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then + RELOCATION_HAS_RUN=YES + msg="Tropical cyclone RELOCATION RAN prior to this job - \ +`cat ${COMSP}tropcy_relocation_status.$tmmark`" + [ "`cat ${COMSP}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ + && relo_rec=yes +else + RELOCATION_HAS_RUN=NO + msg="Tropical cyclone RELOCATION did NOT run prior to this job" +fi +[ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + +if [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then + if [ "$SENDDBN" = "YES" ]; then + if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then + RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) + $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job \ + ${COMSP}tropcy_relocation_status.$tmmark + fi + fi +fi + +if [ "$RELOCATION_HAS_RUN" != 'YES' -a "$GETGUESS" != 'NO' ]; then + + if [ $cyc = 00 -o $cyc = 06 -o $cyc = 12 -o $cyc = 18 ]; then + +# The GFS and GDAS networks at 00, 06, 12 and 18z will get the t-3 and t+3 +# atmos guess files here since they are needed by the GSI even if tropical +# cyclone relocation was not previously performed (RELOCATION_HAS_RUN=NO) +# (NOTE 1: Normally RELOCATION_HAS_RUN=YES for these networks) +# (NOTE 2: If RELOCATION_HAS_RUN=YES, the t-3 and t+3 atmos guess files have +# already been obtained for all networks including the GFS and GDAS) +# (NOTE 3: This is not done if GETGUESS is NO) +# + + if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then + for fhr in -3 +3 ;do + if [ "$NEMSIO_IN" = .true. ]; then + if [ $fhr = "-3" ] ; then + sges=sgm3prep + stype=natgm3 + echo $sges + else + sges=sgp3prep + stype=natgp3 + echo $sges + fi + else + if [ $fhr = "-3" ] ; then + sges=sgm3prep + stype=siggm3 + echo $sges + else + sges=sgp3prep + stype=siggp3 + echo $sges + fi + fi + if [ ! -s $sges ]; then + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Tropical cylone relocation HAS NOT previously run" +echo " Get global atmospheric GUESS valid for $fhr hrs relative to center" +echo " PREPBUFR processing date/time" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + $GETGESprep -e $envir_getges -n $network_getges \ + -v $CDATE10 -t $stype $sges + errges=$? + if test $errges -ne 0; then +# problem obtaining global atmospheric first guess so exit + set +x + echo + echo "problem obtaining global atmos guess valid $fhr hrs \ +relative to center PREPBUFR date/time;" + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + set +x + echo +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + fi + done + fi + fi + +elif [ "$RELOCATION_HAS_RUN" = 'YES' ]; then + +# If Tropical cyclone relocation previously ran for this network and cycle +# copy the t-3, t+0 and t+3 atmos guess files and the tcvitals_relocate file +# from either $tstsp or, if not found there, $COMSP to working directory +# (Note: tcvitals_relocate file can be empty, but it must exist) +# -------------------------------------------------------------------------- + + qual_last=".$tmmark" # need this because gfs and gdas don't add $tmmark + # qualifier to end of output atmos guess files + [ $NET = gfs -o $NET = gdas ] && qual_last="" + for file in sgm3prep sgesprep sgp3prep tcvitals.relocate.$tmmark; do + case $file in + tcvitals.relocate.$tmmark) infile=$file; qual_last="";; # already has $tmmark at end + sgm3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgm3.nemsio;else infile=$file;fi;; + sgesprep) if [ "$NEMSIO_IN" = .true. ];then infile=atmges.nemsio;else infile=$file;fi;; + sgp3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgp3.nemsio;else infile=$file;fi;; + esac + if [ -s ${tstsp}${infile}${qual_last} ]; then + cp ${tstsp}${infile}${qual_last} $file + continue + elif [ -s ${COMSP}${infile}${qual_last} ]; then + cp ${COMSP}${infile}${qual_last} $file + continue + else + if [ $file = tcvitals.relocate.$tmmark ]; then + if [ -f ${tstsp}$file ]; then + > $file + continue + elif [ -f ${COMSP}$file ]; then + > $file + continue + fi + fi + fi +# either t-3,t+0 or t+3 atmos guess file or the tcvitals_relocate file not +# found in expected location so exit + set +x + echo + echo "$file file not found in expected location where it should have \ +populated by earlier tropical cyclone relocation processing" + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + done + cp tcvitals.relocate.$tmmark tcvitals + if [ $relo_rec = yes ]; then # come here if relocation ran and processed + # 1 or more records, means it updated + # sgesprep + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" +echo " Global atmospheric GUESS valid for 0 hrs relative to center" +echo " PREPBUFR processing date/time was generated by" +echo " previous tropical cyclone relocation processing" +echo " It will be encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + else # come here if relocation ran but did not + # process any records, means it did not update + # sgesprep (sgesprep obtained via getges used) + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" +echo " Global atmospheric GUESS valid for 0 hrs relative to center" +echo " PREPBUFR processing date/time was obtained via GETGES" +echo " It will be encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + fi + +fi + +############################################################################### +# POSSIBLY OBTAIN GBL ATMOS GUESS FILE(S) FOR LATER ENCODING INTO PREPBUFR FILE +############################################################################### + +if [ "$PREPDATA" = 'YES' -o "$SYNDATA" = 'YES' -o "$PREVENTS" = 'YES' ]; then + + SGES=/dev/null + SGESA=/dev/null + > sgesprep_pathname + > sgesprepA_pathname + + if [ "$GETGUESS" != 'NO' ]; then + +# Either ... +# If the global background guess will be nemsio-based -OR- if the global +# background guess will be sigio-based and the center PREPBUFR processing +# date/time is a multiple of 3-hrs, then get a global atmospheric guess valid +# at the center PREPBUFR processing date/time - this will be interpolated to +# observation locations by PREPDATA and encoded into the PREPBUFR file for +# use by the q.c. programs; if a non-zero length file sgesprep exists in the +# working directory, then this guess is used - otherwise: the GETGES utility +# is executed to obtain the global atmospheric guess file here +# +# (NOTE 1: a pre-existing sgesprep file in the working directory at this +# point was either: +# copied there prior to the execution of this script +# or +# copied there earlier in this script from either $tstsp, or if +# not found there, $COMSP which was populated by the previous +# running of tropical cyclone relocation processing +# (NOTE 2: If imported variable GETGUESS=NO, then bypass this step - a +# global atmos guess valid at center PREPBUFR time is not obtained) +# +# -- or -- +# +# (AND THIS APPLIES ONLY TO A GLOBAL SIGIO-BASED GUESS!!) +# +# If center PREPBUFR processing date/time is not a multiple of 3-hrs -AND- +# global guess is sigio-based, then get a global sigma guess valid at the +# nearest cycle time prior to the center PREPBUFR processing date/time which +# is a multiple of 3, then get a global sigma guess valid at the nearest +# cycle time after the center PREPBUFR processing date/time which is a +# multiple of 3 - the spectral coefficients will be linearly interpolated to +# the center PREPBUFR processing date/time by the program PREPOBS_PREPDATA +# and this guess will then be interpolated to observation locations (again by +# the program PREPOBS_PREPDATA) and encoded into the PREPBUFR file for use by +# the q.c. programs; if a non-zero length file sgesprep exists in the working +# directory, then this guess is used for time prior to the center PREPBUFR +# processing date/time - otherwise: the utility ush GETGES is executed to +# obtain the global atmos guess file here (will always be from GFS network); +# +# likewise if a non-zero length file sgesprepA exists in the working +# directory, then this guess is used for time after the center PREPBUFR +# processing date/time - otherwise: the utility ush GETGES is executed to +# obtain the global atmos guess file here (will always be from the GFS +# network and initiate at the same time as the guess file valid prior to the +# PREPBUFR processing date/time) +# +# (NOTE 1: a pre-existing sgesprep file in the working directory at this +# point was either: +# copied there prior to the execution of this script +# or +# copied there earlier in this script from either $tstsp, or if +# not found there, $COMSP which was populated by the previous +# running of tropical cyclone relocation processing +# (NOTE 2: a pre-existing sgesprepA file in the working directory at this +# point was copied there prior to the execution of this script - +# it could not have been copied from either $tstsp or $COMSP +# because previous tropical cyclone relocation processing can run +# only when the center tropical cyclone relocation (or PREPBUFR) +# processing date/time is a multiple of 3) +# (NOTE 3: this case is necessary because the gblevents subroutine used to +# add background forecast values to the prepbufr file expects sigio- +# based files to be valid only at hours that are a multiple of 3) +# (NOTE 4: if imported variable GETGUESS=NO, then bypass this step - a +# global atmos guess valid at center PREPBUFR time is not obtained) +# ---------------------------------------------------------------------- + + for sfx in "" A; do + if [ ! -s sgesprep${sfx} ]; then + fhr=any + if [ "$NEMSIO_IN" = .true. ]; then + dhr=0 + stype=natges + else + dhr=`expr 0 - $modhr` + stype=sigges + fi + if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then + [ "$sfx" = 'A' ] && break + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Either center PREPBUFR processing date/time is a multiple of 3-hrs" +echo " -OR-" +echo " global guess is nemsio-based" +echo " Use GETGES to get global sigio-based or nemsio-based GUESS valid for" +echo " 0 hrs relative to center PREPBUFR processing date/time" +echo " Will be encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + else + if [ "$sfx" = 'A' ]; then + typeset -Z2 fhr + fhr=`awk -F"sf" '{print$2}' sgesprep_pathname | cut -c1-2` + fhr=`expr $fhr + 03` + dhr=`expr 3 - $modhr` + fi + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Center PREPBUFR processing date/time is not a multiple of 3-hrs" + if [ "$sfx" != 'A' ]; then +echo " Get global atmos GUESS valid at the nearest cycle time prior to" + else +echo " Get global atmos GUESS valid at the nearest cycle time after" + fi +echo " center PREPBUFR processing date/time which is a multiple of 3" +echo " Will be used to generate an interpolated guess which will be" +echo " encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + fi + $GETGESprep -e $envir_getges -n $network_getges -t $stype\ + -f $fhr -v `${NDATE} $dhr $CDATE10` > sgesprep${sfx}_pathname + errges=$? + if test $errges -ne 0 + then +# problem obtaining global sigio-based or nemsio-based guess - exit if center +# PREPBUFR processing date/time is a multiple of 3-hrs or if global guess is +# nemsio-based, otherwise continue running but set GETGUESS=NO meaning a +# first guess will NOT be encoded in PREPBUFR file + if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then + if [ "$NEMSIO_IN" = .true. ]; then + set +x + echo +echo "problem obtaining global nemsio-based guess;" + else + set +x + echo +echo "problem obtaining global sigio-based guess valid 0 hrs relative to \ +center PREPBUFR date/time;" + fi +echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + else + set +x + echo +echo "problem obtaining global atmos guess valid at the nearest cycle time " + if [ "$sfx" != 'A' ]; then +echo "prior to center PREPBUFR processing date/time which is a multiple of 3" + else +echo "after center PREPBUFR processing date/time which is a multiple of 3" + fi +echo "will continue running but a GUESS will NOT be encoded in PREPBUFR file!!" + echo + set -x + msg="PROBLEM OBTAINING ONE OR BOTH SPANNING ATMOS GUESS \ +FILES, GUESS NOT ENCODED IN PREPBUFR FILE --> non-fatal" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + GETGUESS=NO + SGES=/dev/null + SGESA=/dev/null + > sgesprep + > sgesprepA + > sgesprep_pathname + > sgesprepA_pathname + break + fi + fi + cp `cat sgesprep${sfx}_pathname | awk '{ print $1 }'` sgesprep${sfx} + set +x + echo +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + else + if [ $RELOCATION_HAS_RUN = YES ]; then + +# If relocation ran, then ${sfx} is always "" (null) because relocation will +# only run on center times that are a multiple of 3-hrs - come here to +# store the pathname for the sgesprep file in sgesprep${sfx}_pathname - +# note that it will not be stored here if relocation did not process any +# records, i.e., it did not update the guess, because it was already stored +# in tropcy_relocate.sh (with the getges path) +# -------------------------------------------------------------------------- + + qual_last=".$tmmark" # need this because gfs and gdas don't add + # $tmmark qualifer to end of output atmos + # guess files + [ $NET = gfs -o $NET = gdas ] && qual_last="" + if [ "$NEMSIO_IN" = .true. ]; then + gesbase="atmges.nemsio" + else + gesbase="sgesprep" + fi + if [ -s ${tstsp}${gesbase}${qual_last} ]; then + echo "${tstsp}${gesbase}${qual_last}" > sgesprep${sfx}_pathname + elif [ -s ${COMSP}${gesbase}${qual_last} ]; then + echo "${COMSP}${gesbase}${qual_last}" > sgesprep${sfx}_pathname + fi + else + +# If relocation did not run, then the guess files in $DATA were copied there +# prior to the execution of this script by the user - just echo the path +# to this guess file in $DATA into sgesprep${sfx}_pathname +# -------------------------------------------------------------------------- + + echo "$DATA/sgesprep${sfx}" > sgesprep${sfx}_pathname + fi + fi + eval SGES${sfx}=$DATA/sgesprep${sfx} + done + fi +fi + +################################ +# EXECUTE PREPDATA PROCESSING +################################ + +if [ "$PREPDATA" = 'YES' ]; then + + cd $DATA + +set +u + if [ -z "$PREPBUFR_APP" -o "$PARALLEL" = 'YES' ]; then +set -u + if [ ! -s ${tstsp}status.${tmmark}.bufr_d -a \ + ! -s ${COMSP}status.${tmmark}.bufr_d ]; then + +#########if [ \( ! -s ${tstsp}status1.${tmmark}.bufr_d -o \ +######### ! -s ${tstsp}status2.${tmmark}.bufr_d \) -a \ +######### \( ! -s ${COMSP}status1.${tmmark}.bufr_d -o \ +######### ! -s ${COMSP}status2.${tmmark}.bufr_d \) ]; then + if [ ! -s ${tstsp}status1.${tmmark}.bufr_d -a \ + ! -s ${COMSP}status1.${tmmark}.bufr_d ]; then + +# problem: status file not found - indicates some or all data dumps were not +# found (produced) for requested time ... +# If highest level directory pointing to input BUFR observational +# data dumps is /com or /com2 then EXIT (assumes all data dumps are +# required) +# Otherwise, just echo a diagnostic (assumes only some data dumps are +# required) +# ---------------------------------------------------------------------------- + +echo +echo "Some or all BUFR data dumps were not found for requested time ... " +echo + set -x + + if [[ "$COMSP" =~ (^/com/|^/com2/|^/gpfs/.../nco/ops/com/) && \ + "$tstsp" =~ (^/tmp/null) ]]; then + set +x +echo +echo "ABNORMAL EXIT!!!!!!!!!!!" +echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + fi + fi + + echo $BUFRLIST | grep adpsfc + grp_adpsfc=$? + echo $BUFRLIST | grep adpupa + grp_adpupa=$? + if [ \( ! -f ${COMSP}adpsfc.${tmmark}.bufr_d -a \ + ! -f ${tstsp}adpsfc.${tmmark}.bufr_d -a $grp_adpsfc -eq 0 \) -o \ + \( ! -f ${COMSP}adpupa.${tmmark}.bufr_d -a \ + ! -f ${tstsp}adpupa.${tmmark}.bufr_d -a $grp_adpupa -eq 0 \) ] + then + +# problem: either adpsfc (surface land) or adpupa (raob/pibal/recco) file, or +# both, not found for requested time - this is unacceptable; EXIT +# (unless the culprit file was not included in the $BUFRLIST) +# --------------------------------------------------------------------------- + + set +x +echo +echo "ADPSFC and/or ADPUPA BUFR data dump was not produced for requested" +echo " time (but is in BUFRLIST); ABNORMAL EXIT!!!!!!!!!!!" +echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + + fi + + for name in ${BUFRLIST} ;do + > $name + if [ -f ${tstsp}${name}.${tmmark}.bufr_d ]; then + cp ${tstsp}${name}.${tmmark}.bufr_d $name + elif [ -s ${COMSP}${name}.${tmmark}.bufr_d ]; then + cp ${COMSP}${name}.${tmmark}.bufr_d $name + fi + done + + > prep_exec.cmd + + > prepda.${cycle} + + echo " $CDATE10" > cdate10.dat + +# If GETGUESS=YES, then either ... +# a global sigio-based guess file valid at the center PREPBUFR processing +# date/time which is a multiple of 3-hrs is valid at this point +# -- or -- +# global sigio-based guess files valid at times which are multiples of 3-hrs +# and span the center PREPBUFR processing date/time which is NOT a multiple of +# 3-hrs are available and valid at this point +# -- or -- +# a global nemsio-based guess file valid at the center PREPBUFR processing +# date/time for any hour is valid at this point + +# In any case, namelist "GBLEVN" with PREVEN=T is cat'ed to the beginning +# of the PREPOBS_PREPDATA program data cards file - this means +# PREPOBS_PREPDATA will call w3emc routine GBLEVENTS to do the "prevents" +# processing (otherwise PREVEN=F by default) + + > prepdata.stdin + [ "$GETGUESS" != 'NO' ] && echo " &gblevn preven=true /" >>prepdata.stdin + cat $PRPC >> prepdata.stdin + +# Check contents of *aircar_status_flag* file in $tstsp, or if not found there, +# $COMSP path - this was generated by previous bufr_dump_obs.sh script: if it +# exists and indicates that there were more AFWA (backup) ACARS reports than +# ARINC (primary) ACARS reports in the AIRCAR dump, then skip processing of +# ARINC ACARS messages in PREPOBS_PREPDATA (meaning process ONLY AFWA ACARS +# messages); otherwise, as is usually the case, skip processing of AFWA ACARS +# messages (meaning process only ARINC ACARS messages in PREPOBS_PREPDATA) + + echo " SUBSKP(004,007) = TRUE," > insert + if [ -s ${tstsp}aircar_status_flag.${tmmark}.bufr_d ]; then + grep -q -Fe "004.007" ${tstsp}aircar_status_flag.${tmmark}.bufr_d + err_grep=$? + if [ $err_grep -eq 0 ]; then + echo " SUBSKP(004,004) = TRUE," > insert + msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ +backup AFWA ACARS into PREPBUFR" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + fi + elif [ -s ${COMSP}aircar_status_flag.${tmmark}.bufr_d ]; then + grep -q -Fe "004.007" ${COMSP}aircar_status_flag.${tmmark}.bufr_d + err_grep=$? + if [ $err_grep -eq 0 ]; then + echo " SUBSKP(004,004) = TRUE," > insert + msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ +backup AFWA ACARS into PREPBUFR" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + fi + fi + + grep -q -Fe "`cat insert`" prepdata.stdin + err_grep=$? + if [ $err_grep -ne 0 ]; then + nlines=`cat < prepdata.stdin | wc -l` + line=`grep -n -Fe "&LDTA" prepdata.stdin | cut -f1 -d:` + head -n $line prepdata.stdin > top_part + mlines=`expr $nlines - $line` + tail -n $mlines prepdata.stdin > bottom_part + [ $mlines -gt 2 ] && cat top_part insert bottom_part > prepdata.stdin + rm top_part bottom_part + fi + rm insert + + +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## +## HEREFILE MP_PREPDATA ## +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## + +set +x +cat <<\EOFmpp > MP_PREPDATA + +{ echo + +# This herefile script performs the "prepdata" processing. It is designed to +# run in either a parallel (e.g., poe/mpi or background threads) or serial +# environment. In the parallel environment, it first splits the input BUFR +# data dump files into $NSPLIT equally-sized parts (analogous to dealing +# multiple sets of cards to $NSPLIT players, where each set of cards is a +# different BUFR data dump file). Next, in either the parallel or serial +# environment, it runs PREPOBS_PREPDATA to write out prepbufr files (either +# a single complete file in the serial environment or $NSPLIT partial +# PREPBUFR files in the parallel environment). Finally, it generates a list of +# PREPBUFR message headers which, in the parallel environment, is needed to +# later merge the partial PREPBUFR files together in the proper order. +# +# IMPORTANT: This script assumes that the BUFR data dump files it is to +# process have been copied into the $DATA directory and that each +# file name is the same as in $BUFRLIST. It also assumes that the +# NCEP production date file is present in the $DATA directory and +# that it is called cdate10.dat. Finally, it assumes that the +# PREPOBS_PREPDATA program data cards (parm) file is present in the +# $DATA directory and it is called prepdata.stdin +# ----------------------------------------------------------------------------- +# +# Positional parameters passed in: +# 1 - Stream index ($multi) (0 to $NSPLIT-1) +# +# Imported variables that must be passed in: +# DATA - path to working directory +# PARALLEL - indicates whether or not this script is running in a parallel +# (e.g., poe/mpi or background threads) or serial environment +# "YES" - running in a parallel environment; "NO" running in a +# serial environment) +# NSPLIT number of parts into which the input BUFR data dump files are to +# be evenly divided (applicable only when PARALLEL is "YES") +# BUFRLIST - list of BUFR data dump files to process +# MPCOPYX - path to PREPOBS_MPCOPYBUFR program executable +# PRPT - path to PREPOBS_PREPDATA bufrtable file +# LANDC - path to land/sea mask file +# SGES - path to COPY OF global sigio-based or nemsio-based first guess +# file valid at either center PREPBUFR processing date/time or, +# for global sigio-based guess only, nearest 3-hrly cycle time +# prior to center PREPBUFR processing date/time +# SGESA - path to COPY OF global sigio-based guess file valid at nearest +# 3-hrly cycle AFTER center PREPBUFR processing date/time (if +# needed, otherwise /dev/null). Only used if SGES is valid at +# 3-hrly cycle time PRIOR to center PREPBUFR processing date/time +# (and thus not used if NEMSIO_IN=.true.) +# PRVT - path to observation error table file +# PRPX - path to PREPOBS_PREPDATA program executable +# LISTHDX - path to PREPOBS_LISTHEADERS program executable + +set -aux +multi=$1 + +data=$DATA/multi$multi + +if [ ! -d $DATA/multi$multi ] ; then + mkdir -p $DATA/multi$multi +fi + +status=$data/mstatus ; > $status +mp_pgmout=$data/mp_pgmout ; > $mp_pgmout + + +{ echo +set +x +echo +echo "********************************************************************" +echo "This is stream (task/thread) $multi executing on node `hostname -s`" +echo "Starting time: `date`" +echo "********************************************************************" +echo +set -x +} >> $mp_pgmout + +cd $data + +if [ "$PARALLEL" = 'YES' ]; then + + n=0 + + pgm=`basename $MPCOPYX` +#-----mimics prep_step----- + set +x + echo $pgm > pgmname + set +u + [ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" + set -u + [ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout + rm pgmname + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` + [ -s $DATA/tracer ] && cat $DATA/tracer > errfile + set -x +#-------------------------- + + for name in ${BUFRLIST[*]} ;do + > $name + if [ -s $DATA/$name ] ; then + ((n+=1)) + export FORT$((10+n))=$DATA/$name + export FORT$((50+n))=$name + fi + done + + cat<> $mp_pgmout 2>&1 + &namin nfiles=$n / + &mp nprocs=$NSPLIT,mp_process=$multi / +EOF + err=$? + set +x + echo + echo "The foreground exit status for PREPOBS_MPCOPYBUFR is " $err + echo + set -x + + [ "$err" -gt '0' ] && exit + + dump_dir=$data + +else + + dump_dir=$DATA + +# fi for $PARALLEL = YES +fi + + +pgm=`basename $PRPX` +#-----mimics prep_step----- +set +x +echo $pgm > pgmname +set +u +[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" +set -u +[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout +rm pgmname +[ -f errfile ] && rm errfile +unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +[ -s $DATA/tracer ] && cat $DATA/tracer > errfile +set -x +#-------------------------- + +set +u +[ -n "$PREPBUFR_APP" -a "$PARALLEL" = 'NO' ] && \ + cp $PREPBUFR_APP prepda +set -u + +# Namelist "TASK" with mp_process set to the value of $multi - either the poe/ +# mpi task number (for POE not equal to "NO") or to the background thread +# number (for BACK equal to "YES") in the parallel environment, or hardwired +# to zero in the serial environment, is cat'ed to the beginning of the +# PREPOBS_PREPDATA program data cards (parm) file - this will allow +# PREPOBS_PREPDATA to identify this stream + +> prepdata.stdin +echo " &task mp_process=$multi /" >>prepdata.stdin +cat $DATA/prepdata.stdin >> prepdata.stdin + +BUFRLIST_all="adpupa aircar aircft satwnd proflr vadwnd rassda adpsfc sfcshp \ + sfcbog msonet spssmi erscat qkswnd wdsatr ascatw rtovs atovs goesnd gpsipw" +###BUFRLIST_all_array=($BUFRLIST_all) # this does not work on all platforms +set -A BUFRLIST_all_array `echo $BUFRLIST_all` # this works on all platforms + + +# Any dump file not included in BUFRLIST is "touched" so that it will not +# cause a read error in the event that PREPOBS_PREPDATA still tries to read it + +for name in $BUFRLIST_all;do +[ ! -f $dump_dir/$name ] && > $dump_dir/$name +done + +export FORT11=$DATA/cdate10.dat +export FORT12=$PRPT +export FORT15=$LANDC +## export FORT18=$SGES +## export FORT19=$SGESA + +# The PREPOBS_PREPDATA code opens GFS spectral coefficient guess files using +# sigio routines or GFS gaussian grid guess files using nemsio routines (via +# W3EMC routine GBLEVENTS) in a manner that may not recognize the FORTxx +# variables above. So, the above statements setting FORTxx vars for $SGES and +# $SGESA are replaced by the soft links below. + +ln -sf $SGES fort.18 +ln -sf $SGESA fort.19 +export FORT20=$PRVT +export FORT21=$dump_dir/${BUFRLIST_all_array[0]} +export FORT22=$dump_dir/${BUFRLIST_all_array[1]} +export FORT23=$dump_dir/${BUFRLIST_all_array[2]} +export FORT24=$dump_dir/${BUFRLIST_all_array[3]} +export FORT25=$dump_dir/${BUFRLIST_all_array[4]} +export FORT26=$dump_dir/${BUFRLIST_all_array[5]} +export FORT27=$dump_dir/${BUFRLIST_all_array[6]} +export FORT31=$dump_dir/${BUFRLIST_all_array[7]} +export FORT32=$dump_dir/${BUFRLIST_all_array[8]} +export FORT33=$dump_dir/${BUFRLIST_all_array[9]} +export FORT34=$dump_dir/${BUFRLIST_all_array[10]} +export FORT35=$dump_dir/${BUFRLIST_all_array[11]} +export FORT36=$dump_dir/${BUFRLIST_all_array[12]} +export FORT37=$dump_dir/${BUFRLIST_all_array[13]} +export FORT38=$dump_dir/${BUFRLIST_all_array[14]} +export FORT39=$dump_dir/${BUFRLIST_all_array[15]} +export FORT41=$dump_dir/${BUFRLIST_all_array[16]} +export FORT42=$dump_dir/${BUFRLIST_all_array[17]} +export FORT46=$dump_dir/${BUFRLIST_all_array[18]} +export FORT48=$dump_dir/${BUFRLIST_all_array[19]} +export FORT51=prepda +export FORT52=prevents.filtering.prepdata + +#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) +#If program ever fails, try changing 64000000 to 20000000 +#set +u +#[ -n "$LOADL_PROCESSOR_LIST" ] && XLSMPOPTS=parthds=2:stack=64000000 +#set -u + +# The following improves performance on Cray-XC40 if $PRPX was +# linked to the IOBUF i/o buffering library +export IOBUF_PARAMS='*prevents.filtering.prepdata:verbose' + +$TIMEIT $PRPX >$mp_pgmout 2>&1 +errPREPDATA=$? +unset IOBUF_PARAMS +cat prevents.filtering.prepdata >> $mp_pgmout +set +x +echo +echo "The foreground exit status for PREPOBS_PREPDATA is " $errPREPDATA +echo +set -x + +[ "$errPREPDATA" -gt '4' -o "$errPREPDATA" -eq '1' ] && exit + +# Will execute PREPOBS_LISTHEADERS even if PARALLEL is "NO", because it will +# reorder the monolithic PREPBUFR file to ensure that all messages of the same +# subtype will always be grouped together in sequential messages, arranged in +# the order found in $PRPT (Note: This is a necessity when PARALLEL is "YES" +# because the later program PREPOBS_MONOPREPBUFR must merge the $NSPLIT +# individual (partial) PREPBUFR files together in the proper order) + + +# Build listhdx.stdin from bufrtable entries of possible message headers first +# line is count, followed by list + +grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|wc -l|tee listhdx.stdin +grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|tee -a listhdx.stdin + +pgm=`basename $LISTHDX` +#-----mimics prep_step----- +set +x +echo $pgm > pgmname +set +u +[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" +set -u +[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout +rm pgmname +[ -f errfile ] && rm errfile +unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +[ -s $DATA/tracer ] && cat $DATA/tracer > errfile +set -x +#-------------------------- + +export FORT11=prepda +export FORT51=prepda.reorder +export FORT52=prepda.hdrs + +$TIMEIT $LISTHDX < listhdx.stdin >>$mp_pgmout 2>&1 +err=$? +cat prepda.hdrs +set +x +echo +echo "The foreground exit status for PREPOBS_LISTHEADERS is " $err +echo +set -x + +[ "$err" -gt '0' ] && exit + +mv prepda.reorder prepda +rm listhdx.stdin + +echo "$multi finished -- errPREPDATA = $errPREPDATA" > $status + +{ echo +set +x +echo +echo "********************************************************************" +echo "Finished executing on node `hostname -s`" +echo "Ending time : `date`" +echo "********************************************************************" +echo +set -x +} >> $mp_pgmout + +} 1> $DATA/mp_stream${1}.stdout 2> $DATA/mp_stream${1}.errfile + +exit 0 +EOFmpp +set -x + +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## +## end of HEREFILE MP_PREPDATA ## +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## + + chmod 775 MP_PREPDATA + + if [ "$PARALLEL" = 'YES' ]; then + +# In the parallel environment, either cat the multiple MP_PREPDATA tasks +# into a poe command file (for poe/mpi/cfp) - or - set up a script that will +# fire off each MP_PREPDATA thread as a background process +# ----------------------------------------------------------------------- + if [ "$POE" != 'NO' ]; then + multi=-1 + while [ $((multi+=1)) -lt $NSPLIT ] ; do + echo "ksh $DATA/MP_PREPDATA $multi "|tee -a $DATA/prep_exec.cmd + done + if [ "$launcher_PREP" != cfp -a "$launcher_PREP" != aprun ]; then + # fill in empty tasks + multi=$((multi-=1)) #need to go back one + while [ $((multi+=1)) -lt $NPROCS ] ; do + echo "echo do-nothing" >> $DATA/prep_exec.cmd + done + fi + elif [ $BACK = 'YES' ] ; then + multi=-1 + echo "#!/bin/ksh" > $DATA/prepthrds.sh + while [ $((multi+=1)) -lt $NSPLIT ] ; do + echo "$DATA/MP_PREPDATA $multi &" >> $DATA/prepthrds.sh + echo "echo $DATA/MP_PREPDATA $multi submitted in background" \ + >> $DATA/prepthrds.sh + done + echo "wait" >> $DATA/prepthrds.sh + chmod 775 $DATA/prepthrds.sh + fi + +# In the parallel environment, next either execute the poe wrapper (for poe/ +# mpi/cfp) (do not execute a time command with poe!) - or - run prepthrds.sh +# to kick off background processes and wait for them to complete +# -------------------------------------------------------------------------- + if [ "$POE" != 'NO' ]; then + if [ "$launcher_PREP" = mpirun.lsf ]; then + export MP_CMDFILE=$DATA/prep_exec.cmd + export MP_PGMMODEL=mpmd + export MP_PULSE=0 + export MP_DEBUG_NOTIMEOUT=yes + export MP_LABELIO=yes + export MP_STDOUTMODE=ordered + mpirun.lsf + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + elif [ "$launcher_PREP" = cfp ]; then + export MP_CSS_INTERRUPT=yes + export MP_LABELIO=yes + export MP_STDOUTMODE=ordered + mpirun.lsf cfp $DATA/prep_exec.cmd + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + elif [ "$launcher_PREP" = aprun ]; then + ## Determine tasks per node (PREPDATAtpn) and + ## max number of concurrent procs (PREPDATAprocs) for cfp + typeset -i nodesall=$(echo -e "${LSB_HOSTS// /\\n}"|sort -u|wc -w) + typeset -i ncnodes=$(($nodesall-1)) # we want compute nodes only + if [ $ncnodes -lt 1 ]; then + set +x + echo + echo " ** Could not get positive compute node count for aprun **" + echo " ** Are we using LSF queue with compute node access? **" + echo + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + if [[ -z ${PREPDATAtpn:-""} ]]; then + PREPDATAtpn=$((($NSPLIT+$ncnodes-1)/$ncnodes)) + # cfp is faster with extra thread so add one if there is room. + # (this logic needs an update to avoid hardwired 24) + [ $PREPDATAtpn -lt 24 ] && PREPDATAtpn=$(($PREPDATAtpn+1)) + fi + if [[ -z ${PREPDATAprocs:-""} ]]; then + PREPDATAprocs=$(($ncnodes*$PREPDATAtpn)) # max concurrent processes + fi + aprun -j 1 -n${PREPDATAprocs} -N${PREPDATAtpn} -d1 cfp $DATA/prep_exec.cmd + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + else # unknown launcher and options (eg, for use on R&D system) + $launcher_PREP + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + fi + elif [ $BACK = 'YES' ] ; then + if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + aprun -n 1 -d $NSPLIT $DATA/prepthrds.sh + else + $DATA/prepthrds.sh + fi + fi + totalt=$NSPLIT + else + +# In the serial environment, just fire off a single thread of MP_PREPDATA +# ----------------------------------------------------------------------- + multi=0 + if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + aprun -n 1 -N 1 ksh $DATA/MP_PREPDATA $multi + else + $DATA/MP_PREPDATA $multi + fi + totalt=1 + + # fi for $PARALLEL = YES + fi + + set +x + multi=0 + while [ $multi -lt $totalt ]; do +echo +echo "********************************************************************" +echo " ++ Script STDOUT from MP_PREPDATA for stream (task/thread) $multi ++" +echo "********************************************************************" +echo + cat $DATA/mp_stream${multi}.stdout +echo "********************************************************************" +echo " ++ End of Script STDOUT from MP_PREPDATA for stream $multi ++ " +echo "********************************************************************" + multi=`expr $multi + 1` + done + +echo +echo "********************************************************************" +echo " ++ Script trace from MP_PREPDATA for stream (task/thread) 0 ++ " + if [ "$PARALLEL" = 'YES' ]; then +echo +echo " In order to conserve space, the script trace from other " +echo " streams is not invoked unless the stream failed. " + fi +echo "********************************************************************" +echo + + cat mp_stream0.errfile + +echo +echo "********************************************************************" +echo " ++ End of Script trace from MP_PREPDATA for stream 0 ++ " +echo "********************************************************************" +echo + set -x + +# check status files +# ------------------ + + errSTATUS=0 + errPREPDATA=0 + four_check=yes + multi=0 + while [ $multi -lt $totalt ]; do + cat $DATA/multi$multi/mp_pgmout >> prepdata.out + cat $DATA/multi$multi/mp_pgmout >> $pgmout + status=$DATA/multi$multi/mstatus + if [ ! -s $status ]; then + set +x +echo +echo "********************************************************************" +echo " P R O B L E M ! ! ! " +echo "********************************************************************" +echo " ###> MP_PREPDATA stream (task/thread) $multi FAILED - Cycle date: \ +$CDATE10" +echo " Current working directory: $DATA " +echo +echo " Script trace from MP_PREPDATA for stream $multi follows ... " +echo "********************************************************************" +echo + cat $DATA/mp_stream${multi}.errfile +echo +echo "********************************************************************" +echo " ++ End of Script trace from MP_PREPDATA for stream $multi ++ " +echo "********************************************************************" +echo + set -x + errSTATUS=99 + else + err_this=`cut -f 2 -d = $status` + [ "$err_this" -gt "$errPREPDATA" ] && errPREPDATA=$err_this + [ "$err_this" -eq '0' ] && four_check=no + fi + multi=`expr $multi + 1` + done + + if [ "$errSTATUS" -gt '0' ]; then + $DATA/err_exit + exit 55 # for extra measure + fi + + [ "$errPREPDATA" -eq '4' -a "$four_check" = 'no' ] && errPREPDATA=0 + + set +x + echo + echo "For all MP_PREPDATA Streams, the largest foreground exit status \ + amongst all PREPOBS_PREPDATA runs is " $errPREPDATA + echo + set -x + + if [ "$errPREPDATA" -le "$errPREPDATA_limit" -a $errPREPDATA -ne 1 ]; then + err=0 + if [ "$errPREPDATA" -eq '4' ]; then + set +x + echo + echo "WARNING: PREPOBS_PREPDATA FOUND EITHER NO ADPUPA OR NO ADPSFC DATA" + echo "-------- THESE DATA WILL NOT BE AVAILABLE TO ANALYSES" + echo + set -x + fi + else + err=$errPREPDATA + fi + + pgm=`basename $PRPX` + touch errfile + $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + + if [ "$PARALLEL" = 'YES' ]; then + +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## +## HEREFILE MERGE_MSGS ## +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## + +set +x +cat <<\EOFmrg > MERGE_MSGS + +# This herefile script merges the individual partial PREPBUFR files present at +# this point into a complete, monolithic PREPBUFR file in the proper message +# type order. It is the last step in the PREPDATA processing. It runs only +# in the parallel environment. +# ---------------------------------------------------------------------------- + +# Positional parameters passed in: +# 1 - Number of input partial PREPBUFR files that are going to be merged +# ($nfiles) +# 2 - Working directory path ($DATA) (contains separate partial PREPBUFR +# files and text files containing headers for each, one directory down) +# 3 - Beginning string of sub-directories in $DATA ($subdir) (each sub- +# directory contains an input partial PREPBUFR file and a text file +# containing headers for all messages in that PREPBUFR file) +# 4 - File in each sub-directory containing headers for all messages in +# partial PREPBUFR file in same sub-directory (file name only - same name +# in all sub-directories) ($header_file_name) +# 5 - Partial PREPBUFR file in each sub-directory (file name only - same name +# in all sub-directories) ($prep_in) +# 6 - Output monolithic PREPBUFR file name (file name only) ($prep_out) +# +# Imported variables that must be passed in: +# MONOBFRX - path to PREPOBS_MONOPREPBUFR program executable +# +# Imported variables that can be passed in: +# pgmout - string indicating path to for standard output file (skipped over +# by this script if not passed in) + + +if [ $# -ne 6 ] ; then + echo "Usage: $0 nfiles DATA subdir header_file_name prep_in prep_out" + exit 1 +fi + +set -aux + +qid=$$ + +nfiles=$1;DATA=$2;subdir=$3;header_file_name=$4;prep_in=$5;prep_out=$6 + + +# From all the header files, extract the header counts and names build +# namelist input to drive $MONOBFRX program +# --------------------------------------------------------------------- + +nheaders=`cat $DATA/${subdir}*/$header_file_name|awk '{print $1}'|sort -u|wc -l` +((nheaders+=0)) + +>$DATA/input echo +echo " &namin nfiles=$nfiles, nheaders=$nheaders," >>$DATA/input + +cd $DATA + + +# Assign the fort units to the files +# ----------------------------------- + +pgm=`basename $MONOBFRX` +if [ -s $DATA/prep_step ]; then + . $DATA/prep_step +else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +fi + + +n=-1 +while [ $((n+=1)) -lt $nfiles ] ;do + [ ! -s $DATA/${subdir}$n/$prep_in ] && exit 1 + export FORT$((11+n))=$DATA/${subdir}$n/$prep_in +done +export FORT51=$prep_out +set +x + + +# Extract the total span of headers by searching through all the header files +# --------------------------------------------------------------------------- + +n=-1 +while [ $((n+=1)) -lt $nfiles ]; do + file=$DATA/${subdir}$n/$header_file_name + [ ! -s $file ] && exit 1 + if [ `cat $file|awk '{print $1}'| \ + sort -u|wc -l` -eq $nheaders ] ; then + headers="" + nlines=`cat $file|wc -l` + i=0 + while [ $((i+=1)) -le $nlines ]; do + line=`sed -n $i,${i}p $file` + header=`echo $line|awk '{print $1}'` + echo " cheaders($i)='$header',">>$DATA/input + headers="$headers $header" + done + break + fi +done + + +# Tranlate the hdrs file contents into namelist array +# --------------------------------------------------- + +n=-1 +while [ $((n+=1)) -lt $nfiles ]; do + file=$DATA/${subdir}$n/$header_file_name + line= + i=0 + for hdr in $headers; do + ((i+=1)) + count=`grep $hdr $file|awk '{print $2}'` + set +u + [ -z "$count" ] && count=0 + set -u + line="${line}msgs($i,$((n+1)))=$count," + done + echo " $line " >>$DATA/input +done + +echo " &end" >>$DATA/input +set -x +cat $DATA/input + +$TIMEIT $MONOBFRX <$DATA/input > outout 2> errfile +export err=$? +###cat errfile +cat errfile >> outout +cat outout >> monoprepbufr.out +set +u +[ -n "$pgmout" ] && cat outout >> $pgmout +set -u +rm outout +set +x +echo +echo "The foreground exit status for PREPOBS_MONOPREPBUFR is " $err +echo +set -x +$DATA/err_chk +[ $err != 0 ] && exit 55 # for extra measure + +exit 0 +EOFmrg +set -x + +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## +## end of HEREFILE MERGE_MSGS ## +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## + + chmod 775 MERGE_MSGS + +# In parallel environment, make monolithic PREPBUFR file by meriging the +# partial PREPBUFR files +# ---------------------------------------------------------------------- + $TIMEIT $DATA/MERGE_MSGS $NSPLIT $DATA multi prepda.hdrs prepda \ + prepda.${cycle} + errsc=$? + if test $errsc -ne 0 + then +# problem with merge script + $DATA/err_exit + exit 55 # for extra measure + fi + else + +# In serial environment, already have a monolithic PREPBUFR file - just +# copy it to expected local monolithic PREPBUFR file location +# --------------------------------------------------------------------- + cp $DATA/multi0/prepda prepda.${cycle} + + # fi for $PARALLEL = YES + fi + +# fi for $PREPDATA = YES +fi + +set +u +[ -n "$PREPBUFR_IN" ] && cp $PREPBUFR_IN $DATA/prepda.${cycle} +set -u + + +############################################ +# EXECUTE SYNTHETIC CYCLONE DATA PROCESSING +############################################ + +if [ "$SYNDATA" = 'YES' ]; then + +# Check condition code - SDM can shut-off synthetic cyclone bogusing +# ------------------------------------------------------------------ +# ==> this switch is NOT YET in place, so it will be hardwired to "YES" + +###cp ???????????? syndata_cond + echo "YES" > syndata_cond + SYN=`cat tcvitals_orig_sort + sort tcvitals > tcvitals_sort + comm -23 tcvitals_orig_sort tcvitals_sort > tcvitals_removed + [ -s tcvitals_removed ] && run_syndat_twice=yes + fi + fi + + $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ + $DATA/tcvitals $CDATE10 + + if [ $run_syndat_twice = yes ]; then + +# Run SYNDATA a second time when switch "run_syndat_twice" was set to "yes" in +# above logic (see %% above) + + DO_BOGUS=NO + $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ + $DATA/tcvitals_removed $CDATE10 + fi + fi +fi + +[ "$PREPDATA" = 'YES' ] && cp prepda.${cycle} prepda.prepdata + + +########################################### +# EXECUTE GSI QUALITY-CONTROL PROCESSING +########################################### + +if [ "$DO_QC" = 'YES' ]; then + if [ "$PREVENTS" = 'YES' ];then + $TIMEIT $USHPREV/prepobs_prevents.sh $DATA/prepda.${cycle} $CDATE10 + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$CQCBUFR" = 'YES' ];then + $TIMEIT $USHCQC/prepobs_cqcbufr.sh $DATA/prepda.${cycle} + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$PROFCQC" = 'YES' ];then + $TIMEIT $USHPQC/prepobs_profcqc.sh $DATA/prepda.${cycle} + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$CQCVAD" = 'YES' ];then + $TIMEIT $USHVQC/prepobs_cqcvad.sh $DATA/prepda.${cycle} $CDATE10 + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$PREPACQC" = 'YES' ];then + $TIMEIT $USHAQC/prepobs_prepacqc.sh $DATA/prepda.${cycle} $DATA/adpsfc + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$OIQCBUFR" = 'YES' ];then + $TIMEIT $USHOIQC/prepobs_oiqcbufr.sh $DATA/prepda.${cycle} $CDATE10 + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi +fi + + +# Look for "OVERLARGE" subsets in stdout (print out of bufrlib when subset +# discarded because it is too big to fit in a BUFR message) -- post to +# jlogfile if appropriate + +msg=`grep "OVERLARGE SUBSET DISCARDED" $pgmout` +err=$? +if [ "$err" -eq '0' ]; then + set +x + echo + echo "$msg" + echo + set -x + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" +fi + +exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.txt new file mode 100644 index 0000000..22e7705 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.txt @@ -0,0 +1 @@ +MAKEPREPBUFRSH=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/prepobs_makeprepbufr.sh diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.sh new file mode 100755 index 0000000..dbb6630 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.sh @@ -0,0 +1,152 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + +# This script performs an oi-based quality control on all data +# +# It is normally executed by the script prepobs_makeprepbufr.sh +# but can also be executed from a checkout parent script +# -------------------------------------------------------------- + +set -aux + +qid=$$ + +# Positional parameters passed in: +# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr +# file upon successful completion of this script (note that input +# prepbufr file is NOT saved by this script) +# 2 - NCEP production date (YYYYMMDDHH) + +# Imported variables that must be passed in: +# DATA - path to working directory +# OIQCT - path to observation error table file +# OIQCX - path to PREPOBS_OIQCBUFR program executable + +# Imported variables that can be passed in: +# jlogfile - string indicating path to joblog file (skipped over by this +# script if not passed in) +# pgmout - string indicating path to for standard output file (skipped +# over by this script if not passed in) +# sys_tp - system type and phase. (if not passed in, an attempt is made to +# set this string using getsystem.pl, an NCO script in prod_util) +# SITE - site name (may have been set by local shell startup script) +# launcher_OIQCX - launcher for OIQCX executable (on Cray-XC40, defaults to +# aprun using 16 tasks) + +cd $DATA +PRPI=$1 +if [ ! -s $PRPI ] ; then exit 1;fi +CDATE10=$2 + +jlogfile=${jlogfile:=""} + +rm $PRPI.oiqcbufr +rm tosslist + +pgm=`basename $OIQCX` +if [ -s $DATA/prep_step ]; then + set +u + . $DATA/prep_step + set -u +else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +fi + +#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) +#set +u +#[ -n "$LOADL_PROCESSOR_LIST" ] && export XLSMPOPTS=parthds=2:usrthds=2:stack=64000000 +#set -u + +echo " $CDATE10" > cdate.dat +export FORT11=cdate.dat +export FORT14=$PRPI +export FORT17=$OIQCT +export FORT18=obprt_ipoint.wrk +export FORT20=tolls.wrk +export FORT61=toss.sfc_z +export FORT62=toss.temp_wind +export FORT63=toss.sat_temp +export FORT64=toss.ssmi_wind +export FORT65=tosslist +export FORT70=$PRPI.oiqcbufr +export FORT81=obogram.out +export FORT82=obogram.bin +TIMEIT=${TIMEIT:-""} +[ -s $DATA/time ] && TIMEIT="$DATA/time -p" +# $TIMEIT mpirun $OIQCX > outout 2> errfile +#$TIMEIT mpirun -genvall -n $LSB_DJOB_NUMPROC -machinefile $LSB_DJOB_HOSTFILE $OIQCX > outout 2> errfile + +SITE=${SITE:-""} +sys_tp=${sys_tp:-$(getsystem.pl -tp)} +getsystp_err=$? +if [ $getsystp_err -ne 0 ]; then + msg="***WARNING: error using getsystem.pl to determine system type and phase" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" +fi +echo sys_tp is set to: $sys_tp +if [ "$sys_tp" = "Cray-XC40" -o "$SITE" = "SURGE" -o "$SITE" = "LUNA" ]; then + launcher_OIQCX=${launcher_OIQCX:-"aprun -n 16 -N 16 -j 1"} # consistent with tide/gyre +# launcher_OIQCX=${launcher_OIQCX:-"aprun -n 24 -N 24 -j 1"} # slightly faster +else + launcher_OIQCX=${launcher_OIQCX:-"mpirun.lsf"} +#########################module load ibmpe ics lsf uncomment if not in profile +# seems to run ok w next 10 lines commented out (even though Jack had them in +# his version of this script) +###export LANG=en_US +###export MP_EAGER_LIMIT=65536 +###export MP_EUIDEVELOP=min +###export MP_EUIDEVICE=sn_all +###export MP_EUILIB=us +###export MP_MPILIB=mpich2 +###export MP_USE_BULK_XFER=yes +###export MPICH_ALLTOALL_THROTTLE=0 +###export MP_COLLECTIVE_OFFLOAD=yes +###export KMP_STACKSIZE=1024m +fi + +$TIMEIT $launcher_OIQCX $OIQCX > outout 2> errfile + +err=$? +###cat errfile +cat errfile >> outout +cat outout >> oiqcbufr.out +cp outout obcnt.out +set +u +[ -n "$pgmout" ] && cat outout >> $pgmout +set -u +rm outout +set +x +echo +echo 'The foreground exit status for PREPOBS_OIQCBUFR is ' $err +echo +set -x +if [ "$err" -eq '4' ]; then +msg="WRNG: SOME OBS NOT QC'd BY PGM PREPOBS_OIQCBUFR - # OF OBS > LIMIT \ +--> non-fatal" + set +x + echo + echo "$msg" + echo + set -x + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + err=0 +fi +if [ -s $DATA/err_chk ]; then + $DATA/err_chk +else + if test "$err" -gt '0' + then +######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out + # in ops + exit 55 + fi +fi + +if [ "$err" -gt '0' ]; then + exit 9 +else + mv $PRPI.oiqcbufr $PRPI +fi + +exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.txt new file mode 100644 index 0000000..72b016f --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.txt @@ -0,0 +1 @@ +OIQCX=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_oiqcbufr diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.sh new file mode 100755 index 0000000..0fc1c6e --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.sh @@ -0,0 +1,211 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + + +# This script performs two tasks: +# 1) Execute program PREPOBS_PREPACQC to perform aircraft quality control +# checking +# 2) Execute program PREPOBS_PREPACPF to append a surface level to profile +# reports in the PREPBUFR-format aircraft profiles file which is output +# from PREPOBS_PREPACQC +# Both tasks are optional in case the executing job wants to perform only one +# of these two tasks. The default to to perform both tasks. +# +# This script is normally executed by the script prepobs_makeprepbufr.sh +# but can also be executed from a checkout parent script +# -------------------------------------------------------------------------- + +set -aux + +qid=$$ + +# Positional parameters that must always be passed in: +# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr +# file upon successful completion of this script +# (note that input prepbufr file is NOT saved by this script) +# {this can be set to "null" if PROCESS_ACQC != YES (see below), since in +# this case it is not considered} + +# Positional parameters that must be passed in if PROCESS_ACPF = YES (see +# below): +# 2 - path to adpsfc dump file input to PREPOBS_PREPACPF {normally the same +# one that was read in to generate the prepbufr file in positional +# parameter 1 or, if PREPOBS_PREPACQC != YES (see below), the prepbufr +# file processed by program PREPOBS_PREPACQC which presumably ran some +# place outside of, and prior to, this script} + +# Imported variables that must always be passed in: +# DATA - path to working directory +# PROCESS_ACQC - switch controlling whether or not to execute +# PREPOBS_PREPACQC +# PROCESS_ACPF - switch controlling whether or not to execute +# PREPOBS_PREPACPF + +# Imported variables that must be passed in if PROCESS_ACQC = YES: +# AQCX - path to PREPOBS_PREPACQC program executable +# AQCC - path to PREPOBS_PREPACQC program parm cards + +# Imported variables that must be passed in if PROCESS_ACQC != YES: +# acft_profiles - path to prepbufr.acft_profiles file output by program +# PREPOBS_PREPACQC (which presumably ran some place outside +# of, and prior to, this script) + +# Imported variables that must be passed in if PROCESS_ACPF = YES: +# DICT - path to unsorted METAR station dictionary file +# APFX - path to PREPOBS_PREPACPF program executable + +# Imported variables that can be passed in: +# jlogfile - string indicating path to joblog file +# (skipped over by this script if not passed in) +# (only examined if PROCESS_ACPF = YES) +# pgmout - string indicating path to for standard output file +# (skipped over by this script if not passed in) + + +cd $DATA + +jlogfile=${jlogfile:=""} + +if [ $PROCESS_ACQC = YES ]; then + PRPI=$1 + if [ ! -s $PRPI ] ; then exit 1;fi + + rm $PRPI.prepacqc + rm prepbufr.acft_profiles + + pgm=`basename $AQCX` + if [ -s $DATA/prep_step ]; then + set +u + . $DATA/prep_step + set -u + else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` + fi + + export FORT11=$PRPI + export FORT41=vvel_info.acft_profiles.txt + export FORT61=$PRPI.prepacqc + export FORT62=prepbufr.acft_profiles + TIMEIT=${TIMEIT:-""} + [ -s $DATA/time ] && TIMEIT="$DATA/time -p" + # The following improves performance on Cray-XC40 if $AQCX was + # linked to the IOBUF i/o buffering library + export IOBUF_PARAMS='*.log:verbose,*.txt:verbose,*.sorted:verbose' + $TIMEIT $AQCX< $AQCC > outout 2> errfile + err=$? + err_actual=$err + unset IOBUF_PARAMS +######cat errfile + cat errfile >> outout + cat outout >> prepacqc.out + set +u + [ -n "$pgmout" ] && cat outout >> $pgmout + set -u + rm outout + set +x + echo + echo 'The foreground exit status for PREPOBS_PREPACQC is ' $err + echo + set -x + if [ $err -eq 4 ]; then + msg="PREPBUFR DATA SET CONTAINS NO "AIRCAR" OR "AIRCFT" TABLE A MESSAGES --> non-fatal" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + err=0 + fi + if [ -s $DATA/err_chk ]; then + $DATA/err_chk + else + if test "$err" -gt '0' + then +#########kill -9 ${qid} # need a WCOSS alternative to this even tho commented + # out in ops + exit 55 + fi + fi + + if [ "$err" -gt '0' ]; then + exit 9 + elif [ "$err_actual" -gt '0' ]; then + PROCESS_ACPF=NO + else + [ ! -f $PRPI.prepacqc ] && touch $PRPI.prepacqc + mv $PRPI.prepacqc $PRPI + fi + +else + cp -p $acft_profiles prepbufr.acft_profiles +fi + + +if [ $PROCESS_ACPF = YES ]; then + ADPSFC=$2 + + sort -n +0.61 -0.67 $DICT > metar.tbl.lon_sorted + + msg=good + if [ ! -s $ADPSFC ]; then + msg="WARNING: PREPOBS_PREPACPF COULD NOT RUN, adpsfc FILE NOT FOUND \ +--> non-fatal" + elif [ ! -s prepbufr.acft_profiles ]; then + msg="WARNING: PREPOBS_PREPACPF COULD NOT RUN, prepbufr.acft_profiles \ +FILE NOT FOUND --> non-fatal" + elif [ ! -s metar.tbl.lon_sorted ]; then + msg="WARNING: PREPOBS_PREPACPF COULD NOT RUN, metar.tbl FILE NOT FOUND \ +--> non-fatal" + fi + if [ "$msg" != 'good' ]; then + set +x + echo + echo "$msg" + echo + set -x + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + exit 0 + fi + + pgm=`basename $APFX` + if [ -s $DATA/prep_step ]; then + set +u + . $DATA/prep_step + set -u + else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` + fi + + export FORT11=metar.tbl.lon_sorted + export FORT12=$ADPSFC + export FORT13=prepbufr.acft_profiles + export FORT51=prepbufr.acft_profiles_sfc + TIMEIT=${TIMEIT:-""} + [ -s $DATA/time ] && TIMEIT="$DATA/time -p" + $TIMEIT $APFX > outout 2> errfile + err=$? +######cat errfile + cat errfile >> outout + cat outout >> prepacpf.out + set +u + [ -n "$pgmout" ] && cat outout >> $pgmout + set -u + rm outout + set +x + echo + echo 'The foreground exit status for PREPOBS_PREPACPF is ' $err + echo + set -x + if [ $err -gt 0 ]; then + msg="WARNING: PREPOBS_PREPACPF DID NOT COMPLETE NORMALLY --> non-fatal" + set +x + echo + echo "$msg" + echo + set -x + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + else + err=0 + [ -s $DATA/err_chk ] && $DATA/err_chk + fi +fi + +exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.txt new file mode 100644 index 0000000..45184d1 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.txt @@ -0,0 +1 @@ +AQCC=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_prepacqc diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepdata.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepdata.txt new file mode 100644 index 0000000..cfba978 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepdata.txt @@ -0,0 +1 @@ +PRPX=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_prepdata diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prevents.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prevents.txt new file mode 100644 index 0000000..9aa9640 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prevents.txt @@ -0,0 +1 @@ +PREX=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_prevents diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_profcqc.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_profcqc.txt new file mode 100644 index 0000000..9f82c5a --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_profcqc.txt @@ -0,0 +1 @@ +PQCX=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_profcqc diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.sh new file mode 100755 index 0000000..5fe1fab --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.sh @@ -0,0 +1,209 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + + +# This script has two functions: +# 1) Generates synthetic cyclone bogus near tropical storms and appends them +# to a PREPBUFR file (based on script variable DO_BOGUS). If may also, +# based on user-requested switch, flag mass pressure reports "near" +# tropical storms. +# 2) Flag dropwinsonde wind reports "near" tropical storms (based on user- +# requested switch). +# +# Note: It can do both 1 and 2 above or just one of them without the other. +# +# (NOTE: SYNDATA is currently restricted to run with T126 gaussian +# land-sea mask) +# +# It is normally executed by the script prepobs_makeprepbufr.sh +# but can also be executed from a checkout parent script +# ------------------------------------------------------------- + +set -aux + +# Positional parameters passed in: +# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr +# file upon successful completion of this script (note that input +# prepbufr file is NOT saved by this script) +# 2 - path to COPY OF input tcvitals file +# 3 - expected center date in PREPBUFR file (YYYYMMDDHH) + + +# Imported variables that must be passed in: +# DATA - path to working directory +# SGES - path to COPY OF global simga first guess file 1 (valid at +# either center date of PREPBUFR file or nearest cycle time prior +# to center date of PREPBUFR file which is a multiple of 3) +# SGESA - path to COPY OF global simga first guess file 2 (either +# null if SGES is valid at center date of PREPBUFR file or valid +# at nearest cycle time after center date of PREPBUFR file which +# is a multiple of 3 if SGES is valid at nearest cycle time +# prior to center date of PREPBUFR file which is a multiple of 3) +# PRVT - path to observation error table file +# FIXSYND - path to synthethic data fixed field files +# SYNDX - path to SYNDAT_SYNDATA program executable +# SYNDC - path to SYNDAT_SYNDATA program parm cards + +# Imported variables that can be passed in: +# DO_BOGUS - Generate synthetic cyclone bogus near tropical storms and +# append them to a PREPBUFR file (and also, based on user- +# requested switch, flag mass pressure reports "near" tropical +# storms)? (choices are "YES" or "NO", anything else defaults to +# "YES", including if this is not passed in) +# jlogfile - string indicating path to joblog file (skipped over by this +# script if not passed in) +# pgmout - string indicating path to for standard output file (skipped +# over by this script if not passed in) +# sys_tp - system type and phase. (if not passed in, an attempt is made to +# set this string using getsystem.pl, an NCO script in prod_util) +# SITE - site name (may have been set by local shell startup script) +# launcher_SYNDX - launcher for SYNDX executable (on Cray-XC40, defaults to +# aprun using single task) + + +cd $DATA +PRPI=$1 +if [ ! -s $PRPI ] ; then exit 1 ;fi +VITL=$2 +CDATE10=$3 + +jlogfile=${jlogfile:=""} + +if [ ! -s $VITL ] ; then + msg="TCVITALS EMPTY - NO PROCESSING PERFORMED BY SYNDAT_SYNDATA for \ +$CDATE10 --> non-fatal" + set +x + echo + echo "$msg" + echo + set -x + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + + exit +fi + +if [ $DO_BOGUS = 'YES' ]; then + suffix_char="" +else + suffix_char="_nobog" +fi + +rm -f $PRPI.syndata bogdomn.wrk${suffix_char} alldat${suffix_char} +rm -f stmtrk.wrk${suffix_char} rawdat.wrk${suffix_char} dumcoef${suffix_char} +rm -f matcoef${suffix_char} dthistry${suffix_char} bogrept${suffix_char} +rm -f bogdata${suffix_char} fenvdta.wrk${suffix_char} stkdatb.wrk${suffix_char} +rm -f gesvit${suffix_char} bghistry.diag${suffix_char} +rm -f prevents.filtering.syndata${suffix_char} + +pgm=`basename $SYNDX` +if [ -s $DATA/prep_step ]; then + set +u + . $DATA/prep_step + set -u +else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +fi + +export FORT11=$VITL +echo " $CDATE10" > cdate10.dat +export FORT13=cdate10.dat +export FORT14=$FIXSYND/syndat_syndata.slmask.t126.gaussian +export FORT15=bogdomn.wrk${suffix_char} +export FORT16=stmtrk.wrk${suffix_char} +export FORT17=rawdat.wrk${suffix_char} +export FORT19=bghistry.diag${suffix_char} +export FORT21=gesvit${suffix_char} +export FORT22=stkdatb.wrk${suffix_char} +export FORT23=fenvdta.wrk${suffix_char} +export FORT24=bogdata${suffix_char} +export FORT25=$PRPI +#####export FORT30=$SGES +#####export FORT31=$SGESA + +# The SYNDAT_SYNDATA code will soon, or may now, open GFS spectral coefficient +# guess files using sigio routines (via W3EMC routine GBLEVENTS) via explicit +# open(unit=number,file=filename) statements. This conflicts with the FORTxx +# statements above. One can either remove the explicit open statements in the +# code or replace the above FORTxx lines with soft links. The soft link +# approach is taken below. + +ln -sf $SGES fort.30 +ln -sf $SGESA fort.31 +export FORT32=$PRVT +export FORT40=$FIXSYND/syndat_weight +export FORT58=bogrept${suffix_char} +export FORT59=dthistry${suffix_char} +export FORT61=$PRPI.syndata +export FORT70=matcoef${suffix_char} +export FORT71=dumcoef${suffix_char} +export FORT72=rawdat.wrk${suffix_char} +export FORT73=stmtrk.wrk${suffix_char} +export FORT74=alldat${suffix_char} +export FORT80=prevents.filtering.syndata${suffix_char} +export FORT89=bogdomn.wrk${suffix_char} + +#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) +##The choice in the first line below MAY cause a failure +##The choice in the second line below works! +#set +u +#####[ -n "$LOADL_PROCESSOR_LIST" ] && export XLSMPOPTS=parthds=2:stack=64000000 +#[ -n "$LOADL_PROCESSOR_LIST" ] && export XLSMPOPTS=parthds=2:stack=20000000 +#set -u + +TIMEIT=${TIMEIT:-""} +[ -s $DATA/time ] && TIMEIT="$DATA/time -p" + +SITE=${SITE:-""} +sys_tp=${sys_tp:-$(getsystem.pl -tp)} +getsystp_err=$? +if [ $getsystp_err -ne 0 ]; then + msg="***WARNING: error using getsystem.pl to determine system type and phase" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" +fi +echo sys_tp is set to: $sys_tp +if [ "$sys_tp" = "Cray-XC40" -o "$SITE" = "SURGE" -o "$SITE" = "LUNA" ]; then + launcher_SYNDX=${launcher_SYNDX:-"aprun -n 1 -N 1 -d 1"} +else + launcher_SYNDX=${launcher_SYNDX:-""} +fi +$TIMEIT $launcher_SYNDX $SYNDX < $SYNDC > outout 2> errfile +err=$? +###cat errfile +cat errfile >> outout +[ $DO_BOGUS = 'YES' ] && cat prevents.filtering.syndata >> outout +cat outout >> syndata.out +set +u +[ -n "$pgmout" ] && cat outout >> $pgmout +set -u +rm outout +set +x +echo +echo 'The foreground exit status for SYNDAT_SYNDATA is ' $err +echo +set -x +if [ $err -eq 0 ]; then + + set +x + echo " --------------------------------------------- " + echo " ********** COMPLETED PROGRAM $pgm **********" + echo " --------------------------------------------- " + set -x + msg="$pgm completed normally for $CDATE10 - DO_BOGUS= $DO_BOGUS" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + mv $PRPI.syndata $PRPI + +else + +msg="SYNDAT_SYNDATA TERMINATED ABNORMALLY WITH CONDITION CODE $err \ +--> non-fatal" + set +x + echo + echo "$msg" + echo + set -x + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + +fi + +exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.txt new file mode 100644 index 0000000..dcfe446 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.txt @@ -0,0 +1 @@ +/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/prepobs_syndata.sh From e3ad6187b9779bca7c2d5059957387c020655e75 Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Tue, 7 Nov 2017 23:29:19 +0000 Subject: [PATCH 209/487] Add support for the forecast-only workflow and a new case Harvey_GFS@384 --- model/fv3gfs/actions/ics.yaml | 18 +++++++ .../cases/FV3_GFS@C384_ENKF@C192-20MEM.yaml | 6 --- model/fv3gfs/cases/Harvey_GFS@C384.yaml | 47 +++++++++++++++++ model/fv3gfs/defaults/no.yaml | 13 +++++ model/fv3gfs/resources/resources.yaml | 10 ++++ .../fv3gfs/runtime/gfs_forecast_workflow.yaml | 51 +++++++++++++++++++ model/fv3gfs/validation/case.yaml | 38 ++++++++++++++ 7 files changed, 177 insertions(+), 6 deletions(-) create mode 100644 model/fv3gfs/actions/ics.yaml create mode 100644 model/fv3gfs/cases/Harvey_GFS@C384.yaml create mode 100644 model/fv3gfs/defaults/no.yaml create mode 100644 model/fv3gfs/runtime/gfs_forecast_workflow.yaml diff --git a/model/fv3gfs/actions/ics.yaml b/model/fv3gfs/actions/ics.yaml new file mode 100644 index 0000000..1888672 --- /dev/null +++ b/model/fv3gfs/actions/ics.yaml @@ -0,0 +1,18 @@ +fv3ic: &fv3ic_action !Action + <<: *action_base + J_JOB: fv3ic + walltime: !timedelta 00:30:00 + resources: !calc run_fv3ic.resources + memory: !calc run_fv3ic.memory + +getic: &getic_action !Action + <<: *action_base + J_JOB: getic + walltime: !timedelta 06:00:00 + resources: !calc run_arch.resources + memory: !calc run_arch.memory + + ics_from: !calc doc.case.ics_from + + # Variables to import in shell: + shell_vars: [ "[A-Z][A-Z0-9_]*$", "ics_from", "parexp", "HPSS_PAR_PATH" ] diff --git a/model/fv3gfs/cases/FV3_GFS@C384_ENKF@C192-20MEM.yaml b/model/fv3gfs/cases/FV3_GFS@C384_ENKF@C192-20MEM.yaml index 94e7259..977f4fb 100644 --- a/model/fv3gfs/cases/FV3_GFS@C384_ENKF@C192-20MEM.yaml +++ b/model/fv3gfs/cases/FV3_GFS@C384_ENKF@C192-20MEM.yaml @@ -58,12 +58,6 @@ case: SDATE: 2017-07-31t18:00:00 EDATE: 2017-08-05t00:00:00 - DO_RELOCATE: NO # DO NOT CHANGE (yet) - relocate not yet implemented - - master_grid: "0p25deg" # 1deg 0p5deg 0p25deg 0p125deg etc - - ACTUALLY_RUN: YES # do not change - workflow: cycled_workflow IC_CDUMP: gdas # Get initial conditions from 1st cycle from GFS or GDAS diff --git a/model/fv3gfs/cases/Harvey_GFS@C384.yaml b/model/fv3gfs/cases/Harvey_GFS@C384.yaml new file mode 100644 index 0000000..82f8b45 --- /dev/null +++ b/model/fv3gfs/cases/Harvey_GFS@C384.yaml @@ -0,0 +1,47 @@ +fv3_settings: &fv3_settings + LEVS: 65 # Number of vertical levels (mandatory) + QUILTING: NO + +fv3_gfs_settings: &fv3_gfs_settings + <<: *fv3_settings + Template: *fv3_settings_template + CASE: C384 # FV3 horizontal resolution (mandatory) + CDUMP: gfs + +fv3_enkf_settings: &fv3_enkf_settings + <<: *no_enkf + +fv3_gdas_settings: &fv3_gdas_settings + <<: *no_gdas + +######################################################################## + +gfs_output_settings: &gfs_output_settings + Template: *gfs_output_settings_template + + FHMAX_GFS: 120 # Last GFS forecast hour (mandatory) + FHOUT_GFS: 6 # GFS output frequency (optional) + + OUTPUT_GRID: "gaussian_grid" + +######################################################################## + +data_assimilation: &data_assimilation + <<: *no_data_assimilation + +prepbufr: &prepbufr + <<: *no_prepbufr + +######################################################################## + +case: + Template: [ *case_template, *known_workflows ] + SDATE: 2017-08-17t18:00:00 + EDATE: 2017-08-31t00:00:00 + + workflow: gfs_forecast_workflow + + ics_from: pargfs + parexp: prnemsrn + +#-END OF FILE-# diff --git a/model/fv3gfs/defaults/no.yaml b/model/fv3gfs/defaults/no.yaml new file mode 100644 index 0000000..99bbe37 --- /dev/null +++ b/model/fv3gfs/defaults/no.yaml @@ -0,0 +1,13 @@ +no_enkf: &no_enkf + CDUMP: gdas + CASE: !calc doc.fv3_gdas_settings.CASE + LEVS: !calc doc.fv3_gdas_settings.LEVS + +no_gdas: &no_gdas + CDUMP: gdas + CASE: !calc doc.fv3_gfs_settings.CASE + LEVS: !calc doc.fv3_gfs_settings.LEVS + +no_data_assimilation: &data_assimilation {} + +no_prepbufr: &no_prepbufr \ No newline at end of file diff --git a/model/fv3gfs/resources/resources.yaml b/model/fv3gfs/resources/resources.yaml index f154b3d..147939b 100644 --- a/model/fv3gfs/resources/resources.yaml +++ b/model/fv3gfs/resources/resources.yaml @@ -188,3 +188,13 @@ resource_defaults: &resource_defaults mpi_ranks: 1 max_ppn: 1 OMP_NUM_THREADS: 2 + + run_fv3ic: + env: { } + memory: !FirstTrue + - when: !calc doc.platform.name=='WCOSS_C' + do: "3072M" + - otherwise: "" + resources: !JobRequest + - exe: placeholder + mpi_ranks: 24 diff --git a/model/fv3gfs/runtime/gfs_forecast_workflow.yaml b/model/fv3gfs/runtime/gfs_forecast_workflow.yaml new file mode 100644 index 0000000..2c65753 --- /dev/null +++ b/model/fv3gfs/runtime/gfs_forecast_workflow.yaml @@ -0,0 +1,51 @@ +cycled_workflow: !Cycle + Rocoto: *Rocoto + + Clock: *clock + + ics: !Family + getics: !Task + Perform: *getics_action + Rocoto: *task_template + + fv3ics: !Task + Perform: *getics_action + Rocoto: *task_template + Trigger: !Depend getics + + gfs: !Family + Trigger: !Depend ics + prep: !Task + Perform: *prep_gfs_action + Rocoto: *task_template + + anal: !Task + Perform: *anal_gfs_action + Rocoto: *task_template + Trigger: !Depend prep + + fcst: !Task + Perform: *fcst_gfs_action + Rocoto: *task_template + Trigger: !Depend anal + + post: !Task + Perform: *post_gfs_action + Rocoto: *task_template + Trigger: !Depend fcst + + vrfy: !Task + Perform: *vrfy_gfs_action + Rocoto: *task_template + Trigger: !Depend post + + arch: !Task + Perform: + <<: *arch_gfs_action + CDUMP: gfs + Rocoto: *task_template + Trigger: !Depend gfs + + final: !Task + Perform: *final_action + Rocoto: *task_template diff --git a/model/fv3gfs/validation/case.yaml b/model/fv3gfs/validation/case.yaml index 691eb80..7f74a6e 100644 --- a/model/fv3gfs/validation/case.yaml +++ b/model/fv3gfs/validation/case.yaml @@ -31,3 +31,41 @@ case_template: &case_template type: datetime description: Last cycle to run GDAS. + master_grid: + type: string + default: "0p25deg" + allowed: [ "1deg" "0p5deg" "0p25deg" "0p125deg" ] + description: Original grid of the post + + DO_RELOCATE: + type: bool + default: NO + allowed: [ NO ] + description: vortex relocation flag - keep as NO - relocate not yet implemented + + ACTUALLY_RUN: + type: bool + default: YES + description: For test purposes only. Keep as YES + + experiment_name: + type: string + default: !calc doc.experiment_name + description: | + User-defined experiment name; should be a-z followed by alphanumeric. + Formerly known as PSLOT. + + ics_from: + type: string + allowed: [ opsgfs, pargfs ] + default: opsgfs + if_present: !Template + parexp: + default: prnemsrn + type: string + description: Name of a GFS parallel experiment to pull from tape + HPSS_PAR_PATH: + default: !expand "/5year/NCEPDEV/emc-global/emc.glopara/WCOSS_C/{parexp}" + type: string + description: Path to HPSS tapes with GFS parallel data + From 88e9650a8adfff534034a2c16c13b89e89d938cb Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Tue, 7 Nov 2017 23:42:34 +0000 Subject: [PATCH 210/487] The experiment_name is now specified on the command line. --- model/fv3gfs/setup_case.py | 6 ++++-- 1 file changed, 4 insertions(+), 2 deletions(-) diff --git a/model/fv3gfs/setup_case.py b/model/fv3gfs/setup_case.py index 2aab64c..f66fdfb 100755 --- a/model/fv3gfs/setup_case.py +++ b/model/fv3gfs/setup_case.py @@ -88,14 +88,16 @@ def main(): logging.basicConfig(stream=sys.stderr,level=level) force="--force" in options - if len(args)!=1: - sys.stderr.write("Format: setup_case.py [-v] [--force] case-name\n") + if len(args)!=2: + sys.stderr.write("Format: setup_case.py [-v] [--force] case-name experiment-name\n") exit(1) case=args[0] + experiment_name=args[1] logger.info(f"read case {case}") conf=read_contents(case) + conf.experiment_name=experiment_name logger.info("Remove platforms from configuration.") for key in list(conf.keys()): if isinstance(conf[key],Platform) and key!='platform': From dd6ce38b74bc02511ba2a97e7443fbf2777a4604 Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Tue, 7 Nov 2017 23:55:38 +0000 Subject: [PATCH 211/487] Add a copy of the existing nemsfv3gfs forecast script --- .../fv3gfs/scripts/exglobal_fcst_original.sh | 911 ++++++++++++++++++ 1 file changed, 911 insertions(+) create mode 100755 model/fv3gfs/scripts/exglobal_fcst_original.sh diff --git a/model/fv3gfs/scripts/exglobal_fcst_original.sh b/model/fv3gfs/scripts/exglobal_fcst_original.sh new file mode 100755 index 0000000..b34230c --- /dev/null +++ b/model/fv3gfs/scripts/exglobal_fcst_original.sh @@ -0,0 +1,911 @@ +#!/bin/ksh +################################################################################ +# UNIX Script Documentation Block +# Script name: exglobal_fcst_nemsfv3gfs.sh.ecf +# Script description: Runs a global FV3GFS model forecast +# +# Author: Fanglin Yang Org: NCEP/EMC Date: 2016-11-15 +# Abstract: This script runs a single GFS forecast with FV3 dynamical core. +# This script is created based on a C-shell script that GFDL wrote +# for the NGGPS Phase-II Dycore Comparison Project. +# +# Script history log: +# 2016-11-15 Fanglin Yang First Version. +# 2017-02-09 Rahul Mahajan Added warm start and restructured the code. +# 2017-03-10 Fanglin Yang Updated for running forecast on Cray. +# 2017-03-24 Fanglin Yang Updated to use NEMS FV3GFS with IPD4 +# 2017-05-24 Rahul Mahajan Updated for cycling with NEMS FV3GFS +# 2017-09-13 Fanglin Yang Updated for using GFDL MP and Write Component +# +# $Id$ +# +# Attributes: +# Language: Portable Operating System Interface (POSIX) Shell +# Machine: WCOSS-CRAY, Theia +################################################################################ + +# Set environment. +VERBOSE=${VERBOSE:-"YES"} +if [ $VERBOSE = "YES" ] ; then + echo $(date) EXECUTING $0 $* >&2 + set -x +fi + +# This should be in the script that calls this script, not here +machine=${machine:-"WCOSS_C"} +machine=$(echo $machine | tr '[a-z]' '[A-Z]') +if [ $machine = "WCOSS_C" ] ; then + . $MODULESHOME/init/sh 2>/dev/null + PRGENV=${PRGENV:-intel} + HUGEPAGES=${HUGEPAGES:-hugepages4M} + module unload prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null + module load prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null + module load intel/16.3.210 2>/dev/null + module use /usrx/local/dev/modulefiles + export IOBUF_PARAMS=${IOBUF_PARAMS:-'*:size=8M:verbose'} + export MPICH_GNI_COLL_OPT_OFF=${MPICH_GNI_COLL_OPT_OFF:-MPI_Alltoallv} + export MKL_CBWR=AVX2 + module use /gpfs/hps3/emc/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null + module load esmf/7.1.0bs34 2>/dev/null +elif [ $machine = "THEIA" ]; then + . $MODULESHOME/init/sh 2>/dev/null + module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null + module load esmf/7.1.0bs34 2>/dev/null +fi + +# Cycling and forecast hour specific parameters +CASE=${CASE:-C768} +CDATE=${CDATE:-2017032500} +CDUMP=${CDUMP:-gdas} +FHMIN=${FHMIN:-0} +FHMAX=${FHMAX:-9} +FHOUT=${FHOUT:-3} +FHZER=${FHZER:-6} +FHCYC=${FHCYC:-24} +FHMAX_HF=${FHMAX_HF:-0} +FHOUT_HF=${FHOUT_HF:-1} +NSOUT=${NSOUT:-"-1"} + +# Directories. +pwd=$(pwd) +NWPROD=${NWPROD:-${NWROOT:-$pwd}} +BASE_GSM=${BASE_GSM:-$NWPROD} +FIX_DIR=${FIX_DIR:-$BASE_GSM/fix} +FIX_AM=${FIX_AM:-$FIX_DIR/fix_am} +FIX_FV3=${FIX_FV3:-$FIX_DIR/fix_fv3} +DATA=${DATA:-$pwd/fv3tmp$$} # temporary running directory +ROTDIR=${ROTDIR:-$pwd} # rotating archive directory +ICSDIR=${ICSDIR:-$pwd} # cold start initial conditions +DMPDIR=${DMPDIR:-$pwd} # global dumps for seaice, snow and sst analysis + +# Model resolution specific parameters +DELTIM=${DELTIM:-225} +layout_x=${layout_x:-8} +layout_y=${layout_y:-16} +LEVS=${LEVS:-65} + +# Utilities +NCP=${NCP:-"/bin/cp -p"} +NLN=${NLN:-"/bin/ln -sf"} +NMV=${NMV:-"/bin/mv"} +SEND=${SEND:-"YES"} #move final result to rotating directory +ERRSCRIPT=${ERRSCRIPT:-'eval [[ $err = 0 ]]'} +NDATE=${NDATE:-$NWPROD/util/exec/ndate} +KEEPDATA=${KEEPDATA:-"NO"} + +# Other options +MEMBER=${MEMBER:-"-1"} # -1: control, 0: ensemble mean, >0: ensemble member $MEMBER +ENS_NUM=${ENS_NUM:-1} # Single executable runs multiple members (e.g. GEFS) + +# Model specific stuff +FCSTEXECDIR=${FCSTEXECDIR:-$BASE_GSM/sorc/fv3gfs.fd/BUILD/bin} +FCSTEXEC=${FCSTEXEC:-fv3_gfs.x} +PARM_FV3DIAG=${PARM_FV3DIAG:-$BASE_GSM/parm/parm_fv3diag} + +# Model config options +APRUN_FV3=${APRUN_FV3:-${APRUN_FCST:-${APRUN:-""}}} +NTHREADS_FV3=${NTHREADS_FV3:-${NTHREADS_FCST:-${nth_fv3:-1}}} +cores_per_node=${cores_per_node:-${npe_node_max:-24}} +ntiles=${ntiles:-6} +NTASKS_FV3=${NTASKS_FV3:-$npe_fv3} + +TYPE=${TYPE:-"nh"} # choices: nh, hydro +MONO=${MONO:-"non-mono"} # choices: mono, non-mono + +QUILTING=${QUILTING:-".true."} +OUTPUT_GRID=${OUTPUT_GRID:-"gaussian_grid"} +WRITE_NEMSIOFILE=${WRITE_NEMSIOFILE:-".true."} +WRITE_NEMSIOFLIP=${WRITE_NEMSIOFLIP:-".true."} + +rCDUMP=${rCDUMP:-$CDUMP} + +#------------------------------------------------------- +if [ ! -d $ROTDIR ]; then mkdir -p $ROTDIR; fi +if [ ! -d $DATA ]; then mkdir -p $DATA ;fi +mkdir -p $DATA/RESTART $DATA/INPUT +cd $DATA || exit 8 + +#------------------------------------------------------- +# member directory +if [ $MEMBER -lt 0 ]; then + prefix=$CDUMP + rprefix=$rCDUMP + memchar="" +else + prefix=enkf.$CDUMP + rprefix=enkf.$rCDUMP + memchar=mem$(printf %03i $MEMBER) +fi +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) +memdir=$ROTDIR/${prefix}.$cymd/$chh/$memchar +if [ ! -d $memdir ]; then mkdir -p $memdir; fi + +GDATE=$($NDATE -$assim_freq $CDATE) +gymd=$(echo $GDATE | cut -c1-8) +ghh=$(echo $GDATE | cut -c9-10) +gmemdir=$ROTDIR/${rprefix}.$gymd/$ghh/$memchar + +#------------------------------------------------------- +# initial conditions +warm_start=${warm_start:-".false."} +read_increment=${read_increment:-".false."} +increment_file=${increment_file:-$memdir/${CDUMP}.t${chh}z.atminc.nc} +restart_interval=${restart_interval:-0} + +if [ $warm_start = ".false." ]; then + if [ -d $ICSDIR/$CDATE/$CDUMP/$CASE/INPUT ]; then + $NCP $ICSDIR/$CDATE/$CDUMP/$CASE/INPUT/* $DATA/INPUT/. + else + for file in $memdir/INPUT/*.nc; do + file2=$(echo $(basename $file)) + fsuf=$(echo $file2 | cut -c1-3) + if [ $fsuf = "gfs" -o $fsuf = "sfc" ]; then + $NLN $file $DATA/INPUT/$file2 + fi + done + fi +else + if [ ${restart_test:-"NO"} = "YES" ]; then + # start from the end of last forecast run + $NLN $gmemdir/RESTART/* $DATA/INPUT/. + else + + # Link all (except sfc_data) restart files from $gmemdir + for file in $gmemdir/RESTART/${cymd}.${chh}0000.*.nc; do + file2=$(echo $(basename $file)) + file2=$(echo $file2 | cut -d. -f3-) # remove the date from file + fsuf=$(echo $file2 | cut -d. -f1) + if [ $fsuf != "sfc_data" ]; then + $NLN $file $DATA/INPUT/$file2 + fi + done + + # Link sfcanl_data restart files from $memdir + for file in $memdir/RESTART/${cymd}.${chh}0000.*.nc; do + file2=$(echo $(basename $file)) + file2=$(echo $file2 | cut -d. -f3-) # remove the date from file + fsufanl=$(echo $file2 | cut -d. -f1) + if [ $fsufanl = "sfcanl_data" ]; then + file2=$(echo $file2 | sed -e "s/sfcanl_data/sfc_data/g") + $NLN $file $DATA/INPUT/$file2 + fi + done + + # Handle coupler.res file for DA cycling + if [ ${USE_COUPLER_RES:-"YES"} = "YES" ]; then + # In DA, this is not really a "true restart", + # and the model start time is the analysis time + # The alternative is to replace + # model start time with current model time in coupler.res + file=$gmemdir/RESTART/${cymd}.${chh}0000.coupler.res + file2=$(echo $(basename $file)) + file2=$(echo $file2 | cut -d. -f3-) # remove the date from file + $NLN $file $DATA/INPUT/$file2 + fi + + if [ $read_increment = ".true." ]; then + if [ -f $increment_file ]; then + $NLN $increment_file $DATA/INPUT/fv3_increment.nc + else + read_increment=".false." + fi + fi + fi +fi +nfiles=$(ls -1 $DATA/INPUT/* | wc -l) +if [ $nfiles -le 0 ]; then + echo "Initial conditions must exist in $DATA/INPUT, ABORT!" + exit 1 +fi + +#-------------------------------------------------------------------------- +# Grid and orography data +for n in $(seq 1 $ntiles); do + $NLN $FIX_FV3/$CASE/${CASE}_grid.tile${n}.nc $DATA/INPUT/${CASE}_grid.tile${n}.nc + $NLN $FIX_FV3/$CASE/${CASE}_oro_data.tile${n}.nc $DATA/INPUT/oro_data.tile${n}.nc +done +$NLN $FIX_FV3/$CASE/${CASE}_mosaic.nc $DATA/INPUT/grid_spec.nc + +# GFS standard input data + +IALB=${IALB:-1} +IEMS=${IEMS:-1} +ISOL=${ISOL:-2} +IAER=${IAER:-111} +ICO2=${ICO2:-2} + +$NLN $FIX_AM/global_solarconstant_noaa_an.txt $DATA/solarconstant_noaa_an.txt +$NLN $FIX_AM/global_o3prdlos.f77 $DATA/INPUT/global_o3prdlos.f77 +$NLN $FIX_AM/global_sfc_emissivity_idx.txt $DATA/sfc_emissivity_idx.txt + +$NLN $FIX_AM/global_co2historicaldata_glob.txt $DATA/co2historicaldata_glob.txt +$NLN $FIX_AM/co2monthlycyc.txt $DATA/co2monthlycyc.txt +if [ $ICO2 -gt 0 ]; then + for file in $(ls $FIX_AM/fix_co2_proj/global_co2historicaldata*) ; do + $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") + done +fi + +$NLN $FIX_AM/global_climaeropac_global.txt $DATA/aerosol.dat +if [ $IAER -gt 0 ] ; then + for file in $(ls $FIX_AM/global_volcanic_aerosols*) ; do + $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") + done +fi +#------------------------------------------------------------------ +# changeable parameters +# dycore definitions +res=$(echo $CASE |cut -c2-5) +resp=$((res+1)) +npx=$resp +npy=$resp +npz=$((LEVS-1)) +io_layout="1,1" +#ncols=$(( (${npx}-1)*(${npy}-1)*3/2 )) + +# spectral truncation and regular grid resolution based on FV3 resolution +JCAP_CASE=$((2*res-2)) +LONB_CASE=$((4*res)) +LATB_CASE=$((2*res)) + +JCAP=${JCAP:-$JCAP_CASE} +LONB=${LONB:-$LONB_CASE} +LATB=${LATB:-$LATB_CASE} + +# Fix files +FNGLAC=${FNGLAC:-"$FIX_AM/global_glacier.2x2.grb"} +FNMXIC=${FNMXIC:-"$FIX_AM/global_maxice.2x2.grb"} +FNTSFC=${FNTSFC:-"$FIX_AM/RTGSST.1982.2012.monthly.clim.grb"} +FNSNOC=${FNSNOC:-"$FIX_AM/global_snoclim.1.875.grb"} +FNZORC=${FNZORC:-"igbp"} +FNALBC2=${FNALBC2:-"$FIX_AM/global_albedo4.1x1.grb"} +FNAISC=${FNAISC:-"$FIX_AM/CFSR.SEAICE.1982.2012.monthly.clim.grb"} +FNTG3C=${FNTG3C:-"$FIX_AM/global_tg3clim.2.6x1.5.grb"} +FNVEGC=${FNVEGC:-"$FIX_AM/global_vegfrac.0.144.decpercent.grb"} +FNMSKH=${FNMSKH:-"$FIX_AM/seaice_newland.grb"} +FNVMNC=${FNVMNC:-"$FIX_AM/global_shdmin.0.144x0.144.grb"} +FNVMXC=${FNVMXC:-"$FIX_AM/global_shdmax.0.144x0.144.grb"} +FNSLPC=${FNSLPC:-"$FIX_AM/global_slope.1x1.grb"} +FNALBC=${FNALBC:-"$FIX_AM/global_snowfree_albedo.bosu.t${JCAP}.${LONB}.${LATB}.rg.grb"} +FNVETC=${FNVETC:-"$FIX_AM/global_vegtype.igbp.t${JCAP}.${LONB}.${LATB}.rg.grb"} +FNSOTC=${FNSOTC:-"$FIX_AM/global_soiltype.statsgo.t${JCAP}.${LONB}.${LATB}.rg.grb"} +FNABSC=${FNABSC:-"$FIX_AM/global_mxsnoalb.uariz.t${JCAP}.${LONB}.${LATB}.rg.grb"} +FNSMCC=${FNSMCC:-"$FIX_AM/global_soilmgldas.t${JCAP}.${LONB}.${LATB}.grb"} + +# If the appropriate resolution fix file is not present, use the highest resolution available (T1534) +[[ ! -f $FNALBC ]] && FNALBC="$FIX_AM/global_snowfree_albedo.bosu.t1534.3072.1536.rg.grb" +[[ ! -f $FNVETC ]] && FNVETC="$FIX_AM/global_vegtype.igbp.t1534.3072.1536.rg.grb" +[[ ! -f $FNSOTC ]] && FNSOTC="$FIX_AM/global_soiltype.statsgo.t1534.3072.1536.rg.grb" +[[ ! -f $FNABSC ]] && FNABSC="$FIX_AM/global_mxsnoalb.uariz.t1534.3072.1536.rg.grb" +[[ ! -f $FNSMCC ]] && FNSMCC="$FIX_AM/global_soilmgldas.t1534.3072.1536.grb" + +# NSST Options +# nstf_name contains the NSST related parameters +# nstf_name(1) : 0 = NSSTM off, 1 = NSSTM on but uncoupled, 2 = NSSTM on and coupled +# nstf_name(2) : 0 = NSSTM spin up off, 1 = NSSTM spin up on, +# nstf_name(3) : 0 = NSSTM analysis off, 1 = NSST analysis on +# nstf_name(4) : zsea1 in mm +# nstf_name(5) : zsea2 in mm +# nst_anl : .true. or .false., NSST analysis over lake +nstf_name=${nstf_name:-"0,0,0,0,0"} +nst_anl=${nst_anl:-".false."} + + +# blocking factor used for threading and general physics performance +#nyblocks=`expr \( $npy - 1 \) \/ $layout_y ` +#nxblocks=`expr \( $npx - 1 \) \/ $layout_x \/ 32` +#if [ $nxblocks -le 0 ]; then nxblocks=1 ; fi +blocksize=${blocksize:-32} + +# the pre-conditioning of the solution +# =0 implies no pre-conditioning +# >0 means new adiabatic pre-conditioning +# <0 means older adiabatic pre-conditioning +na_init=${na_init:-1} +[[ $warm_start = ".true." ]] && na_init=0 + +# variables for controlling initialization of NCEP/NGGPS ICs +filtered_terrain=${filtered_terrain:-".true."} +gfs_dwinds=${gfs_dwinds:-".true."} + +# various debug options +no_dycore=${no_dycore:-".false."} +dycore_only=${adiabatic:-".false."} +chksum_debug=${chksum_debug:-".false."} +print_freq=${print_freq:-6} + +if [ ${TYPE} = "nh" ]; then # non-hydrostatic options + + hydrostatic=".false." + phys_hydrostatic=".false." # enable heating in hydrostatic balance in non-hydrostatic simulation + use_hydro_pressure=".false." # use hydrostatic pressure for physics + if [ $warm_start = ".true." ]; then + make_nh=".false." # restarts contain non-hydrostatic state + else + make_nh=".true." # re-initialize non-hydrostatic state + fi + +else # hydrostatic options + + hydrostatic=".true." + phys_hydrostatic=".false." # ignored when hydrostatic = T + use_hydro_pressure=".false." # ignored when hydrostatic = T + make_nh=".false." # running in hydrostatic mode + +fi + +# Conserve total energy as heat globally +consv_te=${consv_te:-1.} # range 0.-1., 1. will restore energy to orig. val. before physics + +# time step parameters in FV3 +k_split=${k_split:-2} +n_split=${n_split:-6} + +if [ $(echo $MONO | cut -c-4) = "mono" ]; then # monotonic options + + d_con=${d_con_mono:-"0."} + do_vort_damp=".false." + if [ ${TYPE} = "nh" ]; then # non-hydrostatic + hord_mt=${hord_mt_nh_mono:-"10"} + hord_xx=${hord_xx_nh_mono:-"10"} + else # hydrostatic + hord_mt=${hord_mt_hydro_mono:-"10"} + hord_xx=${hord_xx_hydro_mono:-"10"} + fi + +else # non-monotonic options + + d_con=${d_con_nonmono:-"1."} + do_vort_damp=".true." + if [ ${TYPE} = "nh" ]; then # non-hydrostatic + hord_mt=${hord_mt_nh_nonmono:-"5"} + hord_xx=${hord_xx_nh_nonmono:-"5"} + else # hydrostatic + hord_mt=${hord_mt_hydro_nonmono:-"10"} + hord_xx=${hord_xx_hydro_nonmono:-"10"} + fi + +fi + +if [ $(echo $MONO | cut -c-4) != "mono" -a $TYPE = "nh" ]; then + vtdm4=${vtdm4_nh_nonmono:-"0.06"} +else + vtdm4=${vtdm4:-"0.05"} +fi + +if [ $warm_start = ".true." ]; then # warm start from restart file + + nggps_ic=".false." + ncep_ic=".false." + external_ic=".false." + mountain=".true." + if [ $read_increment = ".true." ]; then # add increment on the fly to the restarts + res_latlon_dynamics="fv3_increment.nc" + else + res_latlon_dynamics='""' + fi + +else # CHGRES'd GFS analyses + + nggps_ic=${nggps_ic:-".true."} + ncep_ic=${ncep_ic:-".false."} + external_ic=".true." + mountain=".false." + read_increment=".false." + res_latlon_dynamics='""' + +fi + +# Stochastic Physics Options +if [ ${SET_STP_SEED:-"YES"} = "YES" ]; then + ISEED_SKEB=$((CDATE*1000 + MEMBER*10 + 1)) + ISEED_SHUM=$((CDATE*1000 + MEMBER*10 + 2)) + ISEED_SPPT=$((CDATE*1000 + MEMBER*10 + 3)) +else + ISEED=${ISEED:-0} +fi +DO_SKEB=${DO_SKEB:-"NO"} +DO_SPPT=${DO_SPPT:-"NO"} +DO_SHUM=${DO_SHUM:-"NO"} +JCAP_STP=${JCAP_STP:-$JCAP_CASE} +LONB_STP=${LONB_STP:-$LONB_CASE} +LATB_STP=${LATB_STP:-$LATB_CASE} + +# build the date for curr_date and diag_table from CDATE +SYEAR=$(echo $CDATE | cut -c1-4) +SMONTH=$(echo $CDATE | cut -c5-6) +SDAY=$(echo $CDATE | cut -c7-8) +SHOUR=$(echo $CDATE | cut -c9-10) +curr_date="${SYEAR},${SMONTH},${SDAY},${SHOUR},0,0" +rsecs=$((restart_interval*3600)) +restart_secs=${rsecs:-0} + +# copy over the tables +DIAG_TABLE=${DIAG_TABLE:-$PARM_FV3DIAG/diag_table} +DATA_TABLE=${DATA_TABLE:-$PARM_FV3DIAG/data_table} +FIELD_TABLE=${FIELD_TABLE:-$PARM_FV3DIAG/field_table} + +# build the diag_table with the experiment name and date stamp +cat > diag_table << EOF +FV3 Forecast +$SYEAR $SMONTH $SDAY $SHOUR 0 0 +EOF +cat $DIAG_TABLE >> diag_table + +$NCP $DATA_TABLE data_table +$NCP $FIELD_TABLE field_table + +#------------------------------------------------------------------ +rm -f nems.configure +cat > nems.configure < model_configure < input.nml <> input.nml +if [ $MEMBER -gt 0 ]; then + + cat >> input.nml << EOF +&nam_stochy + ntrunc = $JCAP_STP + lon_s = $LONB_STP + lat_s = $LATB_STP +EOF + + if [ $DO_SKEB = "YES" ]; then + cat >> input.nml << EOF + skeb = $SKEB + iseed_skeb = ${ISEED_SKEB:-$ISEED} + skeb_tau = ${SKEB_TAU:-"-999."} + skeb_lscale = ${SKEB_LSCALE:-"-999."} + skebnorm = ${SKEBNORM:-"1"} +EOF + fi + + if [ $DO_SHUM = "YES" ]; then + cat >> input.nml << EOF + shum = $SHUM + iseed_shum = ${ISEED_SHUM:-$ISEED} + shum_tau = ${SHUM_TAU:-"-999."} + shum_lscale = ${SHUM_LSCALE:-"-999."} +EOF + fi + + if [ $DO_SPPT = "YES" ]; then + cat >> input.nml << EOF + sppt = $SPPT + iseed_sppt = ${ISEED_SPPT:-$ISEED} + sppt_tau = ${SPPT_TAU:-"-999."} + sppt_lscale = ${SPPT_LSCALE:-"-999."} + sppt_logit = ${SPPT_LOGIT:-".true."} + sppt_sfclimit = ${SPPT_SFCLIMIT:-".true."} +EOF + fi + + cat >> input.nml << EOF + $nam_stochy_nml +/ +EOF + +else + + cat >> input.nml << EOF +&nam_stochy +/ +EOF + +fi + +#------------------------------------------------------------------ +# setup the runtime environment and run the executable +cd $DATA +$NCP $FCSTEXECDIR/$FCSTEXEC $DATA/. +export OMP_NUM_THREADS=$NTHREADS_FV3 +$APRUN_FV3 $DATA/$FCSTEXEC 1>&1 2>&2 +export ERR=$? +export err=$ERR +$ERRSCRIPT || exit $err + +#------------------------------------------------------------------ +if [ $SEND = "YES" ]; then + # Copy model output files + cd $DATA + if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then + $NCP ${CDUMP}.t${chh}z.atm*.nemsio $memdir/. + $NCP ${CDUMP}.t${chh}z.sfc*.nemsio $memdir/. + else + for n in $(seq 1 $ntiles); do + for file in *.tile${n}.nc; do + $NCP $file $memdir/. + done + done + fi + + # Copy model restart files + cd $DATA/RESTART + mkdir -p $memdir/RESTART + + # Add time-stamp to restart files at FHMAX (this should be done inside the model) + RDATE=$($NDATE +$FHMAX $CDATE) + rymd=$(echo $RDATE | cut -c1-8) + rhh=$(echo $RDATE | cut -c9-10) + for file in $(ls * | grep -v 0000); do + $NMV $file ${rymd}.${rhh}0000.$file + done + + # Only save restarts at single time in RESTART directory + # Either at FHMAX or at first time in restart_interval + if [ $restart_interval -eq 0 ]; then + RDATE=$($NDATE +$FHMAX $CDATE) + else + RDATE=$($NDATE +$restart_interval $CDATE) + fi + rymd=$(echo $RDATE | cut -c1-8) + rhh=$(echo $RDATE | cut -c9-10) + for file in ${rymd}.${rhh}0000.* ; do + $NCP $file $memdir/RESTART/$file + done + +fi + +#------------------------------------------------------------------ +# Clean up before leaving +if [ $KEEPDATA = "NO" ]; then rm -rf $DATA; fi + +#------------------------------------------------------------------ +set +x +if [ $VERBOSE = "YES" ] ; then + echo $(date) EXITING $0 with return code $err >&2 +fi +exit 0 From 898d39ce073bef29f53a02167bb37c60464b29b5 Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Tue, 7 Nov 2017 23:56:45 +0000 Subject: [PATCH 212/487] delete the obsolete setup_expt.py --- model/fv3gfs/setup_expt.py | 80 -------------------------------------- 1 file changed, 80 deletions(-) delete mode 100755 model/fv3gfs/setup_expt.py diff --git a/model/fv3gfs/setup_expt.py b/model/fv3gfs/setup_expt.py deleted file mode 100755 index 5de77f2..0000000 --- a/model/fv3gfs/setup_expt.py +++ /dev/null @@ -1,80 +0,0 @@ -#! /usr/bin/env python3 -f'This script requires python 3.6 or later' - -import os, sys, logging, glob -from create_comrot import create_COMROT - -try: - import crow.config -except ModuleNotFoundError: - there=os.path.abspath(os.path.join(os.path.dirname(__file__),'../..')) - sys.path.append(there) - import crow.config -from crow.config import Platform -import crow.metascheduler - -logging.basicConfig(stream=sys.stderr,level=logging.DEBUG, - format='%(module)s:%(lineno)d: %(levelname)8s: %(message)s') -logger=logging.getLogger('setup_expt') - -force=False -if len(sys.argv)>1 and sys.argv[1]=='--force': - force=True - sys.argv.pop(1) - -if len(sys.argv)<2: - logger.error('Format: setup_expt.py case.yaml') - exit(1) - -yamls = [ 'resources.yaml', 'platform.yaml', ] -yamls += sorted(list(glob.glob('validation/*'))) -yamls += [ 'places.yaml', 'settings.yaml', 'fv3_enkf_defaults.yaml' ] -yamls += sys.argv[1:] + ['runtime.yaml'] -yamls += sorted(list(glob.glob('actions/*'))) -yamls += ['workflow.yaml'] - -conf=crow.config.from_file(*yamls) - -logger.info('Remove platforms from configuration.') -for key in list(conf.keys()): - if isinstance(conf[key],Platform) and key!='platform': - del conf[key] - -EXPDIR=conf.places.EXPDIR -logger.info(f'Run directory: {EXPDIR}') -config_yaml=os.path.join(EXPDIR,'config.yaml') - -try: - os.makedirs(EXPDIR) -except FileExistsError: - logger.warning(f'{EXPDIR}: exists') - if not force: - logger.error(f'{EXPDIR}: already exists. Delete or use --force.') - sys.exit(1) - logger.warning(f'--force given; will replace config.yaml without ' - 'deleting directory') - -create_COMROT(conf) - -suite=crow.config.Suite(conf.workflow) -doc=crow.config.document_root(suite) - -expname=conf.case.experiment_name -logger.info(f'Experiment name: {expname}') - -logger.info(f'Generate suite definition') -rocoto_xml=crow.metascheduler.to_rocoto(suite) -logger.info(f'Prepare cached YAML') -yaml=crow.config.to_yaml(doc) - -logger.info(f'Write the config file: {config_yaml}') -with open(config_yaml,'wt') as fd: - fd.write(yaml) - -rocoto_xml_file=os.path.join(EXPDIR,f'{expname}.xml') -logger.info(f'Rocoto XML file: {rocoto_xml_file}') -with open(rocoto_xml_file,'wt') as fd: - fd.write(rocoto_xml) -logger.info('Workflow XML file is generated.') -logger.info('Use Rocoto to execute this workflow.') - From 748aeacf795ad95ebb518c284984b08156061da5 Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Tue, 7 Nov 2017 23:57:06 +0000 Subject: [PATCH 213/487] move the env scanner to a utils/ subdirectory --- model/fv3gfs/utils/scan-env-changes.pl | 72 ++++++++++++++++++++++++++ 1 file changed, 72 insertions(+) create mode 100755 model/fv3gfs/utils/scan-env-changes.pl diff --git a/model/fv3gfs/utils/scan-env-changes.pl b/model/fv3gfs/utils/scan-env-changes.pl new file mode 100755 index 0000000..9537870 --- /dev/null +++ b/model/fv3gfs/utils/scan-env-changes.pl @@ -0,0 +1,72 @@ +#! /usr/bin/env perl + +use strict; +use warnings; + +sub readit { + my $file=$_[0]; + open(CFG,"$file") or die "$file"; + my @lines=; + close(CFG); + my %vars; + foreach (@lines) { + chomp; + /^BASH_/ and next; + /^([A-Za-z][A-Za-z0-9_]+)=(.*)/ or next; + $vars{$1}=$2; + } + return %vars; +} + +sub diffmod { + my %before=%{$_[0]}; + my %after=%{$_[1]}; + my %before_env=%{$_[2]}; + my %after_env=%{$_[3]}; + + foreach my $name (sort {$a cmp $b} keys(%before)) { + if(!defined($after{$name})) { + print("unset $name\n"); + next; + } + + if(defined($before_env{$name}) && !defined($after_env{$name})) { + print("export -n $name\n"); + } + + if($before{$name} ne $after{$name}) { + if(defined($after_env{$name})) { + print("export $name=\"$after{$name}\"\n"); + } else { + print("$name=\"$after{$name}\" # shell-local\n"); + } + } elsif(!defined($before_env{$name}) && defined($after_env{$name})) { + print("export $name\n"); + } + } + + foreach my $name (sort {$a cmp $b} keys(%after)) { + if(!defined($before{$name})) { + if(defined($after_env{$name})) { + print("export $name=\"$after{$name}\"\n"); + } else { + print("$name=\"$after{$name}\" # shell-local\n"); + } + } + } +} + +######################################################################## + +my $pre=$ARGV[0]; + +print("# scan-env-changes.pl $pre\n"); + +my %before_set=readit("$pre\%set\%before-to-sh"); +my %after_set=readit("$pre\%set\%after-to-sh"); + +my %before_env=readit("$pre\%env\%before-to-sh"); +my %after_env=readit("$pre\%env\%after-to-sh"); + +print("# Variable changes:\n"); +diffmod(\%before_set,\%after_set,\%before_env,\%after_env) From c4469c8db220209abe03420149b080f3922d81e3 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 8 Nov 2017 02:43:16 +0000 Subject: [PATCH 214/487] yet more bug fixes --- model/fv3gfs/actions/eobs.yaml | 5 ++--- model/fv3gfs/actions/eomg.yaml | 11 ++++++++++- model/fv3gfs/actions/vrfy.yaml | 8 ++++++-- model/fv3gfs/jobs/vrfy.sh | 1 + model/fv3gfs/resources/resources.yaml | 14 +------------- model/fv3gfs/validation/vrfy.yaml | 5 +++-- 6 files changed, 23 insertions(+), 21 deletions(-) diff --git a/model/fv3gfs/actions/eobs.yaml b/model/fv3gfs/actions/eobs.yaml index 400f88c..10c1fa9 100644 --- a/model/fv3gfs/actions/eobs.yaml +++ b/model/fv3gfs/actions/eobs.yaml @@ -6,10 +6,9 @@ eobs: &eobs_action !Action CASE: !calc doc.fv3_gdas_settings.CASE walltime: !timedelta 00:15:00 resources: !calc run_eobs.resources - gsi_resources: !calc run_eobs_gsi.resources memory: !calc run_eobs.memory ANALYSISSH: !expand "{doc.places.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" - APRUN_GSI: !calc tools.command_without_exe(par,gsi_resources,'placeholder') + APRUN_GSI: !calc tools.command_without_exe(par,resources,'placeholder') shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*" ] @@ -24,7 +23,7 @@ eobs: &eobs_action !Action true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE', 'nst_anl', 'zhao_mic' ] NMEM_EOMGGRP: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - NTHREADS_GSI: !calc nodes.omp_threads_for(gsi_resources[0]) + NTHREADS_GSI: !calc nodes.omp_threads_for(resources[0]) NTHSTACK: 1024000000 CDUMP: gdas # GSI namelist options related to observer for EnKF diff --git a/model/fv3gfs/actions/eomg.yaml b/model/fv3gfs/actions/eomg.yaml index b805336..1645465 100644 --- a/model/fv3gfs/actions/eomg.yaml +++ b/model/fv3gfs/actions/eomg.yaml @@ -2,7 +2,7 @@ eomg: &eomg_action !Action <<: *action_base J_JOB: eomg ANALYSISSH: !expand "{doc.places.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" - APRUN_GSI: !calc tools.command_without_exe(par,run_gsi.resources,'placeholder') + APRUN_GSI: !calc tools.command_without_exe(par,run_eomg.resources,'placeholder') walltime: !timedelta 00:15:00 resources: !calc run_eomg.resources memory: !calc run_eomg.memory @@ -23,3 +23,12 @@ eomg: &eomg_action !Action ENSGRP: !expand "{GROUP_NUMBER:02d}" VERBOSE: YES WRITE_NEMSIOFILE: YES + APRUN_CALCINC: " " + + APRUN_CYCLE: !FirstTrue + - when: !calc doc.platform.name=="THEIA" + do: time + - when: !calc doc.platform.name=="WCOSS_C" + do: !expand "aprun -j 1 -n $ncmd -N 1 -d {NTHREADS_CYCLE} -cc depth" + - otherwise: !error "No APRUN_CALCINC for unknown platform {doc.platform.name}" + NTHREADS_CYCLE: 12 diff --git a/model/fv3gfs/actions/vrfy.yaml b/model/fv3gfs/actions/vrfy.yaml index ab85e8e..aecc795 100644 --- a/model/fv3gfs/actions/vrfy.yaml +++ b/model/fv3gfs/actions/vrfy.yaml @@ -15,7 +15,6 @@ vrfy_base: &vrfy_base !Action CDUMPFCST: "gdas" # Fit-to-obs with GDAS/GFS prepbufr GRIB1_WORKS: NO SMOOTH_ENKF: YES - VDUMP: "gfs" VRFYG2OBS: NO VRFYGENESIS: NO VRFYGMPK: NO @@ -26,11 +25,11 @@ vrfy_base: &vrfy_base !Action VRFYTRAK: NO VSDB_STEP1: YES VSDB_STEP2: NO - VRFYGENESIS: YES # Cyclone genesis VRFYGMPK: NO # Gempak verification WRITE_NEMSIOFILE: YES assim_freq: 6 nst_anl: YES + true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE', 'nst_anl', 'zhao_mic' ] vrfy_gfs_action: &vrfy_gfs_action !Action <<: *vrfy_base @@ -47,11 +46,15 @@ vrfy_gfs_action: &vrfy_gfs_action !Action BACKDATEVSDB: 24 VBACKUP_PRCP: 24 VSDBSH: !calc doc.places.VSDBSH + FHMIN: !calc doc.gfs_output_settings.FHMIN_GFS + FHMAX: !calc doc.gfs_output_settings.FHMIN_GFS + FHOUT: !calc doc.gfs_output_settings.FHMIN_GFS ftyplist: "pgbq" vhr_rain: 240 vlength: 240 vsdbhome: !calc doc.places.vsdbhome vsdbsave: !calc doc.places.vsdbsave + VDUMP: !calc CDUMP vrfy_gdas_action: &vrfy_gdas_action !Action <<: *vrfy_base @@ -65,3 +68,4 @@ vrfy_gdas_action: &vrfy_gdas_action !Action - [ doc.platform.mpi_tuning, ".*" ] - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + VDUMP: !calc CDUMP diff --git a/model/fv3gfs/jobs/vrfy.sh b/model/fv3gfs/jobs/vrfy.sh index a9d9b45..d44ed50 100755 --- a/model/fv3gfs/jobs/vrfy.sh +++ b/model/fv3gfs/jobs/vrfy.sh @@ -28,6 +28,7 @@ eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env impo eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH \ apply:LOGNAME=\"$LOGNAME\" from:shell_vars ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH bool:.true.,.false. from:true_false_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi diff --git a/model/fv3gfs/resources/resources.yaml b/model/fv3gfs/resources/resources.yaml index f154b3d..feed7cd 100644 --- a/model/fv3gfs/resources/resources.yaml +++ b/model/fv3gfs/resources/resources.yaml @@ -45,18 +45,6 @@ resource_defaults: &resource_defaults max_ppn: 6 OMP_NUM_THREADS: 4 - run_eobs_gsi: - env: { } - memory: !FirstTrue - - when: !calc doc.platform.name=='WCOSS_C' - do: "3072M" - - otherwise: "" - resources: !JobRequest - - exe: placeholder - mpi_ranks: !calc 12*6 - max_ppn: 6 - OMP_NUM_THREADS: 4 - run_eomg: env: { } memory: !FirstTrue @@ -65,7 +53,7 @@ resource_defaults: &resource_defaults - otherwise: "" resources: !JobRequest - exe: placeholder - mpi_ranks: !calc 6*24 + mpi_ranks: !calc 6*12 max_ppn: 6 OMP_NUM_THREADS: 2 diff --git a/model/fv3gfs/validation/vrfy.yaml b/model/fv3gfs/validation/vrfy.yaml index 80f7360..15b2751 100644 --- a/model/fv3gfs/validation/vrfy.yaml +++ b/model/fv3gfs/validation/vrfy.yaml @@ -169,10 +169,10 @@ VRFY_CDUMP_GFS_VARS: &VRFY_CDUMP_GFS_VARS !Template vsdbhome: type: string default: !calc BASE_VERIF - VSDBSH=: + VSDBSH: type: string default: !expand "{vsdbhome}/vsdbjob.sh" - vlength=: + vlength: type: int default: !calc FHMAX_GFS vhr_rain: @@ -304,6 +304,7 @@ vrfy_template: &vrfy_template !Template if_present: !FirstTrue - when: !calc ( CDUMP=="gfs" and ( VSDB_STEP1 or VRFYPRCP or VRFYG2OBS ) ) do: *VRFY_CDUMP_GFS_VARS + message: VRFY_CDUMP_GFS_VARS - otherwise: null NO_VRFYMINSH: From 5212e0e71e26122d5ef788a12a936fa18dc413fd Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Wed, 8 Nov 2017 02:45:03 +0000 Subject: [PATCH 215/487] move to exp/ subdirectory --- model/fv3gfs/{ => exp}/_main.yaml | 0 model/fv3gfs/{ => exp}/actions/_main.yaml | 0 model/fv3gfs/{ => exp}/actions/anal.yaml | 0 model/fv3gfs/{ => exp}/actions/arch.yaml | 0 model/fv3gfs/{ => exp}/actions/base.yaml | 0 model/fv3gfs/{ => exp}/actions/earc.yaml | 0 model/fv3gfs/{ => exp}/actions/ecen.yaml | 0 model/fv3gfs/{ => exp}/actions/efcs.yaml | 0 model/fv3gfs/{ => exp}/actions/eobs.yaml | 0 model/fv3gfs/{ => exp}/actions/eomg.yaml | 0 model/fv3gfs/{ => exp}/actions/epos.yaml | 0 model/fv3gfs/{ => exp}/actions/eupd.yaml | 0 model/fv3gfs/{ => exp}/actions/fcst.yaml | 0 model/fv3gfs/{ => exp}/actions/final.yaml | 0 model/fv3gfs/{ => exp}/actions/ics.yaml | 0 model/fv3gfs/{ => exp}/actions/post.yaml | 0 model/fv3gfs/{ => exp}/actions/prep.yaml | 0 model/fv3gfs/{ => exp}/actions/vrfy.yaml | 0 model/fv3gfs/{ => exp}/create_comrot.py | 0 model/fv3gfs/{ => exp}/platforms/_main.yaml | 0 model/fv3gfs/{ => exp}/platforms/choice.yaml | 0 .../theia.yaml => exp/platforms/jet.yaml} | 0 model/fv3gfs/exp/platforms/theia.yaml | 87 +++++++++++++++++++ .../{ => exp}/platforms/wcoss_cray.yaml | 0 .../fv3gfs/{ => exp}/resources/resources.yaml | 0 model/fv3gfs/{ => exp}/runtime/_main.yaml | 0 .../{ => exp}/runtime/cycled_workflow.yaml | 0 .../runtime/gfs_forecast_workflow.yaml | 0 model/fv3gfs/{ => exp}/runtime/rocoto.yaml | 0 model/fv3gfs/{ => exp}/runtime/runtime.yaml | 0 model/fv3gfs/{ => exp}/setup_case.py | 0 model/fv3gfs/{ => exp}/test_sections.py | 0 model/fv3gfs/{ => exp}/user.yaml.default | 0 model/fv3gfs/{ => exp}/validation/_main.yaml | 0 .../{ => exp}/validation/accounting.yaml | 0 model/fv3gfs/{ => exp}/validation/case.yaml | 0 model/fv3gfs/{ => exp}/validation/chgres.yaml | 0 .../validation/data_assimilation.yaml | 0 model/fv3gfs/{ => exp}/validation/fv3.yaml | 0 .../fv3gfs/{ => exp}/validation/obsproc.yaml | 0 model/fv3gfs/{ => exp}/validation/output.yaml | 0 model/fv3gfs/{ => exp}/validation/vrfy.yaml | 0 .../fv3gfs/{ => exp}/validation/workflow.yaml | 0 model/fv3gfs/scan-env-changes.pl | 72 --------------- 44 files changed, 87 insertions(+), 72 deletions(-) rename model/fv3gfs/{ => exp}/_main.yaml (100%) rename model/fv3gfs/{ => exp}/actions/_main.yaml (100%) rename model/fv3gfs/{ => exp}/actions/anal.yaml (100%) rename model/fv3gfs/{ => exp}/actions/arch.yaml (100%) rename model/fv3gfs/{ => exp}/actions/base.yaml (100%) rename model/fv3gfs/{ => exp}/actions/earc.yaml (100%) rename model/fv3gfs/{ => exp}/actions/ecen.yaml (100%) rename model/fv3gfs/{ => exp}/actions/efcs.yaml (100%) rename model/fv3gfs/{ => exp}/actions/eobs.yaml (100%) rename model/fv3gfs/{ => exp}/actions/eomg.yaml (100%) rename model/fv3gfs/{ => exp}/actions/epos.yaml (100%) rename model/fv3gfs/{ => exp}/actions/eupd.yaml (100%) rename model/fv3gfs/{ => exp}/actions/fcst.yaml (100%) rename model/fv3gfs/{ => exp}/actions/final.yaml (100%) rename model/fv3gfs/{ => exp}/actions/ics.yaml (100%) rename model/fv3gfs/{ => exp}/actions/post.yaml (100%) rename model/fv3gfs/{ => exp}/actions/prep.yaml (100%) rename model/fv3gfs/{ => exp}/actions/vrfy.yaml (100%) rename model/fv3gfs/{ => exp}/create_comrot.py (100%) rename model/fv3gfs/{ => exp}/platforms/_main.yaml (100%) rename model/fv3gfs/{ => exp}/platforms/choice.yaml (100%) rename model/fv3gfs/{platforms/theia.yaml => exp/platforms/jet.yaml} (100%) create mode 100644 model/fv3gfs/exp/platforms/theia.yaml rename model/fv3gfs/{ => exp}/platforms/wcoss_cray.yaml (100%) rename model/fv3gfs/{ => exp}/resources/resources.yaml (100%) rename model/fv3gfs/{ => exp}/runtime/_main.yaml (100%) rename model/fv3gfs/{ => exp}/runtime/cycled_workflow.yaml (100%) rename model/fv3gfs/{ => exp}/runtime/gfs_forecast_workflow.yaml (100%) rename model/fv3gfs/{ => exp}/runtime/rocoto.yaml (100%) rename model/fv3gfs/{ => exp}/runtime/runtime.yaml (100%) rename model/fv3gfs/{ => exp}/setup_case.py (100%) rename model/fv3gfs/{ => exp}/test_sections.py (100%) rename model/fv3gfs/{ => exp}/user.yaml.default (100%) rename model/fv3gfs/{ => exp}/validation/_main.yaml (100%) rename model/fv3gfs/{ => exp}/validation/accounting.yaml (100%) rename model/fv3gfs/{ => exp}/validation/case.yaml (100%) rename model/fv3gfs/{ => exp}/validation/chgres.yaml (100%) rename model/fv3gfs/{ => exp}/validation/data_assimilation.yaml (100%) rename model/fv3gfs/{ => exp}/validation/fv3.yaml (100%) rename model/fv3gfs/{ => exp}/validation/obsproc.yaml (100%) rename model/fv3gfs/{ => exp}/validation/output.yaml (100%) rename model/fv3gfs/{ => exp}/validation/vrfy.yaml (100%) rename model/fv3gfs/{ => exp}/validation/workflow.yaml (100%) delete mode 100755 model/fv3gfs/scan-env-changes.pl diff --git a/model/fv3gfs/_main.yaml b/model/fv3gfs/exp/_main.yaml similarity index 100% rename from model/fv3gfs/_main.yaml rename to model/fv3gfs/exp/_main.yaml diff --git a/model/fv3gfs/actions/_main.yaml b/model/fv3gfs/exp/actions/_main.yaml similarity index 100% rename from model/fv3gfs/actions/_main.yaml rename to model/fv3gfs/exp/actions/_main.yaml diff --git a/model/fv3gfs/actions/anal.yaml b/model/fv3gfs/exp/actions/anal.yaml similarity index 100% rename from model/fv3gfs/actions/anal.yaml rename to model/fv3gfs/exp/actions/anal.yaml diff --git a/model/fv3gfs/actions/arch.yaml b/model/fv3gfs/exp/actions/arch.yaml similarity index 100% rename from model/fv3gfs/actions/arch.yaml rename to model/fv3gfs/exp/actions/arch.yaml diff --git a/model/fv3gfs/actions/base.yaml b/model/fv3gfs/exp/actions/base.yaml similarity index 100% rename from model/fv3gfs/actions/base.yaml rename to model/fv3gfs/exp/actions/base.yaml diff --git a/model/fv3gfs/actions/earc.yaml b/model/fv3gfs/exp/actions/earc.yaml similarity index 100% rename from model/fv3gfs/actions/earc.yaml rename to model/fv3gfs/exp/actions/earc.yaml diff --git a/model/fv3gfs/actions/ecen.yaml b/model/fv3gfs/exp/actions/ecen.yaml similarity index 100% rename from model/fv3gfs/actions/ecen.yaml rename to model/fv3gfs/exp/actions/ecen.yaml diff --git a/model/fv3gfs/actions/efcs.yaml b/model/fv3gfs/exp/actions/efcs.yaml similarity index 100% rename from model/fv3gfs/actions/efcs.yaml rename to model/fv3gfs/exp/actions/efcs.yaml diff --git a/model/fv3gfs/actions/eobs.yaml b/model/fv3gfs/exp/actions/eobs.yaml similarity index 100% rename from model/fv3gfs/actions/eobs.yaml rename to model/fv3gfs/exp/actions/eobs.yaml diff --git a/model/fv3gfs/actions/eomg.yaml b/model/fv3gfs/exp/actions/eomg.yaml similarity index 100% rename from model/fv3gfs/actions/eomg.yaml rename to model/fv3gfs/exp/actions/eomg.yaml diff --git a/model/fv3gfs/actions/epos.yaml b/model/fv3gfs/exp/actions/epos.yaml similarity index 100% rename from model/fv3gfs/actions/epos.yaml rename to model/fv3gfs/exp/actions/epos.yaml diff --git a/model/fv3gfs/actions/eupd.yaml b/model/fv3gfs/exp/actions/eupd.yaml similarity index 100% rename from model/fv3gfs/actions/eupd.yaml rename to model/fv3gfs/exp/actions/eupd.yaml diff --git a/model/fv3gfs/actions/fcst.yaml b/model/fv3gfs/exp/actions/fcst.yaml similarity index 100% rename from model/fv3gfs/actions/fcst.yaml rename to model/fv3gfs/exp/actions/fcst.yaml diff --git a/model/fv3gfs/actions/final.yaml b/model/fv3gfs/exp/actions/final.yaml similarity index 100% rename from model/fv3gfs/actions/final.yaml rename to model/fv3gfs/exp/actions/final.yaml diff --git a/model/fv3gfs/actions/ics.yaml b/model/fv3gfs/exp/actions/ics.yaml similarity index 100% rename from model/fv3gfs/actions/ics.yaml rename to model/fv3gfs/exp/actions/ics.yaml diff --git a/model/fv3gfs/actions/post.yaml b/model/fv3gfs/exp/actions/post.yaml similarity index 100% rename from model/fv3gfs/actions/post.yaml rename to model/fv3gfs/exp/actions/post.yaml diff --git a/model/fv3gfs/actions/prep.yaml b/model/fv3gfs/exp/actions/prep.yaml similarity index 100% rename from model/fv3gfs/actions/prep.yaml rename to model/fv3gfs/exp/actions/prep.yaml diff --git a/model/fv3gfs/actions/vrfy.yaml b/model/fv3gfs/exp/actions/vrfy.yaml similarity index 100% rename from model/fv3gfs/actions/vrfy.yaml rename to model/fv3gfs/exp/actions/vrfy.yaml diff --git a/model/fv3gfs/create_comrot.py b/model/fv3gfs/exp/create_comrot.py similarity index 100% rename from model/fv3gfs/create_comrot.py rename to model/fv3gfs/exp/create_comrot.py diff --git a/model/fv3gfs/platforms/_main.yaml b/model/fv3gfs/exp/platforms/_main.yaml similarity index 100% rename from model/fv3gfs/platforms/_main.yaml rename to model/fv3gfs/exp/platforms/_main.yaml diff --git a/model/fv3gfs/platforms/choice.yaml b/model/fv3gfs/exp/platforms/choice.yaml similarity index 100% rename from model/fv3gfs/platforms/choice.yaml rename to model/fv3gfs/exp/platforms/choice.yaml diff --git a/model/fv3gfs/platforms/theia.yaml b/model/fv3gfs/exp/platforms/jet.yaml similarity index 100% rename from model/fv3gfs/platforms/theia.yaml rename to model/fv3gfs/exp/platforms/jet.yaml diff --git a/model/fv3gfs/exp/platforms/theia.yaml b/model/fv3gfs/exp/platforms/theia.yaml new file mode 100644 index 0000000..df5135a --- /dev/null +++ b/model/fv3gfs/exp/platforms/theia.yaml @@ -0,0 +1,87 @@ + +theia: &theia !Platform + <<: *resource_defaults + Evaluate: false + name: THEIA + detect: !calc tools.isdir("/scratch4") and tools.isdir("/scratch3") + + BASE_SVN: "/scratch4/NCEPDEV/global/save/glopara/svn" + + # Environment variables to set in all jobs + general_env: + POSTGRB2TBL: "/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" + NWPROD: "/scratch4/NCEPDEV/global/save/glopara/nwpara" + DMPDIR: "/scratch4/NCEPDEV/global/noscrub/dump" + RTMFIX: "/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" + NEMSIOGET: !expand "{doc.places.BASE_GSM}/exec/nemsio_get" + #NEMSIOGET: !expand "{NWPROD}/util/exec/nemsio_get" + NDATE: !expand "{NWPROD}/util/exec/ndate" + NHOUR: !expand "{NWPROD}/util/exec/nhour" + WGRIB: !expand "{NWPROD}/util/exec/wgrib" + WGRIB2: !expand "{NWPROD}/util/exec/wgrib2" + COPYGB: !expand "{NWPROD}/util/exec/copygb" + COPYGB2: !expand "{NWPROD}/util/exec/copygb2" + GRBINDEX: !expand "{NWPROD}/util/exec/grbindex" + GRB2INDEX: !expand "{NWPROD}/util/exec/grb2index" + GRBINDEX2: !expand "{NWPROD}/util/exec/grb2index" + CNVGRIB: "/apps/cnvgrib/1.4.0/bin/cnvgrib" + WGRIB: !expand "{NWPROD}/util/exec/wgrib" + WGRIB2: "/scratch3/NCEPDEV/nwprod/utils/wgrib2.v2.0.6c/wgrib2/wgrib2" + + prep_step: !expand "{NWPROD}/prod_util.v1.0.15/ush/prep_step" + + NCP: "/bin/cp -p" + NLN: "/bin/ln -sf" + NMV: "/bin/mv" + + CHGRP_CMD: chgrp rstprod + + default_cpu_project: fv3-cpu + + serial_accounting: + queue: debug + project: !calc doc.accounting.cpu_project + transfer_accounting: + queue: service + project: !calc doc.accounting.cpu_project + parallel_accounting: + queue: batch + project: !calc doc.accounting.cpu_project + + scheduler_settings: &theia_scheduler + name: MoabTorque + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + parallelism_settings: { <<: *theia_scheduler, name: HydraIMPI } + node_type_settings: { <<: *theia_scheduler, node_type: generic } + + mpi_tuning: + MPI_BUFS_PER_HOST: 2048 + MPI_BUFS_PER_PROC: 2048 + MPI_GROUP_MAX: 256 + MPI_MEMMAP_OFF: 1 + MP_STDOUTMODE: "ORDERED" + NTHSTACK: 1024000000 + OMP_STACKSIZE: 2048000 + + scheduler: !calc | + tools.get_scheduler(scheduler_settings.name, scheduler_settings) + parallelism: !calc | + tools.get_parallelism(parallelism_settings.name, parallelism_settings) + nodes: !calc | + tools.node_tool_for(node_type_settings.node_type, node_type_settings) + + # Path to pan_df, the program used to get Panasas disk usage information: + pan_df: pan_df + least_used_scrub: !Immediate + - !FirstMax + - do: /scratch3/NCEPDEV/stmp1 + when: !calc tools.panasas_gb(do) + - do: /scratch3/NCEPDEV/stmp2 + when: !calc tools.panasas_gb(do) + - do: /scratch4/NCEPDEV/stmp3 + when: !calc tools.panasas_gb(do) + - do: /scratch4/NCEPDEV/stmp4 + when: !calc tools.panasas_gb(do) diff --git a/model/fv3gfs/platforms/wcoss_cray.yaml b/model/fv3gfs/exp/platforms/wcoss_cray.yaml similarity index 100% rename from model/fv3gfs/platforms/wcoss_cray.yaml rename to model/fv3gfs/exp/platforms/wcoss_cray.yaml diff --git a/model/fv3gfs/resources/resources.yaml b/model/fv3gfs/exp/resources/resources.yaml similarity index 100% rename from model/fv3gfs/resources/resources.yaml rename to model/fv3gfs/exp/resources/resources.yaml diff --git a/model/fv3gfs/runtime/_main.yaml b/model/fv3gfs/exp/runtime/_main.yaml similarity index 100% rename from model/fv3gfs/runtime/_main.yaml rename to model/fv3gfs/exp/runtime/_main.yaml diff --git a/model/fv3gfs/runtime/cycled_workflow.yaml b/model/fv3gfs/exp/runtime/cycled_workflow.yaml similarity index 100% rename from model/fv3gfs/runtime/cycled_workflow.yaml rename to model/fv3gfs/exp/runtime/cycled_workflow.yaml diff --git a/model/fv3gfs/runtime/gfs_forecast_workflow.yaml b/model/fv3gfs/exp/runtime/gfs_forecast_workflow.yaml similarity index 100% rename from model/fv3gfs/runtime/gfs_forecast_workflow.yaml rename to model/fv3gfs/exp/runtime/gfs_forecast_workflow.yaml diff --git a/model/fv3gfs/runtime/rocoto.yaml b/model/fv3gfs/exp/runtime/rocoto.yaml similarity index 100% rename from model/fv3gfs/runtime/rocoto.yaml rename to model/fv3gfs/exp/runtime/rocoto.yaml diff --git a/model/fv3gfs/runtime/runtime.yaml b/model/fv3gfs/exp/runtime/runtime.yaml similarity index 100% rename from model/fv3gfs/runtime/runtime.yaml rename to model/fv3gfs/exp/runtime/runtime.yaml diff --git a/model/fv3gfs/setup_case.py b/model/fv3gfs/exp/setup_case.py similarity index 100% rename from model/fv3gfs/setup_case.py rename to model/fv3gfs/exp/setup_case.py diff --git a/model/fv3gfs/test_sections.py b/model/fv3gfs/exp/test_sections.py similarity index 100% rename from model/fv3gfs/test_sections.py rename to model/fv3gfs/exp/test_sections.py diff --git a/model/fv3gfs/user.yaml.default b/model/fv3gfs/exp/user.yaml.default similarity index 100% rename from model/fv3gfs/user.yaml.default rename to model/fv3gfs/exp/user.yaml.default diff --git a/model/fv3gfs/validation/_main.yaml b/model/fv3gfs/exp/validation/_main.yaml similarity index 100% rename from model/fv3gfs/validation/_main.yaml rename to model/fv3gfs/exp/validation/_main.yaml diff --git a/model/fv3gfs/validation/accounting.yaml b/model/fv3gfs/exp/validation/accounting.yaml similarity index 100% rename from model/fv3gfs/validation/accounting.yaml rename to model/fv3gfs/exp/validation/accounting.yaml diff --git a/model/fv3gfs/validation/case.yaml b/model/fv3gfs/exp/validation/case.yaml similarity index 100% rename from model/fv3gfs/validation/case.yaml rename to model/fv3gfs/exp/validation/case.yaml diff --git a/model/fv3gfs/validation/chgres.yaml b/model/fv3gfs/exp/validation/chgres.yaml similarity index 100% rename from model/fv3gfs/validation/chgres.yaml rename to model/fv3gfs/exp/validation/chgres.yaml diff --git a/model/fv3gfs/validation/data_assimilation.yaml b/model/fv3gfs/exp/validation/data_assimilation.yaml similarity index 100% rename from model/fv3gfs/validation/data_assimilation.yaml rename to model/fv3gfs/exp/validation/data_assimilation.yaml diff --git a/model/fv3gfs/validation/fv3.yaml b/model/fv3gfs/exp/validation/fv3.yaml similarity index 100% rename from model/fv3gfs/validation/fv3.yaml rename to model/fv3gfs/exp/validation/fv3.yaml diff --git a/model/fv3gfs/validation/obsproc.yaml b/model/fv3gfs/exp/validation/obsproc.yaml similarity index 100% rename from model/fv3gfs/validation/obsproc.yaml rename to model/fv3gfs/exp/validation/obsproc.yaml diff --git a/model/fv3gfs/validation/output.yaml b/model/fv3gfs/exp/validation/output.yaml similarity index 100% rename from model/fv3gfs/validation/output.yaml rename to model/fv3gfs/exp/validation/output.yaml diff --git a/model/fv3gfs/validation/vrfy.yaml b/model/fv3gfs/exp/validation/vrfy.yaml similarity index 100% rename from model/fv3gfs/validation/vrfy.yaml rename to model/fv3gfs/exp/validation/vrfy.yaml diff --git a/model/fv3gfs/validation/workflow.yaml b/model/fv3gfs/exp/validation/workflow.yaml similarity index 100% rename from model/fv3gfs/validation/workflow.yaml rename to model/fv3gfs/exp/validation/workflow.yaml diff --git a/model/fv3gfs/scan-env-changes.pl b/model/fv3gfs/scan-env-changes.pl deleted file mode 100755 index 9537870..0000000 --- a/model/fv3gfs/scan-env-changes.pl +++ /dev/null @@ -1,72 +0,0 @@ -#! /usr/bin/env perl - -use strict; -use warnings; - -sub readit { - my $file=$_[0]; - open(CFG,"$file") or die "$file"; - my @lines=; - close(CFG); - my %vars; - foreach (@lines) { - chomp; - /^BASH_/ and next; - /^([A-Za-z][A-Za-z0-9_]+)=(.*)/ or next; - $vars{$1}=$2; - } - return %vars; -} - -sub diffmod { - my %before=%{$_[0]}; - my %after=%{$_[1]}; - my %before_env=%{$_[2]}; - my %after_env=%{$_[3]}; - - foreach my $name (sort {$a cmp $b} keys(%before)) { - if(!defined($after{$name})) { - print("unset $name\n"); - next; - } - - if(defined($before_env{$name}) && !defined($after_env{$name})) { - print("export -n $name\n"); - } - - if($before{$name} ne $after{$name}) { - if(defined($after_env{$name})) { - print("export $name=\"$after{$name}\"\n"); - } else { - print("$name=\"$after{$name}\" # shell-local\n"); - } - } elsif(!defined($before_env{$name}) && defined($after_env{$name})) { - print("export $name\n"); - } - } - - foreach my $name (sort {$a cmp $b} keys(%after)) { - if(!defined($before{$name})) { - if(defined($after_env{$name})) { - print("export $name=\"$after{$name}\"\n"); - } else { - print("$name=\"$after{$name}\" # shell-local\n"); - } - } - } -} - -######################################################################## - -my $pre=$ARGV[0]; - -print("# scan-env-changes.pl $pre\n"); - -my %before_set=readit("$pre\%set\%before-to-sh"); -my %after_set=readit("$pre\%set\%after-to-sh"); - -my %before_env=readit("$pre\%env\%before-to-sh"); -my %after_env=readit("$pre\%env\%after-to-sh"); - -print("# Variable changes:\n"); -diffmod(\%before_set,\%after_set,\%before_env,\%after_env) From b38da8f43eda6d9cbbdfaae5f3320787de54c6da Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 8 Nov 2017 07:21:24 +0000 Subject: [PATCH 216/487] Switch from Harvey case to Cindy case, get getics working --- model/fv3gfs/exp/actions/ics.yaml | 9 +++- .../cases/Cindy_GFS@C384.yaml} | 6 +-- .../cases/FV3_GFS@C384_ENKF@C192-20MEM.yaml | 4 +- .../{ => exp}/cases/technical-test.yaml | 0 model/fv3gfs/{ => exp}/defaults/fv3_enkf.yaml | 0 model/fv3gfs/{ => exp}/defaults/no.yaml | 4 +- model/fv3gfs/{ => exp}/defaults/places.yaml | 1 + model/fv3gfs/{ => exp}/defaults/settings.yaml | 0 model/fv3gfs/exp/platforms/jet.yaml | 42 +++---------------- .../exp/runtime/gfs_forecast_workflow.yaml | 10 +++-- model/fv3gfs/exp/setup_case.py | 6 ++- model/fv3gfs/exp/validation/case.yaml | 18 +------- model/fv3gfs/exp/validation/workflow.yaml | 24 +++++++++-- model/fv3gfs/jobs/fv3ic.sh | 1 - model/fv3gfs/jobs/getic.sh | 9 ++-- 15 files changed, 59 insertions(+), 75 deletions(-) rename model/fv3gfs/{cases/Harvey_GFS@C384.yaml => exp/cases/Cindy_GFS@C384.yaml} (88%) rename model/fv3gfs/{ => exp}/cases/FV3_GFS@C384_ENKF@C192-20MEM.yaml (92%) rename model/fv3gfs/{ => exp}/cases/technical-test.yaml (100%) rename model/fv3gfs/{ => exp}/defaults/fv3_enkf.yaml (100%) rename model/fv3gfs/{ => exp}/defaults/no.yaml (75%) rename model/fv3gfs/{ => exp}/defaults/places.yaml (99%) rename model/fv3gfs/{ => exp}/defaults/settings.yaml (100%) diff --git a/model/fv3gfs/exp/actions/ics.yaml b/model/fv3gfs/exp/actions/ics.yaml index 1888672..e55057d 100644 --- a/model/fv3gfs/exp/actions/ics.yaml +++ b/model/fv3gfs/exp/actions/ics.yaml @@ -1,18 +1,23 @@ -fv3ic: &fv3ic_action !Action +fv3ics: &fv3ics_action !Action <<: *action_base J_JOB: fv3ic walltime: !timedelta 00:30:00 resources: !calc run_fv3ic.resources memory: !calc run_fv3ic.memory + accounting: !calc doc.platform.transfer_accounting -getic: &getic_action !Action +getics: &getics_action !Action <<: *action_base J_JOB: getic walltime: !timedelta 06:00:00 resources: !calc run_arch.resources memory: !calc run_arch.memory + accounting: !calc doc.platform.transfer_accounting ics_from: !calc doc.case.ics_from + parexp: !calc doc.case.parexp + HPSS_PAR_PATH: !calc doc.case.HPSS_PAR_PATH + ICSDIR: !calc doc.places.ICSDIR # Variables to import in shell: shell_vars: [ "[A-Z][A-Z0-9_]*$", "ics_from", "parexp", "HPSS_PAR_PATH" ] diff --git a/model/fv3gfs/cases/Harvey_GFS@C384.yaml b/model/fv3gfs/exp/cases/Cindy_GFS@C384.yaml similarity index 88% rename from model/fv3gfs/cases/Harvey_GFS@C384.yaml rename to model/fv3gfs/exp/cases/Cindy_GFS@C384.yaml index 82f8b45..216cd9b 100644 --- a/model/fv3gfs/cases/Harvey_GFS@C384.yaml +++ b/model/fv3gfs/exp/cases/Cindy_GFS@C384.yaml @@ -35,9 +35,9 @@ prepbufr: &prepbufr ######################################################################## case: - Template: [ *case_template, *known_workflows ] - SDATE: 2017-08-17t18:00:00 - EDATE: 2017-08-31t00:00:00 + Template: !Template { <<: [ *case_template, *known_workflows ] } + SDATE: 2017-06-19t18:00:00 + EDATE: 2017-06-22t12:00:00 workflow: gfs_forecast_workflow diff --git a/model/fv3gfs/cases/FV3_GFS@C384_ENKF@C192-20MEM.yaml b/model/fv3gfs/exp/cases/FV3_GFS@C384_ENKF@C192-20MEM.yaml similarity index 92% rename from model/fv3gfs/cases/FV3_GFS@C384_ENKF@C192-20MEM.yaml rename to model/fv3gfs/exp/cases/FV3_GFS@C384_ENKF@C192-20MEM.yaml index 977f4fb..26f28f7 100644 --- a/model/fv3gfs/cases/FV3_GFS@C384_ENKF@C192-20MEM.yaml +++ b/model/fv3gfs/exp/cases/FV3_GFS@C384_ENKF@C192-20MEM.yaml @@ -51,9 +51,7 @@ prepbufr: &prepbufr ######################################################################## case: - Template: [ *case_template, *known_workflows ] - # User-defined experiment name; should be a-z followed by alphanumeric: - experiment_name: fv3gfs2 #Formerly known as PSLOT + Template: !Template { <<: [ *case_template, *known_workflows ] } SDATE: 2017-07-31t18:00:00 EDATE: 2017-08-05t00:00:00 diff --git a/model/fv3gfs/cases/technical-test.yaml b/model/fv3gfs/exp/cases/technical-test.yaml similarity index 100% rename from model/fv3gfs/cases/technical-test.yaml rename to model/fv3gfs/exp/cases/technical-test.yaml diff --git a/model/fv3gfs/defaults/fv3_enkf.yaml b/model/fv3gfs/exp/defaults/fv3_enkf.yaml similarity index 100% rename from model/fv3gfs/defaults/fv3_enkf.yaml rename to model/fv3gfs/exp/defaults/fv3_enkf.yaml diff --git a/model/fv3gfs/defaults/no.yaml b/model/fv3gfs/exp/defaults/no.yaml similarity index 75% rename from model/fv3gfs/defaults/no.yaml rename to model/fv3gfs/exp/defaults/no.yaml index 99bbe37..8c8b797 100644 --- a/model/fv3gfs/defaults/no.yaml +++ b/model/fv3gfs/exp/defaults/no.yaml @@ -8,6 +8,6 @@ no_gdas: &no_gdas CASE: !calc doc.fv3_gfs_settings.CASE LEVS: !calc doc.fv3_gfs_settings.LEVS -no_data_assimilation: &data_assimilation {} +no_data_assimilation: &no_data_assimilation {} -no_prepbufr: &no_prepbufr \ No newline at end of file +no_prepbufr: &no_prepbufr {} diff --git a/model/fv3gfs/defaults/places.yaml b/model/fv3gfs/exp/defaults/places.yaml similarity index 99% rename from model/fv3gfs/defaults/places.yaml rename to model/fv3gfs/exp/defaults/places.yaml index 06e7d04..9a8dd70 100644 --- a/model/fv3gfs/defaults/places.yaml +++ b/model/fv3gfs/exp/defaults/places.yaml @@ -24,6 +24,7 @@ default_places: &default_places # User paths EXPDIR: !expand "{HOMEDIR}/{doc.case.experiment_name}" ROTDIR: !expand "{PTMP}/{doc.case.experiment_name}" + ICSDIR: !expand "{ROTDIR}/FV3ICS" RUNDIR: !expand "{STMP}/RUNDIRS/{doc.case.experiment_name}" ARCDIR: !expand "{NOSCRUB}/archive/{doc.case.experiment_name}" ATARDIR: !expand "/NCEPDEV/{doc.accounting.hpss_project}/1year/{tools.env('USER')}/{doc.platform.name}/scratch/{doc.case.experiment_name}" diff --git a/model/fv3gfs/defaults/settings.yaml b/model/fv3gfs/exp/defaults/settings.yaml similarity index 100% rename from model/fv3gfs/defaults/settings.yaml rename to model/fv3gfs/exp/defaults/settings.yaml diff --git a/model/fv3gfs/exp/platforms/jet.yaml b/model/fv3gfs/exp/platforms/jet.yaml index df5135a..69256da 100644 --- a/model/fv3gfs/exp/platforms/jet.yaml +++ b/model/fv3gfs/exp/platforms/jet.yaml @@ -1,35 +1,15 @@ -theia: &theia !Platform +jet: &jet !Platform <<: *resource_defaults Evaluate: false - name: THEIA + name: JET detect: !calc tools.isdir("/scratch4") and tools.isdir("/scratch3") - BASE_SVN: "/scratch4/NCEPDEV/global/save/glopara/svn" + BASE_SVN: "/dev/null" # Environment variables to set in all jobs general_env: - POSTGRB2TBL: "/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" - NWPROD: "/scratch4/NCEPDEV/global/save/glopara/nwpara" - DMPDIR: "/scratch4/NCEPDEV/global/noscrub/dump" - RTMFIX: "/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" - NEMSIOGET: !expand "{doc.places.BASE_GSM}/exec/nemsio_get" - #NEMSIOGET: !expand "{NWPROD}/util/exec/nemsio_get" - NDATE: !expand "{NWPROD}/util/exec/ndate" - NHOUR: !expand "{NWPROD}/util/exec/nhour" - WGRIB: !expand "{NWPROD}/util/exec/wgrib" - WGRIB2: !expand "{NWPROD}/util/exec/wgrib2" - COPYGB: !expand "{NWPROD}/util/exec/copygb" - COPYGB2: !expand "{NWPROD}/util/exec/copygb2" - GRBINDEX: !expand "{NWPROD}/util/exec/grbindex" - GRB2INDEX: !expand "{NWPROD}/util/exec/grb2index" - GRBINDEX2: !expand "{NWPROD}/util/exec/grb2index" - CNVGRIB: "/apps/cnvgrib/1.4.0/bin/cnvgrib" - WGRIB: !expand "{NWPROD}/util/exec/wgrib" - WGRIB2: "/scratch3/NCEPDEV/nwprod/utils/wgrib2.v2.0.6c/wgrib2/wgrib2" - - prep_step: !expand "{NWPROD}/prod_util.v1.0.15/ush/prep_step" - + # FIXME: INSERT TEXT HERE NCP: "/bin/cp -p" NLN: "/bin/ln -sf" NMV: "/bin/mv" @@ -48,7 +28,7 @@ theia: &theia !Platform queue: batch project: !calc doc.accounting.cpu_project - scheduler_settings: &theia_scheduler + scheduler_settings: &jet_scheduler name: MoabTorque physical_cores_per_node: 24 logical_cpus_per_core: 2 @@ -74,14 +54,4 @@ theia: &theia !Platform tools.node_tool_for(node_type_settings.node_type, node_type_settings) # Path to pan_df, the program used to get Panasas disk usage information: - pan_df: pan_df - least_used_scrub: !Immediate - - !FirstMax - - do: /scratch3/NCEPDEV/stmp1 - when: !calc tools.panasas_gb(do) - - do: /scratch3/NCEPDEV/stmp2 - when: !calc tools.panasas_gb(do) - - do: /scratch4/NCEPDEV/stmp3 - when: !calc tools.panasas_gb(do) - - do: /scratch4/NCEPDEV/stmp4 - when: !calc tools.panasas_gb(do) + least_used_scrub: !error You must specify a scrub area when running on jet. diff --git a/model/fv3gfs/exp/runtime/gfs_forecast_workflow.yaml b/model/fv3gfs/exp/runtime/gfs_forecast_workflow.yaml index 2c65753..c8091e0 100644 --- a/model/fv3gfs/exp/runtime/gfs_forecast_workflow.yaml +++ b/model/fv3gfs/exp/runtime/gfs_forecast_workflow.yaml @@ -1,15 +1,19 @@ -cycled_workflow: !Cycle +gfs_forecast_workflow: !Cycle Rocoto: *Rocoto Clock: *clock ics: !Family getics: !Task - Perform: *getics_action + Perform: + <<: *getics_action + CDUMP: gfs Rocoto: *task_template fv3ics: !Task - Perform: *getics_action + Perform: + <<: *fv3ics_action + CDUMP: gfs Rocoto: *task_template Trigger: !Depend getics diff --git a/model/fv3gfs/exp/setup_case.py b/model/fv3gfs/exp/setup_case.py index f66fdfb..135b175 100755 --- a/model/fv3gfs/exp/setup_case.py +++ b/model/fv3gfs/exp/setup_case.py @@ -5,7 +5,7 @@ from collections.abc import Sequence sys.path.append(os.path.abspath(os.path.join( - os.path.dirname(__file__),'../..'))) + os.path.dirname(__file__),'../../..'))) from create_comrot import create_COMROT import crow.config, crow.metascheduler @@ -98,6 +98,7 @@ def main(): logger.info(f"read case {case}") conf=read_contents(case) conf.experiment_name=experiment_name + crow.config.validate(conf.case) logger.info("Remove platforms from configuration.") for key in list(conf.keys()): if isinstance(conf[key],Platform) and key!='platform': @@ -117,7 +118,8 @@ def main(): logger.warning(f'--force given; will replace config.yaml without ' 'deleting directory') - create_COMROT(conf) + if 'IC_CDUMP' in conf.case and 'IC_DIR' in conf.case: + create_COMROT(conf) chosen_workflow=conf.case.workflow conf.workflow=conf[chosen_workflow] diff --git a/model/fv3gfs/exp/validation/case.yaml b/model/fv3gfs/exp/validation/case.yaml index 7f74a6e..6bea6e5 100644 --- a/model/fv3gfs/exp/validation/case.yaml +++ b/model/fv3gfs/exp/validation/case.yaml @@ -1,4 +1,4 @@ -case_template: &case_template +case_template: !Template &case_template experiment_name: type: string description: user-defined experiment name @@ -34,7 +34,7 @@ case_template: &case_template master_grid: type: string default: "0p25deg" - allowed: [ "1deg" "0p5deg" "0p25deg" "0p125deg" ] + allowed: [ "1deg", "0p5deg", "0p25deg", "0p125deg" ] description: Original grid of the post DO_RELOCATE: @@ -55,17 +55,3 @@ case_template: &case_template User-defined experiment name; should be a-z followed by alphanumeric. Formerly known as PSLOT. - ics_from: - type: string - allowed: [ opsgfs, pargfs ] - default: opsgfs - if_present: !Template - parexp: - default: prnemsrn - type: string - description: Name of a GFS parallel experiment to pull from tape - HPSS_PAR_PATH: - default: !expand "/5year/NCEPDEV/emc-global/emc.glopara/WCOSS_C/{parexp}" - type: string - description: Path to HPSS tapes with GFS parallel data - diff --git a/model/fv3gfs/exp/validation/workflow.yaml b/model/fv3gfs/exp/validation/workflow.yaml index a1c3278..033dea2 100644 --- a/model/fv3gfs/exp/validation/workflow.yaml +++ b/model/fv3gfs/exp/validation/workflow.yaml @@ -1,10 +1,10 @@ known_workflows: &known_workflows workflow: - type: str - allowed: [ cycled_workflow ] + type: string + allowed: [ cycled_workflow, gfs_forecast_workflow ] if_present: !FirstTrue - - when: workflow=="cycled_workflow" - do: + - when: !calc workflow=="cycled_workflow" + do: !Template IC_CDUMP: type: string allowed: [ gdas, gfs ] @@ -13,3 +13,19 @@ known_workflows: &known_workflows IC_DIR: type: string description: Disk location of initial conditions for cycled workflow + - when: !calc workflow=="gfs_forecast_workflow" + do: !Template + ics_from: + type: string + allowed: [ opsgfs, pargfs ] + default: opsgfs + if_present: !Template + parexp: + default: prnemsrn + type: string + description: Name of a GFS parallel experiment to pull from tape + HPSS_PAR_PATH: + default: !expand "/5year/NCEPDEV/emc-global/emc.glopara/WCOSS_C/{parexp}" + type: string + description: Path to HPSS tapes with GFS parallel data + - otherwise: null diff --git a/model/fv3gfs/jobs/fv3ic.sh b/model/fv3gfs/jobs/fv3ic.sh index f8750e6..1016a80 100755 --- a/model/fv3gfs/jobs/fv3ic.sh +++ b/model/fv3gfs/jobs/fv3ic.sh @@ -24,7 +24,6 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME diff --git a/model/fv3gfs/jobs/getic.sh b/model/fv3gfs/jobs/getic.sh index 7da24a3..5d5422e 100755 --- a/model/fv3gfs/jobs/getic.sh +++ b/model/fv3gfs/jobs/getic.sh @@ -21,7 +21,6 @@ set -ex JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME @@ -117,7 +116,9 @@ if [ $ics_from = "opsgfs" ]; then # Move the files to legacy EMC filenames for i in `seq 1 $nfanal`; do - $NMV ${fanal[i]} ${ftanal[i]} + if [[ "${fanal[i]}" != "${ftanal[i]}" ]] ; then + $NMV ${fanal[i]} ${ftanal[i]} + fi done fi @@ -158,7 +159,9 @@ elif [ $ics_from = "pargfs" ]; then # Move the files to legacy EMC filenames for i in `seq 1 $nfanal`; do - $NMV ${fanal[i]} ${ftanal[i]} + if [[ "${fanal[i]}" != "${ftanal[i]}" ]] ; then + $NMV ${fanal[i]} ${ftanal[i]} + fi done # If found, exit out From cd0f5690b58475b7e171d274da0368a1343c0fad Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Wed, 8 Nov 2017 15:48:39 +0000 Subject: [PATCH 217/487] addding out of control (not on cm) for post vry dump ect .. --- .../theia/obsproc/exglobal_dump.sh.ecf | 1732 ++++++++++ .../obsproc/exglobal_makeprepbufr.sh.ecf | 578 ++++ .../theia/obsproc/getges.sh | 1385 ++++++++ .../theia/obsproc/getges_sig.sh | 3000 +++++++++++++++++ .../theia/obsproc/prepobs_cqcbufr.sh | 127 + .../theia/obsproc/prepobs_cqcvad.sh | 101 + .../theia/obsproc/prepobs_makeprepbufr.sh | 2466 ++++++++++++++ .../theia/obsproc/prepobs_oiqcbufr.sh | 152 + .../theia/obsproc/prepobs_prepacqc.sh | 211 ++ .../theia/obsproc/prepobs_prevents.sh | 118 + .../theia/obsproc/prepobs_profcqc.sh | 97 + .../theia/obsproc/prepobs_syndata.sh | 209 ++ .../theia/post/global_nceppost.sh | 501 +++ .../theia/post/global_nceppost.txt | 2 + .../outofcontrol_scripts/theia/prep/getges.sh | 1385 ++++++++ .../theia/prep/getges.txt | 1 + .../theia/prep/prepobs_makeprepbufr.sh | 2466 ++++++++++++++ .../theia/prep/prepobs_makeprepbufr.txt | 1 + 18 files changed, 14532 insertions(+) create mode 100755 model/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_dump.sh.ecf create mode 100755 model/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_makeprepbufr.sh.ecf create mode 100755 model/fv3gfs/outofcontrol_scripts/theia/obsproc/getges.sh create mode 100755 model/fv3gfs/outofcontrol_scripts/theia/obsproc/getges_sig.sh create mode 100755 model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcbufr.sh create mode 100755 model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcvad.sh create mode 100755 model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_makeprepbufr.sh create mode 100755 model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_oiqcbufr.sh create mode 100755 model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prepacqc.sh create mode 100755 model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prevents.sh create mode 100755 model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_profcqc.sh create mode 100755 model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_syndata.sh create mode 100755 model/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.sh create mode 100644 model/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.txt create mode 100755 model/fv3gfs/outofcontrol_scripts/theia/prep/getges.sh create mode 100644 model/fv3gfs/outofcontrol_scripts/theia/prep/getges.txt create mode 100755 model/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.sh create mode 100644 model/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.txt diff --git a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_dump.sh.ecf b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_dump.sh.ecf new file mode 100755 index 0000000..085b631 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_dump.sh.ecf @@ -0,0 +1,1732 @@ +#!/bin/ksh +############################################################################# +echo "----------------------------------------------------------------------" +echo "exglobal_dump.sh.ecf - Global (GDAS, GFS) network data dump processing" +echo "----------------------------------------------------------------------" +echo "History: Jan 18 2000 - Original script. " +echo " May 16 2007 - Added DBNet alerts for GFS products. " +echo " Apr 2014 - Pick up grib files for planned GFS upgrade. " +echo " Oct 2014 - Remove attempts to dump obsolete sources. " +echo " Nov 2014 - Use parallel scripting to process dump groups. " +echo " Widen hourly satwnd dump window for GFS/GDAS. " +echo " Add new satwnd subtypes for GFS & GDAS. " +echo " GFS/GDAS continue if surface file unavailable. " +echo " Remove DBNet alerts for old surface files. " +echo " Dec 3 2014 - CDAS network, split off into its own script " +echo " excdas_dump.sh.ecf. This script now tailored " +echo " exclusively to GDAS and GFS. " +echo " Feb 2 2015 - Dump window for new satwnd type NC005090 set " +echo " to 3.00 to +2.99 hours about center dump time. " +echo " Removed ADD_satwnd=\"005019 005080\" since " +echo " types are now part of "satwnd" dump group " +echo " mnemonic in bufr_dumplist. " +echo " Aug 22 2016 - GSPIPW dump window reset for new data stream " +echo " (moved to dump group #4 where TIME_TRIM=on) " +echo " Jan 5 2017 - Dump new satellite data types. Reordered to " +echo " improve run time with all the new data. " +echo " Feb 8 2017 - Update to run on Cray-XC40 or IBM iDataPlex " +############################################################################# + +# NOTE: NET is changed to gdas in the parent Job script for the gdas RUN +# (was gfs - NET remains gfs for gfs RUN) +# ----------------------------------------------------------------------- + +set -xau + +# function to highlight an echoed msg with surrounding hashed separator lines. + echo_hashed_msg () { + set +x + msg=$* + echo -e "\n ${msg//?/#}" + echo " ${msg}" + echo -e " ${msg//?/#}\n" + set -x + } +# end of function setup +# +# set some variables if they have not already been set + +set +u + +# JOB_NUMBER = 1 indicates the prepbufr dump job. +# JOB_NUMBER = 2 indicates the non-prepbufr dump job. +# JOB_NUMBER not present indicates dump BOTH prepbufr and non-prepbufr data. +# ----------------------------------------------------------------------------- +# Dump group #1 (non-pb, TIME_TRIM defaults to OFF) = +# avcsam eshrs3 ssmisu cris saphir atms 1bhrs4 sevcsr tesac mls +# esatms +# +# Dump group #2 (pb, TIME_TRIM defaults to OFF) = +# sfcshp atovs* adpsfc ascatt +# * - for GDAS only +# +# Dump group #3 (pb, TIME_TRIM defaults to OFF) = +# adpupa +# +# Dump group #4 (pb, TIME_TRIM defaults to ON) = +# aircar aircft proflr vadwnd rassda gpsipw +# +# Dump group #5 (pb, TIME_TRIM defaults to OFF) = +# msonet +# +# Dump group #6 (non-pb, TIME_TRIM defaults to OFF) = +# nexrad +# +# Dump group #7 (non-pb, TIME_TRIM defaults to OFF) = +# avcspm esmhs goesfv 1bmhs airsev atmsdb gome omi trkob gpsro +# escris +# +# Dump group #8 (pb, TIME_TRIM defaults to ON) = +# satwnd +# +# Dump group #9 (non-pb, TIME_TRIM defaults to ON) = +# geoimr +# +# Dump group #10 (non-pb, TIME_TRIM defaults to OFF) = +# esiasi mtiasi esamua crisdb iasidb sevasr 1bamua bathy osbuv8 +# +# Dump group #11 (non-pb, TIME_TRIM defaults to OFF) = +# amsr2 +# +# Dump group #12 STATUS FILE +# ----------------------------------------------------------------------------- + +#VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV +# The settings below are based on a future change when the DUMP job will dump +# only types that go into PREPBUFR and the DUMP2 job will dump only types that +# do not go into PREPBUFR. This will speed up the DUMP + PREP processing. +# Although the logic is in place to now do this (see below), for now we will +# continue to run only a DUMP job which will dump ALL types (no DUMP2 job) - +# since JOB_NUMBER is not imported to this script, the logic below will dump +# all types ... +# ----------------------------------------------------------------------------- +#^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ + +if [ -n "$JOB_NUMBER" ]; then +set -u + if [ $JOB_NUMBER = 2 ]; then + dump_ind=DUMP2 + DUMP_group1=${DUMP_group1:-"YES"} + DUMP_group2=${DUMP_group2:-"NO"} + DUMP_group3=${DUMP_group3:-"NO"} + DUMP_group4=${DUMP_group4:-"NO"} + DUMP_group5=${DUMP_group5:-"NO"} + DUMP_group6=${DUMP_group6:-"NO"} + DUMP_group7=${DUMP_group7:-"YES"} + DUMP_group8=${DUMP_group8:-"NO"} + DUMP_group9=${DUMP_group9:-"YES"} + DUMP_group10=${DUMP_group10:-"YES"} + DUMP_group11=${DUMP_group11:-"YES"} + else + dump_ind=DUMP + DUMP_group1=${DUMP_group1:-"NO"} + DUMP_group2=${DUMP_group2:-"YES"} + DUMP_group3=${DUMP_group3:-"YES"} + DUMP_group4=${DUMP_group4:-"YES"} + DUMP_group5=${DUMP_group5:-"NO"} + DUMP_group6=${DUMP_group6:-"NO"} + DUMP_group7=${DUMP_group7:-"NO"} + DUMP_group8=${DUMP_group8:-"YES"} + DUMP_group9=${DUMP_group9:-"NO"} + DUMP_group10=${DUMP_group10:-"NO"} + DUMP_group11=${DUMP_group11:-"NO"} + fi +else + dump_ind=DUMP + DUMP_group1=${DUMP_group1:-"YES"} + DUMP_group2=${DUMP_group2:-"YES"} + DUMP_group3=${DUMP_group3:-"YES"} + DUMP_group4=${DUMP_group4:-"YES"} + DUMP_group5=${DUMP_group5:-"NO"} + DUMP_group6=${DUMP_group6:-"NO"} + DUMP_group7=${DUMP_group7:-"YES"} + DUMP_group8=${DUMP_group8:-"YES"} + DUMP_group9=${DUMP_group9:-"YES"} + DUMP_group10=${DUMP_group10:-"YES"} + DUMP_group11=${DUMP_group11:-"YES"} +fi + +if [ "$NET" = 'gfs' ]; then + ADPUPA_wait=${ADPUPA_wait:-"YES"} +########ADPUPA_wait=${ADPUPA_wait:-"NO"} # saves time if ADPUPA_wait=NO +else + ADPUPA_wait=${ADPUPA_wait:-"NO"} +fi + + +# send extra output of DUMP2 for monitoring purposes. +set +u +if [ -n "$JOB_NUMBER" ]; then + [ $JOB_NUMBER = 2 ] && export PS4='$SECONDS + ' +fi +set -u + +# Make sure we are in the $DATA directory +cd $DATA + +msg="HAS BEGUN on `hostname`" +$DATA/postmsg "$jlogfile" "$msg" + +cat break > $pgmout + +export dumptime=`cut -c7-16 ncepdate` +export cycp=`echo $dumptime|cut -c9-10` + +export NET_uc=$(echo $NET | tr [a-z] [A-Z]) +export tmmark_uc=$(echo $tmmark | tr [a-z] [A-Z]) + +msg="$NET_uc ANALYSIS TIME IS $PDY$cyc" +$DATA/postmsg "$jlogfile" "$msg" + +set +x +echo +echo "CENTER DATA DUMP DATE-TIME FOR $tmmark_uc $NET_uc IS $dumptime" +echo +set -x + +export COMSP=$COMOUT/$RUN.${cycle}. + +if [ "$PROCESS_GRIBFLDS" = 'YES' ]; then + +######################################################## +######################################################## +## The following files are not *required* but will still +# be processed here for the near term (missing files +# will not cause job to fail) +# +# copy snogrb (0.5 deg) from $TANK_GRIBFLDS +# copy snogrb_t574 from $TANK_GRIBFLDS +# copy engicegrb from $COM_ENGICE +# copy sstgrb from $COM_SSTOI +# generate sstgrb index file +######################################################## +######################################################## + + snogrb=$TANK_GRIBFLDS/$PDY/wgrbbul/snowdepth.global.grb + snoold=$TANK_GRIBFLDS/$PDYm1/wgrbbul/snowdepth.global.grb + + if [ -s $snogrb ]; then + cp $snogrb ${COMSP}snogrb + msg="todays 0.5 degree snow grib file located and copied to ${COMSP}snogrb" + $DATA/postmsg "$jlogfile" "$msg" + elif [ -s $snoold ]; then + cp $snoold ${COMSP}snogrb + msg="**todays 0.5 degree snow grib file not located - copy 1-day old file" + $DATA/postmsg "$jlogfile" "$msg" + else + set +x + echo " " + echo " #####################################################" + echo " cannot locate 0.5 degree snow grib file" + echo " #####################################################" + echo " " + set -x + msg="***WARNING: CANNOT LOCATE 0.5 DEGREE SNOW GRIB FILE. Not critical." + $DATA/postmsg "$jlogfile" "$msg" + fi + + snogrb_t574=$TANK_GRIBFLDS/$PDY/wgrbbul/snowdepth.t574.grb + snoold_t574=$TANK_GRIBFLDS/$PDYm1/wgrbbul/snowdepth.t574.grb + + if [ -s $snogrb_t574 ]; then + cp $snogrb_t574 ${COMSP}snogrb_t574 + msg="todays T574 snow grib file located and copied to ${COMSP}snogrb_t574" + $DATA/postmsg "$jlogfile" "$msg" + elif [ -s $snoold_t574 ]; then + cp $snoold_t574 ${COMSP}snogrb_t574 + msg="**todays T574 snow grib file not located - copy 1-day old file" + $DATA/postmsg "$jlogfile" "$msg" + else + set +x + echo " " + echo " ###############################################" + echo " cannot locate T574 snow grib file" + echo " ###############################################" + echo " " + set -x + msg="***WARNING: CANNOT LOCATE T574 SNOW GRIB FILE. Not critical." + $DATA/postmsg "$jlogfile" "$msg" + fi + + engicegrb=${COM_ENGICE}.$PDY/engice.t00z.grb + engiceold=${COM_ENGICE}.$PDYm1/engice.t00z.grb + + if [ -s $engicegrb ]; then + cp $engicegrb ${COMSP}engicegrb + msg="todays engice grib file located and copied to ${COMSP}engicegrb" + $DATA/postmsg "$jlogfile" "$msg" + elif [ -s $engiceold ]; then + cp $engiceold ${COMSP}engicegrb + msg="**todays engice grib file not located - copy 1-day old file" + $DATA/postmsg "$jlogfile" "$msg" + else + set +x + echo " " + echo " ############################################" + echo " cannot locate engice grib file" + echo " ############################################" + echo " " + set -x + msg="***WARNING: CANNOT LOCATE LOW RES ENGICE GRIB FILE. Not critical." + $DATA/postmsg "$jlogfile" "$msg" + fi + + sstgrb=${COM_SSTOI}.$PDY/sstoi_grb + sstold=${COM_SSTOI}.$PDYm1/sstoi_grb + + if [ -s $sstgrb ]; then + cp $sstgrb ${COMSP}sstgrb + msg="todays lowres sst grib file located and copied to ${COMSP}sstgrb" + $DATA/postmsg "$jlogfile" "$msg" + elif [ -s $sstold ]; then + cp $sstold ${COMSP}sstgrb + msg="**todays lowres sst grib file not located - copy 1-day old file" + $DATA/postmsg "$jlogfile" "$msg" + else + set +x + echo " " + echo " #########################################" + echo " cannot locate lowres sst grib file" + echo " #########################################" + echo " " + set -x + msg="***WARNING: CANNOT LOCATE LOW RES SST GRIB FILE. Not critical." + $DATA/postmsg "$jlogfile" "$msg" + fi + + if [ -s ${COMSP}sstgrb ]; then + rm errfile + $GRBINDEX ${COMSP}sstgrb ${COMSP}sstgrb.index 2> errfile + errindx=$? + [ "$errindx" -ne '0' ] && cat errfile + rm errfile + else + echo_hashed_msg "cannot create grib index since sst file does not exist" + fi + +# The following may no longer be needed, but leave them in place for now. +# Print msg in the rare case the grib2 files cannot be created. + if [ "$NET" = 'gdas' ]; then + if [ -s ${COMSP}engicegrb ]; then + $CNVGRIB -g12 -p40 ${COMSP}engicegrb ${COMSP}engicegrb.grib2 + else + echo_hashed_msg "Skip engicegrb.grib2 since grib1 file does not exist" + fi + if [ -s ${COMSP}sstgrb ]; then + $CNVGRIB -g12 -p40 ${COMSP}sstgrb ${COMSP}sstgrb.grib2 + else + echo_hashed_msg "Skip sstgrb.grib2 since grib1 file does not exist" + fi + if [ -s ${COMSP}snogrb ]; then + $CNVGRIB -g12 -p40 ${COMSP}snogrb ${COMSP}snogrb.grib2 + else + echo_hashed_msg "Skip snogrb.grib2 since grib1 file does not exist" + fi + fi + + +###################################################################### +###################################################################### +# For the following, try as far as $ndaysback to find recent file. # +# Post warning if no file found for $ndaysback_warn or beyond. # +# The job will continue if no suitable file is available. # +# ---------------------------------------------------------------- # +# copy NPR.SNWN.SP.S1200.MESH16 from $TANK_GRIBFLDS # +# copy NPR.SNWS.SP.S1200.MESH16 from $TANK_GRIBFLDS # +# copy imssnow96.grb.grib2 from $TANK_GRIBFLDS # +# copy seaice.t00z.5min.grb from $COM_ICE5MIN # +# copy seaice.t00z.5min.grb.grib2 from $COM_ICE5MIN # +# copy rtgssthr_grb_0.083 from $COM_SSTRTG # +# copy rtgssthr_grb_0.083.grib2 from $COM_SSTRTG # +###################################################################### +###################################################################### + for gribfile in \ + NPR.SNWN.SP.S1200.MESH16 \ + NPR.SNWS.SP.S1200.MESH16 \ + imssnow96.grb.grib2 \ + seaice.t00z.5min.grb \ + seaice.t00z.5min.grb.grib2 \ + rtgssthr_grb_0.083 \ + rtgssthr_grb_0.083.grib2 + do +# set the values specific to each file + case $gribfile in + NPR.SNWN.SP.S1200.MESH16 | NPR.SNWS.SP.S1200.MESH16 ) # AFWA snow + grib_source='$TANK_GRIBFLDS/$DDATE/wgrbbul'; + target_filename=$gribfile.grb + ndaysback=1; + ndaysback_warn=1;; + imssnow96.grb.grib2 ) # IMS snow + grib_source='$TANK_GRIBFLDS/$DDATE/wgrbbul'; + target_filename=imssnow96.grib2 + ndaysback=1; + ndaysback_warn=1;; + seaice.t00z.5min.grb ) + grib_source='${COM_ICE5MIN}.$DDATE'; + target_filename=seaice.5min.grb + ndaysback=7; + ndaysback_warn=1;; + seaice.t00z.5min.grb.grib2 ) + grib_source='${COM_ICE5MIN}.$DDATE'; + target_filename=seaice.5min.grib2 + ndaysback=7; + ndaysback_warn=1;; + rtgssthr_grb_0.083 ) + grib_source='${COM_SSTRTG}.$DDATE'; + target_filename=rtgssthr.grb + ndaysback=10; + ndaysback_warn=1;; + rtgssthr_grb_0.083.grib2 ) + grib_source='${COM_SSTRTG}.$DDATE'; + target_filename=rtgssthr.grib2 + ndaysback=10; + ndaysback_warn=1;; + *) + msg="***FATAL ERROR: unexpected grib field file $gribfile"; + echo_hashed_msg "$msg" + $DATA/postmsg "$jlogfile" "$msg" + $DATA/err_exit;; + esac +# set up string of dates to check + if [ $ndaysback -gt 0 ];then +set +x; echo -e "\n---> path to finddate.sh below is: `which finddate.sh`"; set -x + CHECK_DATES="$PDY $(finddate.sh $PDY s-$ndaysback)" + else + CHECK_DATES=$PDY + fi + set +x; + echo -e "\nWill check as far back as ${CHECK_DATES##* } for $gribfile" + set -x + ndtry=0 + found=false +# loop through dates to check for this file type + for DDATE in $CHECK_DATES;do + ndtry=`expr $ndtry + 1` + eval tryfile=$grib_source/$gribfile + if [ -s $tryfile ];then + set +x; echo -e "\nPicking up file $tryfile\n"; set -x + cp $tryfile ${COMSP}$target_filename + found=true + break + fi + if [ $DDATE -ne ${CHECK_DATES##* } ]; then + set +x;echo -e "\n$tryfile not available. Try previous day.\n" + set -x + else + set +x;echo -e "\n$tryfile not available.\n";set -x + fi + if [ $ndtry -gt $ndaysback_warn ];then + msg="***WARNING: INVESTIGATE UNEXPECTED ABSENCE OF $tryfile" + echo_hashed_msg "$msg" + $DATA/postmsg "$jlogfile" "$msg" + fi + done + if [ $found != true ]; then + msg="***WARNING: NO USEFUL RECENT FILES FOUND FOR $gribfile!!!" + echo_hashed_msg "$msg" + $DATA/postmsg "$jlogfile" "$msg" + fi + done + if [ "$SENDECF" = "YES" ]; then + ecflow_client --event=release_sfcprep + fi + +# endif loop $PROCESS_GRIBFLDS +fi + + +echo "=======> Dump group 1 (thread_1) not executed." > $DATA/1.out +echo "=======> Dump group 2 (thread_2) not executed." > $DATA/2.out +echo "=======> Dump group 3 (thread_3) not executed." > $DATA/3.out +echo "=======> Dump group 4 (thread_4) not executed." > $DATA/4.out +echo "=======> Dump group 5 (thread_5) not executed." > $DATA/5.out +echo "=======> Dump group 6 (thread_6) not executed." > $DATA/6.out +echo "=======> Dump group 7 (thread_7) not executed." > $DATA/7.out +echo "=======> Dump group 8 (thread_8) not executed." > $DATA/8.out +echo "=======> Dump group 9 (thread_9) not executed." > $DATA/9.out +echo "=======> Dump group 10 (thread_10) not executed." > $DATA/10.out +echo "=======> Dump group 11 (thread_11) not executed." > $DATA/11.out + +err1=0 +err2=0 +err3=0 +err4=0 +err5=0 +err6=0 +err7=0 +err8=0 +err9=0 +err10=0 +err11=0 +if [ "$PROCESS_DUMP" = 'YES' ]; then + +#################################### +#################################### +# The data "dump" script for tm00 +#################################### +#################################### + +msg="START THE $tmmark_uc $NET_uc DATA $dump_ind CENTERED ON $dumptime" +$DATA/postmsg "$jlogfile" "$msg" + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_1; chmod +x thread_1 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_1 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=1 + +#========================================================================= +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump # 1 : AVCSAM: 1 subtype(s) +# ESHRS3: 1 subtype(s) +# SSMISU: 1 subtype(s) +# CRIS: 1 subtype(s) (if present in past 10 days of tanks) +# SAPHIR: 1 subtype(s) +# ATMS: 1 subtype(s) (if present in past 10 days of tanks) +# 1BHRS4: 1 subtype(s) +# SEVCSR: 1 subtype(s) +# TESAC: 1 subtype(s) +# MLS: 1 subtype(s) (if present in past 10 days of tanks) +# ESATMS: 1 subtype(s) (if present in past 10 days of tanks) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 11 +# +#========================================================================= + +DTIM_latest_avcsam=${DTIM_latest_avcsam:-"+2.99"} +DTIM_latest_eshrs3=${DTIM_latest_eshrs3:-"+2.99"} +DTIM_latest_ssmisu=${DTIM_latest_ssmisu:-"+2.99"} +#----------------------------------------------- +# check for cris tank presence in past 10 days +cris="" +err_check_tanks=0 +sh $USHobsproc_dump/check_tanks.sh cris +err_check_tanks=$? +if [ $err_check_tanks -eq 0 ];then + cris=cris + DTIM_latest_cris=${DTIM_latest_cris:-"+2.99"} +fi +#----------------------------------------------- +DTIM_latest_saphir=${DTIM_latest_saphir:-"+2.99"} +#----------------------------------------------- +# check for atms tank presence in past 10 days +atms="" +err_check_tanks=0 +sh $USHobsproc_dump/check_tanks.sh atms +err_check_tanks=$? +if [ $err_check_tanks -eq 0 ];then + atms=atms + DTIM_latest_atms=${DTIM_latest_atms:-"+2.99"} +fi +#----------------------------------------------- +DTIM_latest_1bhrs4=${DTIM_latest_1bhrs4:-"+2.99"} +DTIM_latest_sevcsr=${DTIM_latest_sevcsr:-"+2.99"} +DTIM_latest_tesac=${DTIM_latest_tesac:-"+2.99"} +#----------------------------------------------- +# check for mls tank presence in past 10 days +mls="" +err_check_tanks=0 +sh $USHobsproc_dump/check_tanks.sh mls +err_check_tanks=$? +if [ $err_check_tanks -eq 0 ];then + mls=mls + DTIM_latest_mls=${DTIM_latest_mls:-"+2.99"} +fi +#----------------------------------------------- +#----------------------------------------------- +# check for esatms tank presence in past 10 days +esatms="" +err_check_tanks=0 +sh $USHobsproc_dump/check_tanks.sh esatms +err_check_tanks=$? +if [ $err_check_tanks -eq 0 ];then + esatms=esatms + DTIM_latest_esatms=${DTIM_latest_esatms:-"+2.99"} +fi +#----------------------------------------------- + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM1:-off}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 avcsam eshrs3 ssmisu $cris \ + saphir $atms 1bhrs4 sevcsr tesac $mls $esatms +error1=$? +echo "$error1" > $DATA/error1 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_avcsam $job \ + ${COMSP}avcsam.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_eshrs3 $job \ + ${COMSP}eshrs3.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_ssmisu $job \ + ${COMSP}ssmisu.tm00.bufr_d + if [ "$cris" = cris ];then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_cris $job \ + ${COMSP}cris.tm00.bufr_d + fi +### restricted $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_saphir $job \ +### restricted ${COMSP}saphir.tm00.bufr_d + if [ "$atms" = atms ];then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_atms $job \ + ${COMSP}atms.tm00.bufr_d + fi + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_1bhrs4 $job \ + ${COMSP}1bhrs4.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_sevcsr $job \ + ${COMSP}sevcsr.tm00.bufr_d +####### ALERTS TURNED OFF UNTIL REQUESTED BY USER ######################### +# $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_tesac $job \ +# ${COMSP}tesac.tm00.bufr_d +########################################################################### + if [ "$mls" = mls ];then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_mls $job \ + ${COMSP}mls.tm00.bufr_d + fi + if [ "$esatms" = esatms ];then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esatms $job \ + ${COMSP}esatms.tm00.bufr_d + fi +fi + +set +x +echo "********************************************************************" +echo Script thread_1 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/1.out 2>&1 +EOF +set -x + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_2; chmod +x thread_2 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_2 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=2 + +#========================================================================== +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# (3) GDAS GSI doesn't use ATOVS, but NASA/GSFC is pulling them off our +# server, also CDAS may be running special tests using data from GDAS +# cutoff time (ATOVS is not dumped in GFS) +# +#-------------------------------------------------------------------------- +# GDAS: +# Dump # 2 : SFCSHP: 5 subtype(s) +# ATOVS: 1 subtype(s) +# ADPSFC: 4 subtype(s) +# ASCATT: 1 subtype(s) +# xxxxxxxxx WNDSAT: 1 subtype(s) (if present in past 10 days of tanks) +# ===> Dumping of WNDSAT removed from here until new ingest feed is established +# (had been dumped with a time window radius of -3.00 to +2.99 hours) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 11 +# +#-------------------------------------------------------------------------- +# GFS: +# Dump # 2 : SFCSHP: 5 subtype(s) +# ADPSFC: 4 subtype(s) +# ASCATT: 1 subtype(s) +# xxxxxxxxx WNDSAT: 1 subtype(s) (if present in past 10 days of tanks) +# ===> Dumping of WNDSAT removed from here until new ingest feed is established +# (had been dumped with a time window radius of -3.00 to +2.99 hours) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 10 +# +#========================================================================== + +DTIM_latest_sfcshp=${DTIM_latest_sfcshp:-"+2.99"} + +atovs="" +if [ "$NET" = 'gdas' ]; then + atovs=atovs + DTIM_latest_atovs=${DTIM_latest_atovs:-"+2.99"} +fi + +DTIM_latest_adpsfc=${DTIM_latest_adpsfc:-"+2.99"} +DTIM_latest_ascatt=${DTIM_latest_ascatt:-"+2.99"} +#----------------------------------------------- +# check for wndsat tank presence in past 10 days +wndsat="" +err_check_tanks=0 +##########sh $USHobsproc_dump/check_tanks.sh wndsat +##########err_check_tanks=$? +err_check_tanks=99 # comment out 2 lines above & add this line to ensure wndsat + # is not ever dumped +if [ $err_check_tanks -eq 0 ];then + wndsat=wndsat + DTIM_latest_wndsat=${DTIM_latest_wndsat:-"+2.99"} +fi +#----------------------------------------------- + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM2:-off}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 sfcshp $atovs adpsfc ascatt $wndsat +error2=$? +echo "$error2" > $DATA/error2 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_sfcshp $job \ + ${COMSP}sfcshp.tm00.bufr_d + [ -f ${COMSP}atovs.tm00.bufr_d ] && \ + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_atovs $job \ + ${COMSP}atovs.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_adpsfc $job \ + ${COMSP}adpsfc.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_ascatt $job \ + ${COMSP}ascatt.tm00.bufr_d + if [ "$NET" = 'gdas' ]; then + ####### ALERT TURNED ON for GDAS only ######################## + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_ascatw $job \ + ${COMSP}ascatw.tm00.bufr_d + fi + if [ "$wndsat" = wndsat ];then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_wndsat $job \ + ${COMSP}wndsat.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_wdsatr $job \ + ${COMSP}wdsatr.tm00.bufr_d + fi +fi + +set +x +echo "********************************************************************" +echo Script thread_2 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/2.out 2>&1 +EOF +set -x + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_3; chmod +x thread_3 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_3 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=3 + +#==================================================================== +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump #3: ADPUPA: 6 subtype(s) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 6 +# +#==================================================================== + +DTIM_latest_adpupa=${DTIM_latest_adpupa:-"+2.99"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM3:-off}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 adpupa +error3=$? +echo "$error3" > $DATA/error3 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_adpupa $job \ + ${COMSP}adpupa.tm00.bufr_d +fi + +set +x +echo "********************************************************************" +echo Script thread_3 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/3.out 2>&1 +EOF +set -x + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_4; chmod +x thread_4 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_4 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=4 + +#======================================================================= +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# EXCEPT: AIRCFT where it is +/- 3.25 hours +# AIRCAR where it is +/- 3.25 hours +# PROFLR where it is -4.00 to +3.99 hours +# GSPIPW where it is +/- 0.05 hours (+/- 3min) +# (2) TIME TRIMMING IS DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump # 4 : AIRCAR: 2 subtype(s) +# AIRCFT: 6 subtype(s) +# PROFLR: 4 subtype(s) +# VADWND: 1 subtype(s) +# RASSDA: 1 subtype(s) +# GPSIPW: 1 subtype(s) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 15 +# +#======================================================================= + +# Skip NeXRaD VAD WINDS FROM LEVEL 2 DECODER (not ready to be handled in GSI) + +export SKIP_002017=YES + +# Dump AIRCFT and AIRCAR with wide time window to improve PREPOBS_PREPACQC +# track-check performance +# (time window will be winnowed down to +/- 3.00 hours in output from +# PREPOBS_PREPACQC) + +# Dump PROFLR with wide time window to improve PREPOBS_PROFCQC performance +# (time window will be winnowed down in output from PREPOBS_PROFCQC, see +# parm cards for output time window) + +# Dump GPSIPW with narrow (+/- 3-min) time window since new Ground Based +# GPS-IPW/ZTD (from U.S.-ENI and foreign GNSS providers) is currently limited +# to obs only at cycle-time + +DTIM_earliest_aircft=${DTIM_earliest_aircft:-"-3.25"} +DTIM_latest_aircft=${DTIM_latest_aircft:-"+3.25"} + +DTIM_earliest_aircar=${DTIM_earliest_aircar:-"-3.25"} +DTIM_latest_aircar=${DTIM_latest_aircar:-"+3.25"} + +DTIM_earliest_proflr=${DTIM_earliest_proflr:-"-4.00"} +DTIM_latest_proflr=${DTIM_latest_proflr:-"+3.99"} + +DTIM_latest_vadwnd=${DTIM_latest_vadwnd:-"+2.99"} +DTIM_latest_rassda=${DTIM_latest_rassda:-"+2.99"} + +DTIM_earliest_gpsipw=${DTIM_latest_gpsipw:-"-0.05"} +DTIM_latest_gpsipw=${DTIM_latest_gpsipw:-"+0.05"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM4:-on}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 aircar aircft proflr vadwnd \ + rassda gpsipw +error4=$? +echo "$error4" > $DATA/error4 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_aircar $job \ + ${COMSP}aircar.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_aircft $job \ + ${COMSP}aircft.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_proflr $job \ + ${COMSP}proflr.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_vadwnd $job \ + ${COMSP}vadwnd.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_rassda $job \ + ${COMSP}rassda.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_gpsipw $job \ + ${COMSP}gpsipw.tm00.bufr_d +fi + +set +x +echo "********************************************************************" +echo Script thread_4 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/4.out 2>&1 +EOF +set -x + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_5; chmod +x thread_5 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_5 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=5 + +#=================================================================== +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Currently not executed in GDAS or GFS: +# Dump # 5 : MSONET: 30 subtype(s) +# --------------------- +# TOTAL NUMBER OF SUBTYPES = 30 +# +#=================================================================== + +DTIM_latest_msonet=${DTIM_latest_msonet:-"+2.99"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM5:-off}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 msonet +error5=$? +echo "$error5" > $DATA/error5 + +set +x +echo "********************************************************************" +echo Script thread_5 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/5.out 2>&1 +EOF +set -x + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_6; chmod +x thread_6 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_6 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=6 + +#=================================================================== +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Currently not executed in GDAS or GFS: +# Dump # 6 : NEXRAD: 8 subtype(s) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 8 +# +#=================================================================== + +DTIM_latest_nexrad=${DTIM_latest_nexrad:-"+2.99"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM6:-off}} + +# NEXRAD tanks are hourly +# Process only those hourly tanks w/i requested dump center cycle time window + +SKIP_006010=YES # radial wind 00Z +SKIP_006011=YES # radial wind 01Z +SKIP_006012=YES # radial wind 02Z +SKIP_006013=YES # radial wind 03Z +SKIP_006014=YES # radial wind 04Z +SKIP_006015=YES # radial wind 05Z +SKIP_006016=YES # radial wind 06Z +SKIP_006017=YES # radial wind 07Z +SKIP_006018=YES # radial wind 08Z +SKIP_006019=YES # radial wind 09Z +SKIP_006020=YES # radial wind 10Z +SKIP_006021=YES # radial wind 11Z +SKIP_006022=YES # radial wind 12Z +SKIP_006023=YES # radial wind 13Z +SKIP_006024=YES # radial wind 14Z +SKIP_006025=YES # radial wind 15Z +SKIP_006026=YES # radial wind 16Z +SKIP_006027=YES # radial wind 17Z +SKIP_006028=YES # radial wind 18Z +SKIP_006029=YES # radial wind 19Z +SKIP_006030=YES # radial wind 20Z +SKIP_006031=YES # radial wind 21Z +SKIP_006032=YES # radial wind 22Z +SKIP_006033=YES # radial wind 23Z + +SKIP_006040=YES # reflectivity 00Z +SKIP_006041=YES # reflectivity 01Z +SKIP_006042=YES # reflectivity 02Z +SKIP_006043=YES # reflectivity 03Z +SKIP_006044=YES # reflectivity 04Z +SKIP_006045=YES # reflectivity 05Z +SKIP_006046=YES # reflectivity 06Z +SKIP_006047=YES # reflectivity 07Z +SKIP_006048=YES # reflectivity 08Z +SKIP_006049=YES # reflectivity 09Z +SKIP_006050=YES # reflectivity 10Z +SKIP_006051=YES # reflectivity 11Z +SKIP_006052=YES # reflectivity 12Z +SKIP_006053=YES # reflectivity 13Z +SKIP_006054=YES # reflectivity 14Z +SKIP_006055=YES # reflectivity 15Z +SKIP_006056=YES # reflectivity 16Z +SKIP_006057=YES # reflectivity 17Z +SKIP_006058=YES # reflectivity 18Z +SKIP_006059=YES # reflectivity 19Z +SKIP_006060=YES # reflectivity 20Z +SKIP_006061=YES # reflectivity 21Z +SKIP_006062=YES # reflectivity 22Z +SKIP_006063=YES # reflectivity 23Z + +if [ $cycp -eq 00 ]; then # (22.5 - 01.5 Z) + unset SKIP_006032 # radial wind 22Z + unset SKIP_006033 # radial wind 23Z + unset SKIP_006010 # radial wind 00Z + unset SKIP_006011 # radial wind 01Z + unset SKIP_006062 # reflectivity 22Z + unset SKIP_006063 # reflectivity 23Z + unset SKIP_006040 # reflectivity 00Z + unset SKIP_006041 # reflectivity 01Z +elif [ $cycp -eq 06 ]; then # (04.5 - 07.5 Z) + unset SKIP_006014 # radial wind 04Z + unset SKIP_006015 # radial wind 05Z + unset SKIP_006016 # radial wind 06Z + unset SKIP_006017 # radial wind 07Z + unset SKIP_006044 # reflectivity 04Z + unset SKIP_006045 # reflectivity 05Z + unset SKIP_006046 # reflectivity 06Z + unset SKIP_006047 # reflectivity 07Z +elif [ $cycp -eq 12 ]; then # (10.5 - 13.5 Z) + unset SKIP_006020 # radial wind 10Z + unset SKIP_006021 # radial wind 11Z + unset SKIP_006022 # radial wind 12Z + unset SKIP_006023 # radial wind 13Z + unset SKIP_006050 # reflectivity 10Z + unset SKIP_006051 # reflectivity 11Z + unset SKIP_006052 # reflectivity 12Z + unset SKIP_006053 # reflectivity 13Z +elif [ $cycp -eq 18 ]; then # (16.5 - 19.5 Z) + unset SKIP_006026 # radial wind 16Z + unset SKIP_006027 # radial wind 17Z + unset SKIP_006028 # radial wind 18Z + unset SKIP_006029 # radial wind 19Z + unset SKIP_006056 # reflectivity 16Z + unset SKIP_006057 # reflectivity 17Z + unset SKIP_006058 # reflectivity 18Z + unset SKIP_006059 # reflectivity 19Z +fi + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 nexrad +error6=$? +echo "$error6" > $DATA/error6 + +set +x +echo "********************************************************************" +echo Script thread_6 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/6.out 2>&1 +EOF +set -x + +set +x +#------------------------------------------------------------------------------ +cat<<\EOF>thread_7; chmod +x thread_7 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_7 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=7 + +#========================================================================= +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump # 7 : AVCSPM: 1 subtype(s) +# ESMHS: 1 subtype(s) +# GOESFV: 1 subtype(s) +# 1BMHS: 1 subtype(s) +# AIRSEV: 1 subtype(s) +# ATMSDB: 1 subtype(s) +# GOME: 1 subtype(s) +# OMI: 1 subtype(s) +# TRKOB: 1 subtype(s) +# GPSRO: 1 subtype(s) +# ESCRIS: 1 subtype(s) (if present in past 10 days of tanks) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 11 +# +#========================================================================= + +DTIM_latest_avcspm=${DTIM_latest_avcspm:-"+2.99"} +DTIM_latest_esmhs=${DTIM_latest_esmhs:-"+2.99"} +DTIM_latest_goesfv=${DTIM_latest_goesfv:-"+2.99"} +DTIM_latest_1bmhs=${DTIM_latest_1bmhs:-"+2.99"} +DTIM_latest_airsev=${DTIM_latest_airsev:-"+2.99"} +DTIM_latest_atmsdb=${DTIM_latest_atmsdb:-"+2.99"} +DTIM_latest_gome=${DTIM_latest_gome:-"+2.99"} +DTIM_latest_omi=${DTIM_latest_omi:-"+2.99"} +DTIM_latest_trkob=${DTIM_latest_trkob:-"+2.99"} +DTIM_latest_gpsro=${DTIM_latest_gpsro:-"+2.99"} +#----------------------------------------------- +# check for escris tank presence in past 10 days +escris="" +err_check_tanks=0 +sh $USHobsproc_dump/check_tanks.sh escris +err_check_tanks=$? +if [ $err_check_tanks -eq 0 ];then + escris=escris + DTIM_latest_escris=${DTIM_latest_escris:-"+2.99"} +fi +#----------------------------------------------- + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM7:-off}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 avcspm esmhs goesfv 1bmhs \ + airsev atmsdb gome omi trkob gpsro $escris +error7=$? +echo "$error7" > $DATA/error7 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_avcspm $job \ + ${COMSP}avcspm.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esmhs $job \ + ${COMSP}esmhs.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_goesfv $job \ + ${COMSP}goesfv.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_1bmhs $job \ + ${COMSP}1bmhs.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_airsev $job \ + ${COMSP}airsev.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_atmsdb $job \ + ${COMSP}atmsdb.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_gome $job \ + ${COMSP}gome.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_omi $job \ + ${COMSP}omi.tm00.bufr_d +####### ALERTS TURNED OFF UNTIL REQUESTED BY USER ######################### +# $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_trkob $job \ +# ${COMSP}trkob.tm00.bufr_d +########################################################################### + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_gpsro $job \ + ${COMSP}gpsro.tm00.bufr_d + if [ "$escris" = escris ];then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_escris $job \ + ${COMSP}escris.tm00.bufr_d + fi +fi + +set +x +echo "********************************************************************" +echo Script thread_7 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/7.out 2>&1 +EOF +set -x + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_8; chmod +x thread_8 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_8 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=8 + +#======================================================================= +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is +/- 1.5 hrs for all SATWND types +# EXCEPT: SATWND subtypes 005/010, 005/011, 005/12, 005/019, 005/064, +# 005/065, 005/066, 005/070, 005/071, 005/080 and 005/090 where +# it is -3.00 to +2.99 hours. +# (2) TIME TRIMMING IS DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump # 8 : SATWND: 17 subtype(s) +# --------------------- +# TOTAL NUMBER OF SUBTYPES = 17 +# +#======================================================================= + +# satwnd types +# ------------ +DTIM_earliest_005010=${DTIM_earliest_005010:-"-3.00"} +DTIM_latest_005010=${DTIM_latest_005010:-"+2.99"} +DTIM_earliest_005011=${DTIM_earliest_005011:-"-3.00"} +DTIM_latest_005011=${DTIM_latest_005011:-"+2.99"} +DTIM_earliest_005012=${DTIM_earliest_005012:-"-3.00"} +DTIM_latest_005012=${DTIM_latest_005012:-"+2.99"} +DTIM_earliest_005019=${DTIM_earliest_005019:-"-3.00"} +DTIM_latest_005019=${DTIM_latest_005019:-"+2.99"} +DTIM_earliest_005064=${DTIM_earliest_005064:-"-3.00"} +DTIM_latest_005064=${DTIM_latest_005064:-"+2.99"} +DTIM_earliest_005065=${DTIM_earliest_005065:-"-3.00"} +DTIM_latest_005065=${DTIM_latest_005065:-"+2.99"} +DTIM_earliest_005066=${DTIM_earliest_005066:-"-3.00"} +DTIM_latest_005066=${DTIM_latest_005066:-"+2.99"} +DTIM_earliest_005070=${DTIM_earliest_005070:-"-3.00"} +DTIM_latest_005070=${DTIM_latest_005070:-"+2.99"} +DTIM_earliest_005071=${DTIM_earliest_005071:-"-3.00"} +DTIM_latest_005071=${DTIM_latest_005071:-"+2.99"} +DTIM_earliest_005080=${DTIM_earliest_005080:-"-3.00"} +DTIM_latest_005080=${DTIM_latest_005080:-"+2.99"} +DTIM_earliest_005090=${DTIM_earliest_005090:-"-3.00"} +DTIM_latest_005090=${DTIM_latest_005090:-"+2.99"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM8:-on}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 1.5 1 satwnd +error8=$? +echo "$error8" > $DATA/error8 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_satwnd $job \ + ${COMSP}satwnd.tm00.bufr_d +fi + +set +x +echo "********************************************************************" +echo Script thread_8 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/8.out 2>&1 +EOF +set -x + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_9; chmod +x thread_9 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_9 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=9 + +#======================================================================= +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# EXCEPT: GEOIMR where it is -0.50 to +0.50 hour +# (2) TIME TRIMMING IS DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump # 9 : GEOIMR: 1 subtype(s) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 1 +# +#======================================================================= + +DTIM_earliest_geoimr=${DTIM_earliest_geoimr:-"-0.50"} +DTIM_latest_geoimr=${DTIM_latest_geoimr:-"+0.50"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM9:-on}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 geoimr +error9=$? +echo "$error9" > $DATA/error9 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_geoimr $job \ + ${COMSP}geoimr.tm00.bufr_d +fi + +set +x +echo "********************************************************************" +echo Script thread_9 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/9.out 2>&1 +EOF +set -x + +set +x +#------------------------------------------------------------------------------ +cat<<\EOF>thread_10; chmod +x thread_10 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_10 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=10 + +#========================================================================= +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump #10 : ESIASI: 1 subtype(s) +# MTIASI: 1 subtype(s) +# ESAMUA: 1 subtype(s) +# CRISDB: 1 subtype(s) +# IASIDB: 1 subtype(s) +# SEVASR: 1 subtype(s) +# 1BAMUA: 1 subtype(s) +# BATHY: 1 subtype(s) +# OSBUV8: 1 subtype(s) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 9 +# +#========================================================================= + +DTIM_latest_esiasi=${DTIM_latest_esiasi:-"+2.99"} +DTIM_latest_mtiasi=${DTIM_latest_mtiasi:-"+2.99"} +DTIM_latest_esamua=${DTIM_latest_esamua:-"+2.99"} +DTIM_latest_crisdb=${DTIM_latest_crisdb:-"+2.99"} +DTIM_latest_iasidb=${DTIM_latest_iasidb:-"+2.99"} +DTIM_latest_sevasr=${DTIM_latest_sevasr:-"+2.99"} +DTIM_latest_1bamua=${DTIM_latest_1bamua:-"+2.99"} +DTIM_latest_bathy=${DTIM_latest_bathy:-"+2.99"} +DTIM_latest_osbuv8=${DTIM_latest_osbuv8:-"+2.99"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM10:-off}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 esiasi mtiasi esamua \ + crisdb iasidb sevasr 1bamua bathy osbuv8 +error10=$? +echo "$error10" > $DATA/error10 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esiasi $job \ + ${COMSP}esiasi.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_mtiasi $job \ + ${COMSP}mtiasi.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esamua $job \ + ${COMSP}esamua.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_crisdb $job \ + ${COMSP}crisdb.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_iasidb $job \ + ${COMSP}iasidb.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_sevasr $job \ + ${COMSP}sevasr.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_1bamua $job \ + ${COMSP}1bamua.tm00.bufr_d +####### ALERTS TURNED OFF UNTIL REQUESTED BY USER ######################### +# $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_bathy $job \ +# ${COMSP}bathy.tm00.bufr_d +########################################################################### + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_osbuv8 $job \ + ${COMSP}osbuv8.tm00.bufr_d +fi + +set +x +echo "********************************************************************" +echo Script thread_10 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/10.out 2>&1 +EOF +set -x + +set +x +#------------------------------------------------------------------------------ +cat<<\EOF>thread_11; chmod +x thread_11 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_11 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=11 + +#========================================================================= +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump #11 : AMSR2: 1 subtype(s) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 1 +# +#========================================================================= + +DTIM_latest_amsr2=${DTIM_latest_amsr2:-"+2.99"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM11:-off}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 amsr2 +error11=$? +echo "$error11" > $DATA/error11 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_amsr2 $job \ + ${COMSP}amsr2.tm00.bufr_d +fi + +set +x +echo "********************************************************************" +echo Script thread_11 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/11.out 2>&1 +EOF +set -x + + +#---------------------------------------------------------------- +# Now launch the threads + +# determine local system name and type if available +# ------------------------------------------------- +SITE=${SITE:-""} +sys_tp=${sys_tp:-$(getsystem.pl -tp)} +getsystp_err=$? +if [ $getsystp_err -ne 0 ]; then + msg="***WARNING: error using getsystem.pl to determine system type and phase" + set +u + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + set -u +fi +echo sys_tp is set to: $sys_tp + +if [ "$sys_tp" = 'Cray-XC40' -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + launcher=${launcher:-"aprun_cfp"} +else + launcher=${launcher:-"cfp"} +fi +if [ "$launcher" = aprun_cfp ]; then + # Get compute node count: Subtract one from the total number of unique + # hosts to account for the MAMU node that runs serial portion of job + typeset -i nodesall=$(echo -e "${LSB_HOSTS// /\\n}"|sort -u|wc -w) + typeset -i ncnodes=$(($nodesall-1)) # we want compute nodes only + if [ $ncnodes -lt 1 ]; then + set +x + echo + echo " ######################################################## " + echo " --> Could not get positive compute node count for aprun! " + echo " --> Check that BSUB directives included a reservation " + echo " request for one or more compute nodes. " + echo " --> @@ F A T A L E R R O R @@ -- ABNORMAL EXIT " + echo " ######################################################## " + echo + set -x + $DATA/err_exit "***FATAL: Check if compute nodes were allocated" + fi +elif [[ "$launcher" = cfp && -z "$LSB_HOSTS" ]]; then + set +x + echo + echo "You requested the cfp poe launcher but are not running under LSF!!" + echo "You must run under LSF to use cfp option on IBM. Exiting..." + echo + set -x + $DATA/err_exit +fi +if [ "$launcher" = cfp -o "$launcher" = aprun_cfp ]; then + > $DATA/poe.cmdfile + +# To better take advantage of cfp, execute the longer running commands first. +# Some reordering was done here based on recent sample runtimes. + [ $DUMP_group7 = YES ] && echo thread_7 >> $DATA/poe.cmdfile # moved up + [ $DUMP_group1 = YES ] && echo thread_1 >> $DATA/poe.cmdfile + [ $DUMP_group5 = YES ] && echo thread_5 >> $DATA/poe.cmdfile # moved up + [ $DUMP_group6 = YES ] && echo thread_6 >> $DATA/poe.cmdfile # moved up + [ $DUMP_group8 = YES ] && echo thread_8 >> $DATA/poe.cmdfile # moved up + [ $DUMP_group11 = YES ] && echo thread_11 >> $DATA/poe.cmdfile # moved up + [ $DUMP_group10 = YES ] && echo thread_10 >> $DATA/poe.cmdfile # moved up + [ $DUMP_group2 = YES ] && echo thread_2 >> $DATA/poe.cmdfile + [ $DUMP_group3 = YES -a $ADPUPA_wait != YES ] && echo thread_3 >> $DATA/poe.cmdfile + [ $DUMP_group4 = YES ] && echo thread_4 >> $DATA/poe.cmdfile + [ $DUMP_group9 = YES ] && echo thread_9 >> $DATA/poe.cmdfile + + if [ -s $DATA/poe.cmdfile ]; then + nthreads=$(cat $DATA/poe.cmdfile | wc -l) + if [ $nthreads -eq 1 ]; then # don't expect to need this, but just in case + echo "do not need cfp for 1 thread" + if [ "$launcher" = aprun_cfp ]; then + aprun -n 1 -N 1 -d 1 sh $DATA/poe.cmdfile + else + sh $DATA/poe.cmdfile + fi + elif [ "$launcher" = cfp ]; then # iDataPlex + module load cfp + export MP_CSS_INTERRUPT=yes + mpirun.lsf cfp $DATA/poe.cmdfile 2>&1 + elif [ "$launcher" = aprun_cfp ]; then + if [[ -z ${DUMPStpn:-""} ]]; then # pes per node + # cfp is faster with extra thread so add one if there is room. + # For now, going with 20 as default max rather than 24. + if [ $nthreads -lt 20 ]; then + DUMPStpn=$(($nthreads+1)) + else + DUMPStpn=20 + fi + fi + NPROCS=$(($ncnodes*$DUMPStpn)) # concurrent processes + aprun -j 1 -n${NPROCS} -N${DUMPStpn} -d 1 --cc depth cfp $DATA/poe.cmdfile + fi + errpoe=$? + if [ $errpoe -ne 0 ]; then + $DATA/err_exit "***FATAL: EXIT STATUS $errpoe RUNNING POE COMMAND FILE" + fi + else + echo + echo "==> There are no tasks in POE Command File - POE not run" + echo + fi +else + if [ "$sys_tp" = 'Cray-XC40' -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + set +x + echo + echo " ############################################################# " + echo " --> Option to use background threads is disabled on Cray-XC40." + echo " --> @@ F A T A L E R R O R @@ -- ABNORMAL EXIT " + echo " ############################################################# " + echo + set -x + $DATA/err_exit "***FATAL: Check if compute nodes were allocated" + else + echo "Spawning background threads" + [ $DUMP_group1 = YES ] && thread_1 & + [ $DUMP_group2 = YES ] && thread_2 & + [ $DUMP_group3 = YES -a $ADPUPA_wait != YES ] && thread_3 & + [ $DUMP_group4 = YES ] && thread_4 & + [ $DUMP_group5 = YES ] && thread_5 & + [ $DUMP_group6 = YES ] && thread_6 & + [ $DUMP_group7 = YES ] && thread_7 & + [ $DUMP_group8 = YES ] && thread_8 & + [ $DUMP_group9 = YES ] && thread_9 & + [ $DUMP_group10 = YES ] && thread_10 & + [ $DUMP_group11 = YES ] && thread_11 & + wait + fi +fi + +# if ADPUPA_wait is YES, adpupa is dumped AFTER all other dump threads have +# run (normally done in real-time GFS runs to dump as late as possible in +# order to maximize data availability in GFS network, particularly DROPs) +# -------------------------------------------------------------------------- + +[ $DUMP_group3 = YES -a $ADPUPA_wait = YES ] && thread_3 + +cat $DATA/1.out $DATA/2.out $DATA/3.out $DATA/4.out $DATA/5.out $DATA/6.out $DATA/7.out $DATA/8.out $DATA/9.out $DATA/10.out $DATA/11.out + +set +x +echo " " +echo " " +set -x + +[ -s $DATA/error1 ] && err1=`cat $DATA/error1` +[ -s $DATA/error2 ] && err2=`cat $DATA/error2` +[ -s $DATA/error3 ] && err3=`cat $DATA/error3` +[ -s $DATA/error4 ] && err4=`cat $DATA/error4` +[ -s $DATA/error5 ] && err5=`cat $DATA/error5` +[ -s $DATA/error6 ] && err6=`cat $DATA/error6` +[ -s $DATA/error7 ] && err7=`cat $DATA/error7` +[ -s $DATA/error8 ] && err8=`cat $DATA/error8` +[ -s $DATA/error9 ] && err9=`cat $DATA/error9` +[ -s $DATA/error10 ] && err10=`cat $DATA/error10` +[ -s $DATA/error11 ] && err11=`cat $DATA/error11` + + +#=============================================================================== + +export STATUS=YES +export DUMP_NUMBER=12 +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.00 1 null + +# endif loop $PROCESS_DUMP +fi + +echo " " >> $pgmout +echo "##################################################################\ +####################" >> $pgmout +echo " " >> $pgmout + +#================================================================ +#================================================================ + + +if [ "$PROCESS_DUMP" = 'YES' ]; then + + if [ "$err1" -gt '5' -o "$err2" -gt '5' -o "$err3" -gt '5' -o \ + "$err4" -gt '5' -o "$err5" -gt '5' -o "$err6" -gt '5' -o \ + "$err7" -gt '5' -o "$err8" -gt '5' -o "$err9" -gt '5' -o \ + "$err10" -gt '5' -o "$err11" -gt '5' ]; then + for n in $err1 $err2 $err3 $err4 $err5 $err6 $err7 $err8 $err9 $err10 $err11 + do + if [ "$n" -gt '5' ]; then + if [ "$n" -ne '11' -a "$n" -ne '22' ]; then + +## fatal error in dumping of BUFR obs. files + + set +x +echo +echo " ###################################################### " +echo " --> > 22 RETURN CODE FROM DATA DUMP, $err1, $err2, $err3, $err4, \ +$err5, $err6, $err7, $err8, $err9, $err10, $err11 " +echo " --> @@ F A T A L E R R O R @@ -- ABNORMAL EXIT " +echo " ###################################################### " +echo + set -x + $DATA/err_exit + exit 9 + fi + fi + done + +## a status code of 11 or 22 from dumping of BUFR obs. files +## is non-fatal but still worth noting + + set +x + echo + echo " ###################################################### " + echo " --> > 5 RETURN CODE FROM DATA DUMP, $err1, $err2, $err3, $err4, \ +$err5, $err6, $err7, $err8, $err9, $err10, $err11 " + echo " --> NOT ALL DATA DUMP FILES ARE COMPLETE - CONTINUE " + echo " ###################################################### " + echo + set -x + fi + +# endif loop $PROCESS_DUMP +fi + + +# GOOD RUN +set +x +echo " " +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " " +set -x + + +# save standard output +cat break $pgmout break > allout +cat allout +# rm allout + +sleep 10 + +msg='ENDED NORMALLY.' +$DATA/postmsg "$jlogfile" "$msg" + +################## END OF SCRIPT ####################### diff --git a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_makeprepbufr.sh.ecf b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_makeprepbufr.sh.ecf new file mode 100755 index 0000000..074c71b --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_makeprepbufr.sh.ecf @@ -0,0 +1,578 @@ +#!/bin/ksh +# Run under ksh + +############################################################################# +echo "----------------------------------------------------------------------" +echo "exglobal_makeprepbufr.sh.ecf - Global (GDAS, GFS) model prepbufr " +echo " processing " +echo "----------------------------------------------------------------------" +echo "History: Mar 1 2013 - Original script. " +echo " Dec 3 2014 - CDAS network, split off into its own script " +echo " excdas_makeprepbufr.sh.ecf. This script now " +echo " tailored exclusively to GDAS and GFS. " +echo " Mar 11 2017 - Update to handle nemsio filename patterns " +############################################################################# + +set -x + +# Make sure we are in the $DATA directory +cd $DATA + +msg="HAS BEGUN on `hostname`" +$DATA/postmsg "$jlogfile" "$msg" + +cat break > $pgmout + +CHGRP_RSTPROD=${CHGRP_RSTPROD:-YES} +MAKE_NSSTBUFR=${MAKE_NSSTBUFR:-YES} + +export COMSP=${COMSP:-$COMIN/${RUN}.${cycle}.} + + +if [ "$DO_QC" = 'YES' -a "$CQCBUFR" = 'YES' -a -n "$COM1" -a -n "$CQCC" ]; then + +# NOTE: The following logic currently does not apply to the GFS or GDAS +# network. (It applies only to the CDAS network.) It is maintained here +# in case it ever does. +# ----------------------------------------------------------------------------- +# If running PREPOBS_CQCBUFR, must check its data cards to see if +# namelist switch DOTMP is TRUE - if so, must get prepbufr_pre-qc files +# from t-24, t-12, t+12, t+24 to feed into PREPOBS_CQCBUFR + + DOTMP=`grep DOTMP $CQCC | awk -F, \ + '{print $1; print $2; print $3; print $4; print$5}' | grep DOTMP | \ + awk -F= '{print $2}'` + + if [[ $DOTMP = *T* ]]; then + [ -s ${COM1}${PDYm1}/${RUN}.${cycle}.prepbufr_pre-qc ] && \ + export PRPI_m24=${COM1}${PDYm1}/${RUN}.${cycle}.prepbufr_pre-qc + [ -s ${COM1}${PDYp1}/${RUN}.${cycle}.prepbufr_pre-qc ] && \ + export PRPI_p24=${COM1}${PDYp1}/${RUN}.${cycle}.prepbufr_pre-qc + tdate10=`$NDATE -12 $PDY$cyc` + cyc_m12=`echo $tdate10|cut -c9-10` + pdy_m12=`echo $tdate10|cut -c1-8` + [ -s ${COM1}${pdy_m12}/${RUN}.t${cyc_m12}z.prepbufr_pre-qc ] && \ + export PRPI_m12=${COM1}${pdy_m12}/${RUN}.t${cyc_m12}z.prepbufr_pre-qc + tdate10=`$NDATE +12 $PDY$cyc` + cyc_p12=`echo $tdate10|cut -c9-10` + pdy_p12=`echo $tdate10|cut -c1-8` + [ -s ${COM1}${pdy_p12}/${RUN}.t${cyc_p12}z.prepbufr_pre-qc ] && \ + export PRPI_p12=${COM1}${pdy_p12}/${RUN}.t${cyc_p12}z.prepbufr_pre-qc + fi +fi + +cdate10=`cut -c7-16 ncepdate` + +msg="CENTER TIME FOR PREPBUFR PROCESSING IS $cdate10" +$DATA/postmsg "$jlogfile" "$msg" + +ksh $ushscript_prep/prepobs_makeprepbufr.sh $cdate10 +errsc=$? + +[ "$errsc" -ne '0' ] && exit $errsc + +if [ "$CHGRP_RSTPROD" = 'YES' ]; then + msg="NOTE: These files (if present) are RESTRICTED to rstprod group: \ +prepbufr_pre-qc, prepbufr, prepbufr.acft_profiles*, acqc_???*, \ +acqc_merged*_sorted, tosslist, prepbufr.unblok" + $DATA/postmsg "$jlogfile" "$msg" +set +x + echo " " + echo "$msg" + echo " " +set -x +fi +warning=no + +if [ "$PREPDATA" = 'YES' ]; then + +# save snapshot of prepbufr file after PREPOBS_PREPDATA in COMOUT + cp prepda.prepdata $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc + chmod 664 $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc + + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc + else + cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc + warning=yes + fi + fi + +# save current prepbufr mnemonic table in COMOUT if either it isn't already +# there for a previous cycle or if it has changed from a previous cycle + if [ ! -s $COMOUT/*prep.bufrtable ]; then + cp prep.bufrtable $COMOUT/${RUN}.${cycle}.prep.bufrtable + else + diff `ls -t $COMOUT/*prep.bufrtable | head -n1` prep.bufrtable \ + > /dev/null 2>&1 + errdiff=$? + [ "$errdiff" -ne '0' ] && \ + cp prep.bufrtable $COMOUT/${RUN}.${cycle}.prep.bufrtable + fi +fi + +# save global guess file(s) in COMOUT if they haven't already been saved +# here by previous tropical cyclone relocation processing +# first block is for nemsio input, second is for sigio input +if [[ "$NEMSIO_IN" == .true. ]]; then + [ -s sgm3prep -a ! -s $COMOUT/${RUN}.${cycle}.atmgm3.nemsio ] && \ + cp sgm3prep $COMOUT/${RUN}.${cycle}.atmgm3.nemsio + [ -s sgp3prep -a ! -s $COMOUT/${RUN}.${cycle}.atmgp3.nemsio ] && \ + cp sgp3prep $COMOUT/${RUN}.${cycle}.atmgp3.nemsio + if [ -s sgesprep ]; then + if [ -s sgesprepA ]; then + cp sgesprep $COMOUT/${RUN}.${cycle}.atmges.nemsio_before + cp sgesprepA $COMOUT/${RUN}.${cycle}.atmges.nemsio_after + else + [ ! -s $COMOUT/${RUN}.${cycle}.atmges.nemsio ] && \ + cp sgesprep $COMOUT/${RUN}.${cycle}.atmges.nemsio + fi + fi +else + [ -s sgm3prep -a ! -s $COMOUT/${RUN}.${cycle}.sgm3prep ] && \ + cp sgm3prep $COMOUT/${RUN}.${cycle}.sgm3prep + [ -s sgp3prep -a ! -s $COMOUT/${RUN}.${cycle}.sgp3prep ] && \ + cp sgp3prep $COMOUT/${RUN}.${cycle}.sgp3prep + if [ -s sgesprep ]; then + if [ -s sgesprepA ]; then + cp sgesprep $COMOUT/${RUN}.${cycle}.sgesprep_before + cp sgesprepA $COMOUT/${RUN}.${cycle}.sgesprep_after + else + [ ! -s $COMOUT/${RUN}.${cycle}.sgesprep ] && \ + cp sgesprep $COMOUT/${RUN}.${cycle}.sgesprep + fi + fi +fi +# end nemsio vs sigio logic to copy guess files to COMOUT + +# save path name of global guess file valid at center PREPBUFR +# date/time (encoded into PREPBUFR file and used by q.c. programs) in COMOUT +# FOR NOW, staying with term "sgesprep" for these "pathname" filenames even for +# nemsio (as done in tropical cyclone relocation processing) - 03/2017 +if [ "$GETGUESS" = 'YES' ]; then + if [[ "$NEMSIO_IN" == .true. ]]; then + set +x; echo -e "\n\"sges_pathname\" files point to nemsio files\n";set -x + fi + if [ -s sgesprepA_pathname ]; then + cp sgesprep_pathname \ + $COMOUT/${RUN}.${cycle}.sgesprep_pathname_before.$tmmark + cp sgesprepA_pathname \ + $COMOUT/${RUN}.${cycle}.sgesprep_pathname_after.$tmmark + else + +# if the target file already exists, it was created in previous +# tropcy_relocate.sh script because either there was an error or no +# tcvitals were present - in this case the target file points to the orig. +# getges global sigma guess (since the guess was not modified by relocation) +# - otherwise sgesprep_pathname will either contain either the path to the +# getges guess (if tropical cyclone relocation did not run previously) or +# it will contain the path to the modified sgesprep guess (if tropical +# cyclone relocation did run previously and did modify the guess) +# --------------------------------------------------------------------------- + + [ ! -s $COMOUT/${RUN}.${cycle}.sgesprep_pathname.$tmmark ] && \ + cp sgesprep_pathname $COMOUT/${RUN}.${cycle}.sgesprep_pathname.$tmmark + fi +fi + +# save synthetic bogus files in COMOUT +[ -s bogrept ] && cp bogrept $COMOUT/${RUN}.${cycle}.syndata.bogrept +[ -s bogdata ] && cp bogdata $COMOUT/${RUN}.${cycle}.syndata.bogdata +[ -s dthistry ] && cp dthistry $COMOUT/${RUN}.${cycle}.syndata.dthistry + +if [[ "$SENDDBN" == "YES" ]]; then + if [[ "$RUN" == "gfs" || "$RUN" == "gdas" || "$RUN" == "gdas1" ]]; then + RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) + if [[ -s bogrept ]]; then + $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job $COMOUT/${RUN}.${cycle}.syndata.bogrept + fi + if [[ -s bogdata ]]; then + $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job $COMOUT/${RUN}.${cycle}.syndata.bogdata + fi + fi +fi + +if [ "$DO_QC" = 'YES' ]; then + +# save final form of prepbufr file in COMOUT + cp prepda.${cycle} $COMOUT/${RUN}.${cycle}.prepbufr + chmod 664 $COMOUT/${RUN}.${cycle}.prepbufr + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr + else + cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr + warning=yes + fi + fi + +# save prepacqc prepbufr.acft_profiles file in COMOUT + if [ -s prepbufr.acft_profiles ]; then + cp prepbufr.acft_profiles $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles + else + cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles + warning=yes + fi + fi + fi + +# save prepacqc prepbufr.acft_profiles_sfc file in COMOUT + if [ -s prepbufr.acft_profiles_sfc ]; then + cp prepbufr.acft_profiles_sfc \ + $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc + else + cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc + warning=yes + fi + fi + fi + +# save prepacqc output files in COMOUT + if [ -s acftqc_*.sus ]; then + mv acftqc_*.sus acftqc_sus + cp acftqc_sus $COMOUT/${RUN}.${cycle}.acqc_sus + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_sus + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_sus + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_sus + warning=yes + fi + fi + fi + + if [ -s acftqc_*.stk ]; then + mv acftqc_*.stk acftqc_stk + cp acftqc_stk $COMOUT/${RUN}.${cycle}.acqc_stk + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_stk + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_stk + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_stk + warning=yes + fi + fi + fi + + if [ -s acftqc_*.spk ]; then + mv acftqc_*.spk acftqc_spk + cp acftqc_spk $COMOUT/${RUN}.${cycle}.acqc_spk + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_spk + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_spk + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_spk + warning=yes + fi + fi + fi + + if [ -s acftqc_*.ord ]; then + mv acftqc_*.ord acftqc_ord + cp acftqc_ord $COMOUT/${RUN}.${cycle}.acqc_ord + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_ord + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_ord + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_ord + warning=yes + fi + fi + fi + + if [ -s acftqc_*.lst ]; then + mv acftqc_*.lst acftqc_lst + cp acftqc_lst $COMOUT/${RUN}.${cycle}.acqc_lst + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_lst + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_lst + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_lst + warning=yes + fi + fi + fi + + if [ -s acftqc_*.inv ]; then + mv acftqc_*.inv acftqc_inv + cp acftqc_inv $COMOUT/${RUN}.${cycle}.acqc_inv + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_inv + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_inv + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_inv + warning=yes + fi + fi + fi + + if [ -s acftqc_*.inc ]; then + mv acftqc_*.inc acftqc_inc + cp acftqc_inc $COMOUT/${RUN}.${cycle}.acqc_inc + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_inc + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_inc + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_inc + warning=yes + fi + fi + fi + + if [ -s acftqc_*.grc ]; then + mv acftqc_*.grc acftqc_grc + cp acftqc_grc $COMOUT/${RUN}.${cycle}.acqc_grc + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_grc + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_grc + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_grc + warning=yes + fi + fi + fi + + if [ -s acftqc_*.dup ]; then + mv acftqc_*.dup acftqc_dup + cp acftqc_dup $COMOUT/${RUN}.${cycle}.acqc_dup + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_dup + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_dup + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_dup + warning=yes + fi + fi + fi + + if [ -s acftqc_*.log ]; then + mv acftqc_*.log acftqc_log + cp acftqc_log $COMOUT/${RUN}.${cycle}.acqc_log + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_log + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_log + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_log + warning=yes + fi + fi + fi + + if [ -s merged.reports.post_acftobs_qc.sorted ]; then + cp merged.reports.post_acftobs_qc.sorted \ + $COMOUT/${RUN}.${cycle}.acqc_merged_sorted + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_merged_sorted + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_merged_sorted + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_merged_sorted + warning=yes + fi + fi + fi + + if [ -s merged.profile_reports.post_acftobs_qc.sorted ]; then + cp merged.profile_reports.post_acftobs_qc.sorted \ + $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted + warning=yes + fi + fi + fi + +# save cqcbufr output files in COMOUT + touch cqc_events + cp cqc_events $COMOUT/${RUN}.${cycle}.cqc_events + touch cqc_stncnt + cp cqc_stncnt $COMOUT/${RUN}.${cycle}.cqc_stncnt + touch cqc_stnlst + cp cqc_stnlst $COMOUT/${RUN}.${cycle}.cqc_stnlst + touch cqc_sdm + cp cqc_sdm $COMOUT/${RUN}.${cycle}.cqc_sdm + touch cqc_radcor + cp cqc_radcor $COMOUT/${RUN}.${cycle}.cqc_radcor + +# save oiqc tosslist in COMOUT (if it runs) + if [ -s tosslist ]; then + cp tosslist $COMOUT/${RUN}.${cycle}.tosslist + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.tosslist + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.tosslist + else + cp /dev/null $COMOUT/${RUN}.${cycle}.tosslist + warning=yes + fi + fi + fi + + # Remove the following logic to create unblocked prepbufr files once we know + # it is definitely no longer needed. + if [ "${PROCESS_UNBLKBUFR:-NO}" = 'YES' ]; then +# +# make unblocked prepbufr file +# ---> ON WCOSS prepbufr is already unblocked, so for now just copy it to the +# unblok file location used before on CCS - hopefully this can be removed +# someday! + cp -p prepda.${cycle} prepda.${cycle}.unblok + err_cp=$? + if [ $err_cp -eq 0 ]; then + cp prepda.${cycle}.unblok $COMOUT/${RUN}.${cycle}.prepbufr.unblok + chmod 664 $COMOUT/${RUN}.${cycle}.prepbufr.unblok + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr.unblok + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr.unblok + else + cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr.unblok + warning=yes + fi + fi + fi + fi ## end logic to potentially create unblok version of prepbufr file + + if [[ "$SENDDBN" == "YES" ]]; then + if [[ "$RUN" == "gdas" || "$RUN" == "gdas1" ]]; then + RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) + $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_BUFR_PREPda $job \ + $COMOUT/${RUN}.${cycle}.prepbufr + if [ "${PROCESS_UNBLKBUFR:-NO}" = 'YES' ]; then + $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_BUFR_PREPda_unblok $job \ + $COMOUT/${RUN}.${cycle}.prepbufr.unblok + fi + elif [[ "$RUN" == "gfs" ]]; then + $DBNROOT/bin/dbn_alert MODEL GFS_BUFR_PREPda $job \ + $COMOUT/${RUN}.${cycle}.prepbufr + if [ "${PROCESS_UNBLKBUFR:-NO}" = 'YES' ]; then + $DBNROOT/bin/dbn_alert MODEL GFS_BUFR_PREPda_unblok $job \ + $COMOUT/${RUN}.${cycle}.prepbufr.unblok + fi + if [[ "$NEMSIO_IN" == .true. ]]; then + $DBNROOT/bin/dbn_alert MODEL GFS_atmges_NEMSIO $job \ + $COMOUT/${RUN}.${cycle}.atmges.nemsio + $DBNROOT/bin/dbn_alert MODEL GFS_atmgm3_NEMSIO $job \ + $COMOUT/${RUN}.${cycle}.atmgm3.nemsio + $DBNROOT/bin/dbn_alert MODEL GFS_atmgp3_NEMSIO $job \ + $COMOUT/${RUN}.${cycle}.atmgp3.nemsio + else + $DBNROOT/bin/dbn_alert MODEL GFS_sges_PREP $job \ + $COMOUT/${RUN}.${cycle}.sgesprep + $DBNROOT/bin/dbn_alert MODEL GFS_sgm3_PREP $job \ + $COMOUT/${RUN}.${cycle}.sgm3prep + $DBNROOT/bin/dbn_alert MODEL GFS_sgp3_PREP $job \ + $COMOUT/${RUN}.${cycle}.sgp3prep + fi + fi + fi +fi +## create combined ocean data dump file expected by NSST +if [[ "$MAKE_NSSTBUFR" == 'YES' ]]; then + > nsstbufr + chgrp rstprod nsstbufr + errch=$? + if [ $errch -eq 0 ]; then + for type in sfcshp tesac bathy trkob; do + file=${COMSP}$type.$tmmark.bufr_d + if [ -s $file ]; then + cat $file >> nsstbufr + err=$? + if [ $err -ne 0 ]; then + msg="**WARNING: exit status $err from cat of $file to nsstbufr" + $DATA/postmsg "$jlogfile" "$msg" + fi + else + echo $file is empty or does not exist + fi + done + cp nsstbufr $COMOUT/${RUN}.${cycle}.nsstbufr + chgrp rstprod $COMOUT/${RUN}.${cycle}.nsstbufr + chmod 640 $COMOUT/${RUN}.${cycle}.nsstbufr + msg="NOTE: nsstbufr file contains RESTRICTED data, only users in \ +rstprod group have read permission" + $DATA/postmsg "$jlogfile" "$msg" + else + cp /dev/null $COMOUT/${RUN}.${cycle}.nsstbufr + warning=yes + fi +fi + +if [ "$warning" = 'yes' ]; then + msg="**WARNING: Since user $USER is not in rstprod group all RESTRICTED \ +files are replaced with a null file" + $DATA/postmsg "$jlogfile" "$msg" +set +x + echo " " + echo "$msg" + echo " " +set -x +fi + +######################################################## + +# GOOD RUN +set +x +echo " " +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " " +set -x + + +# save standard output +cat break $pgmout break > allout +cat allout +# rm allout + +sleep 10 + +msg='ENDED NORMALLY.' +$DATA/postmsg "$jlogfile" "$msg" + +################## END OF SCRIPT ####################### diff --git a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/getges.sh b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/getges.sh new file mode 100755 index 0000000..1672800 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/getges.sh @@ -0,0 +1,1385 @@ +#!/bin/ksh +################################################################################ +# +# Name: getges.sh Author: Mark Iredell +# +# Abstract: +# This script copies the valid global guess file to a given file. +# Alternatively, it writes the name of the guess file to standard output. +# Specify option "-n network" for the job network (default global). +# Other options are gdas, gfs, cdas, mrf, prx, etc. +# Specify option "-e environment" for the job environment (default prod). +# Another option is test. +# Specify option "-f fhour" for the specific forecast hour wanted (default any). +# Specify option "-q" for quiet mode to turn off script messages. +# Specify option "-r resolution" for the resolution wanted (default high). +# Other options are 25464 17042, 12628, low, 6228, namopl, any. +# Specify option "-t filetype" for the filetype wanted from among these choices: +# sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3, +# sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3, +# biascr, satang, satcnt, gesfil +# pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3, +# sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl, +# enggrb, enggri, icegrb, icegri, snogrb, snogrb_high, snogri, sstgrb, sstgri. +# natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur, +# nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur, +# nstcur, nflges, nflgp3 +# Specify option "-v valid" for the valid date wanted (default $CDATE). +# Currently, the valid hours specified must be a multiple of 3. +# Either 2-digit or 4-digit years are currently allowed. +# Specify positional argument to be the file to which to copy the guess. +# If missing, the NAME of the guess file is written to standard output. +# A nonzero return code from this script means either the arguments are invalid +# or the guess could not be found; a message is written to standard error in +# this case, but neither a file copy nor a standard output write will be done. +# The file returned is guaranteed to exist and be readable. +# The script uses the utility commands NDATE and NHOUR. +# +# Example 1. Copy the production sigma guess for 1998100100 to the file sges. +# getges.sh -e prod -t sigges -v 1998100100 sges +# +# Example 2. Assign the pressure grib guess for the date 1998100121. +# export CDATE=1998100121 +# export XLFUNIT_12="$(getges.sh -qt pgbges||echo /dev/null)" +# +# Example 3. Get the PRX pgb analysis or the best valid guess at 1998100112. +# getges -e prx -t pgbcur -v 1998100112 pgbfile +# +# Example 5. Get the 24-hour GFS forecast sigma file valid at 1998100112. +# getges -t sigcur -v 1998100112 -f 24 -e gfs sigfile +# +# History: 1996 December Iredell Initial implementation +# 1997 March Iredell Nine new filetypes +# 1997 April Iredell Two new filetypes and -f option +# 1997 December Iredell Four new filetypes +# 1998 April Iredell 4-digit year allowed; +# sigges internal date no longer checked +# 1998 May Iredell T170L42 defaulted; four new filetypes +# and two filetypes deleted +# 1998 June Rogers Nam types added +# 1998 September Iredell high is default resolution +# 2000 March Iredell Cdas and -n option +# 2000 June Iredell Eight new filetypes +# 2002 April Treadon T254L64 defaulted; add angle dependent +# bias correction file +# 2003 March Iredell GFS network out to 384 hours +# 2003 August Iredell Hourly global guesses +# 2005 September Treadon Add satellite data count file (satcnt) +# 2006 September Gayno Add high-res snow analysis +# 2009 January Rogers Added sfluxgrb file +# 2011 April Rogers Added GFS pg2ges file +# 2016 May Menlove Changed GETGES_COM variable to $COMINmodel +# 2016 November Iredell Adapted getges for NEMS GSM +# Also removed a lot of dead wood +# +################################################################################ +#------------------------------------------------------------------------------- +# Set some default parameters. +fhbeg=03 # hour to begin searching backward for guess +fhinc=03 # hour to increment backward in search +fhend=384 # hour to end searching backward for guess + +#------------------------------------------------------------------------------- +# Get options and arguments. +netwk=global # default network +envir=prod # default environment +fhour=any # default forecast hour +quiet=NO # default quiet mode +trace=NO # default execution trace mode +resol=high # default resolution +typef=sigges # default filetype +valid=${CDATE:-'?'} # default valid date +err=0 + +while getopts n:e:f:qxr:t:v: opt;do + case $opt in + n) netwk="$OPTARG";; + e) envir="$OPTARG";; + f) fhour="$OPTARG";; + q) quiet=YES;; + x) trace=YES;; + r) resol="$OPTARG";; + t) typef="$OPTARG";; + v) valid="$OPTARG";; + \?) err=1;; + esac +done +shift $(($OPTIND-1)) +gfile=$1 +if [[ -z $valid ]];then + echo "$0: either -v option or environment variable CDATE must be set" >&2 +elif [[ $# -gt 1 ]];then + echo "$0: too many positional arguments" >&2 +elif [[ $err -ne 0 ]];then + echo "$0: invalid option" >&2 +fi +if [[ $gfile = '?' || $# -gt 1 || $err -ne 0 || -z $valid ||\ + $netwk = '?' || $envir = '?' || $fhour = '?' || $resol = '?' ||\ + $typef = '?' || $valid = '?' ]];then + echo "Usage: getges.sh [-n network] [-e environment] [-f fhour] [-q] [-r resolution]" >&2 + echo " [-t filetype] [-v valid] [gfile]" >&2 + if [[ $netwk = '?' ]];then + echo " network choices:" >&2 + echo " global (default), namopl, gdas, gfs, cdas, etc." >&2 + elif [[ $envir = '?' ]];then + echo " environment choices:" >&2 + echo " prod (default), test, para, dump, prx" >&2 + echo " (some network values allowed for compatibility)" >&2 + elif [[ $fhour = '?' ]];then + echo " fhour is optional specific forecast hour" >&2 + elif [[ $resol = '?' ]];then + echo " resolution choices:" >&2 + echo " high (default), 25464, 17042, 12628, low, 6228, namopl, any" >&2 + elif [[ $typef = '?' ]];then + echo " filetype choices:" >&2 + echo " sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3," >&2 + echo " sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3," >&2 + echo " sfgges, sfggp3, biascr, satang, satcnt, gesfil" >&2 + echo " pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3," >&2 + echo " sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl," >&2 + echo " enggrb, enggri, icegrb, icegri, snogrb, snogri, sstgrb, sstgri," >&2 + echo " pg2cur, pg2ges, restrt," >&2 + echo " natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur," >&2 + echo " nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur," >&2 + echo " nstcur, nflges, nflgp3," >&2 + elif [[ $valid = '?' ]];then + echo " valid is the valid date in yyyymmddhh or yymmddhh form" >&2 + echo " (default is environmental variable CDATE)" >&2 + elif [[ $gfile = '?' ]];then + echo " gfile is the guess file to write" >&2 + echo " (default is to write the guess file name to stdout)" >&2 + else + echo " (Note: set a given option to '?' for more details)" >&2 + fi + exit 1 +fi +[[ $trace == YES ]]&&set -x +if [[ $envir != prod && $envir != test && $envir != para && $envir != dump && $envir != pr? && $envir != dev ]];then + netwk=$envir + envir=prod + echo '************************************************************' >&2 + echo '* WARNING: Using "-e" is deprecated in this case. *' >&2 + echo '* Please use "-n" instead. *' >&2 + echo '************************************************************' >&2 +fi +if [[ "$netwk" = "namopl" || "$resol" = "namopl" ]];then + netwk=namopl + typef=restrt + resol=namopl +fi +[[ $resol = 57464 || $resol = 38264 || $resol = 19064 || $resol = 25464 || $resol = 17042 || $resol = 12628 ]]&&resol=high +[[ $resol = 6228 ]]&&resol=low +resolsuf="" +[[ $resol == *deg ]]&&resolsuf=.$resol +fhbeg=$(${NHOUR:?} $valid) +[[ $fhbeg -le 0 ]]&&fhbeg=03 +((fhbeg=(10#$fhbeg-1)/3*3+3)) +[[ $fhbeg -lt 10 ]]&&fhbeg=0$fhbeg +if [[ $typef = enggrb ]];then + typef=icegrb + echo '************************************************************' >&2 + echo '* WARNING: Using "-t enggrb" is now deprecated. *' >&2 + echo '* Please use "-t icegrb". *' >&2 + echo '************************************************************' >&2 +elif [[ $typef = enggri ]];then + typef=icegri + echo '************************************************************' >&2 + echo '* WARNING: Using "-t enggri" is now deprecated. *' >&2 + echo '* Please use "-t icegri". *' >&2 + echo '************************************************************' >&2 +fi + +#------------------------------------------------------------------------------- +# Assemble guess list in descending order from the best guess. +geslist="" +getlist00="" + +# GDAS +if [[ "$netwk" = "gdas" ]];then + if [ -z "$COMINgdas" ]; then + echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 + exit 1 + fi + fhend=12 + case $typef in + biascr) geslist=' + $COMINgdas/gdas.t${cyc}z.abias' + ;; + biascr_pc) geslist=' + $COMINgdas/gdas.t${cyc}z.abias_pc' + ;; + biascr_air) geslist=' + $COMINgdas/gdas.t${cyc}z.abias_air' + ;; + radstat) geslist=' + $COMINgdas/gdas.t${cyc}z.radstat' + ;; + pgbges) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh' + ;; + pg2ges) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' + ;; + pgbgm6) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6' + ;; + pgbgm3) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3' + ;; + pgbgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3' + ;; + pgbcur) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINgdas/gdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINgdas/gdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINgdas/gdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576' + fhbeg=00 + fhinc=06 + ;; + snogrb_1534) geslist=' + $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINgdas/gdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + natges) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' + ;; + natgm3) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio' + ;; + natgm2) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio' + ;; + natgm1) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio' + ;; + natgp1) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio' + ;; + natgp2) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio' + ;; + natgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio' + ;; + natcur) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' + getlist00=' + $COMINgdas/gdas.t${cyc}z.atmanl.nemsio' + fhbeg=00 + ;; + nsfges) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' + ;; + nsfgm3) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio' + ;; + nsfgm2) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio' + ;; + nsfgm1) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio' + ;; + nsfgp1) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio' + ;; + nsfgp2) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio' + ;; + nsfgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio' + ;; + nsfcur) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' + getlist00=' + $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio' + fhbeg=00 + ;; + nstcur) geslist=' + $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio' + getlist00=' + $COMINgdas/gdas.t${cyc}z.nstanl.nemsio' + fhbeg=00 + ;; + nflges) geslist=' + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' + ;; + nflgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio' + ;; + nflcur) geslist=' + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' + fhbeg=00 + ;; + esac + +# CFS-CDAS +elif [[ "$netwk" = "cfs-cdas" ]];then + if [ -z "$COMINcfs_cdas" ]; then + echo "getges.sh ERROR: The \$COMINcfs_cdas variable must be defined." >&2 + exit 1 + fi + fhend=12 + case $typef in + sigges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fh}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm3}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm2}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm1}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp1}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp2}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp3}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.abias' + ;; + satang) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.satang' + ;; + satcnt) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fh' + ;; + sfggp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fhp3' + ;; + pgbges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm6 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm6 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhp3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhp3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' + getlist00=' + $COMINcfs_cdas/cdas1.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' + getlist00=' + $COMINcfs_cdas/cdas1.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574 + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# GFS +elif [[ "$netwk" = "gfs" ]];then + if [ -z "$COMINgfs" ]; then + echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 + exit 1 + fi + fhend=384 + case $typef in + natges) geslist=' + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + ;; + pgbcur) geslist=' + $COMINgfs/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINgfs/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvitl) geslist=' + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINgfs/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINgfs/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_1534) geslist=' + $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINgfs/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + natcur) geslist=' + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + getlist00=' + $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' + fhbeg=00 + ;; + nsfcur) geslist=' + $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' + getlist00=' + $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' + fhbeg=00 + ;; + nstcur) geslist=' + $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' + getlist00=' + $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' + fhbeg=00 + ;; + nflcur) geslist=' + $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' + fhbeg=00 + ;; + esac + +# CDAS +elif [[ "$netwk" = "cdas" ]];then + if [ -z "$COMINcdas" ]; then + echo "getges.sh ERROR: The \$COMINcdas variable must be defined." >&2 + exit 1 + fi + fhbeg=06 + fhend=06 + case $typef in + sigges) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $COMINcdas/cdas.t${cyc}z.abias' + ;; + satang) geslist=' + $COMINcdas/cdas.t${cyc}z.satang' + ;; + satcnt) geslist=' + $COMINcdas/cdas.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $COMINcdas/cdas.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fh' + getlist00=' + $COMINcdas/cdas.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fh' + getlist00=' + $COMINcdas/cdas.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINcdas/cdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINcdas/cdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $COMINcdas/cdas.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINcdas/cdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $COMINcdas/cdas.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINcdas/cdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $COMINcdas/cdas.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# CDC CDAS +elif [[ "$netwk" = "cdc" ]];then + if [ -z "$COMINcdc" ]; then + echo "getges.sh ERROR: The \$COMINcdc variable must be defined." >&2 + exit 1 + fi + fhbeg=06 + fhend=06 + case $typef in + sigges) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $COMINcdc/cdas.t${cyc}z.abias' + ;; + satang) geslist=' + $COMINcdc/cdas.t${cyc}z.satang' + ;; + satcnt) geslist=' + $COMINcdc/cdas.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $COMINcdc/cdas.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fh' + getlist00=' + $COMINcdc/cdas.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fh' + getlist00=' + $COMINcdc/cdas.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINcdc/cdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINcdc/cdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $COMINcdc/cdas.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINcdc/cdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $COMINcdc/cdas.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINcdc/cdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $COMINcdc/cdas.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# Any resolution production +elif [[ "$netwk" = "global" ]];then + if [ -z "$COMINgdas" ]; then + echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 + exit 1 + fi + if [ -z "$COMINgfs" ]; then + echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 + exit 1 + fi + GETGES_NWG=${GETGES_NWG:-${GESROOT:?}} + case $typef in + biascr) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.abias + $COMINgdas/gdas.t${cyc}z.abias + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias + $COMINgfs/gfs.t${cyc}z.abias' + fhbeg=06 + fhinc=06 + ;; + pgbges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $COMINgfs/gfs.t${cyc}z.pgrbf$fh' + ;; + pgbgm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm6 + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm6 + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 + $COMINgfs/gfs.t${cyc}z.pgrbf$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm3 + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm3 + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 + $COMINgfs/gfs.t${cyc}z.pgrbf$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhp3 + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhp3 + $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 + $COMINgfs/gfs.t${cyc}z.pgrbf$fhp3' + ;; + pg2ges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$gh + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$gh + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' + ;; + pg2gm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm6 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm6' + ;; + pg2gm5) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm5 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm5' + ;; + pg2gm4) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm4 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm4' + ;; + pg2gm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm3 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm3' + ;; + pg2gm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm2 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm2' + ;; + pg2gm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm1 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm1' + ;; + pg2gp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp1 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp1' + ;; + pg2gp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp2 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp2' + ;; + pg2gp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp3 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp3' + ;; + pgbcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $COMINgfs/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p50.f$gh + $COMINgdas/gdas.t${cyc}z.pgrb2.0p50.f$gh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f$gh + $COMINgfs/gfs.t${cyc}z.pgrb2.0p50.f$gh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.prepbufr + $COMINgdas/gdas.t${cyc}z.prepbufr + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr + $COMINgfs/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.engicegrb + $COMINgdas/gdas.t${cyc}z.engicegrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb + $COMINgfs/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb + $COMINgdas/gdas.t${cyc}z.snogrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb + $COMINgfs/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t574.1152.576 + $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574.1152.576 + $COMINgfs/gfs.t${cyc}z.snogrb_t574.1152.576' + fhbeg=00 + fhinc=06 + ;; + snogrb_1534) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t1534.3072.1536 + $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t1534.3072.1536 + $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sstgrb + $COMINgdas/gdas.t${cyc}z.sstgrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb + $COMINgfs/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + natges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + ((vhr=$valid%100)) + if [[ $(($vhr % 3)) -ne 0 ]]; then + fhinc=01 + fi + ;; + natgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm3.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm3.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghm3.nemsio' + ;; + natgm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm2.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm2.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghm2.nemsio' + ;; + natgm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm1.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm1.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghm1.nemsio' + ;; + natgp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp1.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp1.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghp1.nemsio' + ;; + natgp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp2.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp2.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghp2.nemsio' + ;; + natgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp3.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp3.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghp3.nemsio' + ;; + natcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmanl.nemsio + $COMINgdas/gdas.t${cyc}z.atmanl.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmanl.nemsio + $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' + fhbeg=00 + ;; + nsfges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' + ;; + nsfgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm3.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm3.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghm3.nemsio' + ;; + nsfgm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm2.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm2.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghm2.nemsio' + ;; + nsfgm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm1.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm1.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghm1.nemsio' + ;; + nsfgp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp1.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp1.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghp1.nemsio' + ;; + nsfgp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp2.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp2.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghp2.nemsio' + ;; + nsfgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp3.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp3.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghp3.nemsio' + ;; + nsfcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcanl.nemsio + $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl.nemsio + $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' + fhbeg=00 + ;; + nstcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstanl.nemsio + $COMINgdas/gdas.t${cyc}z.nstanl.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstanl.nemsio + $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' + fhbeg=00 + ;; + nflges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' + ;; + nflgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$ghp3.nemsio + $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$ghp3.nemsio + $COMINgfs/gfs.t${cyc}z.flxf$ghp3.nemsio' + ;; + nflcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' + fhbeg=00 + ;; + esac +fi + +# Check validity of options. +if [[ $fhour != any ]];then + fhbeg=$fhour + fhend=$fhour +fi +if [[ $valid -lt 20000000 ]];then + valid=20$valid + echo '************************************************************' >&2 + echo '* WARNING: A 2-digit year was converted to a 4-digit year. *' >&2 + echo '* Please use full a 4-digit year in this utility. *' >&2 + echo '************************************************************' >&2 +fi +if [[ $($NDATE 0 $valid 2>/dev/null) != $valid ]];then + echo getges.sh: invalid date $valid >&2 + exit 2 +fi +if [[ -z "$geslist" ]];then + echo getges.sh: filetype $typef or resolution $resol not recognized >&2 + exit 2 +fi + +#------------------------------------------------------------------------------- +# Loop until guess is found. +fh=$fhbeg +if [ -z "$PDY" ];then echo "getges.sh WARNING: \$PDY variable not set" >&2; fi +while [[ $fh -le $fhend ]];do + ((fhm6=10#$fh-6)) + [[ $fhm6 -lt 10 && $fhm6 -ge 0 ]]&&fhm6=0$fhm6 + ((fhm5=10#$fh-5)) + [[ $fhm5 -lt 10 && $fhm5 -ge 0 ]]&&fhm5=0$fhm5 + ((fhm4=10#$fh-4)) + [[ $fhm4 -lt 10 && $fhm4 -ge 0 ]]&&fhm4=0$fhm4 + ((fhm3=10#$fh-3)) + [[ $fhm3 -lt 10 && $fhm3 -ge 0 ]]&&fhm3=0$fhm3 + ((fhm2=10#$fh-2)) + [[ $fhm2 -lt 10 && $fhm2 -ge 0 ]]&&fhm2=0$fhm2 + ((fhm1=10#$fh-1)) + [[ $fhm1 -lt 10 && $fhm1 -ge 0 ]]&&fhm1=0$fhm1 + ((fhp1=10#$fh+1)) + [[ $fhp1 -lt 10 ]]&&fhp1=0$fhp1 + ((fhp2=10#$fh+2)) + [[ $fhp2 -lt 10 ]]&&fhp2=0$fhp2 + ((fhp3=10#$fh+3)) + [[ $fhp3 -lt 10 ]]&&fhp3=0$fhp3 + gh=$fh;[[ $gh -lt 100 ]]&&gh=0$gh + ghm6=$fhm6;[[ $ghm6 -lt 100 ]]&&ghm6=0$ghm6 + ghm5=$fhm5;[[ $ghm5 -lt 100 ]]&&ghm5=0$ghm5 + ghm4=$fhm4;[[ $ghm4 -lt 100 ]]&&ghm4=0$ghm4 + ghm3=$fhm3;[[ $ghm3 -lt 100 ]]&&ghm3=0$ghm3 + ghm2=$fhm2;[[ $ghm2 -lt 100 ]]&&ghm2=0$ghm2 + ghm1=$fhm1;[[ $ghm1 -lt 100 ]]&&ghm1=0$ghm1 + ghp1=$fhp1;[[ $ghp1 -lt 100 ]]&&ghp1=0$ghp1 + ghp2=$fhp2;[[ $ghp2 -lt 100 ]]&&ghp2=0$ghp2 + ghp3=$fhp3;[[ $ghp3 -lt 100 ]]&&ghp3=0$ghp3 + id=$($NDATE -$fh $valid) + typeset -L8 day=$id + typeset -R2 cyc=$id + eval list=\$getlist$fh + [[ -z "$list" ]]&&list=${geslist} + for ges_var in $list;do + # Replace variables in guess with their values + eval ges_val=$ges_var + # Replace the current PDY with the valid date + ges=${ges_val/$PDY\//$day/} + [[ $quiet = NO ]]&&echo Checking: $ges >&2 + [[ -r $ges ]]&&break 2 + done + fh=$((10#$fh+10#$fhinc)) + [[ $fh -lt 10 ]]&&fh=0$fh +done +if [[ $fh -gt $fhend ]];then + echo getges.sh: unable to find $netwk.$envir.$typef.$resol.$valid >&2 + exit 8 +fi + +#------------------------------------------------------------------------------- +# Either copy guess to a file or write guess name to standard output. +if [[ -z "$gfile" ]];then + echo $ges + exit $? +else + cp $ges $gfile + exit $? +fi diff --git a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/getges_sig.sh b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/getges_sig.sh new file mode 100755 index 0000000..ee050d4 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/getges_sig.sh @@ -0,0 +1,3000 @@ +#!/bin/ksh +################################################################################ +# +# Name: getges.sh Author: Mark Iredell +# +# Abstract: +# This script copies the valid global guess file to a given file. +# Alternatively, it writes the name of the guess file to standard output. +# Specify option "-n network" for the job network (default global). +# Other options are gdas, gfs, cdas, mrf, prx, etc. +# Specify option "-e environment" for the job environment (default prod). +# Another option is test. +# Specify option "-f fhour" for the specific forecast hour wanted (default any). +# Specify option "-q" for quiet mode to turn off script messages. +# Specify option "-r resolution" for the resolution wanted (default high). +# Other options are 25464 17042, 12628, low, 6228, namopl, any. +# Specify option "-t filetype" for the filetype wanted from among these choices: +# sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3, +# sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3, +# biascr, satang, satcnt, gesfil +# pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3, +# sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl, +# enggrb, enggri, icegrb, icegri, snogrb, snogrb_high, snogri, sstgrb, sstgri. +# Specify option "-v valid" for the valid date wanted (default $CDATE). +# Currently, the valid hours specified must be a multiple of 3. +# Either 2-digit or 4-digit years are currently allowed. +# Specify positional argument to be the file to which to copy the guess. +# If missing, the NAME of the guess file is written to standard output. +# A nonzero return code from this script means either the arguments are invalid +# or the guess could not be found; a message is written to standard error in +# this case, but neither a file copy nor a standard output write will be done. +# The file returned is guaranteed to exist and be readable. +# The script uses the utility commands NDATE and NHOUR. +# +# Example 1. Copy the production sigma guess for 1998100100 to the file sges. +# getges.sh -e prod -t sigges -v 1998100100 sges +# +# Example 2. Assign the pressure grib guess for the date 1998100121. +# export CDATE=1998100121 +# export XLFUNIT_12="$(getges.sh -qt pgbges||echo /dev/null)" +# +# Example 3. Get the PRX pgb analysis or the best valid guess at 1998100112. +# getges -e prx -t pgbcur -v 1998100112 pgbfile +# +# Example 5. Get the 24-hour GFS forecast sigma file valid at 1998100112. +# getges -t sigcur -v 1998100112 -f 24 -e gfs sigfile +# +# History: 1996 December Iredell Initial implementation +# 1997 March Iredell Nine new filetypes +# 1997 April Iredell Two new filetypes and -f option +# 1997 December Iredell Four new filetypes +# 1998 April Iredell 4-digit year allowed; +# sigges internal date no longer checked +# 1998 May Iredell T170L42 defaulted; four new filetypes +# and two filetypes deleted +# 1998 June Rogers Nam types added +# 1998 September Iredell high is default resolution +# 2000 March Iredell Cdas and -n option +# 2000 June Iredell Eight new filetypes +# 2002 April Treadon T254L64 defaulted; add angle dependent +# bias correction file +# 2003 March Iredell GFS network out to 384 hours +# 2003 August Iredell Hourly global guesses +# 2005 September Treadon Add satellite data count file (satcnt) +# 2006 September Gayno Add high-res snow analysis +# 2009 January Rogers Added sfluxgrb file +# 2011 April Rogers Added GFS pg2ges file +# +################################################################################ +#------------------------------------------------------------------------------- +# Set some default parameters. +fhbeg=03 # hour to begin searching backward for guess +fhinc=03 # hour to increment backward in search +fhend=384 # hour to end searching backward for guess + +#------------------------------------------------------------------------------- +# Get options and arguments. +netwk=global # default network +envir=prod # default environment +fhour=any # default forecast hour +quiet=NO # default quiet mode +resol=high # default resolution +typef=sigges # default filetype +valid=${CDATE:-'?'} # default valid date +valid=$CDATE # default valid date +err=0 +while getopts n:e:f:qr:t:v: opt;do + case $opt in + n) netwk="$OPTARG";; + e) envir="$OPTARG";; + f) fhour="$OPTARG";; + q) quiet=YES;; + r) resol="$OPTARG";; + t) typef="$OPTARG";; + v) valid="$OPTARG";; + \?) err=1;; + esac +done +shift $(($OPTIND-1)) +gfile=$1 +if [[ -z $valid ]];then + echo "$0: either -v option or environment variable CDATE must be set" >&2 +elif [[ $# -gt 1 ]];then + echo "$0: too many positional arguments" >&2 +elif [[ $err -ne 0 ]];then + echo "$0: invalid option" >&2 +fi +if [[ $gfile = '?' || $# -gt 1 || $err -ne 0 || -z $valid ||\ + $netwk = '?' || $envir = '?' || $fhour = '?' || $resol = '?' ||\ + $typef = '?' || $valid = '?' ]];then + echo "Usage: getges.sh [-n network] [-e environment] [-f fhour] [-q] [-r resolution]" >&2 + echo " [-t filetype] [-v valid] [gfile]" >&2 + if [[ $netwk = '?' ]];then + echo " network choices:" >&2 + echo " global (default), namopl, gdas, gfs, cdas, etc." >&2 + elif [[ $envir = '?' ]];then + echo " environment choices:" >&2 + echo " prod (default), test, para, dump, prx" >&2 + echo " (some network values allowed for compatibility)" >&2 + elif [[ $fhour = '?' ]];then + echo " fhour is optional specific forecast hour" >&2 + elif [[ $resol = '?' ]];then + echo " resolution choices:" >&2 + echo " high (default), 25464, 17042, 12628, low, 6228, namopl, any" >&2 + elif [[ $typef = '?' ]];then + echo " filetype choices:" >&2 + echo " sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3," >&2 + echo " sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3," >&2 + echo " sfgges, sfggp3, biascr, satang, satcnt, gesfil" >&2 + echo " pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3," >&2 + echo " sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl," >&2 + echo " enggrb, enggri, icegrb, icegri, snogrb, snogri, sstgrb, sstgri," >&2 + echo " pg2cur, pg2ges, restrt" >&2 + elif [[ $valid = '?' ]];then + echo " valid is the valid date in yyyymmddhh or yymmddhh form" >&2 + echo " (default is environmental variable CDATE)" >&2 + elif [[ $gfile = '?' ]];then + echo " gfile is the guess file to write" >&2 + echo " (default is to write the guess file name to stdout)" >&2 + else + echo " (Note: set a given option to '?' for more details)" >&2 + fi + exit 1 +fi +#[[ $quiet = NO ]]&&set -x +if [[ $envir != prod && $envir != test && $envir != para && $envir != dump && $envir != pr? && $envir != dev ]];then + netwk=$envir + envir=prod + echo '************************************************************' >&2 + echo '* CAUTION: Using "-e" is deprecated in this case. *' >&2 + echo '* Please use "-n" instead. *' >&2 + echo '************************************************************' >&2 +fi +if [[ $netwk = namopl || $resol = namopl ]];then + netwk=namopl + typef=restrt + resol=namopl +fi +[[ $resol = 57464 || $resol = 38264 || $resol = 19064 || $resol = 25464 || $resol = 17042 || $resol = 12628 ]]&&resol=high +[[ $resol = 6228 ]]&&resol=low +resolsuf="" +[[ $resol == *deg ]]&&resolsuf=.$resol +fhbeg=$($NHOUR $valid) +[[ $fhbeg -le 0 ]]&&fhbeg=03 +((fhbeg=(10#$fhbeg-1)/3*3+3)) +[[ $fhbeg -lt 10 ]]&&fhbeg=0$fhbeg +if [[ $typef = enggrb ]];then + typef=icegrb + echo '************************************************************' >&2 + echo '* CAUTION: Using "-t enggrb" is now deprecated. *' >&2 + echo '* Please use "-t icegrb". *' >&2 + echo '************************************************************' >&2 +elif [[ $typef = enggri ]];then + typef=icegri + echo '************************************************************' >&2 + echo '* CAUTION: Using "-t enggri" is now deprecated. *' >&2 + echo '* Please use "-t icegri". *' >&2 + echo '************************************************************' >&2 +fi + +#------------------------------------------------------------------------------- +# Default top level directories. +export GETGES_COM=${GETGES_COM:-${COMROOT}} +export GETGES_NWG=${GETGES_NWG:-${GESROOT}} +export GETGES_GLO=${GETGES_GLO:-/gloptmp} + +#------------------------------------------------------------------------------- +# Assemble guess list in descending order from the best guess. +geslist="" +geslist00="" + +# GDAS +if [[ $netwk = gdas ]];then + fhend=12 + case $typef in + sigges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias' + ;; + biascr_pc) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias_pc' + ;; + biascr_air) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias_air' + ;; + radstat) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.radstat' + ;; + satang) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh' + ;; + sfggp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fhp3' + ;; + pgbges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh' + ;; + pg2ges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2f$fh$resolsuf' + ;; + pgiges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2f$fh$resolsuf' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574.1152.576' + fhbeg=00 + fhinc=06 + ;; + snogrb_1534) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t1534.3072.1536' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# CFS-CDAS +elif [[ $netwk = cfs-cdas ]];then + fhend=12 + case $typef in + sigges) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fh}.LIS + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhm3}.LIS + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhm2}.LIS + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhm1}.LIS + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhp1}.LIS + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhp2}.LIS + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhp3}.LIS + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.abias' + ;; + satang) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sfluxgrbf$fh' + ;; + sfggp3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sfluxgrbf$fhp3' + ;; + pgbges) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fhm6 + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fhm6 + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fhm3 + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fhm3 + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fhp3 + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fhp3 + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# GFS +elif [[ $netwk = gfs ]];then + fhend=384 + case $typef in + sigges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' + ;; + satang) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' + ;; + sfggp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3' + ;; + pgbges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + ;; + pg2ges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f0$fh$resolsuf' + ;; + pgiges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f0$fh$resolsuf' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# GFS +elif [[ $netwk = gfs ]];then + fhend=126 + case $typef in + sigges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' + ;; + satang) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' + ;; + sfggp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3' + ;; + pgbges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + echo '************************************************************' >&2 + echo '* CAUTION: Using "-n gfs" is now deprecated. *' >&2 + echo '* Please use "-n gfs". *' >&2 + echo '************************************************************' >&2 + +# CDAS +elif [[ $netwk = cdas ]];then + fhbeg=06 + fhend=06 + case $typef in + sigges) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.abias' + ;; + satang) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# CDC CDAS +elif [[ $netwk = cdc ]];then + fhbeg=06 + fhend=06 + case $typef in + sigges) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.abias' + ;; + satang) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# MRF +elif [[ $netwk = mrf ]];then + fhend=384 + case $typef in + sigges) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias' + ;; + satang) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + echo '************************************************************' >&2 + echo '* CAUTION: Using "-n mrf" is now deprecated. *' >&2 + echo '* Please use "-n gfs". *' >&2 + echo '************************************************************' >&2 + +# PRZ +elif [[ $netwk = prz ]];then + fhend=384 + case $typef in + sigges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.abias' + ;; + satang) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm6 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm6 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + echo '************************************************************' >&2 + echo '* CAUTION: Using "-n prz" is now deprecated. *' >&2 + echo '* Please use "-n gfs". *' >&2 + echo '************************************************************' >&2 + +# High resolution production +elif [[ $netwk = global && $resol = high ]];then + case $typef in + sigges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.abias + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' + fhbeg=06 + fhinc=06 + ;; + satang) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satang + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satang + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' + fhbeg=06 + fhinc=06 + ;; + satcnt) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satcnt + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satcnt + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' + fhbeg=06 + fhinc=06 + ;; + gesfil) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.gesfile + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.gesfile + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' + ;; + sfggp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3' + ;; + pgbges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' + ;; + pg2ges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh' + ;; + pg2gm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6' + ;; + pg2gm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3' + ;; + pg2gp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3' + ;; + sigcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sanl + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sanl + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sanl + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# Low resolution production +elif [[ $netwk = global && $resol = low ]];then + case $typef in + sigges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm2 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm1 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp1 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp2 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm2 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm1 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp1 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp2 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.abias + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.abias + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.abias + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.abias' + fhbeg=06 + fhinc=06 + ;; + satang) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.satang + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satang + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.satang + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.satang' + fhbeg=06 + fhinc=06 + ;; + satcnt) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.satcnt + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satcnt + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.satcnt + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.satcnt' + fhbeg=06 + fhinc=06 + ;; + gesfil) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.gesfile + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.gesfile + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.gesfile + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' + ;; + pgbges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm6 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm6 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm6 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm6 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhp3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhp3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhp3' + ;; + pg2ges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh' + ;; + pg2gm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6' + ;; + pg2gm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3' + ;; + pg2gp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3' + ;; + sigcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sanl + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sanl + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sanl + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sfcanl + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sfcanl + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sfcanl + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + esac + +# Any resolution production +elif [[ $netwk = global && $resol = any ]];then + case $typef in + sigges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.abias + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' + fhbeg=06 + fhinc=06 + ;; + satang) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satang + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satang + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' + fhbeg=06 + fhinc=06 + ;; + satcnt) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satcnt + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satcnt + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' + fhbeg=06 + fhinc=06 + ;; + gesfil) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.gesfile + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.gesfile + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' + ;; + pgbges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhp3 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3' + ;; + pg2ges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh' + ;; + pg2gm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6' + ;; + pg2gm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3' + ;; + pg2gp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3' + ;; + sigcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sanl + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sanl + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sanl + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# Early nam-32 resolution +elif [[ $netwk = namopl && $resol = namopl ]];then + fhbeg=03 + fhinc=03 + fhend=12 + case $typef in + restrt) geslist=' + $GETGES_NWG/$envir/nam.$day/nam.t${cyc}z.restrt$fh.tm00' + ;; + esac +fi + +# Global parallel +if [[ $envir = dump || $envir = pr? ]];then + fhend=384 + if [[ $netwk = global ]];then + case $typef in + sigges) geslist=' + $GETGES_GLO/$envir/sigf$fh.gdas.$id + $GETGES_GLO/$envir/sigf$fh.gfs.$id' + ;; + siggm3) geslist=' + $GETGES_GLO/$envir/sigf$fhm3.gdas.$id + $GETGES_GLO/$envir/sigf$fhm3.gfs.$id' + ;; + siggm2) geslist=' + $GETGES_GLO/$envir/sigf$fhm2.gdas.$id + $GETGES_GLO/$envir/sigf$fhm2.gfs.$id' + ;; + siggm1) geslist=' + $GETGES_GLO/$envir/sigf$fhm1.gdas.$id + $GETGES_GLO/$envir/sigf$fhm1.gfs.$id' + ;; + siggp1) geslist=' + $GETGES_GLO/$envir/sigf$fhp1.gdas.$id + $GETGES_GLO/$envir/sigf$fhp1.gfs.$id' + ;; + siggp2) geslist=' + $GETGES_GLO/$envir/sigf$fhp2.gdas.$id + $GETGES_GLO/$envir/sigf$fhp2.gfs.$id' + ;; + siggp3) geslist=' + $GETGES_GLO/$envir/sigf$fhp3.gdas.$id + $GETGES_GLO/$envir/sigf$fhp3.gfs.$id' + ;; + sfcges) geslist=' + $GETGES_GLO/$envir/sfcf$fh.gdas.$id + $GETGES_GLO/$envir/sfcf$fh.gfs.$id' + ;; + sfcgm3) geslist=' + $GETGES_GLO/$envir/sfcf$fhm3.gdas.$id + $GETGES_GLO/$envir/sfcf$fhm3.gfs.$id' + ;; + sfcgm2) geslist=' + $GETGES_GLO/$envir/sfcf$fhm2.gdas.$id + $GETGES_GLO/$envir/sfcf$fhm2.gfs.$id' + ;; + sfcgm1) geslist=' + $GETGES_GLO/$envir/sfcf$fhm1.gdas.$id + $GETGES_GLO/$envir/sfcf$fhm1.gfs.$id' + ;; + sfcgp1) geslist=' + $GETGES_GLO/$envir/sfcf$fhp1.gdas.$id + $GETGES_GLO/$envir/sfcf$fhp1.gfs.$id' + ;; + sfcgp2) geslist=' + $GETGES_GLO/$envir/sfcf$fhp2.gdas.$id + $GETGES_GLO/$envir/sfcf$fhp2.gfs.$id' + ;; + sfcgp3) geslist=' + $GETGES_GLO/$envir/sfcf$fhp3.gdas.$id + $GETGES_GLO/$envir/sfcf$fhp3.gfs.$id' + ;; + biascr) geslist=' + $GETGES_GLO/$envir/biascr.gdas.$id + $GETGES_GLO/$envir/biascr.gfs.$id' + fhbeg=06 + fhinc=06 + ;; + satang) geslist=' + $GETGES_GLO/$envir/satang.gdas.$id + $GETGES_GLO/$envir/satang.gfs.$id' + fhbeg=06 + fhinc=06 + ;; + satcnt) geslist=' + $GETGES_GLO/$envir/satcnt.gdas.$id + $GETGES_GLO/$envir/satcnt.gfs.$id' + fhbeg=06 + fhinc=06 + ;; + gesfil) geslist=' + $GETGES_GLO/$envir/gesfile.gdas.$id + $GETGES_GLO/$envir/gesfile.gfs.$id' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $GETGES_GLO/$envir/pgbf$fh.gdas.$id + $GETGES_GLO/$envir/pgbf$fh.gfs.$id' + ;; + pgbgm6) geslist=' + $GETGES_GLO/$envir/pgbf$fhm6.gdas.$id + $GETGES_GLO/$envir/pgbf$fhm6.gfs.$id' + ;; + pgbgm3) geslist=' + $GETGES_GLO/$envir/pgbf$fhm3.gdas.$id + $GETGES_GLO/$envir/pgbf$fhm3.gfs.$id' + ;; + pgbgp3) geslist=' + $GETGES_GLO/$envir/pgbf$fhp3.gdas.$id + $GETGES_GLO/$envir/pgbf$fhp3.gfs.$id' + ;; + sigcur) geslist=' + $GETGES_GLO/$envir/sigf$fh.gdas.$id + $GETGES_GLO/$envir/sigf$fh.gfs.$id' + getlist00=' + $GETGES_GLO/$envir/siganl.gdas.$id + $GETGES_GLO/$envir/siganl.gfs.$id' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_GLO/$envir/sfcf$fh.gdas.$id + $GETGES_GLO/$envir/sfcf$fh.gfs.$id' + getlist00=' + $GETGES_GLO/$envir/sfcanl.gdas.$id + $GETGES_GLO/$envir/sfcanl.gfs.$id' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_GLO/$envir/pgbf$fh.gdas.$id + $GETGES_GLO/$envir/pgbf$fh.gfs.$id' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_GLO/$envir/prepqc.gdas.$id + $GETGES_GLO/$envir/prepqc.gfs.$id' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_GLO/$envir/tcvitl.gdas.$id + $GETGES_GLO/$envir/tcvitl.gfs.$id' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_GLO/$envir/tcvitl.gdas.$id + $GETGES_GLO/$envir/tcvitl.gfs.$id' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_GLO/$envir/tcvitl.gdas.$id + $GETGES_GLO/$envir/tcvitl.gfs.$id' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_GLO/$envir/icegrb.gdas.$id + $GETGES_GLO/$envir/icegrb.gfs.$id' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_GLO/$envir/snogrb.gdas.$id + $GETGES_GLO/$envir/snogrb.gfs.$id' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_GLO/$envir/sstgrb.gdas.$id + $GETGES_GLO/$envir/sstgrb.gfs.$id' + fhbeg=00 + fhinc=06 + ;; + esac + else + case $typef in + sigges) geslist=' + $GETGES_GLO/$envir/sigf$fh.$netwk.$id' + ;; + siggm3) geslist=' + $GETGES_GLO/$envir/sigf$fhm3.$netwk.$id' + ;; + siggm2) geslist=' + $GETGES_GLO/$envir/sigf$fhm2.$netwk.$id' + ;; + siggm1) geslist=' + $GETGES_GLO/$envir/sigf$fhm1.$netwk.$id' + ;; + siggp1) geslist=' + $GETGES_GLO/$envir/sigf$fhp1.$netwk.$id' + ;; + siggp2) geslist=' + $GETGES_GLO/$envir/sigf$fhp2.$netwk.$id' + ;; + siggp3) geslist=' + $GETGES_GLO/$envir/sigf$fhp3.$netwk.$id' + ;; + sfcges) geslist=' + $GETGES_GLO/$envir/sfcf$fh.$netwk.$id' + ;; + sfcgm3) geslist=' + $GETGES_GLO/$envir/sfcf$fhm3.$netwk.$id' + ;; + sfcgm2) geslist=' + $GETGES_GLO/$envir/sfcf$fhm2.$netwk.$id' + ;; + sfcgm1) geslist=' + $GETGES_GLO/$envir/sfcf$fhm1.$netwk.$id' + ;; + sfcgp1) geslist=' + $GETGES_GLO/$envir/sfcf$fhp1.$netwk.$id' + ;; + sfcgp2) geslist=' + $GETGES_GLO/$envir/sfcf$fhp2.$netwk.$id' + ;; + sfcgp3) geslist=' + $GETGES_GLO/$envir/sfcf$fhp3.$netwk.$id' + ;; + biascr) geslist=' + $GETGES_GLO/$envir/biascr.$netwk.$id' + fhbeg=06 + fhinc=06 + ;; + satang) geslist=' + $GETGES_GLO/$envir/satang.$netwk.$id' + fhbeg=06 + fhinc=06 + ;; + satcnt) geslist=' + $GETGES_GLO/$envir/satcnt.$netwk.$id' + fhbeg=06 + fhinc=06 + ;; + gesfil) geslist=' + $GETGES_GLO/$envir/gesfile.$netwk.$id' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $GETGES_GLO/$envir/pgbf$fh.$netwk.$id' + ;; + pgbgm6) geslist=' + $GETGES_GLO/$envir/pgbf$fhm6.$netwk.$id' + ;; + pgbgm3) geslist=' + $GETGES_GLO/$envir/pgbf$fhm3.$netwk.$id' + ;; + pgbgp3) geslist=' + $GETGES_GLO/$envir/pgbf$fhp3.$netwk.$id' + ;; + sigcur) geslist=' + $GETGES_GLO/$envir/sigf$fh.$netwk.$id' + getlist00=' + $GETGES_GLO/$envir/siganl.$netwk.$id' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_GLO/$envir/sfcf$fh.$netwk.$id' + getlist00=' + $GETGES_GLO/$envir/sfcanl.$netwk.$id' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_GLO/$envir/pgbf$fh.$netwk.$id' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_GLO/$envir/prepqc.$netwk.$id' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_GLO/$envir/tcvitl.$netwk.$id' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_GLO/$envir/tcvitl.$netwk.$id' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_GLO/$envir/tcvitl.$netwk.$id' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_GLO/$envir/icegrb.$netwk.$id' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_GLO/$envir/snogrb.$netwk.$id' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_GLO/$envir/sstgrb.$netwk.$id' + fhbeg=00 + fhinc=06 + ;; + esac + fi +fi + +#------------------------------------------------------------------------------- +# Check validity of options. +if [[ $fhour != any ]];then + fhbeg=$fhour + fhend=$fhour +fi +if [[ $valid -lt 20000000 ]];then + valid=20$valid + echo '************************************************************' >&2 + echo '* CAUTION: A 2-digit year was converted to a 4-digit year. *' >&2 + echo '* Please use full a 4-digit year in this utility. *' >&2 + echo '************************************************************' >&2 +elif [[ $valid -lt 100000000 ]];then + valid=19$valid + echo '************************************************************' >&2 + echo '* CAUTION: A 2-digit year was converted to a 4-digit year. *' >&2 + echo '* Please use full a 4-digit year in this utility. *' >&2 + echo '************************************************************' >&2 +fi +if [[ $($NDATE 0 $valid 2>/dev/null) != $valid ]];then + echo getges.sh: invalid date $valid >&2 + exit 2 +fi +if [[ -z $geslist ]];then + echo getges.sh: filetype $typef or resolution $resol not recognized >&2 + exit 2 +fi + +#------------------------------------------------------------------------------- +# Loop until guess is found. +fh=$fhbeg +while [[ $fh -le $fhend ]];do + ((fhm6=10#$fh-6)) + [[ $fhm6 -lt 10 && $fhm6 -ge 0 ]]&&fhm6=0$fhm6 + ((fhm3=10#$fh-3)) + [[ $fhm3 -lt 10 && $fhm3 -ge 0 ]]&&fhm3=0$fhm3 + ((fhm2=10#$fh-2)) + [[ $fhm2 -lt 10 && $fhm2 -ge 0 ]]&&fhm2=0$fhm2 + ((fhm1=10#$fh-1)) + [[ $fhm1 -lt 10 && $fhm1 -ge 0 ]]&&fhm1=0$fhm1 + ((fhp1=10#$fh+1)) + [[ $fhp1 -lt 10 ]]&&fhp1=0$fhp1 + ((fhp2=10#$fh+2)) + [[ $fhp2 -lt 10 ]]&&fhp2=0$fhp2 + ((fhp3=10#$fh+3)) + [[ $fhp3 -lt 10 ]]&&fhp3=0$fhp3 + id=$($NDATE -$fh $valid) + typeset -L8 day=$id + typeset -R2 cyc=$id + eval list=\$getlist$fh + [[ -z $list ]]&&list=${geslist} + for gestest in $list;do + eval ges=$gestest + [[ $quiet = NO ]]&&echo Checking: $ges >&2 + [[ -r $ges ]]&&break 2 + done + fh=$((10#$fh+10#$fhinc)) + [[ $fh -lt 10 ]]&&fh=0$fh +done +if [[ $fh -gt $fhend ]];then + echo getges.sh: unable to find $netwk.$envir.$typef.$resol.$valid >&2 + exit 8 +fi + +#------------------------------------------------------------------------------- +# Either copy guess to a file or write guess name to standard output. +if [[ -z "$gfile" ]];then + echo $ges + exit $? +else + cp $ges $gfile + exit $? +fi diff --git a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcbufr.sh b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcbufr.sh new file mode 100755 index 0000000..4448067 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcbufr.sh @@ -0,0 +1,127 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + + +# This script performs rawinsonde upper-air complex quality control checking +# +# It is normally executed by the script prepobs_makeprepbufr.sh +# but can also be executed from a checkout parent script +# -------------------------------------------------------------------------- + +set -aux + +qid=$$ + +# Positional parameters passed in: +# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr +# file upon successful completion of this script (note that input +# prepbufr file is NOT saved by this script) + +# Imported variables that must be passed in: +# DATA - path to working directory +# CQCS - path to PREPOBS_CQCBUFR program statbge file +# CQCX - path to PREPOBS_CQCBUFR program executable +# CQCC - path to PREPOBS_CQCBUFR program parm cards + +# Imported variables that can be passed in: +# pgmout - string indicating path to for standard output file +# (skipped over by this script if not passed in) +# PRPI_m24 - string indicating path to prepbufr file valid 24-hours previous +# (only needed if temporal checking is being done) +# (skipped over by this script if not passed in) +# PRPI_m12 - string indicating path to prepbufr file valid 12-hours previous +# (only needed if temporal checking is being done) +# (skipped over by this script if not passed in) +# PRPI_p12 - string indicating path to prepbufr file valid 12-hours ahead +# (only needed if temporal checking is being done) +# (skipped over by this script if not passed in) +# PRPI_p24 - string indicating path to prepbufr file valid 24-hours ahead +# (only needed if temporal checking is being done) +# (skipped over by this script if not passed in) + +cd $DATA +PRPI=$1 +if [ ! -s $PRPI ] ; then exit 1 ;fi + +cp /dev/null $DATA/prepbufr_m24 +cp /dev/null $DATA/prepbufr_m12 +cp /dev/null $DATA/prepbufr_p12 +cp /dev/null $DATA/prepbufr_p24 + +set +u +[ -n "$PRPI_m24" ] && cp $PRPI_m24 prepbufr_m24 +[ -n "$PRPI_m12" ] && cp $PRPI_m12 prepbufr_m12 +[ -n "$PRPI_p12" ] && cp $PRPI_p12 prepbufr_p12 +[ -n "$PRPI_p24" ] && cp $PRPI_p24 prepbufr_p24 +set -u + +rm $PRPI.cqcbufr +rm cqc_events cqc_stncnt cqc_stnlst + +pgm=`basename $CQCX` +if [ -s $DATA/prep_step ]; then + set +u + . $DATA/prep_step + set -u +else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +fi + +export FORT4=cqcbufr.unit04.wrk +export FORT12=cqc_events +export FORT14=$PRPI +export FORT15=cqc_stncnt +export FORT16=cqc_stnlst +export FORT17=prepbufr_m24 +export FORT18=prepbufr_m12 +export FORT19=prepbufr_p12 +export FORT20=prepbufr_p24 +export FORT22=cqc_wndpbm +export FORT23=$CQCS +export FORT51=$PRPI.cqcbufr +export FORT52=cqc_sdm +export FORT60=cqcbufr.unit60.wrk +export FORT61=cqcbufr.unit61.wrk +export FORT62=cqcbufr.unit62.wrk +export FORT64=cqcbufr.unit64.wrk +export FORT68=cqc_radcor +export FORT80=cqcbufr.unit80.wrk +TIMEIT=${TIMEIT:-""} +[ -s $DATA/time ] && TIMEIT="$DATA/time -p" +# The following improves performance on Cray-XC40 if $CQCX was +# linked to the IOBUF i/o buffering library +export IOBUF_PARAMS='*wrk:verbose,*cqc_*:verbose' +$TIMEIT $CQCX< $CQCC > outout 2> errfile +err=$? +unset IOBUF_PARAMS +###cat errfile +cat errfile >> outout +cat outout >> cqcbufr.out +set +u +[ -n "$pgmout" ] && cat outout >> $pgmout +set -u +rm outout +set +x +echo +echo 'The foreground exit status for PREPOBS_CQCBUFR is ' $err +echo +set -x +if [ -s $DATA/err_chk ]; then + $DATA/err_chk +else + if test "$err" -gt '0' + then +######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out + # in ops + exit 55 + fi +fi + +if [ "$err" -gt '0' ]; then + exit 9 +else + mv $PRPI.cqcbufr $PRPI +fi + +exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcvad.sh b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcvad.sh new file mode 100755 index 0000000..bb8f950 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcvad.sh @@ -0,0 +1,101 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + + +# This script performs VAD wind complex quality control checking +# +# It is normally executed by the script prepobs_makeprepbufr.sh +# but can also be executed from a checkout parent script +# -------------------------------------------------------------- + +set -aux + +qid=$$ + +# Positional parameters passed in: +# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr +# file upon successful completion of this script (note that input +# prepbufr file is NOT saved by this script) +# 2 - ncep production date (YYYYMMDDHH) + +# Imported variables that must be passed in: +# DATA - path to working directory +# VQCX - path to PREPOBS_CQCVAD program executable + +# Imported variables that can be passed in: +# pgmout - string indicating path to for standard output file (skipped +# over by this script if not passed in) + +cd $DATA +PRPI=$1 +if [ ! -s $PRPI ] ; then exit 1;fi +CDATE10=$2 + +set +x +cat <<\EOFc > cqcvad05 + &NAMLST + HONOR_FLAGS=TRUE, ! If TRUE then levels with bad q.m. flags are honored + PRINT_52=TRUE, ! If TRUE then writes bird quality control information + ! to unit 52 + PRINT_53=FALSE, ! If TRUE then writes a final report listing with q.c. + ! information to unit 53 + PRINT_60=FALSE, ! If TRUE then writes event information to unit 60 + TEST=FALSE ! If TRUE then writes diagnostic print to stdout (unit 06) + / +EOFc +set -x + +rm $PRPI.cqcvad + +pgm=`basename $VQCX` +if [ -s $DATA/prep_step ]; then + set +u + . $DATA/prep_step + set -u +else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +fi + +export FORT11=$PRPI +echo "$CDATE10" > cdate10.dat +export FORT14=cdate10.dat +export FORT51=$PRPI.cqcvad +export FORT52=cqcvad.birdqc +export FORT53=cqcvad.unit53.wrk +export FORT55=cqcvad.unit55.wrk +export FORT60=cqcvad.unit60.wrk +TIMEIT=${TIMEIT:-""} +[ -s $DATA/time ] && TIMEIT="$DATA/time -p" +$TIMEIT $VQCX < cqcvad05 > outout 2> errfile +err=$? +###cat errfile +cat errfile >> outout +cat outout >> cqcvad.out +set +u +[ -n "$pgmout" ] && cat outout >> $pgmout +set -u +rm outout +set +x +echo +echo 'The foreground exit status for PREPOBS_CQCVAD is ' $err +echo +set -x +if [ -s $DATA/err_chk ]; then + $DATA/err_chk +else + if test "$err" -gt '0' + then +######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out + # in ops + exit 55 + fi +fi + +if [ "$err" -gt '0' ]; then + exit 9 +else + mv $PRPI.cqcvad $PRPI +fi + +exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_makeprepbufr.sh b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_makeprepbufr.sh new file mode 100755 index 0000000..ff85639 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_makeprepbufr.sh @@ -0,0 +1,2466 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + +#### UNIX Script Documentation Block +# +# Script name: prepobs_makeprepbufr.sh +# Script description: Prepares & quality controls PREPBUFR file +# +# Author: Keyser Org: EMC Date: 2017-04-20 +# +# Abstract: This script creates the PREPBUFR file containing observational data +# assimilated by all versions of NCEP atmospheric analyses. It points to BUFR +# observational data dumps as input files. PREPOBS_PREPDATA combines them to +# generate an initial form of the PREPBUFR file which also contains the +# background guess as well as the observational error field. If tropical +# cyclone relocation processing has previously occurred, the background global +# guess read in by PREPOBS_PREPDATA (and later by SYNDAT_SYNDATA if it runs) +# is the relocated guess valid at the center date/time for the PREPBUFR +# processing. Otherwise it is the regular (unrelocated) global atmosperic +# guess obtained via the getges utility script. After PREPOBS_PREPDATA runs, +# this script can execute SYNDAT_SYNDATA to generate synthetic wind bogus +# data, as well as their background guess and observational error fields, +# which are appended to the PREPBUFR file. +# +# In the global networks the decision to append synthetic wind bogus data in +# the SYNDATA processing is determined by the outcome of the previous +# tropical cyclone relocation processing (if it was run). There are three +# possible outcomes: +# 1) If all storms in the original tcvitals file have vorticies of at +# least medium intensity such that a relocation was previously +# performed for each, then SYNDAT_SYNDATA will still run but will not +# append synthetic wind bogus data to the PREPBUFR file for any storm. +# It will input the original tcvitals file (output from qctropcy +# processing) and (if the option is set) it will flag dropwinsonde +# winds in the vicinity of each tropical storm in the file. +# 2) If all storms in the original tcvitals file (output from qctropcy +# processing) have weak vorticies such that a relocation was not +# previously performed for any, then SYNDAT_SYNDATA will run, inputting +# the original tcvitals file, and it will append synthetic wind bogus +# data to the PREPBUFR file for each storm in it. It will also +# possibly flag mass pressure and/or dropwinsonde wind reports in the +# vicinity of each storm (if requested). +# 3) If some storms in the original tcvitals file (output from qctropcy +# processing) have weak vorticies, such that a relocation was not +# previously performed for them, and others have vorticies of at least +# medium intensity, such that a relocation was previously performed for +# these, then SYNDAT_SYNDATA will run twice. The first time, it will +# input the relocation-generated tcvitals file, which contains all of +# the weak storms, and it will append synthetic wind bogus data to the +# PREPBUFR file for each storm in it. It will also possibly flag mass +# pressure and/or dropwinsonde wind reports in the vicinity of each of +# these storms (if requested). The second time SYNDAT_SYNDATA runs, it +# will input any storm records that were in the original tcvitals file +# but not in the relocation-generated tcvitals file (i.e., storms with +# vorticies of at least medium intensity). It will not append +# synthetic wind bogus data to the PREPBUFR file for any of these +# storms, but it will flag dropwinsonde winds in the vicinity of each +# storm in the original tcvitals file but not in the relocation- +# generated tcvitals file (if requested). +# If this is the nam network, the only reason relocation processing would +# have been previously run would be to update the first guess read in here by +# PREPOBS_PREPDATA and SYNDAT_SYNDATA. In this case, SYNDAT_SYNDATA inputs +# the original tcvitals file (output from qctropcy processing), appends +# synthetic wind bogus data to the PREPBUFR file for each storm in it, and +# possibly also flags mass pressure and/or dropwinsonde wind reports in the +# vicinity of each storm in the file (if requested). +# +# After all of this, the script then executes a series of quality control +# programs which can change the observation value and/or its quality marker. +# The PREPBUFR file is set up such that all changes to data are stacked on +# top of previous values. Such changes are considered to be "events", with +# the event containing an associated program code and reason code to describe +# it. This allows the PREPBUFR file to internally contain a record of all +# events preformed on the observations. This script has been designed to be +# executed by either an "operational J-job" script, a "test J-job" script, a +# "parallel J-job" script, or a stand-alone batch run initiated by a user. +# +# Script history log: +# 1999-07-20 Dennis A. Keyser -- Original version for implementation +# 2000-06-14 Dennis A. Keyser -- Added the tropical cyclone relocation +# processing +# 2001-03-20 Dennis A. Keyser -- Now gets tcvitals file for t-12 as well as +# t-06 in tropical cyclone relocation processing and passes both to ush +# relocate_relocate_ts.sh as new pos. parameters 3 and 4 +# 2004-01-15 Dennis A. Keyser -- Reads file *aircar_status_flag* in $COMSP +# path to see whether ARINC (primary) or AFWA (backup) reports in AIRCAR +# dump should be read and processed as ACARS data in PREPBUFR (flag file +# generated in upstream dump process and is based on a comparison of report +# counts), inserts proper switch in parm cards read by PREPOBS_PREPDATA +# program +# 2004-08-30 Dennis A. Keyser -- Connects new data dumps to PREPDATA +# processing, copies t-3 and t+3 sigma guess files for GFS and GDAS even if +# DO_RELOCATE = NO (unless GETGUESS = NO); Copies the "PRE-QC" snapshot of +# the PREPBUFR file AFTER SYNDAT_SYNDATA runs (if it does) rather than +# before it runs (ensures that the PRE-QC PREPBUFR file contains ALL of the +# observations); Variable PRVT (observational error table file path) is now +# read by NAM network and defaults to $FIXPREP/prepobs_errtable.nam if not +# imported (obs. errors are now read into PREPBUFR file in NAM network in +# preparation for the switch to the GSI analysis, the operational 3DVAR +# analysis ignores the obs errors in PREPBUFR and still reads them in from +# $PARMPREP/nam_errtable.r3dv) +# 2005-07-01 Dennis A. Keyser -- Logic changes to run SYNDAT_SYNDATA in all +# networks where requested regardless of outcome of relocation processing, +# but sets new script variable DO_BOGUS to NO if SYNDAT_SYNDATA is to NOT +# generate synthetic wind bogus reports and append them to PREPBUFR file +# (SYNDAT_SYNDATA program also modified to read in this variable) - change +# needed because (if requested) SYNDAT_SYNDATA will now flag all +# dropwinsonde wind reports in vicinity of each storm in original tcvitals +# file, regardless of whether or not bogus winds are generated +# 2006-03-22 Dennis A. Keyser -- Accounts for possibility of split dump status +# files (status1 and status2) at the time this runs {in the test for the +# presence of the dump status file(s)} +# 2006-06-13 Dennis A. Keyser -- Adds dump file "wdsatr" to default BUFRLIST +# value and assigns it to unit 38 read in to PREPOBS_PREPDATA; Removed +# tropical cyclone relocation processing, this is now done (if requested) +# in a new script called tropcy_relocate.sh which runs in the new +# TROPCY_QC_RELOC job prior to the PREP job that executes this script +# (TROPCY_QC_RELOC first performs qctropcy processing, this was moved from +# the DUMP job) - this was done to allow the TROPCY_QC_RELOC job to run at +# the same time as the DUMP job in order to speed up overall obs +# processing and remove variability in the PREP job executing this script +# (i.e., this job had run faster when no tropical storms were present) +# 2007-09-14 Dennis A. Keyser -- Replaced "export XLFUNIT_xx=" with +# "ln .sf fort.xx" in preparation for PREPOBS_PREPDATA +# interfacing with global spectral guess files using sigio routines (via +# W3LIB routine GBLEVENTS - sigio requires explicit open statements in the +# code and this conflicts with XLFUNIT statements; Removed test on +# existence of status2 file from NAM_DUMP2 and NDAS_DUMP2 jobs since dump +# files here (currently only "nexrad") are not processed into the PREPBUFR +# file - PREP job initiation may soon no longer be dependent upon +# completion of DUMP2 job in NAM and NDAS networks; in the case where an +# input (normally, pre-QC) PREPBUFR file is passed into the script via the +# variable PREPBUFR_IN, it had been assumed that this file had already +# been run through SYNDATA processing (but that was not the case prior to +# 12Z 25 Jan 2005) - this script changed to use the value of variable +# SYNDATA to determine if the file in PREPBUFR_IN should be run through +# SYNDATA processing (i.e., if SYNDATA=YES, run it through SYNDATA +# processing) (this change will allow reanalysis runs prior to 12Z 25 Jan +# 2005 to work properly) +# 2008-09-25 Dennis A. Keyser -- Added dump file "ascatw" to default BUFRLIST +# value and assigns it to unit 39 read in to PREPOBS_PREPDATA; in +# preparation for future NRL aircraft QC code NRLACQC, added new script +# variables NRLACQC (def=NO), USHNQC (def=${HOMEALL}/ush), NQCX +# (def=$EXECPREP/prepobs_nrlacqc) and NQCC +# (def=$PARMPREP/prepobs_nrlacqc.${NET}.parm"), if NRLACQC=YES will +# execute script USHNQC to perform NRL aircraft QC (not yet ready) +# 2011-10-14 D.A. Keyser -- Updated to handle new "rap" (Rapid Refresh) +# network and its model runs "rap", "rap_p" and "rap_e" +# 2012-05-09 S. Bender/D. Keyser -- Removed all prior references to "NRL" +# aircraft QC script variables (never actually used) since the NRL +# aircraft QC nomenclature is being dropped in place of the existing +# PREPACQC nomenclature and will use its existing script variables; +# removed all references to the ACARSQC processing since it is no longer +# executed (ACARS QC is now performed within the revamped PREPACQC +# processing); removed script variables no longer used by the new version +# of the PREPACQC processing; added new script variables which are +# associated with the new program PREPOBS_PREPACPF which now runs as a +# second program within the PREPACQC processing (after program +# PREPOBS_PREPACQC) in the ush script prepobs_prepacqc.sh {PROCESS_ACQC +# (def=YES), PROCESS_ACPF (def=YES), DICTPREP (def=$HOMEALL/dictionaries), +# DICT (def=$DICTPREP/metar.tbl), APFX (def=$EXECPREP/prepobs_prepacpf), +# and the new second argument "$DATA/adpsfc" passed to +# prepobs_prepacqc.sh} +# 2013-03-05 D.A. Keyser -- Modified to properly run on WCOSS system: replaced +# all usage of "timex" with "time -p."; replaced script variables +# XLFUNIT_n with FORTn (where n is the unit number connected to the +# filename defined by the variable FORTn) - needed because ifort uses +# FORTn; script is now set to run under ksh shell as the default; added +# script variable "BACK" which, when YES, threads the mp_prepdata herefile +# into background shells that run simultaneously (an alternative option +# to poe which is not ready on WCOSS); touches all dump files not included +# in BUFRLIST so that they will not cause a read error if PREPOBS_PREPDATA +# tries to read them +# 2014-01-15 S. Melchior -- Placed into new OBSPROC_PREP vertical directory +# structure/environmental equivalence paradigm. As a result: imports new +# environment variable $HOMEobsproc_prep which points to directory path for +# generic prep subdirectories under version control (in production this is +# normally /nwprod/obsproc_prep.vX.Y.Z where X.Y.Z is version number being +# used, usually the latest); and imports new environment variable +# $HOMEobsproc_network which points to directory path for network-specific +# prep subdirectories under version control (in production this is normally +# /nwprod//obsproc_NETWORK.vX.Y.Z where NETWORK is, e.g., global, namp, rap, +# rtma, urma, and X.Y.Z is version number being used, usually the latest) - +# these replace /nw${envir} in order to point to files moved from +# horizontal to vertical directory structure. +# 2014-02-25 D.A. Keyser -- Removed all references to RUC. Removed option +# to run on MACHINE=sgi - this is obsolete (as a result variables $MACHINE +# and $HOMEALL are no longer used in this script). Replaced variable +# $EXECUTIL with $utilexec for directory path to utility program ndate +# (both were exported from job scripts with same value, $EXECUTIL has now +# been removed from all job scripts). Removed all references to "cdc" +# network (this is obsolete). +# 2014-07-23 D.A. Keyser -- Imported script environment variable DICTPREP now +# defaults to new vertical structure directory path location for metar.tbl +# dictionary, /nw${envir}/decoders/decod_shared/dictionaries, rather than +# old horizontal structure location, /nw${envir}/dictionaries (the latter +# will be removed in September 2014). +# 2016-02-05 JWhiting -- Use NCO-established variables to point to root +# directories for main software components and input/output directories in +# order to run on WCOSS Phase 1 or Phase 2 (here, $COMROOT which replaces +# hardwire to "/com", $NWROOT which replaces hardwire to "/nwprod" in +# comments only). Use NCO-established variables (presumably obtained from +# modules) to point to prod utilities [here, $NDATE from module prod_util +# (default or specified version, loaded in each network which executes this +# script) which replaces executable ndate in non-versioned, horizontal +# structure utility directory path defined by imported variable $utilexec]. +# 2016-04-29 D.A. Keyser -- Updated logic such that when tropical cyclone +# relocation has not run, a first guess is required, the network is gfs or +# gdas, but the cycle time is not 00, 06, 12 or 18z, no attempt will be +# made to obtain a guess 3-hrs before and after cycle time (since it can +# fail). Instead this is treated the same as any 3- or 1-hrly cycle run +# (like rap, e.g.) meaning two guess files will be obtained at the +# spanning 3 hour interval around any cycle time not a multiple of 3 hrs. +# BENEFIT: Allows future hourly WAM model to run properly. +# 2016-07-12 D.C. Stokes -- Reinstated poe option to run multiple instances +# of the PREPDATA processing script in parallel. New variable $launcher +# defines the parallel scripting launch mechanism (description below). +# Added logic to create scaled down versions of err_chk and err_exit +# scripts if they don't exist in the working directory and eliminated +# similar blocks of logic that had been repeated throughout the script. +# Updated USHGETGES default to pick up more recent versions of getges.sh. +# 2017-02-07 D.C. Stokes -- Updated to run on Cray-XC40 as well as iDataPlex. +# If on Cray-XC40, default parallel scripting launching mechanism is cfp +# inovked by aprun. Variable name used for launching mechanism changed from +# "launcher" to "launcher_PREP". Variable COMDATEROOT is now the primary +# default for the root of the directory containing NCEP date files. The +# variable NWROOTp1 is now the default root for directory DICTPREP. Logic +# used to determine if $COMSP points to production "com" directory was +# updated to recognize full path name (as needed on luna/surge). +# 2017-03-19 D.C. Stokes/D.A. Keyser -- Updated to input nemsio atmopheric +# guess files -or- the older sigio atmospheric files. The nemsio option +# is triggered by flag NEMSIO_IN=.true. For nemsio runs, a single guess +# file valid at the prepbufr center time is picked up, even for runs with +# center time that is not a multiple of 3. Also the dbn_alert subtype is +# now dependent upon $RUN (for transition from "gdas1" to "gdas"). +# 2017-04-20 D.C. Stokes -- Relocated assignments of variable stype to ensure +# it always passes the proper value to the getges utility script. +# +# +# Usage: prepobs_makeprepbufr.sh yyyymmddhh +# +# Input script positional parameters: +# 1 String indicating the center date/time for the PREPBUFR +# processing - if missing, then this time +# is obtained from the ${COMDATEROOT}/date/$cycle file +# +# Imported Shell Variables: +# +# These must ALWAYS be exported to this script by the parent script -- +# +# COMROOT Root to input/output "com" directory (in production, +# normally "/com", "/com2", or "/gpfs/hps/nco/ops/com") +# NSPLIT Number of parts into which the PREPDATA processing shell +# script (herefile MP_PREPDATA) will be split in order to +# run in parallel for computational efficiency (either using +# multiple tasks when POE is not "NO" or in background threads +# when BACK is "YES") +# NOTE : This is required ONLY if the imported shell variable +# POE is not "NO" (see below) or the imported shell +# variable BACK is "YES" (see below) (i.e., a parallel +# environment), and the imported shell variable +# PREPDATA=YES (see below) +# NET String indicating system network {either "gfs", "gdas", +# "cdas", "nam", "rap", "rtma" or "urma"} +# NOTE : NET is changed to gdas in the parent Job script for +# RUN=gdas or RUN=gdas1 (was gfs) +# RUN String indicating model run {either "gfs", "gdas", "gdas1", +# "cdas", "nam", "ndas", "rap", "rap_p", "rap_e", +# "rtma", or "urma"} +# cycle String indicating the center cycle hour for PREPBUFR +# processing {"txxz", where xx is two-digit hour of the day +# (UTC)} +# NOTE : This is required ONLY if input script positional +# parameter 1 is missing (see above) +# DATA String indicating the working directory path (usually a +# temporary location) +# COMSP String indicating the directory/filename path to input BUFR +# observational data dumps, tropical cyclone location +# (tcvitals) files, global atmos guess files, and status +# files (e.g., "$COMROOT/gfs/prod/gfs.20060612/gfs.t12z.") +# DBNROOT String indicating directory path to bin/dbn_alert file +# location +# NOTE : This is required ONLY if the imported shell variable +# SENDDBN is "YES" (see below) +# job - String indicating job name (e.g., 'gdas_prep_12') +# NOTE : This is required ONLY if the imported shell variable +# SENDDBN is "YES" (see below) +# $HOMEobsproc_prep - string indicating directory path to generic prep +# subdirectories under version control +# (in production this is normally +# ${NWROOT}/obsproc_prep.vX.Y.Z where X.Y.Z is +# version number being used, usually the latest) +# $HOMEobsproc_network - string indicating directory path to network- +# specific prep subdirectories under version control +# (in production this is normally +# ${NWROOT}/obsproc_NETWORK.vX.Y.Z where NETWORK is, +# e.g., global, nam, rap, rtma, urma, and X.Y.Z is +# version number being used, usually the latest) +# +# These will be set to their default value in this script if not exported +# to this script by the parent script -- +# +# SITE Site name (may have been set by local shell startup script) +# Default is "" +# sys_tp System type and phase. If not imported, an attempt is made +# to set it using getsystem.pl (an NCO prod_util script). +# A failed attempt results in an empty string. +# NEMSIO_IN Flag that if ".true." indicates that nemsio atmospheric +# background fields will be input rather than sigio. +# Default is "" +# SENDDBN String indicating whether or not to alert an output file to +# the NWS/TOC (= "YES" - invoke alert; anything else - do not +# invoke alert) +# Default is "NO" +# NPROCS Number of "poe" tasks to use for mpmd (must be .GE. $NSPLIT) +# NOTE : This is applicable ONLY if the imported shell +# variable POE is not "NO" (see below) and variable +# launcher_PREP is not "cfp" or "aprun" (see below) and +# the imported shell variable PREPDATA=YES (see below) +# For LSF jobs, the count of hosts listed in string $LSB_HOSTS +# will be used to set NPROCS (overriding any imported value). +# Default is "$NSPLIT" +# envir String indicating environment under which job runs ('prod' +# or 'test') +# Default is "prod" +# envir_getges String indicating environment under which GETGES utility +# ush runs (see getges.sh docblock for more information) +# Default is "$envir" +# network_getges +# String indicating job network under which GETGES utility +# ush runs (see getges.sh docblock for more information) +# Default is "global" unless the center PREPBUFR processing +# date/time is not a multiple of 3-hrs and the global guess is +# sigio-based, then the default is "gfs" +# pgmout String indicating file containing standard output (output +# always contatenated onto this file) +# Default is "/dev/null" +# tstsp String indicating the directory/filename path to one or +# more BUFR observational data dumps and/or tropical cyclone +# location (tcvitals) files and/or global atmos guess files +# and/or status files that are to override the corresponding +# file in $COMSP (this should be imported with the same +# naming convention as $COMSP; e.g., +# "/gpfstmp/wx22dk/test_dump/ndas.20060612/ndas.t12z." - +# (if tstsp is not imported, the default is used and no +# overriding file would exist; if tstsp is imported then any +# file found would override the correspoding file in $COMSP) +# Default is "/tmp/null/" +# tmmark - string indicating hour for center PREPBUFR processing date/ +# time relative to the analysis time embedded in $tstsp or +# $COMSP (e.g., "tm12", "tm09", "tm06", "tm03", "tm00") +# Default is "tm00" +# BUFRLIST String indicating list of BUFR data dump file names to +# process +# Default is "adpupa proflr aircar aircft satwnd adpsfc \ +# sfcshp sfcbog vadwnd goesnd spssmi erscat qkswnd msonet \ +# gpsipw rassda wdsatr ascatw" +# POE String indicating whether or not to use a poe-like launcher +# to spread instances of the PREPBUFR processing herefile +# MP_PREPDATA over multiple pes in parallel. (= "NO" - +# do not invoke invoke "poe"; anything else - invoke "poe") +# Default is "YES" +# launcher_PREP Parallel scripting launch tool. Settings are in place for +# aprun, mpirun.lsf, and cfp but a different tool can be +# specified. +# NOTE : This is applicable ONLY if the imported shell +# variable POE is not "NO" and the imported shell +# variable PREPDATA=YES (see below) +# Default on Cray-XC40 is "aprun". Otherwise: "mpirun.lsf" +# BACK String indicating whether or not to run background shells +# (on the same task) for the PREPBUFR processing (= "YES" - +# run background shells; anything else - do not run +# background shells). IF BACK=YES on Cray-XC40, the shells +# are invoked by aprun. +# USHSYND String indicating directory path for SYNDATA ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHPREV String indicating directory path for PREVENTS ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHCQC String indicating directory path for CQCBUFR ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHPQC String indicating directory path for PROFCQC ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHVQC String indicating directory path for CQCVAD ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHAQC String indicating directory path for PREPACQC ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHOIQC String indicating directory path for OIQCBUFR ush file +# Default is "${HOMEobsproc_prep}/ush" +# EXECPREP String indicating directory path for PREPOBS executables +# Default is "${HOMEobsproc_prep}/exec" +# PARMPREP String indicating directory path for PREPOBS parm files +# Default is "${HOMEobsproc_network}/parm" +# FIXPREP String indicating directory path for PREPOBS fix-field +# files +# Default is "${HOMEobsproc_prep}/fix" +# DICTPREP String indicating directory path for PREPOBS dictionary +# files +# Default is "${NWROOTp1}/decoders/decod_shared/dictionaries" +# EXECSYND String indicating directory path for SYNTHETIC data +# executables +# Default is "${HOMEobsproc_prep}/exec" +# PARMSYND String indicating directory path for SYNTHETIC parm files +# Default is "${HOMEobsproc_network}/parm" +# FIXSYND String indicating directory path for SYNTHETIC data fix- +# field files +# Default is "${HOMEobsproc_prep}/fix" +# GETGUESS String: if = "YES" will encode first guess (background) +# values interpolated by the program PREPOBS_PREPDATA to +# observation locations in the PREPBUFR file for use by the +# q.c. programs. This guess is always from a global atmos +# guess file valid at the center PREPBUFR processing date/ +# time or from an interpolated guess obtained from global +# atmos guess files valid at times 3-hours apart which span +# the PREPBUFR processing date/time (the latter is performed +# by the program PREPOBS_PREPDATA and occurs when the guess +# files are sigio-based and the PREPBUFR date/time hour is not +# a multiple of 3, e.g. 02Z rap or tm04 nam catchup runs). The +# guess file (or files) may be obtained in one of two ways: +# 1) From pre-existing files in the working directory +# $DATA called sgesprep and sgesprepA (either copied +# there prior to the execution of this script, or +# copied there earlier in this script from either +# $tstsp, or if not found there, $COMSP which was +# populated by the previous running of tropical +# cyclone relocation processing +# NOTE 1: sgesprepA is needed only when the guess is +# sigio-based and the PREPBUFR processing +# date/time is not a multiple of 3-hrs. +# NOTE 2: if previous tropical cyclone relocation +# processing was run, then an sgesprepA file +# is NEVER generated, not a problem since +# previous tropical cyclone relocation +# processing is not run in rap, rap_p or +# rap_e runs +# 2) Via the execution of the GETGES utility ush to +# obtain sgesprep (if pre-existing file $DATA/sgesprep +# does not exist), and possibly via the execution of +# the GETGES utility ush to obtain sgesprepA (if +# PREPBUFR processing date/time is not a multiple of +# 3-hrs and the global guess is sigio-based, and the +# pre-existing file $DATA/sgesprepA does not exist) +# Default is "YES" +# NOTE: If GETGUESS=NO, then the program PREPOBS_PREPDATA +# will NOT call w3emc routine GBLEVENTS to perform +# "prevents" processing +# PREPDATA String: if = "YES" will perform PREPDATA processing +# (in either a parallel or serial environment depending upon +# the values for POE and BACK) +# Default is "YES" +# SYNDATA String: if = "YES" will attempt to perform synthetic bogus +# processing (generation of synthetic bogus winds to be +# appended to PREPBUFR file and, possibly, flagging of mass +# pressure data "near" storms; and, possibly, flagging of +# dropwinsonde wind data "near" storms) +# Default is "YES" +# DO_QC String: if = "YES" will perform quality control +# Default is "YES" +# PREVENTS String: if = "YES" will encode background and obs. errors +# into PREPBUFR file (usually this should be "NO" since the +# programs PREPOBS_PREPDATA and SYNDAT_SYNDATA normally are +# set to perform this function) +# NOTE: Only invoked if DO_QC=YES +# Default is "NO" +# CQCBUFR String: if = "YES" will complex quality control radiosonde +# data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# PROFCQC String: if = "YES" will quality control wind profiler data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# CQCVAD String: if = "YES" will quality control VAD wind data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# PREPACQC String: if = "YES" will quality control aircraft data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# PROCESS_ACQC String: if = "YES" will execute PREPOBS_PREPACQC program as +# part of PREPACQC processing +# NOTE: Only invoked if PREPACQC=YES +# Default is "YES" +# PROCESS_ACPF String: if = "YES" will execute PREPOBS_PREPACPF program as +# part of PREPACQC processing +# NOTE: Only invoked if PREPACQC=YES +# Default is "YES" +# OIQCBUFR String: if = "YES" will perform final oi-based quality +# control on all data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# MPCOPYX String indicating executable path for PREPOBS_MPCOPYBUFR +# program +# Default is "$EXECPREP/prepobs_mpcopybufr" +# PRPX String indicating executable path for PREPOBS_PREPDATA +# program +# Default is "$EXECPREP/prepobs_prepdata" +# errPREPDATA_limit +# String indicating the highest allowed foreground exit +# status for program PREPOBS_PREPDATA (any exit status higher +# than this is considered a failure) +# (Note: errPREPDATA_limit=1 is ALWAYS considered a FAILURE) +# Default is "0" +# PRPC String indicating data card path for PREPOBS_PREPDATA +# program +# Default is "$PARMPREP/prepobs_prepdata.${NET}.parm" +# PRPT String indicating bufrtable file path for PREPOBS_PREPDATA +# program +# Default is "$FIXPREP/prepobs_prep.bufrtable" +# LANDC String indicating land/sea mask file path for +# PREPOBS_PREPDATA program +# Default is "$FIXPREP/prepobs_landc" +# PRVT String indicating observational error table file path for +# PREPOBS_PREPDATA, SYNDAT_SYNDATA and PREPOBS_PREVENTS +# programs (used by GBLEVENTS subroutine) +# NOTE: Only read by gdas, gfs, cdas and nam networks +# If imported "NET=gdas" or "NET=gfs", default is +# "$HOMEobproc_network/fix/prepobs_errtable.global"; +# if imported "NET=cdas", default is +# "$HOMEobsproc_network/fix/prepobs_errtable.cdas"; +# if imported "NET=nam", default is +# "$HOMEobsproc_network/fix/prepobs_errtable.nam" +# otherwise, default is "$DATA/scratch.PRVT" a null file +# LISTHDX String indicating executable path for PREPOBS_LISTHEADERS +# program +# Default is "$EXECPREP/prepobs_listheaders" +# MONOBFRX String indicating executable path for PREPOBS_MONOPREPBUFR +# program +# Default is "$EXECPREP/prepobs_monoprepbufr" +# SYNDX String indicating executable path for SYNDAT_SYNDATA +# program +# Default is "$EXECSYND/syndat_syndata" +# SYNDC String indicating data card path for SYNDAT_SYNDATA program +# Default is "$PARMSYND/syndat_syndata.${NET}.parm" +# PREX String indicating executable path for PREPOBS_PREVENTS +# program +# Default is "$EXECPREP/prepobs_prevents" +# PREC String indicating data card path for PREPOBS_PREVENTS +# program +# Default is "$PARMPREP/prepobs_prevents.${NET}.parm" +# AQCX String indicating executable path for PREPOBS_PREPACQC +# program +# Default is "$EXECPREP/prepobs_prepacqc" +# AQCC String indicating data card path for PREPOBS_PREPACQC +# program +# Default is "$PARMPREP/prepobs_prepacqc.${NET}.parm" +# APFX String indicating executable path for PREPOBS_PREPACPF +# program +# Default is "$EXECPREP/prepobs_prepacpf" +# DICT String indicating METAR station dictionary path for +# PREPOBS_PREPACPF program +# Default is "$DICTPREP/metar.tbl" +# PQCX String indicating executable path for PREPOBS_PROFCQC +# program +# Default is "$EXECPREP/prepobs_profcqc" +# PQCC String indicating data card path for PREPOBS_PROFCQC +# program +# Default is "$PARMPREP/prepobs_profcqc.${NET}.parm" +# VQCX String indicating executable path for PREPOBS_CQCVAD +# program +# Default is "$EXECPREP/prepobs_cqcvad" +# CQCX String indicating executable path for PREPOBS_CQCBUFR +# program +# Default is "$EXECPREP/prepobs_cqcbufr" +# CQCC String indicating data card path for PREPOBS_CQCBUFR +# program +# Default is "$PARMPREP/prepobs_cqcbufr.${NET}.parm" +# CQCS String indicating statbge path for PREPOBS_CQCBUFR program +# Default is "$FIXPREP/prepobs_cqc_statbge" +# OIQCX String indicating executable path for PREPOBS_OIQCBUFR +# program +# Default is "$EXECPREP/prepobs_oiqcbufr" +# OIQCT String indicating observational error table file path for +# PREPOBS_OIQCBUFR program +# NOTE: If imported "NET=cdas", default is +# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas"; +# otherwise default is +# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs" +# +# These do not have to be exported to this script. If they are, they will +# be used by the script. If they are not, they will be skipped +# over by the script. +# +# PREPBUFR_APP String indicating path to output PREPBUFR file for +# PREPOBS_PREPDATA program. +# If present and POE is "NO" and BACK is not "YES" (i.e., a +# serial environment), PREPOBS_PREPDATA will append all +# output BUFR messages to a copy of this file (prepda) in +# the current working directory, using the internal BUFR +# mnemonic table in the first several BUFR messages at the +# top of the file +# NOTE 1: In this case, it is assumed the the switch APPEND +# is set to TRUE in the parm cards $PRPC (careful, +# if APPEND is FALSE, the original copy of +# $PREPBUFR_APP will be wiped out and the case below +# will occur) +# NOTE 2: When POE is not "NO" or BACK is "YES" (i.e., a +# parallel environment), appending makes no sense +# because the original output PREPBUFR file is +# monolithic +# If not present or POE is not "NO" or BACK is "YES" (i.e., a +# parallel environment), PREPOBS_PREPDATA will write all +# output BUFR messages to a new file (prepda) in the current +# working directory using the external BUFR mnemonic table +# in the file $PRPT +# NOTE 3: In this case, it is assumed the the switch APPEND +# is set to FALSE in the parm cards $PRPC (careful, +# if APPEND is TRUE, PREPOBS_PREPDATA will abort +# because the original empty PREPBUFR file has no +# internal BUFR mnemonic table) +# PREPBUFR_IN String indicating path to input PREPBUFR file +# If present, this file will be used by SYNDAT_SYNDATA (if +# SYNDATA=YES - see @ below) and by all applicable Q.C. +# programs (set to to be invoked here) rather than the +# PREPBUFR file generated in this script by PREPOBS_PREPDATA +# (normally this would be used when PREPDATA=NO) +# @ - if the PREPBUFR_IN target file is obtained from +# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, +# then for all runs on and after 12Z 25 Jan 2005, +# SYNDATA should be NO because the target files +# will already contain synthetic bogus data; +# if the PREPBUFR_IN target file is obtained from +# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, +# then for all runs prior to 12Z 25 Jan 2005, +# SYNDATA should be YES because the target files +# will not have contain synthetic bogus data. +# If not present, then the PREPBUFR file generated in this +# script by PREPOBS_PREPDATA and possibly appended to by +# SYNDAT_SYNDATA is passed on as input to all applicable +# Q.C. programs +# jlogfile String indicating path to joblog file +# +# These do not have be exported to this script. +# +# COMDATEROOT Primary default for the root of the directory containing +# produciton date files. +# +# NWROOTp1 Root directory for production software on WCOSS Phase 1. +# +# USHGETGES String indicating directory path for GETGES utility script. +# Default is $HOMEobsproc_prep/ush. +# +# GETGESprep GETGES utility script. If NEMSIO_IN=.true., defaults to: +# $USHGETGES/getges.sh +# otherwise, defaults to: +# $USHGETGES/getges_sig.sh +# +# PREPDATAtpn Tasks per node when invoking cfp on Cray-XC40. Will be +# computed if needed but was not imported. +# +# These do not have to be exported to this script. If they are, they will +# be passed on to the script $USHCQC/prepobs_cqcbufr.sh. They are not used +# by this script. +# +# PRPI_m24 See documentation in $USHCQC/prepobs_cqcbufr.sh +# PRPI_m12 See documentation in $USHCQC/prepobs_cqcbufr.sh +# PRPI_p12 See documentation in $USHCQC/prepobs_cqcbufr.sh +# PRPI_p24 See documentation in $USHCQC/prepobs_cqcbufr.sh +# +# Exported Shell Variables: +# CDATE10 String indicating the center date/time for the PREPBUFR +# processing +# SGES Either ... +# 1) String indicating the full path name for global +# sigio-based or nemsio-based guess file valid at the +# center PREPBUFR processing date/time (in which case the +# center PREPBUFR processing date/time is a multiple of +# 3-hrs, or for any PREPBUFR center hour if global guess +# is nemsio-based) - This guess file will be encoded +# into the PREPBUFR file for use by the q.c. programs. +# -- or -- +# 2) String indicating the full path name for the global +# atmosperic guess file valid at the nearest cycle time +# prior to the center PREPBUFR processing date/time which +# is a multiple of 3 (in which case the center PREPBUFR +# processing date/time is not a multiple of 3-hrs and the +# global guess is sigio-based) - A linear interpolation +# (of the spectal coefficients) between this file and the +# guess file indicated by SGESA case 2 below will be +# performed by program PREPOBS_PREPDATA and encoded into +# the PREPBUFR file for use by the q.c. programs. The +# SGES file is always from the GFS in this case. +# NOTE 1: Only case 1 above is valid when tropical cyclone +# relocation processing previously occurred. +# NOTE 2: Case 2 above is necessary because the w3emc lib +# routine gblevents called by PREPOBS_PREPDATA +# expects that sigio-based guess files will only +# have valid hours which are a multiple of 3 +# NOTE 3: Only case 1 above is valid when global guess is +# nemsio-based. +# SGESA Either ... +# 1) String set to "/dev/null" for case 1 of SGES above +# (default) +# -- or -- +# 2) String indicating the full path name for the global +# sigma guess file valid at the nearest cycle time after +# the center PREPBUFR processing/date time which is a +# multiple of 3 for case 2 of SGES above - A linear +# interpolation (of the spectal coefficients) between +# this guess file and the guess file indicated by SGES +# above (see case 2 for SGES) will be performed by the +# program PREPOBS_PREPDATA and encoded into the PREPBUFR +# file for use by the q.c. programs. The SGESA file is +# always from the GFS in this case and its forecast hour +# is 3-hrs later than the SGES file (thus both initiate +# at the same time). +# NOTE 1: Only case 1 above is valid when tropical cyclone +# relocation processing previously occurred. +# NOTE 2: Case 2 above is necessary because the w3emc lib +# routine gblevents called by PREPOBS_PREPDATA +# expects that sigio-based guess files will only +# have valid hours which are a multiple of 3 +# NOTE 3: Only case 1 above is valid when global guess is +# nemsio-based. +# +# +# Modules and files referenced: +# herefiles : $DATA/MP_PREPDATA +# $DATA/MERGE_MSGS +# scripts : $USHGETGES/getges.sh +# $USHGETGES/getges_sig.sh +# $USHSYND/prepobs_syndata.sh +# $USHPREV/prepobs_prevents.sh +# $USHCQC/prepobs_cqcbufr.sh +# $USHPQC/prepobs_profcqc.sh +# $USHVQC/prepobs_cqcvad.sh +# $USHAQC/prepobs_prepacqc.sh +# $USHOIQC/prepobs_oiqcbufr.sh +# $DATA/postmsg (required ONLY if "$jlogfile" is present) +# $DATA/prep_step {here and by referenced script(s)} +# $DATA/err_exit +# $DATA/err_chk {here and by referenced script(s)} +# (NOTE: The last three scripts above are NOT REQUIRED +# utilities. If $DATA/prep_step not found, a scaled down +# version of it is executed in-line. If $DATA/err_exit +# or $DATA/err_chk are not found, scaled down versions, +# created in-line, are executed. +# executables: $NDATE (from prod_util module) +# programs : +# PREPOBS_MPCOPYBUFR - executable: $MPCOPYX +# PREPOBS_PREPDATA - executable: $PRPX +# land/sea mask: $LANDC +# bufr mnemonic user table: $PRPT +# obs. error table: $PRVT +# data cards: $PRPC +# PREPOBS_LISTHEADERS - executable: $LISTHDX +# PREPOBS_MONOPREPBUFR - executable: $MONOBFRX +# SYNDAT_SYNDATA - executable: $SYNDX +# T126 gaussian land/sea mask: +# $FIXSYND/syndat_syndata.slmask.t126.gaussian +# weights: $FIXSYND/syndat_weight +# obs. error table: $PRVT +# data cards: $SYNDC +# PREPOBS_PREVENTS - executable: $PREX +# obs. error table: $PRVT +# data cards: $PREC +# PREPOBS_PREPACQC - executable: $AQCX +# data cards: $AQCC +# PREPOBS_PREPACPF - executable: $APFX +# dictionary: $DICT +# PREPOBS_PROFCQC - executable: $PQCX +# data cards: $PQCC +# PREPOBS_CQCVAD - executable: $VQCX +# PREPOBS_CQCBUFR - executable: $CQCX +# data cards: $CQCC +# PREPOBS_OIQCBUFR - executable: $OIQCX +# obs. error table: $OIQCT +# +# Remarks: +# +# Condition codes +# 0 - no problem encountered +# >0 - some problem encountered +# +# Attributes: +# Language: Korn shell under linux +# Machine: NCEP WCOSS +# +#### + +set -aux + +NEMSIO_IN=${NEMSIO_IN:=""} +jlogfile=${jlogfile:=""} +SENDDBN=${SENDDBN:-NO} + +if [ ! -d $DATA ] ; then mkdir -p $DATA ;fi + +cd $DATA + +qid=$$ + +##################################################### +##################################################### +# create error check and exit utilities if necessary. +# (as may be the case for some developer runs) +##################################################### + +if [ ! -x $DATA/err_exit ]; then +cat <<\EOFerrexit > $DATA/err_exit + set -x + if [ -n "$LSB_JOBID" ]; then + bkill $LSB_JOBID + sleep 60 + date + else + set -e + kill -n 9 $qid + fi + exit 7 # for extra measure +EOFerrexit +chmod 775 $DATA/err_exit +fi + +if [ ! -x $DATA/err_chk ]; then +cat <<\EOFerrchk > $DATA/err_chk + set -x + if [ "$err" != '0' ]; then + $DATA/err_exit + fi +EOFerrchk +chmod 775 $DATA/err_chk +fi + +##################################################### +##################################################### + + +# determine local system name and type if available +# ------------------------------------------------- +SITE=${SITE:-""} +sys_tp=${sys_tp:-$(getsystem.pl -tp)} +getsystp_err=$? +if [ $getsystp_err -ne 0 ]; then + msg="***WARNING: error using getsystem.pl to determine system type and phase" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" +fi +echo sys_tp is set to: $sys_tp + +#------------------------------------------------------------------------------- + +# obtain the center date/time for PREPBUFR processing +# --------------------------------------------------- + +if [ $# -ne 1 ] ; then + cp ${COMDATEROOT:-$COMROOT}/date/$cycle ncepdate + err0=$? + CDATE10=`cut -c7-16 ncepdate` +else + CDATE10=$1 + if [ "${#CDATE10}" -ne '10' ]; then + err0=1 + else + cycle=t`echo $CDATE10|cut -c9-10`z + err0=0 + fi +fi + +if test $err0 -ne 0 +then +# problem with obtaining date record so exit + set +x + echo + echo "problem with obtaining date record;" + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure +fi + +cyc=`echo $CDATE10|cut -c9-10` +modhr=`expr $cyc % 3` + +set +x +echo +echo "CENTER DATE/TIME FOR PREPBUFR PROCESSING IS $CDATE10" +echo +set -x + +#---------------------------------------------------------------------------- + +# Create variables needed for this script and its children +# -------------------------------------------------------- + +envir=${envir:-prod} + +envir_getges=${envir_getges:-$envir} +if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then + network_getges=${network_getges:-global} +else + network_getges=${network_getges:-gfs} +fi + +pgmout=${pgmout:-/dev/null} + +tstsp=${tstsp:-/tmp/null/} +tmmark=${tmmark:-tm00} + +BUFRLIST=${BUFRLIST:-"adpupa proflr aircar aircft satwnd adpsfc sfcshp \ + sfcbog vadwnd goesnd spssmi erscat qkswnd msonet gpsipw rassda wdsatr \ + ascatw"} + +PREPDATA=${PREPDATA:-YES} + +if [ "$PREPDATA" != 'YES' ] ; then + POE=NO + BACK=NO +else + set +u + [ -z "$POE" -a "$BACK" = 'YES' ] && POE=NO + POE=${POE:-YES} + if [ "$POE" != 'NO' -a "$BACK" = 'YES' ]; then + set -u + set +x +echo +echo "YOU have set both POE and BACK to YES - choose one or the other!!" +echo "Defaults are POE=YES and BACK=NO, as is preferable for WCOSS." +echo + set -x + exit 99 + fi + BACK=${BACK:-NO} + PARALLEL=NO + [ "$POE" != 'NO' -o "$BACK" = 'YES' ] && PARALLEL=YES + if [ "$POE" != 'NO' ] ; then + if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + launcher_PREP=${launcher_PREP:-aprun} + else + launcher_PREP=${launcher_PREP:-mpirun.lsf} + fi + if [ "$launcher_PREP" != 'cfp' -a "$launcher_PREP" != aprun ]; then + if [ -n ${LSB_HOSTS:-""} ]; then + NPROCS=$(echo $LSB_HOSTS|wc -w) + set +x; echo "Setting NPROCS based on LSB_HOSTS count"; set -x + else + NPROCS=${NPROCS:-$NSPLIT} + fi + if [ $NPROCS -lt $NSPLIT ]; then + set +x +echo "********************************************************************" +echo " P R O B L E M ! ! ! " +echo "********************************************************************" +echo " NPROCS=$NPROCS IS NOT SUFFICIENT FOR NSPLIT=$NSPLIT " +echo " NPROCS must be greater than NSPLIT when using a " +echo " parallel processing launcher other than cfp " +echo "********************************************************************" + set -x + msg="***FATAL ERROR: Insufficient NPROCS for NSPLIT=$NSPLIT" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + $DATA/err_exit + exit 55 # for extra measure + fi + fi + elif [ "$BACK" = 'YES' ] ; then + NPROCS=$NSPLIT + fi +# fi for PREPDATA != YES +fi + +USHSYND=${USHSYND:-${HOMEobsproc_prep}/ush} +USHPREV=${USHPREV:-${HOMEobsproc_prep}/ush} +USHCQC=${USHCQC:-${HOMEobsproc_prep}/ush} +USHPQC=${USHPQC:-${HOMEobsproc_prep}/ush} +USHVQC=${USHVQC:-${HOMEobsproc_prep}/ush} +USHAQC=${USHAQC:-${HOMEobsproc_prep}/ush} +USHOIQC=${USHOIQC:-${HOMEobsproc_prep}/ush} + +EXECPREP=${EXECPREP:-${HOMEobsproc_prep}/exec} +PARMPREP=${PARMPREP:-${HOMEobsproc_network}/parm} +FIXPREP=${FIXPREP:-${HOMEobsproc_prep}/fix} +DICTPREP=${DICTPREP:-${NWROOTp1}/decoders/decod_shared/dictionaries} + +EXECSYND=${EXECSYND:-${HOMEobsproc_prep}/exec} +PARMSYND=${PARMSYND:-${HOMEobsproc_network}/parm} +FIXSYND=${FIXSYND:-${HOMEobsproc_prep}/fix} + +GETGUESS=${GETGUESS:-YES} +if [ "$GETGUESS" = 'YES' ]; then + USHGETGES=${USHGETGES:-${HOMEobsproc_prep}/ush} + if [ "$NEMSIO_IN" = .true. ]; then + GETGESprep=${GETGESprep:-$USHGETGES/getges.sh} + else + GETGESprep=${GETGESprep:-$USHGETGES/getges_sig.sh} + fi +fi + +PREPDATA=${PREPDATA:-YES} + +SYNDATA=${SYNDATA:-YES} + +DO_QC=${DO_QC:-YES} + +PREVENTS=${PREVENTS:-NO} +CQCBUFR=${CQCBUFR:-YES} +PROFCQC=${PROFCQC:-YES} +CQCVAD=${CQCVAD:-YES} +PREPACQC=${PREPACQC:-YES} +PROCESS_ACQC=${PROCESS_ACQC:-YES} +PROCESS_ACPF=${PROCESS_ACPF:-YES} +OIQCBUFR=${OIQCBUFR:-YES} + +MPCOPYX=${MPCOPYX:-$EXECPREP/prepobs_mpcopybufr} +PRPX=${PRPX:-$EXECPREP/prepobs_prepdata} +errPREPDATA_limit=${errPREPDATA_limit:-0} +PRPC=${PRPC:-$PARMPREP/prepobs_prepdata.${NET}.parm} +PRPT=${PRPT:-$FIXPREP/prepobs_prep.bufrtable} +cp $PRPT prep.bufrtable +LANDC=${LANDC:-$FIXPREP/prepobs_landc} +if [ "$NET" = 'gdas' -o "$NET" = 'gfs' ]; then + PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.global} +elif [ "$NET" = 'cdas' ]; then + PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.cdas} +elif [ "$NET" = 'nam' ]; then + PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.nam} +else + cp /dev/null $DATA/scratch.PRVT + PRVT=${PRVT:-$DATA/scratch.PRVT} +fi +LISTHDX=${LISTHDX:-$EXECPREP/prepobs_listheaders} +MONOBFRX=${MONOBFRX:-$EXECPREP/prepobs_monoprepbufr} +SYNDX=${SYNDX:-$EXECSYND/syndat_syndata} +SYNDC=${SYNDC:-$PARMSYND/syndat_syndata.${NET}.parm} +PREX=${PREX:-$EXECPREP/prepobs_prevents} +PREC=${PREC:-$PARMPREP/prepobs_prevents.${NET}.parm} +AQCX=${AQCX:-$EXECPREP/prepobs_prepacqc} +AQCC=${AQCC:-$PARMPREP/prepobs_prepacqc.${NET}.parm} +APFX=${APFX:-$EXECPREP/prepobs_prepacpf} +DICT=${DICT:-$DICTPREP/metar.tbl} +PQCX=${PQCX:-$EXECPREP/prepobs_profcqc} +PQCC=${PQCC:-$PARMPREP/prepobs_profcqc.${NET}.parm} +VQCX=${VQCX:-$EXECPREP/prepobs_cqcvad} +CQCX=${CQCX:-$EXECPREP/prepobs_cqcbufr} +CQCC=${CQCC:-$PARMPREP/prepobs_cqcbufr.${NET}.parm} +CQCS=${CQCS:-$FIXPREP/prepobs_cqc_statbge} +OIQCX=${OIQCX:-$EXECPREP/prepobs_oiqcbufr} +if [ "$NET" = 'cdas' ]; then + OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas} +else + OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs} +fi +TIMEIT=${TIMEIT:-""} +[ -s $DATA/time ] && TIMEIT="$DATA/time -p" + + +# See if tropical cyclone relocation previously ran for this network and cycle +# by checking for status file in first in $tstsp, and if not found there, +# then in $COMSP +# ---------------------------------------------------------------------------- + +relo_rec=no # this will remain no even if relocation run, in the event it did + # not process an tropical cyclone records +if [ -s ${tstsp}tropcy_relocation_status.$tmmark ]; then + RELOCATION_HAS_RUN=YES + msg="Tropical cyclone RELOCATION RAN prior to this job - \ +`cat ${tstsp}tropcy_relocation_status.$tmmark`" + [ "`cat ${tstsp}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ + && relo_rec=yes +elif [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then + RELOCATION_HAS_RUN=YES + msg="Tropical cyclone RELOCATION RAN prior to this job - \ +`cat ${COMSP}tropcy_relocation_status.$tmmark`" + [ "`cat ${COMSP}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ + && relo_rec=yes +else + RELOCATION_HAS_RUN=NO + msg="Tropical cyclone RELOCATION did NOT run prior to this job" +fi +[ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + +if [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then + if [ "$SENDDBN" = "YES" ]; then + if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then + RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) + $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job \ + ${COMSP}tropcy_relocation_status.$tmmark + fi + fi +fi + +if [ "$RELOCATION_HAS_RUN" != 'YES' -a "$GETGUESS" != 'NO' ]; then + + if [ $cyc = 00 -o $cyc = 06 -o $cyc = 12 -o $cyc = 18 ]; then + +# The GFS and GDAS networks at 00, 06, 12 and 18z will get the t-3 and t+3 +# atmos guess files here since they are needed by the GSI even if tropical +# cyclone relocation was not previously performed (RELOCATION_HAS_RUN=NO) +# (NOTE 1: Normally RELOCATION_HAS_RUN=YES for these networks) +# (NOTE 2: If RELOCATION_HAS_RUN=YES, the t-3 and t+3 atmos guess files have +# already been obtained for all networks including the GFS and GDAS) +# (NOTE 3: This is not done if GETGUESS is NO) +# + + if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then + for fhr in -3 +3 ;do + if [ "$NEMSIO_IN" = .true. ]; then + if [ $fhr = "-3" ] ; then + sges=sgm3prep + stype=natgm3 + echo $sges + else + sges=sgp3prep + stype=natgp3 + echo $sges + fi + else + if [ $fhr = "-3" ] ; then + sges=sgm3prep + stype=siggm3 + echo $sges + else + sges=sgp3prep + stype=siggp3 + echo $sges + fi + fi + if [ ! -s $sges ]; then + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Tropical cylone relocation HAS NOT previously run" +echo " Get global atmospheric GUESS valid for $fhr hrs relative to center" +echo " PREPBUFR processing date/time" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + $GETGESprep -e $envir_getges -n $network_getges \ + -v $CDATE10 -t $stype $sges + errges=$? + if test $errges -ne 0; then +# problem obtaining global atmospheric first guess so exit + set +x + echo + echo "problem obtaining global atmos guess valid $fhr hrs \ +relative to center PREPBUFR date/time;" + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + set +x + echo +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + fi + done + fi + fi + +elif [ "$RELOCATION_HAS_RUN" = 'YES' ]; then + +# If Tropical cyclone relocation previously ran for this network and cycle +# copy the t-3, t+0 and t+3 atmos guess files and the tcvitals_relocate file +# from either $tstsp or, if not found there, $COMSP to working directory +# (Note: tcvitals_relocate file can be empty, but it must exist) +# -------------------------------------------------------------------------- + + qual_last=".$tmmark" # need this because gfs and gdas don't add $tmmark + # qualifier to end of output atmos guess files + [ $NET = gfs -o $NET = gdas ] && qual_last="" + for file in sgm3prep sgesprep sgp3prep tcvitals.relocate.$tmmark; do + case $file in + tcvitals.relocate.$tmmark) infile=$file; qual_last="";; # already has $tmmark at end + sgm3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgm3.nemsio;else infile=$file;fi;; + sgesprep) if [ "$NEMSIO_IN" = .true. ];then infile=atmges.nemsio;else infile=$file;fi;; + sgp3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgp3.nemsio;else infile=$file;fi;; + esac + if [ -s ${tstsp}${infile}${qual_last} ]; then + cp ${tstsp}${infile}${qual_last} $file + continue + elif [ -s ${COMSP}${infile}${qual_last} ]; then + cp ${COMSP}${infile}${qual_last} $file + continue + else + if [ $file = tcvitals.relocate.$tmmark ]; then + if [ -f ${tstsp}$file ]; then + > $file + continue + elif [ -f ${COMSP}$file ]; then + > $file + continue + fi + fi + fi +# either t-3,t+0 or t+3 atmos guess file or the tcvitals_relocate file not +# found in expected location so exit + set +x + echo + echo "$file file not found in expected location where it should have \ +populated by earlier tropical cyclone relocation processing" + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + done + cp tcvitals.relocate.$tmmark tcvitals + if [ $relo_rec = yes ]; then # come here if relocation ran and processed + # 1 or more records, means it updated + # sgesprep + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" +echo " Global atmospheric GUESS valid for 0 hrs relative to center" +echo " PREPBUFR processing date/time was generated by" +echo " previous tropical cyclone relocation processing" +echo " It will be encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + else # come here if relocation ran but did not + # process any records, means it did not update + # sgesprep (sgesprep obtained via getges used) + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" +echo " Global atmospheric GUESS valid for 0 hrs relative to center" +echo " PREPBUFR processing date/time was obtained via GETGES" +echo " It will be encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + fi + +fi + +############################################################################### +# POSSIBLY OBTAIN GBL ATMOS GUESS FILE(S) FOR LATER ENCODING INTO PREPBUFR FILE +############################################################################### + +if [ "$PREPDATA" = 'YES' -o "$SYNDATA" = 'YES' -o "$PREVENTS" = 'YES' ]; then + + SGES=/dev/null + SGESA=/dev/null + > sgesprep_pathname + > sgesprepA_pathname + + if [ "$GETGUESS" != 'NO' ]; then + +# Either ... +# If the global background guess will be nemsio-based -OR- if the global +# background guess will be sigio-based and the center PREPBUFR processing +# date/time is a multiple of 3-hrs, then get a global atmospheric guess valid +# at the center PREPBUFR processing date/time - this will be interpolated to +# observation locations by PREPDATA and encoded into the PREPBUFR file for +# use by the q.c. programs; if a non-zero length file sgesprep exists in the +# working directory, then this guess is used - otherwise: the GETGES utility +# is executed to obtain the global atmospheric guess file here +# +# (NOTE 1: a pre-existing sgesprep file in the working directory at this +# point was either: +# copied there prior to the execution of this script +# or +# copied there earlier in this script from either $tstsp, or if +# not found there, $COMSP which was populated by the previous +# running of tropical cyclone relocation processing +# (NOTE 2: If imported variable GETGUESS=NO, then bypass this step - a +# global atmos guess valid at center PREPBUFR time is not obtained) +# +# -- or -- +# +# (AND THIS APPLIES ONLY TO A GLOBAL SIGIO-BASED GUESS!!) +# +# If center PREPBUFR processing date/time is not a multiple of 3-hrs -AND- +# global guess is sigio-based, then get a global sigma guess valid at the +# nearest cycle time prior to the center PREPBUFR processing date/time which +# is a multiple of 3, then get a global sigma guess valid at the nearest +# cycle time after the center PREPBUFR processing date/time which is a +# multiple of 3 - the spectral coefficients will be linearly interpolated to +# the center PREPBUFR processing date/time by the program PREPOBS_PREPDATA +# and this guess will then be interpolated to observation locations (again by +# the program PREPOBS_PREPDATA) and encoded into the PREPBUFR file for use by +# the q.c. programs; if a non-zero length file sgesprep exists in the working +# directory, then this guess is used for time prior to the center PREPBUFR +# processing date/time - otherwise: the utility ush GETGES is executed to +# obtain the global atmos guess file here (will always be from GFS network); +# +# likewise if a non-zero length file sgesprepA exists in the working +# directory, then this guess is used for time after the center PREPBUFR +# processing date/time - otherwise: the utility ush GETGES is executed to +# obtain the global atmos guess file here (will always be from the GFS +# network and initiate at the same time as the guess file valid prior to the +# PREPBUFR processing date/time) +# +# (NOTE 1: a pre-existing sgesprep file in the working directory at this +# point was either: +# copied there prior to the execution of this script +# or +# copied there earlier in this script from either $tstsp, or if +# not found there, $COMSP which was populated by the previous +# running of tropical cyclone relocation processing +# (NOTE 2: a pre-existing sgesprepA file in the working directory at this +# point was copied there prior to the execution of this script - +# it could not have been copied from either $tstsp or $COMSP +# because previous tropical cyclone relocation processing can run +# only when the center tropical cyclone relocation (or PREPBUFR) +# processing date/time is a multiple of 3) +# (NOTE 3: this case is necessary because the gblevents subroutine used to +# add background forecast values to the prepbufr file expects sigio- +# based files to be valid only at hours that are a multiple of 3) +# (NOTE 4: if imported variable GETGUESS=NO, then bypass this step - a +# global atmos guess valid at center PREPBUFR time is not obtained) +# ---------------------------------------------------------------------- + + for sfx in "" A; do + if [ ! -s sgesprep${sfx} ]; then + fhr=any + if [ "$NEMSIO_IN" = .true. ]; then + dhr=0 + stype=natges + else + dhr=`expr 0 - $modhr` + stype=sigges + fi + if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then + [ "$sfx" = 'A' ] && break + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Either center PREPBUFR processing date/time is a multiple of 3-hrs" +echo " -OR-" +echo " global guess is nemsio-based" +echo " Use GETGES to get global sigio-based or nemsio-based GUESS valid for" +echo " 0 hrs relative to center PREPBUFR processing date/time" +echo " Will be encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + else + if [ "$sfx" = 'A' ]; then + typeset -Z2 fhr + fhr=`awk -F"sf" '{print$2}' sgesprep_pathname | cut -c1-2` + fhr=`expr $fhr + 03` + dhr=`expr 3 - $modhr` + fi + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Center PREPBUFR processing date/time is not a multiple of 3-hrs" + if [ "$sfx" != 'A' ]; then +echo " Get global atmos GUESS valid at the nearest cycle time prior to" + else +echo " Get global atmos GUESS valid at the nearest cycle time after" + fi +echo " center PREPBUFR processing date/time which is a multiple of 3" +echo " Will be used to generate an interpolated guess which will be" +echo " encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + fi + $GETGESprep -e $envir_getges -n $network_getges -t $stype\ + -f $fhr -v `${NDATE} $dhr $CDATE10` > sgesprep${sfx}_pathname + errges=$? + if test $errges -ne 0 + then +# problem obtaining global sigio-based or nemsio-based guess - exit if center +# PREPBUFR processing date/time is a multiple of 3-hrs or if global guess is +# nemsio-based, otherwise continue running but set GETGUESS=NO meaning a +# first guess will NOT be encoded in PREPBUFR file + if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then + if [ "$NEMSIO_IN" = .true. ]; then + set +x + echo +echo "problem obtaining global nemsio-based guess;" + else + set +x + echo +echo "problem obtaining global sigio-based guess valid 0 hrs relative to \ +center PREPBUFR date/time;" + fi +echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + else + set +x + echo +echo "problem obtaining global atmos guess valid at the nearest cycle time " + if [ "$sfx" != 'A' ]; then +echo "prior to center PREPBUFR processing date/time which is a multiple of 3" + else +echo "after center PREPBUFR processing date/time which is a multiple of 3" + fi +echo "will continue running but a GUESS will NOT be encoded in PREPBUFR file!!" + echo + set -x + msg="PROBLEM OBTAINING ONE OR BOTH SPANNING ATMOS GUESS \ +FILES, GUESS NOT ENCODED IN PREPBUFR FILE --> non-fatal" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + GETGUESS=NO + SGES=/dev/null + SGESA=/dev/null + > sgesprep + > sgesprepA + > sgesprep_pathname + > sgesprepA_pathname + break + fi + fi + cp `cat sgesprep${sfx}_pathname | awk '{ print $1 }'` sgesprep${sfx} + set +x + echo +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + else + if [ $RELOCATION_HAS_RUN = YES ]; then + +# If relocation ran, then ${sfx} is always "" (null) because relocation will +# only run on center times that are a multiple of 3-hrs - come here to +# store the pathname for the sgesprep file in sgesprep${sfx}_pathname - +# note that it will not be stored here if relocation did not process any +# records, i.e., it did not update the guess, because it was already stored +# in tropcy_relocate.sh (with the getges path) +# -------------------------------------------------------------------------- + + qual_last=".$tmmark" # need this because gfs and gdas don't add + # $tmmark qualifer to end of output atmos + # guess files + [ $NET = gfs -o $NET = gdas ] && qual_last="" + if [ "$NEMSIO_IN" = .true. ]; then + gesbase="atmges.nemsio" + else + gesbase="sgesprep" + fi + if [ -s ${tstsp}${gesbase}${qual_last} ]; then + echo "${tstsp}${gesbase}${qual_last}" > sgesprep${sfx}_pathname + elif [ -s ${COMSP}${gesbase}${qual_last} ]; then + echo "${COMSP}${gesbase}${qual_last}" > sgesprep${sfx}_pathname + fi + else + +# If relocation did not run, then the guess files in $DATA were copied there +# prior to the execution of this script by the user - just echo the path +# to this guess file in $DATA into sgesprep${sfx}_pathname +# -------------------------------------------------------------------------- + + echo "$DATA/sgesprep${sfx}" > sgesprep${sfx}_pathname + fi + fi + eval SGES${sfx}=$DATA/sgesprep${sfx} + done + fi +fi + +################################ +# EXECUTE PREPDATA PROCESSING +################################ + +if [ "$PREPDATA" = 'YES' ]; then + + cd $DATA + +set +u + if [ -z "$PREPBUFR_APP" -o "$PARALLEL" = 'YES' ]; then +set -u + if [ ! -s ${tstsp}status.${tmmark}.bufr_d -a \ + ! -s ${COMSP}status.${tmmark}.bufr_d ]; then + +#########if [ \( ! -s ${tstsp}status1.${tmmark}.bufr_d -o \ +######### ! -s ${tstsp}status2.${tmmark}.bufr_d \) -a \ +######### \( ! -s ${COMSP}status1.${tmmark}.bufr_d -o \ +######### ! -s ${COMSP}status2.${tmmark}.bufr_d \) ]; then + if [ ! -s ${tstsp}status1.${tmmark}.bufr_d -a \ + ! -s ${COMSP}status1.${tmmark}.bufr_d ]; then + +# problem: status file not found - indicates some or all data dumps were not +# found (produced) for requested time ... +# If highest level directory pointing to input BUFR observational +# data dumps is /com or /com2 then EXIT (assumes all data dumps are +# required) +# Otherwise, just echo a diagnostic (assumes only some data dumps are +# required) +# ---------------------------------------------------------------------------- + +echo +echo "Some or all BUFR data dumps were not found for requested time ... " +echo + set -x + + if [[ "$COMSP" =~ (^/com/|^/com2/|^/gpfs/.../nco/ops/com/) && \ + "$tstsp" =~ (^/tmp/null) ]]; then + set +x +echo +echo "ABNORMAL EXIT!!!!!!!!!!!" +echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + fi + fi + + echo $BUFRLIST | grep adpsfc + grp_adpsfc=$? + echo $BUFRLIST | grep adpupa + grp_adpupa=$? + if [ \( ! -f ${COMSP}adpsfc.${tmmark}.bufr_d -a \ + ! -f ${tstsp}adpsfc.${tmmark}.bufr_d -a $grp_adpsfc -eq 0 \) -o \ + \( ! -f ${COMSP}adpupa.${tmmark}.bufr_d -a \ + ! -f ${tstsp}adpupa.${tmmark}.bufr_d -a $grp_adpupa -eq 0 \) ] + then + +# problem: either adpsfc (surface land) or adpupa (raob/pibal/recco) file, or +# both, not found for requested time - this is unacceptable; EXIT +# (unless the culprit file was not included in the $BUFRLIST) +# --------------------------------------------------------------------------- + + set +x +echo +echo "ADPSFC and/or ADPUPA BUFR data dump was not produced for requested" +echo " time (but is in BUFRLIST); ABNORMAL EXIT!!!!!!!!!!!" +echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + + fi + + for name in ${BUFRLIST} ;do + > $name + if [ -f ${tstsp}${name}.${tmmark}.bufr_d ]; then + cp ${tstsp}${name}.${tmmark}.bufr_d $name + elif [ -s ${COMSP}${name}.${tmmark}.bufr_d ]; then + cp ${COMSP}${name}.${tmmark}.bufr_d $name + fi + done + + > prep_exec.cmd + + > prepda.${cycle} + + echo " $CDATE10" > cdate10.dat + +# If GETGUESS=YES, then either ... +# a global sigio-based guess file valid at the center PREPBUFR processing +# date/time which is a multiple of 3-hrs is valid at this point +# -- or -- +# global sigio-based guess files valid at times which are multiples of 3-hrs +# and span the center PREPBUFR processing date/time which is NOT a multiple of +# 3-hrs are available and valid at this point +# -- or -- +# a global nemsio-based guess file valid at the center PREPBUFR processing +# date/time for any hour is valid at this point + +# In any case, namelist "GBLEVN" with PREVEN=T is cat'ed to the beginning +# of the PREPOBS_PREPDATA program data cards file - this means +# PREPOBS_PREPDATA will call w3emc routine GBLEVENTS to do the "prevents" +# processing (otherwise PREVEN=F by default) + + > prepdata.stdin + [ "$GETGUESS" != 'NO' ] && echo " &gblevn preven=true /" >>prepdata.stdin + cat $PRPC >> prepdata.stdin + +# Check contents of *aircar_status_flag* file in $tstsp, or if not found there, +# $COMSP path - this was generated by previous bufr_dump_obs.sh script: if it +# exists and indicates that there were more AFWA (backup) ACARS reports than +# ARINC (primary) ACARS reports in the AIRCAR dump, then skip processing of +# ARINC ACARS messages in PREPOBS_PREPDATA (meaning process ONLY AFWA ACARS +# messages); otherwise, as is usually the case, skip processing of AFWA ACARS +# messages (meaning process only ARINC ACARS messages in PREPOBS_PREPDATA) + + echo " SUBSKP(004,007) = TRUE," > insert + if [ -s ${tstsp}aircar_status_flag.${tmmark}.bufr_d ]; then + grep -q -Fe "004.007" ${tstsp}aircar_status_flag.${tmmark}.bufr_d + err_grep=$? + if [ $err_grep -eq 0 ]; then + echo " SUBSKP(004,004) = TRUE," > insert + msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ +backup AFWA ACARS into PREPBUFR" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + fi + elif [ -s ${COMSP}aircar_status_flag.${tmmark}.bufr_d ]; then + grep -q -Fe "004.007" ${COMSP}aircar_status_flag.${tmmark}.bufr_d + err_grep=$? + if [ $err_grep -eq 0 ]; then + echo " SUBSKP(004,004) = TRUE," > insert + msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ +backup AFWA ACARS into PREPBUFR" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + fi + fi + + grep -q -Fe "`cat insert`" prepdata.stdin + err_grep=$? + if [ $err_grep -ne 0 ]; then + nlines=`cat < prepdata.stdin | wc -l` + line=`grep -n -Fe "&LDTA" prepdata.stdin | cut -f1 -d:` + head -n $line prepdata.stdin > top_part + mlines=`expr $nlines - $line` + tail -n $mlines prepdata.stdin > bottom_part + [ $mlines -gt 2 ] && cat top_part insert bottom_part > prepdata.stdin + rm top_part bottom_part + fi + rm insert + + +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## +## HEREFILE MP_PREPDATA ## +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## + +set +x +cat <<\EOFmpp > MP_PREPDATA + +{ echo + +# This herefile script performs the "prepdata" processing. It is designed to +# run in either a parallel (e.g., poe/mpi or background threads) or serial +# environment. In the parallel environment, it first splits the input BUFR +# data dump files into $NSPLIT equally-sized parts (analogous to dealing +# multiple sets of cards to $NSPLIT players, where each set of cards is a +# different BUFR data dump file). Next, in either the parallel or serial +# environment, it runs PREPOBS_PREPDATA to write out prepbufr files (either +# a single complete file in the serial environment or $NSPLIT partial +# PREPBUFR files in the parallel environment). Finally, it generates a list of +# PREPBUFR message headers which, in the parallel environment, is needed to +# later merge the partial PREPBUFR files together in the proper order. +# +# IMPORTANT: This script assumes that the BUFR data dump files it is to +# process have been copied into the $DATA directory and that each +# file name is the same as in $BUFRLIST. It also assumes that the +# NCEP production date file is present in the $DATA directory and +# that it is called cdate10.dat. Finally, it assumes that the +# PREPOBS_PREPDATA program data cards (parm) file is present in the +# $DATA directory and it is called prepdata.stdin +# ----------------------------------------------------------------------------- +# +# Positional parameters passed in: +# 1 - Stream index ($multi) (0 to $NSPLIT-1) +# +# Imported variables that must be passed in: +# DATA - path to working directory +# PARALLEL - indicates whether or not this script is running in a parallel +# (e.g., poe/mpi or background threads) or serial environment +# "YES" - running in a parallel environment; "NO" running in a +# serial environment) +# NSPLIT number of parts into which the input BUFR data dump files are to +# be evenly divided (applicable only when PARALLEL is "YES") +# BUFRLIST - list of BUFR data dump files to process +# MPCOPYX - path to PREPOBS_MPCOPYBUFR program executable +# PRPT - path to PREPOBS_PREPDATA bufrtable file +# LANDC - path to land/sea mask file +# SGES - path to COPY OF global sigio-based or nemsio-based first guess +# file valid at either center PREPBUFR processing date/time or, +# for global sigio-based guess only, nearest 3-hrly cycle time +# prior to center PREPBUFR processing date/time +# SGESA - path to COPY OF global sigio-based guess file valid at nearest +# 3-hrly cycle AFTER center PREPBUFR processing date/time (if +# needed, otherwise /dev/null). Only used if SGES is valid at +# 3-hrly cycle time PRIOR to center PREPBUFR processing date/time +# (and thus not used if NEMSIO_IN=.true.) +# PRVT - path to observation error table file +# PRPX - path to PREPOBS_PREPDATA program executable +# LISTHDX - path to PREPOBS_LISTHEADERS program executable + +set -aux +multi=$1 + +data=$DATA/multi$multi + +if [ ! -d $DATA/multi$multi ] ; then + mkdir -p $DATA/multi$multi +fi + +status=$data/mstatus ; > $status +mp_pgmout=$data/mp_pgmout ; > $mp_pgmout + + +{ echo +set +x +echo +echo "********************************************************************" +echo "This is stream (task/thread) $multi executing on node `hostname -s`" +echo "Starting time: `date`" +echo "********************************************************************" +echo +set -x +} >> $mp_pgmout + +cd $data + +if [ "$PARALLEL" = 'YES' ]; then + + n=0 + + pgm=`basename $MPCOPYX` +#-----mimics prep_step----- + set +x + echo $pgm > pgmname + set +u + [ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" + set -u + [ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout + rm pgmname + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` + [ -s $DATA/tracer ] && cat $DATA/tracer > errfile + set -x +#-------------------------- + + for name in ${BUFRLIST[*]} ;do + > $name + if [ -s $DATA/$name ] ; then + ((n+=1)) + export FORT$((10+n))=$DATA/$name + export FORT$((50+n))=$name + fi + done + + cat<> $mp_pgmout 2>&1 + &namin nfiles=$n / + &mp nprocs=$NSPLIT,mp_process=$multi / +EOF + err=$? + set +x + echo + echo "The foreground exit status for PREPOBS_MPCOPYBUFR is " $err + echo + set -x + + [ "$err" -gt '0' ] && exit + + dump_dir=$data + +else + + dump_dir=$DATA + +# fi for $PARALLEL = YES +fi + + +pgm=`basename $PRPX` +#-----mimics prep_step----- +set +x +echo $pgm > pgmname +set +u +[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" +set -u +[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout +rm pgmname +[ -f errfile ] && rm errfile +unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +[ -s $DATA/tracer ] && cat $DATA/tracer > errfile +set -x +#-------------------------- + +set +u +[ -n "$PREPBUFR_APP" -a "$PARALLEL" = 'NO' ] && \ + cp $PREPBUFR_APP prepda +set -u + +# Namelist "TASK" with mp_process set to the value of $multi - either the poe/ +# mpi task number (for POE not equal to "NO") or to the background thread +# number (for BACK equal to "YES") in the parallel environment, or hardwired +# to zero in the serial environment, is cat'ed to the beginning of the +# PREPOBS_PREPDATA program data cards (parm) file - this will allow +# PREPOBS_PREPDATA to identify this stream + +> prepdata.stdin +echo " &task mp_process=$multi /" >>prepdata.stdin +cat $DATA/prepdata.stdin >> prepdata.stdin + +BUFRLIST_all="adpupa aircar aircft satwnd proflr vadwnd rassda adpsfc sfcshp \ + sfcbog msonet spssmi erscat qkswnd wdsatr ascatw rtovs atovs goesnd gpsipw" +###BUFRLIST_all_array=($BUFRLIST_all) # this does not work on all platforms +set -A BUFRLIST_all_array `echo $BUFRLIST_all` # this works on all platforms + + +# Any dump file not included in BUFRLIST is "touched" so that it will not +# cause a read error in the event that PREPOBS_PREPDATA still tries to read it + +for name in $BUFRLIST_all;do +[ ! -f $dump_dir/$name ] && > $dump_dir/$name +done + +export FORT11=$DATA/cdate10.dat +export FORT12=$PRPT +export FORT15=$LANDC +## export FORT18=$SGES +## export FORT19=$SGESA + +# The PREPOBS_PREPDATA code opens GFS spectral coefficient guess files using +# sigio routines or GFS gaussian grid guess files using nemsio routines (via +# W3EMC routine GBLEVENTS) in a manner that may not recognize the FORTxx +# variables above. So, the above statements setting FORTxx vars for $SGES and +# $SGESA are replaced by the soft links below. + +ln -sf $SGES fort.18 +ln -sf $SGESA fort.19 +export FORT20=$PRVT +export FORT21=$dump_dir/${BUFRLIST_all_array[0]} +export FORT22=$dump_dir/${BUFRLIST_all_array[1]} +export FORT23=$dump_dir/${BUFRLIST_all_array[2]} +export FORT24=$dump_dir/${BUFRLIST_all_array[3]} +export FORT25=$dump_dir/${BUFRLIST_all_array[4]} +export FORT26=$dump_dir/${BUFRLIST_all_array[5]} +export FORT27=$dump_dir/${BUFRLIST_all_array[6]} +export FORT31=$dump_dir/${BUFRLIST_all_array[7]} +export FORT32=$dump_dir/${BUFRLIST_all_array[8]} +export FORT33=$dump_dir/${BUFRLIST_all_array[9]} +export FORT34=$dump_dir/${BUFRLIST_all_array[10]} +export FORT35=$dump_dir/${BUFRLIST_all_array[11]} +export FORT36=$dump_dir/${BUFRLIST_all_array[12]} +export FORT37=$dump_dir/${BUFRLIST_all_array[13]} +export FORT38=$dump_dir/${BUFRLIST_all_array[14]} +export FORT39=$dump_dir/${BUFRLIST_all_array[15]} +export FORT41=$dump_dir/${BUFRLIST_all_array[16]} +export FORT42=$dump_dir/${BUFRLIST_all_array[17]} +export FORT46=$dump_dir/${BUFRLIST_all_array[18]} +export FORT48=$dump_dir/${BUFRLIST_all_array[19]} +export FORT51=prepda +export FORT52=prevents.filtering.prepdata + +#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) +#If program ever fails, try changing 64000000 to 20000000 +#set +u +#[ -n "$LOADL_PROCESSOR_LIST" ] && XLSMPOPTS=parthds=2:stack=64000000 +#set -u + +# The following improves performance on Cray-XC40 if $PRPX was +# linked to the IOBUF i/o buffering library +export IOBUF_PARAMS='*prevents.filtering.prepdata:verbose' + +$TIMEIT $PRPX >$mp_pgmout 2>&1 +errPREPDATA=$? +unset IOBUF_PARAMS +cat prevents.filtering.prepdata >> $mp_pgmout +set +x +echo +echo "The foreground exit status for PREPOBS_PREPDATA is " $errPREPDATA +echo +set -x + +[ "$errPREPDATA" -gt '4' -o "$errPREPDATA" -eq '1' ] && exit + +# Will execute PREPOBS_LISTHEADERS even if PARALLEL is "NO", because it will +# reorder the monolithic PREPBUFR file to ensure that all messages of the same +# subtype will always be grouped together in sequential messages, arranged in +# the order found in $PRPT (Note: This is a necessity when PARALLEL is "YES" +# because the later program PREPOBS_MONOPREPBUFR must merge the $NSPLIT +# individual (partial) PREPBUFR files together in the proper order) + + +# Build listhdx.stdin from bufrtable entries of possible message headers first +# line is count, followed by list + +grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|wc -l|tee listhdx.stdin +grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|tee -a listhdx.stdin + +pgm=`basename $LISTHDX` +#-----mimics prep_step----- +set +x +echo $pgm > pgmname +set +u +[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" +set -u +[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout +rm pgmname +[ -f errfile ] && rm errfile +unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +[ -s $DATA/tracer ] && cat $DATA/tracer > errfile +set -x +#-------------------------- + +export FORT11=prepda +export FORT51=prepda.reorder +export FORT52=prepda.hdrs + +$TIMEIT $LISTHDX < listhdx.stdin >>$mp_pgmout 2>&1 +err=$? +cat prepda.hdrs +set +x +echo +echo "The foreground exit status for PREPOBS_LISTHEADERS is " $err +echo +set -x + +[ "$err" -gt '0' ] && exit + +mv prepda.reorder prepda +rm listhdx.stdin + +echo "$multi finished -- errPREPDATA = $errPREPDATA" > $status + +{ echo +set +x +echo +echo "********************************************************************" +echo "Finished executing on node `hostname -s`" +echo "Ending time : `date`" +echo "********************************************************************" +echo +set -x +} >> $mp_pgmout + +} 1> $DATA/mp_stream${1}.stdout 2> $DATA/mp_stream${1}.errfile + +exit 0 +EOFmpp +set -x + +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## +## end of HEREFILE MP_PREPDATA ## +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## + + chmod 775 MP_PREPDATA + + if [ "$PARALLEL" = 'YES' ]; then + +# In the parallel environment, either cat the multiple MP_PREPDATA tasks +# into a poe command file (for poe/mpi/cfp) - or - set up a script that will +# fire off each MP_PREPDATA thread as a background process +# ----------------------------------------------------------------------- + if [ "$POE" != 'NO' ]; then + multi=-1 + while [ $((multi+=1)) -lt $NSPLIT ] ; do + echo "ksh $DATA/MP_PREPDATA $multi "|tee -a $DATA/prep_exec.cmd + done + if [ "$launcher_PREP" != cfp -a "$launcher_PREP" != aprun ]; then + # fill in empty tasks + multi=$((multi-=1)) #need to go back one + while [ $((multi+=1)) -lt $NPROCS ] ; do + echo "echo do-nothing" >> $DATA/prep_exec.cmd + done + fi + elif [ $BACK = 'YES' ] ; then + multi=-1 + echo "#!/bin/ksh" > $DATA/prepthrds.sh + while [ $((multi+=1)) -lt $NSPLIT ] ; do + echo "$DATA/MP_PREPDATA $multi &" >> $DATA/prepthrds.sh + echo "echo $DATA/MP_PREPDATA $multi submitted in background" \ + >> $DATA/prepthrds.sh + done + echo "wait" >> $DATA/prepthrds.sh + chmod 775 $DATA/prepthrds.sh + fi + +# In the parallel environment, next either execute the poe wrapper (for poe/ +# mpi/cfp) (do not execute a time command with poe!) - or - run prepthrds.sh +# to kick off background processes and wait for them to complete +# -------------------------------------------------------------------------- + if [ "$POE" != 'NO' ]; then + if [ "$launcher_PREP" = mpirun.lsf ]; then + export MP_CMDFILE=$DATA/prep_exec.cmd + export MP_PGMMODEL=mpmd + export MP_PULSE=0 + export MP_DEBUG_NOTIMEOUT=yes + export MP_LABELIO=yes + export MP_STDOUTMODE=ordered + mpirun.lsf + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + elif [ "$launcher_PREP" = cfp ]; then + export MP_CSS_INTERRUPT=yes + export MP_LABELIO=yes + export MP_STDOUTMODE=ordered + mpirun.lsf cfp $DATA/prep_exec.cmd + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + elif [ "$launcher_PREP" = aprun ]; then + ## Determine tasks per node (PREPDATAtpn) and + ## max number of concurrent procs (PREPDATAprocs) for cfp + typeset -i nodesall=$(echo -e "${LSB_HOSTS// /\\n}"|sort -u|wc -w) + typeset -i ncnodes=$(($nodesall-1)) # we want compute nodes only + if [ $ncnodes -lt 1 ]; then + set +x + echo + echo " ** Could not get positive compute node count for aprun **" + echo " ** Are we using LSF queue with compute node access? **" + echo + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + if [[ -z ${PREPDATAtpn:-""} ]]; then + PREPDATAtpn=$((($NSPLIT+$ncnodes-1)/$ncnodes)) + # cfp is faster with extra thread so add one if there is room. + # (this logic needs an update to avoid hardwired 24) + [ $PREPDATAtpn -lt 24 ] && PREPDATAtpn=$(($PREPDATAtpn+1)) + fi + if [[ -z ${PREPDATAprocs:-""} ]]; then + PREPDATAprocs=$(($ncnodes*$PREPDATAtpn)) # max concurrent processes + fi + aprun -j 1 -n${PREPDATAprocs} -N${PREPDATAtpn} -d1 cfp $DATA/prep_exec.cmd + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + else # unknown launcher and options (eg, for use on R&D system) + $launcher_PREP + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + fi + elif [ $BACK = 'YES' ] ; then + if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + aprun -n 1 -d $NSPLIT $DATA/prepthrds.sh + else + $DATA/prepthrds.sh + fi + fi + totalt=$NSPLIT + else + +# In the serial environment, just fire off a single thread of MP_PREPDATA +# ----------------------------------------------------------------------- + multi=0 + if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + aprun -n 1 -N 1 ksh $DATA/MP_PREPDATA $multi + else + $DATA/MP_PREPDATA $multi + fi + totalt=1 + + # fi for $PARALLEL = YES + fi + + set +x + multi=0 + while [ $multi -lt $totalt ]; do +echo +echo "********************************************************************" +echo " ++ Script STDOUT from MP_PREPDATA for stream (task/thread) $multi ++" +echo "********************************************************************" +echo + cat $DATA/mp_stream${multi}.stdout +echo "********************************************************************" +echo " ++ End of Script STDOUT from MP_PREPDATA for stream $multi ++ " +echo "********************************************************************" + multi=`expr $multi + 1` + done + +echo +echo "********************************************************************" +echo " ++ Script trace from MP_PREPDATA for stream (task/thread) 0 ++ " + if [ "$PARALLEL" = 'YES' ]; then +echo +echo " In order to conserve space, the script trace from other " +echo " streams is not invoked unless the stream failed. " + fi +echo "********************************************************************" +echo + + cat mp_stream0.errfile + +echo +echo "********************************************************************" +echo " ++ End of Script trace from MP_PREPDATA for stream 0 ++ " +echo "********************************************************************" +echo + set -x + +# check status files +# ------------------ + + errSTATUS=0 + errPREPDATA=0 + four_check=yes + multi=0 + while [ $multi -lt $totalt ]; do + cat $DATA/multi$multi/mp_pgmout >> prepdata.out + cat $DATA/multi$multi/mp_pgmout >> $pgmout + status=$DATA/multi$multi/mstatus + if [ ! -s $status ]; then + set +x +echo +echo "********************************************************************" +echo " P R O B L E M ! ! ! " +echo "********************************************************************" +echo " ###> MP_PREPDATA stream (task/thread) $multi FAILED - Cycle date: \ +$CDATE10" +echo " Current working directory: $DATA " +echo +echo " Script trace from MP_PREPDATA for stream $multi follows ... " +echo "********************************************************************" +echo + cat $DATA/mp_stream${multi}.errfile +echo +echo "********************************************************************" +echo " ++ End of Script trace from MP_PREPDATA for stream $multi ++ " +echo "********************************************************************" +echo + set -x + errSTATUS=99 + else + err_this=`cut -f 2 -d = $status` + [ "$err_this" -gt "$errPREPDATA" ] && errPREPDATA=$err_this + [ "$err_this" -eq '0' ] && four_check=no + fi + multi=`expr $multi + 1` + done + + if [ "$errSTATUS" -gt '0' ]; then + $DATA/err_exit + exit 55 # for extra measure + fi + + [ "$errPREPDATA" -eq '4' -a "$four_check" = 'no' ] && errPREPDATA=0 + + set +x + echo + echo "For all MP_PREPDATA Streams, the largest foreground exit status \ + amongst all PREPOBS_PREPDATA runs is " $errPREPDATA + echo + set -x + + if [ "$errPREPDATA" -le "$errPREPDATA_limit" -a $errPREPDATA -ne 1 ]; then + err=0 + if [ "$errPREPDATA" -eq '4' ]; then + set +x + echo + echo "WARNING: PREPOBS_PREPDATA FOUND EITHER NO ADPUPA OR NO ADPSFC DATA" + echo "-------- THESE DATA WILL NOT BE AVAILABLE TO ANALYSES" + echo + set -x + fi + else + err=$errPREPDATA + fi + + pgm=`basename $PRPX` + touch errfile + $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + + if [ "$PARALLEL" = 'YES' ]; then + +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## +## HEREFILE MERGE_MSGS ## +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## + +set +x +cat <<\EOFmrg > MERGE_MSGS + +# This herefile script merges the individual partial PREPBUFR files present at +# this point into a complete, monolithic PREPBUFR file in the proper message +# type order. It is the last step in the PREPDATA processing. It runs only +# in the parallel environment. +# ---------------------------------------------------------------------------- + +# Positional parameters passed in: +# 1 - Number of input partial PREPBUFR files that are going to be merged +# ($nfiles) +# 2 - Working directory path ($DATA) (contains separate partial PREPBUFR +# files and text files containing headers for each, one directory down) +# 3 - Beginning string of sub-directories in $DATA ($subdir) (each sub- +# directory contains an input partial PREPBUFR file and a text file +# containing headers for all messages in that PREPBUFR file) +# 4 - File in each sub-directory containing headers for all messages in +# partial PREPBUFR file in same sub-directory (file name only - same name +# in all sub-directories) ($header_file_name) +# 5 - Partial PREPBUFR file in each sub-directory (file name only - same name +# in all sub-directories) ($prep_in) +# 6 - Output monolithic PREPBUFR file name (file name only) ($prep_out) +# +# Imported variables that must be passed in: +# MONOBFRX - path to PREPOBS_MONOPREPBUFR program executable +# +# Imported variables that can be passed in: +# pgmout - string indicating path to for standard output file (skipped over +# by this script if not passed in) + + +if [ $# -ne 6 ] ; then + echo "Usage: $0 nfiles DATA subdir header_file_name prep_in prep_out" + exit 1 +fi + +set -aux + +qid=$$ + +nfiles=$1;DATA=$2;subdir=$3;header_file_name=$4;prep_in=$5;prep_out=$6 + + +# From all the header files, extract the header counts and names build +# namelist input to drive $MONOBFRX program +# --------------------------------------------------------------------- + +nheaders=`cat $DATA/${subdir}*/$header_file_name|awk '{print $1}'|sort -u|wc -l` +((nheaders+=0)) + +>$DATA/input echo +echo " &namin nfiles=$nfiles, nheaders=$nheaders," >>$DATA/input + +cd $DATA + + +# Assign the fort units to the files +# ----------------------------------- + +pgm=`basename $MONOBFRX` +if [ -s $DATA/prep_step ]; then + . $DATA/prep_step +else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +fi + + +n=-1 +while [ $((n+=1)) -lt $nfiles ] ;do + [ ! -s $DATA/${subdir}$n/$prep_in ] && exit 1 + export FORT$((11+n))=$DATA/${subdir}$n/$prep_in +done +export FORT51=$prep_out +set +x + + +# Extract the total span of headers by searching through all the header files +# --------------------------------------------------------------------------- + +n=-1 +while [ $((n+=1)) -lt $nfiles ]; do + file=$DATA/${subdir}$n/$header_file_name + [ ! -s $file ] && exit 1 + if [ `cat $file|awk '{print $1}'| \ + sort -u|wc -l` -eq $nheaders ] ; then + headers="" + nlines=`cat $file|wc -l` + i=0 + while [ $((i+=1)) -le $nlines ]; do + line=`sed -n $i,${i}p $file` + header=`echo $line|awk '{print $1}'` + echo " cheaders($i)='$header',">>$DATA/input + headers="$headers $header" + done + break + fi +done + + +# Tranlate the hdrs file contents into namelist array +# --------------------------------------------------- + +n=-1 +while [ $((n+=1)) -lt $nfiles ]; do + file=$DATA/${subdir}$n/$header_file_name + line= + i=0 + for hdr in $headers; do + ((i+=1)) + count=`grep $hdr $file|awk '{print $2}'` + set +u + [ -z "$count" ] && count=0 + set -u + line="${line}msgs($i,$((n+1)))=$count," + done + echo " $line " >>$DATA/input +done + +echo " &end" >>$DATA/input +set -x +cat $DATA/input + +$TIMEIT $MONOBFRX <$DATA/input > outout 2> errfile +export err=$? +###cat errfile +cat errfile >> outout +cat outout >> monoprepbufr.out +set +u +[ -n "$pgmout" ] && cat outout >> $pgmout +set -u +rm outout +set +x +echo +echo "The foreground exit status for PREPOBS_MONOPREPBUFR is " $err +echo +set -x +$DATA/err_chk +[ $err != 0 ] && exit 55 # for extra measure + +exit 0 +EOFmrg +set -x + +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## +## end of HEREFILE MERGE_MSGS ## +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## + + chmod 775 MERGE_MSGS + +# In parallel environment, make monolithic PREPBUFR file by meriging the +# partial PREPBUFR files +# ---------------------------------------------------------------------- + $TIMEIT $DATA/MERGE_MSGS $NSPLIT $DATA multi prepda.hdrs prepda \ + prepda.${cycle} + errsc=$? + if test $errsc -ne 0 + then +# problem with merge script + $DATA/err_exit + exit 55 # for extra measure + fi + else + +# In serial environment, already have a monolithic PREPBUFR file - just +# copy it to expected local monolithic PREPBUFR file location +# --------------------------------------------------------------------- + cp $DATA/multi0/prepda prepda.${cycle} + + # fi for $PARALLEL = YES + fi + +# fi for $PREPDATA = YES +fi + +set +u +[ -n "$PREPBUFR_IN" ] && cp $PREPBUFR_IN $DATA/prepda.${cycle} +set -u + + +############################################ +# EXECUTE SYNTHETIC CYCLONE DATA PROCESSING +############################################ + +if [ "$SYNDATA" = 'YES' ]; then + +# Check condition code - SDM can shut-off synthetic cyclone bogusing +# ------------------------------------------------------------------ +# ==> this switch is NOT YET in place, so it will be hardwired to "YES" + +###cp ???????????? syndata_cond + echo "YES" > syndata_cond + SYN=`cat tcvitals_orig_sort + sort tcvitals > tcvitals_sort + comm -23 tcvitals_orig_sort tcvitals_sort > tcvitals_removed + [ -s tcvitals_removed ] && run_syndat_twice=yes + fi + fi + + $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ + $DATA/tcvitals $CDATE10 + + if [ $run_syndat_twice = yes ]; then + +# Run SYNDATA a second time when switch "run_syndat_twice" was set to "yes" in +# above logic (see %% above) + + DO_BOGUS=NO + $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ + $DATA/tcvitals_removed $CDATE10 + fi + fi +fi + +[ "$PREPDATA" = 'YES' ] && cp prepda.${cycle} prepda.prepdata + + +########################################### +# EXECUTE GSI QUALITY-CONTROL PROCESSING +########################################### + +if [ "$DO_QC" = 'YES' ]; then + if [ "$PREVENTS" = 'YES' ];then + $TIMEIT $USHPREV/prepobs_prevents.sh $DATA/prepda.${cycle} $CDATE10 + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$CQCBUFR" = 'YES' ];then + $TIMEIT $USHCQC/prepobs_cqcbufr.sh $DATA/prepda.${cycle} + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$PROFCQC" = 'YES' ];then + $TIMEIT $USHPQC/prepobs_profcqc.sh $DATA/prepda.${cycle} + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$CQCVAD" = 'YES' ];then + $TIMEIT $USHVQC/prepobs_cqcvad.sh $DATA/prepda.${cycle} $CDATE10 + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$PREPACQC" = 'YES' ];then + $TIMEIT $USHAQC/prepobs_prepacqc.sh $DATA/prepda.${cycle} $DATA/adpsfc + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$OIQCBUFR" = 'YES' ];then + $TIMEIT $USHOIQC/prepobs_oiqcbufr.sh $DATA/prepda.${cycle} $CDATE10 + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi +fi + + +# Look for "OVERLARGE" subsets in stdout (print out of bufrlib when subset +# discarded because it is too big to fit in a BUFR message) -- post to +# jlogfile if appropriate + +msg=`grep "OVERLARGE SUBSET DISCARDED" $pgmout` +err=$? +if [ "$err" -eq '0' ]; then + set +x + echo + echo "$msg" + echo + set -x + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" +fi + +exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_oiqcbufr.sh b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_oiqcbufr.sh new file mode 100755 index 0000000..dbb6630 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_oiqcbufr.sh @@ -0,0 +1,152 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + +# This script performs an oi-based quality control on all data +# +# It is normally executed by the script prepobs_makeprepbufr.sh +# but can also be executed from a checkout parent script +# -------------------------------------------------------------- + +set -aux + +qid=$$ + +# Positional parameters passed in: +# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr +# file upon successful completion of this script (note that input +# prepbufr file is NOT saved by this script) +# 2 - NCEP production date (YYYYMMDDHH) + +# Imported variables that must be passed in: +# DATA - path to working directory +# OIQCT - path to observation error table file +# OIQCX - path to PREPOBS_OIQCBUFR program executable + +# Imported variables that can be passed in: +# jlogfile - string indicating path to joblog file (skipped over by this +# script if not passed in) +# pgmout - string indicating path to for standard output file (skipped +# over by this script if not passed in) +# sys_tp - system type and phase. (if not passed in, an attempt is made to +# set this string using getsystem.pl, an NCO script in prod_util) +# SITE - site name (may have been set by local shell startup script) +# launcher_OIQCX - launcher for OIQCX executable (on Cray-XC40, defaults to +# aprun using 16 tasks) + +cd $DATA +PRPI=$1 +if [ ! -s $PRPI ] ; then exit 1;fi +CDATE10=$2 + +jlogfile=${jlogfile:=""} + +rm $PRPI.oiqcbufr +rm tosslist + +pgm=`basename $OIQCX` +if [ -s $DATA/prep_step ]; then + set +u + . $DATA/prep_step + set -u +else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +fi + +#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) +#set +u +#[ -n "$LOADL_PROCESSOR_LIST" ] && export XLSMPOPTS=parthds=2:usrthds=2:stack=64000000 +#set -u + +echo " $CDATE10" > cdate.dat +export FORT11=cdate.dat +export FORT14=$PRPI +export FORT17=$OIQCT +export FORT18=obprt_ipoint.wrk +export FORT20=tolls.wrk +export FORT61=toss.sfc_z +export FORT62=toss.temp_wind +export FORT63=toss.sat_temp +export FORT64=toss.ssmi_wind +export FORT65=tosslist +export FORT70=$PRPI.oiqcbufr +export FORT81=obogram.out +export FORT82=obogram.bin +TIMEIT=${TIMEIT:-""} +[ -s $DATA/time ] && TIMEIT="$DATA/time -p" +# $TIMEIT mpirun $OIQCX > outout 2> errfile +#$TIMEIT mpirun -genvall -n $LSB_DJOB_NUMPROC -machinefile $LSB_DJOB_HOSTFILE $OIQCX > outout 2> errfile + +SITE=${SITE:-""} +sys_tp=${sys_tp:-$(getsystem.pl -tp)} +getsystp_err=$? +if [ $getsystp_err -ne 0 ]; then + msg="***WARNING: error using getsystem.pl to determine system type and phase" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" +fi +echo sys_tp is set to: $sys_tp +if [ "$sys_tp" = "Cray-XC40" -o "$SITE" = "SURGE" -o "$SITE" = "LUNA" ]; then + launcher_OIQCX=${launcher_OIQCX:-"aprun -n 16 -N 16 -j 1"} # consistent with tide/gyre +# launcher_OIQCX=${launcher_OIQCX:-"aprun -n 24 -N 24 -j 1"} # slightly faster +else + launcher_OIQCX=${launcher_OIQCX:-"mpirun.lsf"} +#########################module load ibmpe ics lsf uncomment if not in profile +# seems to run ok w next 10 lines commented out (even though Jack had them in +# his version of this script) +###export LANG=en_US +###export MP_EAGER_LIMIT=65536 +###export MP_EUIDEVELOP=min +###export MP_EUIDEVICE=sn_all +###export MP_EUILIB=us +###export MP_MPILIB=mpich2 +###export MP_USE_BULK_XFER=yes +###export MPICH_ALLTOALL_THROTTLE=0 +###export MP_COLLECTIVE_OFFLOAD=yes +###export KMP_STACKSIZE=1024m +fi + +$TIMEIT $launcher_OIQCX $OIQCX > outout 2> errfile + +err=$? +###cat errfile +cat errfile >> outout +cat outout >> oiqcbufr.out +cp outout obcnt.out +set +u +[ -n "$pgmout" ] && cat outout >> $pgmout +set -u +rm outout +set +x +echo +echo 'The foreground exit status for PREPOBS_OIQCBUFR is ' $err +echo +set -x +if [ "$err" -eq '4' ]; then +msg="WRNG: SOME OBS NOT QC'd BY PGM PREPOBS_OIQCBUFR - # OF OBS > LIMIT \ +--> non-fatal" + set +x + echo + echo "$msg" + echo + set -x + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + err=0 +fi +if [ -s $DATA/err_chk ]; then + $DATA/err_chk +else + if test "$err" -gt '0' + then +######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out + # in ops + exit 55 + fi +fi + +if [ "$err" -gt '0' ]; then + exit 9 +else + mv $PRPI.oiqcbufr $PRPI +fi + +exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prepacqc.sh b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prepacqc.sh new file mode 100755 index 0000000..0fc1c6e --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prepacqc.sh @@ -0,0 +1,211 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + + +# This script performs two tasks: +# 1) Execute program PREPOBS_PREPACQC to perform aircraft quality control +# checking +# 2) Execute program PREPOBS_PREPACPF to append a surface level to profile +# reports in the PREPBUFR-format aircraft profiles file which is output +# from PREPOBS_PREPACQC +# Both tasks are optional in case the executing job wants to perform only one +# of these two tasks. The default to to perform both tasks. +# +# This script is normally executed by the script prepobs_makeprepbufr.sh +# but can also be executed from a checkout parent script +# -------------------------------------------------------------------------- + +set -aux + +qid=$$ + +# Positional parameters that must always be passed in: +# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr +# file upon successful completion of this script +# (note that input prepbufr file is NOT saved by this script) +# {this can be set to "null" if PROCESS_ACQC != YES (see below), since in +# this case it is not considered} + +# Positional parameters that must be passed in if PROCESS_ACPF = YES (see +# below): +# 2 - path to adpsfc dump file input to PREPOBS_PREPACPF {normally the same +# one that was read in to generate the prepbufr file in positional +# parameter 1 or, if PREPOBS_PREPACQC != YES (see below), the prepbufr +# file processed by program PREPOBS_PREPACQC which presumably ran some +# place outside of, and prior to, this script} + +# Imported variables that must always be passed in: +# DATA - path to working directory +# PROCESS_ACQC - switch controlling whether or not to execute +# PREPOBS_PREPACQC +# PROCESS_ACPF - switch controlling whether or not to execute +# PREPOBS_PREPACPF + +# Imported variables that must be passed in if PROCESS_ACQC = YES: +# AQCX - path to PREPOBS_PREPACQC program executable +# AQCC - path to PREPOBS_PREPACQC program parm cards + +# Imported variables that must be passed in if PROCESS_ACQC != YES: +# acft_profiles - path to prepbufr.acft_profiles file output by program +# PREPOBS_PREPACQC (which presumably ran some place outside +# of, and prior to, this script) + +# Imported variables that must be passed in if PROCESS_ACPF = YES: +# DICT - path to unsorted METAR station dictionary file +# APFX - path to PREPOBS_PREPACPF program executable + +# Imported variables that can be passed in: +# jlogfile - string indicating path to joblog file +# (skipped over by this script if not passed in) +# (only examined if PROCESS_ACPF = YES) +# pgmout - string indicating path to for standard output file +# (skipped over by this script if not passed in) + + +cd $DATA + +jlogfile=${jlogfile:=""} + +if [ $PROCESS_ACQC = YES ]; then + PRPI=$1 + if [ ! -s $PRPI ] ; then exit 1;fi + + rm $PRPI.prepacqc + rm prepbufr.acft_profiles + + pgm=`basename $AQCX` + if [ -s $DATA/prep_step ]; then + set +u + . $DATA/prep_step + set -u + else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` + fi + + export FORT11=$PRPI + export FORT41=vvel_info.acft_profiles.txt + export FORT61=$PRPI.prepacqc + export FORT62=prepbufr.acft_profiles + TIMEIT=${TIMEIT:-""} + [ -s $DATA/time ] && TIMEIT="$DATA/time -p" + # The following improves performance on Cray-XC40 if $AQCX was + # linked to the IOBUF i/o buffering library + export IOBUF_PARAMS='*.log:verbose,*.txt:verbose,*.sorted:verbose' + $TIMEIT $AQCX< $AQCC > outout 2> errfile + err=$? + err_actual=$err + unset IOBUF_PARAMS +######cat errfile + cat errfile >> outout + cat outout >> prepacqc.out + set +u + [ -n "$pgmout" ] && cat outout >> $pgmout + set -u + rm outout + set +x + echo + echo 'The foreground exit status for PREPOBS_PREPACQC is ' $err + echo + set -x + if [ $err -eq 4 ]; then + msg="PREPBUFR DATA SET CONTAINS NO "AIRCAR" OR "AIRCFT" TABLE A MESSAGES --> non-fatal" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + err=0 + fi + if [ -s $DATA/err_chk ]; then + $DATA/err_chk + else + if test "$err" -gt '0' + then +#########kill -9 ${qid} # need a WCOSS alternative to this even tho commented + # out in ops + exit 55 + fi + fi + + if [ "$err" -gt '0' ]; then + exit 9 + elif [ "$err_actual" -gt '0' ]; then + PROCESS_ACPF=NO + else + [ ! -f $PRPI.prepacqc ] && touch $PRPI.prepacqc + mv $PRPI.prepacqc $PRPI + fi + +else + cp -p $acft_profiles prepbufr.acft_profiles +fi + + +if [ $PROCESS_ACPF = YES ]; then + ADPSFC=$2 + + sort -n +0.61 -0.67 $DICT > metar.tbl.lon_sorted + + msg=good + if [ ! -s $ADPSFC ]; then + msg="WARNING: PREPOBS_PREPACPF COULD NOT RUN, adpsfc FILE NOT FOUND \ +--> non-fatal" + elif [ ! -s prepbufr.acft_profiles ]; then + msg="WARNING: PREPOBS_PREPACPF COULD NOT RUN, prepbufr.acft_profiles \ +FILE NOT FOUND --> non-fatal" + elif [ ! -s metar.tbl.lon_sorted ]; then + msg="WARNING: PREPOBS_PREPACPF COULD NOT RUN, metar.tbl FILE NOT FOUND \ +--> non-fatal" + fi + if [ "$msg" != 'good' ]; then + set +x + echo + echo "$msg" + echo + set -x + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + exit 0 + fi + + pgm=`basename $APFX` + if [ -s $DATA/prep_step ]; then + set +u + . $DATA/prep_step + set -u + else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` + fi + + export FORT11=metar.tbl.lon_sorted + export FORT12=$ADPSFC + export FORT13=prepbufr.acft_profiles + export FORT51=prepbufr.acft_profiles_sfc + TIMEIT=${TIMEIT:-""} + [ -s $DATA/time ] && TIMEIT="$DATA/time -p" + $TIMEIT $APFX > outout 2> errfile + err=$? +######cat errfile + cat errfile >> outout + cat outout >> prepacpf.out + set +u + [ -n "$pgmout" ] && cat outout >> $pgmout + set -u + rm outout + set +x + echo + echo 'The foreground exit status for PREPOBS_PREPACPF is ' $err + echo + set -x + if [ $err -gt 0 ]; then + msg="WARNING: PREPOBS_PREPACPF DID NOT COMPLETE NORMALLY --> non-fatal" + set +x + echo + echo "$msg" + echo + set -x + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + else + err=0 + [ -s $DATA/err_chk ] && $DATA/err_chk + fi +fi + +exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prevents.sh b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prevents.sh new file mode 100755 index 0000000..3d2b609 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prevents.sh @@ -0,0 +1,118 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + + +# This script encodes the background (first guess) and observational +# errors into the PREPBUFR reports (interpolated to obs. locations) +# +# It is normally executed by the script prepobs_makeprepbufr.sh +# but can also be executed from a checkout parent script +# ------------------------------------------------------------- + +set -aux + +qid=$$ + +# Positional parameters passed in: +# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr +# file upon successful completion of this script (note that input +# prepbufr file is NOT saved by this script) +# 2 - expected center date in PREPBUFR file (YYYYMMDDHH) + +# Imported variables that must be passed in: +# DATA - path to working directory +# NET - string indicating system network (either "gfs", "gdas", "cdas", +# "nam", "rap", "rtma" or "urma") +# NOTE1: NET is changed to gdas in the parent Job script for the +# RUN=gdas1 (was gfs - NET remains gfs for RUN=gfs). +# NOTE2: This is read from the program PREPOBS_PREVENTS via a call +# to system routine "GETENV". +# SGES - path to COPY OF global simga first guess file 1 (valid at +# either center date of PREPBUFR file or nearest cycle time prior +# to center date of PREPBUFR file which is a multiple of 3) +# SGESA - path to COPY OF global simga first guess file 2 (either +# null if SGES is valid at center date of PREPBUFR file or valid +# at nearest cycle time after center date of PREPBUFR file which +# is a multiple of 3 if SGES is valid at nearest cycle time +# prior to center date of PREPBUFR file which is a multiple of 3) +# PRVT - path to observation error table file +# PREX - path to PREPOBS_PREVENTS program executable +# PREC - path to PREPOBS_PREVENTS program parm cards + +# Imported variables that can be passed in: +# pgmout - string indicating path to for standard output file (skipped +# over by this script if not passed in) + +cd $DATA +PRPI=$1 +if [ ! -s $PRPI ] ; then exit 1 ;fi +CDATE10=$2 + +rm $PRPI.prevents +rm prevents.filtering + +pgm=`basename $PREX` +if [ -s $DATA/prep_step ]; then + set +u + . $DATA/prep_step + set -u +else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +fi + +echo " $CDATE10" > cdate10.dat +export FORT11=$PRPI +#####export FORT12=$SGES +#####export FORT13=$SGESA + +# The PREPOBS_PREVENTS code will soon, or may now, open GFS spectral +# coefficient guess files using sigio routines (via W3EMC routine GBLEVENTS) +# via explicit open(unit=number,file=filename) statements. This conflicts with +# the FORTxx statements above. One can either remove the explicit open +# statements in the code or replace the above FORTxx lines with soft links. +# The soft link approach is taken below. + +ln -sf $SGES fort.12 +ln -sf $SGESA fort.13 + +export FORT14=$PRVT +export FORT15=cdate10.dat +export FORT51=$PRPI.prevents +export FORT52=prevents.filtering + +TIMEIT=${TIMEIT:-""} +[ -s $DATA/time ] && TIMEIT="$DATA/time -p" +$TIMEIT $PREX < $PREC > outout 2> errfile +err=$? +###cat errfile +cat errfile >> outout +cat prevents.filtering >> outout +cat outout >> prevents.out +set +u +[ -n "$pgmout" ] && cat outout >> $pgmout +set -u +rm outout +set +x +echo +echo 'The foreground exit status for PREPOBS_PREVENTS is ' $err +echo +set -x +if [ -s $DATA/err_chk ]; then + $DATA/err_chk +else + if test "$err" -gt '0' + then +######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out + # in ops + exit 55 + fi +fi + +if [ "$err" -gt '0' ]; then + exit 9 +else + mv $PRPI.prevents $PRPI +fi + +exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_profcqc.sh b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_profcqc.sh new file mode 100755 index 0000000..1c1745b --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_profcqc.sh @@ -0,0 +1,97 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + + +# This script performs wind profiler quality control checking +# +# It is normally executed by the script prepobs_makeprepbufr.sh +# but can also be executed from a checkout parent script +# -------------------------------------------------------------------------- + +set -aux + +qid=$$ + +# Positional parameters passed in: +# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr +# file upon successful completion of this script (note that input +# prepbufr file is NOT saved by this script) + +# Imported variables that must be passed in: +# DATA - path to working directory +# PQCX - path to PREPOBS_PROFCQC program executable +# PQCC - path to PREPOBS_PROFCQC program parm cards + +# Imported variables that can be passed in: +# jlogfile - string indicating path to joblog file (skipped over by this +# script if not passed in) +# pgmout - string indicating path to standard output file (skipped +# over by this script if not passed in) + +cd $DATA +PRPI=$1 +if [ ! -s $PRPI ] ; then exit 1;fi + +jlogfile=${jlogfile:=""} + +rm $PRPI.profcqc +rm profcqc.monitor profcqc.events + +pgm=`basename $PQCX` +if [ -s $DATA/prep_step ]; then + set +u + . $DATA/prep_step + set -u +else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +fi + +export FORT14=$PRPI +export FORT51=$PRPI.profcqc +export FORT52=profcqc.monitor1 +export FORT53=profcqc.monitor2 +export FORT54=profcqc.events1 +export FORT55=profcqc.events2 +export FORT61=profcqc.stats1 +export FORT62=profcqc.stats2 +TIMEIT=${TIMEIT:-""} +[ -s $DATA/time ] && TIMEIT="$DATA/time -p" +$TIMEIT $PQCX< $PQCC > outout 2> errfile +err=$? +###cat errfile +cat errfile >> outout +cat profcqc.events2 >> outout +cat outout >> profcqc.out +set +u +[ -n "$pgmout" ] && cat outout >> $pgmout +set -u +rm outout +set +x +echo +echo 'The foreground exit status for PREPOBS_PROFCQC is ' $err +echo +set -x +if [ $err -eq 4 ]; then + msg="PREPBUFR DATA SET CONTAINS NO "PROFLR" TABLE A MESSAGES --> non-fatal" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + err=0 +fi +if [ -s $DATA/err_chk ]; then + $DATA/err_chk +else + if test "$err" -gt '0' + then +######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out + # in ops + exit 55 + fi +fi + +if [ "$err" -gt '0' ]; then + exit 9 +else + mv $PRPI.profcqc $PRPI +fi + +exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_syndata.sh b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_syndata.sh new file mode 100755 index 0000000..5fe1fab --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_syndata.sh @@ -0,0 +1,209 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + + +# This script has two functions: +# 1) Generates synthetic cyclone bogus near tropical storms and appends them +# to a PREPBUFR file (based on script variable DO_BOGUS). If may also, +# based on user-requested switch, flag mass pressure reports "near" +# tropical storms. +# 2) Flag dropwinsonde wind reports "near" tropical storms (based on user- +# requested switch). +# +# Note: It can do both 1 and 2 above or just one of them without the other. +# +# (NOTE: SYNDATA is currently restricted to run with T126 gaussian +# land-sea mask) +# +# It is normally executed by the script prepobs_makeprepbufr.sh +# but can also be executed from a checkout parent script +# ------------------------------------------------------------- + +set -aux + +# Positional parameters passed in: +# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr +# file upon successful completion of this script (note that input +# prepbufr file is NOT saved by this script) +# 2 - path to COPY OF input tcvitals file +# 3 - expected center date in PREPBUFR file (YYYYMMDDHH) + + +# Imported variables that must be passed in: +# DATA - path to working directory +# SGES - path to COPY OF global simga first guess file 1 (valid at +# either center date of PREPBUFR file or nearest cycle time prior +# to center date of PREPBUFR file which is a multiple of 3) +# SGESA - path to COPY OF global simga first guess file 2 (either +# null if SGES is valid at center date of PREPBUFR file or valid +# at nearest cycle time after center date of PREPBUFR file which +# is a multiple of 3 if SGES is valid at nearest cycle time +# prior to center date of PREPBUFR file which is a multiple of 3) +# PRVT - path to observation error table file +# FIXSYND - path to synthethic data fixed field files +# SYNDX - path to SYNDAT_SYNDATA program executable +# SYNDC - path to SYNDAT_SYNDATA program parm cards + +# Imported variables that can be passed in: +# DO_BOGUS - Generate synthetic cyclone bogus near tropical storms and +# append them to a PREPBUFR file (and also, based on user- +# requested switch, flag mass pressure reports "near" tropical +# storms)? (choices are "YES" or "NO", anything else defaults to +# "YES", including if this is not passed in) +# jlogfile - string indicating path to joblog file (skipped over by this +# script if not passed in) +# pgmout - string indicating path to for standard output file (skipped +# over by this script if not passed in) +# sys_tp - system type and phase. (if not passed in, an attempt is made to +# set this string using getsystem.pl, an NCO script in prod_util) +# SITE - site name (may have been set by local shell startup script) +# launcher_SYNDX - launcher for SYNDX executable (on Cray-XC40, defaults to +# aprun using single task) + + +cd $DATA +PRPI=$1 +if [ ! -s $PRPI ] ; then exit 1 ;fi +VITL=$2 +CDATE10=$3 + +jlogfile=${jlogfile:=""} + +if [ ! -s $VITL ] ; then + msg="TCVITALS EMPTY - NO PROCESSING PERFORMED BY SYNDAT_SYNDATA for \ +$CDATE10 --> non-fatal" + set +x + echo + echo "$msg" + echo + set -x + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + + exit +fi + +if [ $DO_BOGUS = 'YES' ]; then + suffix_char="" +else + suffix_char="_nobog" +fi + +rm -f $PRPI.syndata bogdomn.wrk${suffix_char} alldat${suffix_char} +rm -f stmtrk.wrk${suffix_char} rawdat.wrk${suffix_char} dumcoef${suffix_char} +rm -f matcoef${suffix_char} dthistry${suffix_char} bogrept${suffix_char} +rm -f bogdata${suffix_char} fenvdta.wrk${suffix_char} stkdatb.wrk${suffix_char} +rm -f gesvit${suffix_char} bghistry.diag${suffix_char} +rm -f prevents.filtering.syndata${suffix_char} + +pgm=`basename $SYNDX` +if [ -s $DATA/prep_step ]; then + set +u + . $DATA/prep_step + set -u +else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +fi + +export FORT11=$VITL +echo " $CDATE10" > cdate10.dat +export FORT13=cdate10.dat +export FORT14=$FIXSYND/syndat_syndata.slmask.t126.gaussian +export FORT15=bogdomn.wrk${suffix_char} +export FORT16=stmtrk.wrk${suffix_char} +export FORT17=rawdat.wrk${suffix_char} +export FORT19=bghistry.diag${suffix_char} +export FORT21=gesvit${suffix_char} +export FORT22=stkdatb.wrk${suffix_char} +export FORT23=fenvdta.wrk${suffix_char} +export FORT24=bogdata${suffix_char} +export FORT25=$PRPI +#####export FORT30=$SGES +#####export FORT31=$SGESA + +# The SYNDAT_SYNDATA code will soon, or may now, open GFS spectral coefficient +# guess files using sigio routines (via W3EMC routine GBLEVENTS) via explicit +# open(unit=number,file=filename) statements. This conflicts with the FORTxx +# statements above. One can either remove the explicit open statements in the +# code or replace the above FORTxx lines with soft links. The soft link +# approach is taken below. + +ln -sf $SGES fort.30 +ln -sf $SGESA fort.31 +export FORT32=$PRVT +export FORT40=$FIXSYND/syndat_weight +export FORT58=bogrept${suffix_char} +export FORT59=dthistry${suffix_char} +export FORT61=$PRPI.syndata +export FORT70=matcoef${suffix_char} +export FORT71=dumcoef${suffix_char} +export FORT72=rawdat.wrk${suffix_char} +export FORT73=stmtrk.wrk${suffix_char} +export FORT74=alldat${suffix_char} +export FORT80=prevents.filtering.syndata${suffix_char} +export FORT89=bogdomn.wrk${suffix_char} + +#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) +##The choice in the first line below MAY cause a failure +##The choice in the second line below works! +#set +u +#####[ -n "$LOADL_PROCESSOR_LIST" ] && export XLSMPOPTS=parthds=2:stack=64000000 +#[ -n "$LOADL_PROCESSOR_LIST" ] && export XLSMPOPTS=parthds=2:stack=20000000 +#set -u + +TIMEIT=${TIMEIT:-""} +[ -s $DATA/time ] && TIMEIT="$DATA/time -p" + +SITE=${SITE:-""} +sys_tp=${sys_tp:-$(getsystem.pl -tp)} +getsystp_err=$? +if [ $getsystp_err -ne 0 ]; then + msg="***WARNING: error using getsystem.pl to determine system type and phase" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" +fi +echo sys_tp is set to: $sys_tp +if [ "$sys_tp" = "Cray-XC40" -o "$SITE" = "SURGE" -o "$SITE" = "LUNA" ]; then + launcher_SYNDX=${launcher_SYNDX:-"aprun -n 1 -N 1 -d 1"} +else + launcher_SYNDX=${launcher_SYNDX:-""} +fi +$TIMEIT $launcher_SYNDX $SYNDX < $SYNDC > outout 2> errfile +err=$? +###cat errfile +cat errfile >> outout +[ $DO_BOGUS = 'YES' ] && cat prevents.filtering.syndata >> outout +cat outout >> syndata.out +set +u +[ -n "$pgmout" ] && cat outout >> $pgmout +set -u +rm outout +set +x +echo +echo 'The foreground exit status for SYNDAT_SYNDATA is ' $err +echo +set -x +if [ $err -eq 0 ]; then + + set +x + echo " --------------------------------------------- " + echo " ********** COMPLETED PROGRAM $pgm **********" + echo " --------------------------------------------- " + set -x + msg="$pgm completed normally for $CDATE10 - DO_BOGUS= $DO_BOGUS" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + mv $PRPI.syndata $PRPI + +else + +msg="SYNDAT_SYNDATA TERMINATED ABNORMALLY WITH CONDITION CODE $err \ +--> non-fatal" + set +x + echo + echo "$msg" + echo + set -x + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + +fi + +exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.sh b/model/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.sh new file mode 100755 index 0000000..7d131ab --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.sh @@ -0,0 +1,501 @@ + +################################################################################ +#### UNIX Script Documentation Block +# . . +# Script name: global_nceppost.sh +# Script description: Posts the global pressure GRIB file +# +# Author: Mark Iredell Org: NP23 Date: 1999-05-01 +# +# Abstract: This script reads a single global GFS IO file and (optionally) +# a global flux file and creates a global pressure GRIB file. +# The resolution and generating code of the output GRIB file can also +# be set in the argument list. +# +# Script history log: +# 1999-05-01 Mark Iredell +# 2007-04-04 Huiya Chuang: Modify the script to run unified post +# 2012-06-04 Jun Wang: add grib2 option +# 2015-03-20 Lin Gan: add Perl for Post XML performance upgrade +# 2016-02-08 Lin Gan: Modify to use Vertical Structure +# +# Usage: global_postgp.sh SIGINP FLXINP FLXIOUT PGBOUT PGIOUT IGEN +# +# Input script positional parameters: +# 1 Input sigma file +# defaults to $SIGINP +# 2 Input flux file +# defaults to $FLXINP +# 3 Output flux index file +# defaults to $FLXIOUT +# 4 Output pressure GRIB file +# defaults to $PGBOUT +# 5 Output pressure GRIB index file +# defaults to $PGIOUT, then to none +# 8 Model generating code, +# defaults to $IGEN, then to input sigma generating code +# +# Imported Shell Variables: +# SIGINP Input sigma file +# overridden by $1 +# FLXINP Input flux file +# overridden by $2 +# FLXIOUT Output flux index file +# overridden by $3 +# PGBOUT Output pressure GRIB file +# overridden by $4. If not defined, +# post will use the filename specified in +# the control file +# PGIOUT Output pressure GRIB index file +# overridden by $5; defaults to none +# IGEN Model generating code +# overridden by $8; defaults to input sigma generating code +##### Moorthi: Add new imported shell variable for running chgres +# CHGRESSH optional: the script to run chgres +# default to to ${USHglobal}/global_chgres.sh +# SIGLEVEL optional: the coordinate text file +# default to to /nwprod/fix/global_hyblev.l${LEVS}.txt +##### Chuang: Add new imported Shell Variable for ncep post +# OUTTYP Output file type read in by post +# 1: if user has a sigma file and needs post to run chgres to convert to gfs io file +# 2: if user already has a gfs io file +# 3: if user uses post to read sigma file directly +# 0: if user wishes to generate both gfsio and sigma files +# 4: if user uses post to read nemsio file directly +# VDATE Verifying date 10 digits yyyymmddhh +# GFSOUT Optional, output file name from chgres which is input file name to nceppost +# if model already runs gfs io, make sure GFSOUT is linked to the gfsio file +# CTLFILE Optional, Your version of control file if not using operational one +# OVERPARMEXEC Optional, the executable for changing Grib KPDS ID +# default to to ${EXECglobal}/overparm_grib +# CHGRESTHREAD Optional, speed up chgres by using multiple threads +# default to 1 +# FILTER Optional, set to 1 to filter SLP and 500 mb height using copygb +# D3DINP Optional, Inout D3D file, if not defined, post will run +# without processing D3D file +# D3DOUT Optional, output D3D file, if not defined, post will +# use the file name specified in the control file +# IPVOUT Optional, output IPV file, if not defined, post will +# use the file name specified in the control file +# GENPSICHI Optional, set to YES will generate psi and chi and +# append it to the end of PGBOUT. Default to NO +# GENPSICHIEXE Optional, specify where executable is for generating +# psi and chi. +######################################################################## +# EXECUTIL Directory for utility executables +# defaults to /nwprod/util/exec +# USHUTIL Directory for utility scripts +# defaults to /nwprod/util/ush +# EXECglobal Directory for global executables +# defaults to /nwprod/exec +# USHglobal Directory for global scripts +# defaults to /nwprod/ush +# DATA working directory +# (if nonexistent will be made, used and deleted) +# defaults to current working directory +# MP Multi-processing type ("p" or "s") +# defaults to "p", or "s" if LOADL_STEP_TYPE is not PARALLEL +# XC Suffix to add to executables +# defaults to none +# POSTGPEXEC Global post executable +# defaults to ${EXECglobal}/ncep_post +# GRBINDEX GRIB index maker +# defaults to ${EXECUTIL}/grbindex$XC +# ANOMCATSH Global anomaly GRIB script +# defaults to ${USHglobal/global_anomcat.sh +# POSTGPLIST File containing further namelist inputs +# defaults to /dev/null +# INISCRIPT Preprocessing script +# defaults to none +# LOGSCRIPT Log posting script +# defaults to none +# ERRSCRIPT Error processing script +# defaults to 'eval [[ $err = 0 ]]' +# ENDSCRIPT Postprocessing script +# defaults to none +# POSTGPVARS Other namelist inputs to the global post executable +# such as IDRT,KO,PO,KTT,KT,PT,KZZ,ZZ, +# NCPUS,MXBIT,IDS,POB,POT,MOO,MOOA,MOW,MOWA, +# ICEN,ICEN2,IENST,IENSI +# defaults to none set +# NTHREADS Number of threads +# defaults to 1 +# NTHSTACK Size of stack per thread +# defaults to 64000000 +# VERBOSE Verbose flag (YES or NO) +# defaults to NO +# PGMOUT Executable standard output +# defaults to $pgmout, then to '&1' +# PGMERR Executable standard error +# defaults to $pgmerr, then to '&1' +# pgmout Executable standard output default +# pgmerr Executable standard error default +# REDOUT standard output redirect ('1>' or '1>>') +# defaults to '1>', or to '1>>' to append if $PGMOUT is a file +# REDERR standard error redirect ('2>' or '2>>') +# defaults to '2>', or to '2>>' to append if $PGMERR is a file +# +# Exported Shell Variables: +# PGM Current program name +# pgm +# ERR Last return code +# err +# +# Modules and files referenced: +# scripts : $INISCRIPT +# $LOGSCRIPT +# $ERRSCRIPT +# $ENDSCRIPT +# $ANOMCATSH +# +# programs : $POSTGPEXEC +# $GRBINDEX +# +# input data : $1 or $SIGINP +# $2 or $SFCINP +# $POSTGPLIST +# +# output data: $3 or $FLXIOUT +# $4 or $PGBOUT +# $5 or $PGIOUT +# $PGMOUT +# $PGMERR +# +# scratch : ${DATA}/postgp.inp.sig +# ${DATA}/postgp.inp.flx +# ${DATA}/postgp.out.pgb +# +# Remarks: +# +# Condition codes +# 0 - no problem encountered +# >0 - some problem encountered +# +# Control variable resolution priority +# 1 Command line argument. +# 2 Environment variable. +# 3 Inline default. +# +# Attributes: +# Language: POSIX shell +# Machine: IBM SP +# +#### +################################################################################ +# Set environment. +export VERBOSE=${VERBOSE:-"NO"} +if [[ "$VERBOSE" = "YES" ]] +then + echo $(date) EXECUTING $0 $* >&2 + set -x +fi +# Command line arguments. +export SIGINP=${1:-${SIGINP}} +export FLXINP=${2:-${FLXINP}} +export FLXIOUT=${3:-${FLXIOUT}} +export PGBOUT=${4:-${PGBOUT}} +#export PGIOUT=${5:-${PGIOUT}} +export PGIOUT=${PGIOUT:-pgb.idx} +export IO=${6:-${IO:-0}} +export JO=${7:-${JO:-0}} +export IGEN=${8:-${IGEN:-0}} +# Directories. +export NWPROD=${NWPROD:-/nwprod} +export EXECUTIL=${EXECUTIL:-$NWPROD/util/exec} +export USHUTIL=${USHUTIL:-$NWPROD/util/ush} +export EXECglobal=${EXECglobal:-$NWPROD/exec} +export USHglobal=${USHglobal:-$NWPROD/ush} +export DATA=${DATA:-$(pwd)} +# Filenames. +export MP=${MP:-$([[ $LOADL_STEP_TYPE = PARALLEL ]]&&echo "p"||echo "s")} +export XC=${XC} +export POSTGPEXEC=${POSTGPEXEC:-${EXECglobal}/ncep_post} +export OVERPARMEXEC=${OVERPARMEXEC:-${EXECglobal}/overparm_grib} +export ANOMCATSH=${ANOMCATSH:-${USHglobal}/global_anomcat.sh} +export CHGRESSH=${CHGRESSH:-${USHglobal}/global_chgres.sh} +export POSTGPLIST=${POSTGPLIST:-/dev/null} +export INISCRIPT=${INISCRIPT} +export ERRSCRIPT=${ERRSCRIPT:-'eval [[ $err = 0 ]]'} +export LOGSCRIPT=${LOGSCRIPT} +export ENDSCRIPT=${ENDSCRIPT} +export GFSOUT=${GFSOUT:-gfsout} +export CTLFILE=${CTLFILE:-$NWPROD/parm/gfs_cntrl.parm} +export MODEL_OUT_FORM=${MODEL_OUT_FORM:-binarynemsiompiio} +export GRIBVERSION=${GRIBVERSION:-'grib1'} +# Other variables. +export POSTGPVARS=${POSTGPVARS} +export NTHREADS=${NTHREADS:-1} +export NTHSTACK=${NTHSTACK:-64000000} +export PGMOUT=${PGMOUT:-${pgmout:-'&1'}} +export PGMERR=${PGMERR:-${pgmerr:-'&2'}} +export CHGRESTHREAD=${CHGRESTHREAD:-1} +export FILTER=${FILTER:-1} +export GENPSICHI=${GENPSICHI:-NO} +export GENPSICHIEXE=${GENPSICHIEXE:-${EXECglobal}/genpsiandchi} +export ens=${ens:-NO} +#export D3DINP=${D3DINP:-/dev/null} +typeset -L1 l=$PGMOUT +[[ $l = '&' ]]&&a=''||a='>' +export REDOUT=${REDOUT:-'1>'$a} +typeset -L1 l=$PGMERR +[[ $l = '&' ]]&&a=''||a='>' +export REDERR=${REDERR:-'2>'$a} +################################################################################ +# Preprocessing +$INISCRIPT + +# Chuang: Run chgres if OUTTYP=1 or 0 + +export APRUN=${APRUNP:-${APRUN:-""}} + +# exit if SIGINP does not exist +if [ ${OUTTYP} -le 3 ] ; then + if [ ! -s $SIGINP ] ; then + echo "sigma file not found, exitting" + exit 111 + fi +fi + +export SIGHDR=${SIGHDR:-$NWPROD/exec/global_sighdr} +export IDRT=${IDRT:-4} + +if [ ${OUTTYP} -le 1 ] ; then + export JCAP=${JCAP:-`echo jcap|$SIGHDR ${SIGINP}`} + export LEVS=${LEVS:-`echo levs|$SIGHDR ${SIGINP}`} + export IDVC=${IDVC:-$(echo idvc|$SIGHDR ${SIGINP})} + export IDVM=${IDVM:-$(echo idvm|$SIGHDR ${SIGINP})} + export NVCOORD=${NVCOORD:-$(echo nvcoord|$SIGHDR ${SIGINP})} + export IVSSIG=${IVSSIG:-$(echo ivs|$SIGHDR ${SIGINP})} + export LATCH=${LATCH:-8} + if [ ${OUTTYP} -eq 1 ] ; then + export CHGRESVARS="IDVC=$IDVC,IDVM=$IDVM,NVCOORD=$NVCOORD,IVSSIG=$IVSSIG,LATCH=$LATCH," + elif [ ${OUTTYP} -eq 0 ] ; then + export CHGRESVARS="LATCH=$LATCH,$CHGRESVARS" + fi + #export SIGLEVEL=${SIGLEVEL:-""} + export SIGLEVEL=${SIGLEVEL:-"$NWPROD/fix/global_hyblev.l${LEVS}.txt"} + # specify threads for running chgres + export OMP_NUM_THREADS=$CHGRESTHREAD + export NTHREADS=$OMP_NUM_THREADS + if [ ${JCAP} -eq 574 -a ${IDRT} -eq 4 ] + then + export NTHSTACK=1024000000 + fi + export XLSMPOPTS="parthds=$NTHREADS:stack=$NTHSTACK" + + $CHGRESSH + + export ERR=$? + export err=$ERR + $ERRSCRIPT||exit 1 + +# run post to read sigma file directly if OUTTYP=3 +elif [ ${OUTTYP} -eq 3 ] ; then + export LONB=${LONB:-`echo lonb|$SIGHDR ${SIGINP}`} + export LATB=${LATB:-`echo latb|$SIGHDR ${SIGINP}`} + export MODEL_OUT_FORM=sigio + export GFSOUT=${SIGINP} + +# run post to read nemsio file if OUTTYP=4 +elif [ ${OUTTYP} -eq 4 ] ; then + export nemsioget=${nemsioget:-$EXECglobal/nemsio_get} + export LONB=${LONB:-$($nemsioget $NEMSINP lonf |grep -i "lonf" |awk -F"= " '{print $2}' |awk -F" " '{print $1}')} + export LATB=${LATB:-$($nemsioget $NEMSINP latg |grep -i "latg" |awk -F"= " '{print $2}' |awk -F" " '{print $1}')} + export JCAP=${JCAP:-$($nemsioget $NEMSINP jcap |grep -i "jcap" |awk -F"= " '{print $2}' |awk -F" " '{print $1}')} + + export MODEL_OUT_FORM=${MODEL_OUT_FORM:-binarynemsiompiio} + export GFSOUT=${NEMSINP} + ln -sf $FIXglobal/fix_am/global_lonsperlat.t${JCAP}.${LONB}.${LATB}.txt ./lonsperlat.dat + ln -sf $FIXglobal/fix_am/global_hyblev.l${LEVS}.txt ./global_hyblev.txt +fi + +# allow threads to use threading in Jim's sp lib +# but set default to 1 +export OMP_NUM_THREADS=${OMP_NUM_THREADS:-1} + +pwd=$(pwd) +if [[ -d $DATA ]] +then + mkdata=NO +else + mkdir -p $DATA + mkdata=YES +fi +cd $DATA||exit 99 +################################################################################ +# Post GRIB +export PGM=$POSTGPEXEC +export pgm=$PGM +$LOGSCRIPT +cat <postgp.inp.nml$$ + &NAMPGB + $POSTGPVARS +EOF + +cat <>postgp.inp.nml$$ + / +EOF +if [[ "$VERBOSE" = "YES" ]] +then + cat postgp.inp.nml$$ +fi + +# making the time stamp format for ncep post +export YY=`echo $VDATE | cut -c1-4` +export MM=`echo $VDATE | cut -c5-6` +export DD=`echo $VDATE | cut -c7-8` +export HH=`echo $VDATE | cut -c9-10` + +cat > itag <> itag + +cat itag + +rm -f fort.* + +#ln -sf $SIGINP postgp.inp.sig$$ +#ln -sf $FLXINP postgp.inp.flx$$ +#ln -sf $PGBOUT postgp.out.pgb$$ + +# change model generating Grib number +if [ ${GRIBVERSION} = grib1 ]; then + + if [ ${IGEN} -le 9 ] ; then + cat ${CTLFILE}|sed s:00082:0000${IGEN}:>./gfs_cntrl.parm + elif [ ${IGEN} -le 99 ] ; then + cat ${CTLFILE}|sed s:00082:000${IGEN}:>./gfs_cntrl.parm + elif [ ${IGEN} -le 999 ] ; then + cat ${CTLFILE}|sed s:00082:00${IGEN}:>./gfs_cntrl.parm + else + ln -sf ${CTLFILE} ./gfs_cntrl.parm + fi + ln -sf ./gfs_cntrl.parm fort.14 + +elif [ ${GRIBVERSION} = grib2 ]; then + cp ${POSTGRB2TBL} . + cp ${PostFlatFile} ./postxconfig-NT.txt + if [ ${ens} = "YES" ] ; then + sed < ${PostFlatFile} -e "s#negatively_pert_fcst#${ens_pert_type}#" > ./postxconfig-NT.txt + fi +# cp ${CTLFILE} postcntrl.xml + +fi +export CTL=`basename $CTLFILE` + +ln -sf griddef.out fort.110 +cp ${PARMglobal}/nam_micro_lookup.dat ./eta_micro_lookup.dat + +${APRUN:-mpirun.lsf} $POSTGPEXEC < itag > outpost_gfs_${VDATE}_${CTL} + +export ERR=$? +export err=$ERR +$ERRSCRIPT||exit 2 + +if [ $FILTER = "1" ] ; then + +# Filter SLP and 500 mb height using copygb, change GRIB ID, and then +# cat the filtered fields to the pressure GRIB file, from Iredell + +if [ $GRIBVERSION = grib1 ]; then + $COPYGB -x -i'4,0,80' -k'4*-1,1,102' $PGBOUT tfile + ln -s -f tfile fort.11 + ln -s -f prmsl fort.51 + echo 0 2|$OVERPARMEXEC + $COPYGB -x -i'4,1,5' -k'4*-1,7,100,500' $PGBOUT tfile + ln -s -f tfile fort.11 + ln -s -f h5wav fort.51 + echo 0 222|$OVERPARMEXEC + +#cat $PGBOUT prmsl h5wav >> $PGBOUT + cat prmsl h5wav >> $PGBOUT + +elif [ $GRIBVERSION = grib2 ]; then + if [ ${ens} = YES ] ; then + $COPYGB2 -x -i'4,0,80' -k'1 3 0 7*-9999 101 0 0' $PGBOUT tfile + else + $COPYGB2 -x -i'4,0,80' -k'0 3 0 7*-9999 101 0 0' $PGBOUT tfile + fi + $WGRIB2 tfile -set_byte 4 11 1 -grib prmsl + if [ ${ens} = YES ] ; then + $COPYGB2 -x -i'4,1,5' -k'1 3 5 7*-9999 100 0 50000' $PGBOUT tfile + else + $COPYGB2 -x -i'4,1,5' -k'0 3 5 7*-9999 100 0 50000' $PGBOUT tfile + fi + $WGRIB2 tfile -set_byte 4 11 193 -grib h5wav + +#cat $PGBOUT prmsl h5wav >> $PGBOUT + cat prmsl h5wav >> $PGBOUT + +fi + +fi + +################################################################################ +# Anomaly concatenation +# for now just do anomaly concentration for grib1 +if [ $GRIBVERSION = grib1 ]; then + + if [[ -x $ANOMCATSH ]] + then + if [[ -n $PGIOUT ]] + then + $GRBINDEX $PGBOUT $PGIOUT + fi + export PGM=$ANOMCATSH + export pgm=$PGM + $LOGSCRIPT + + eval $ANOMCATSH $PGBOUT $PGIOUT + + export ERR=$? + export err=$ERR + $ERRSCRIPT||exit 3 + fi +fi +################################################################################ +# Make GRIB index file +if [[ -n $PGIOUT ]] +then + if [ $GRIBVERSION = grib2 ]; then + # JY $GRBINDEX2 $PGBOUT $PGIOUT + $GRB2INDEX $PGBOUT $PGIOUT + else + $GRBINDEX $PGBOUT $PGIOUT + fi +fi +if [[ -r $FLXINP && -n $FLXIOUT && $OUTTYP -le 3 ]] +then + $GRBINDEX $FLXINP $FLXIOUT +fi +################################################################################ +# generate psi and chi +echo "GENPSICHI= " $GENPSICHI +if [ $GENPSICHI = YES ] ; then +#echo "PGBOUT PGIOUT=" $PGBOUT $PGIOUT +#echo "YY MM=" $YY $MM + export psichifile=./psichi.grb + $GENPSICHIEXE < postgp.inp.nml$$ + rc=$? + if [[ $rc -ne 0 ]] ; then echo 'Nonzero return code rc= '$rc ; exit 3 ; fi + cat ./psichi.grb >> $PGBOUT +fi +################################################################################ +# Postprocessing +cd $pwd +[[ $mkdata = YES ]]&&rmdir $DATA +$ENDSCRIPT +set +x +if [[ "$VERBOSE" = "YES" ]] +then + echo $(date) EXITING $0 with return code $err >&2 +fi +exit $err diff --git a/model/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.txt b/model/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.txt new file mode 100644 index 0000000..b5e8c34 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.txt @@ -0,0 +1,2 @@ +STGPSH=/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2/ush/global_nceppost.sh + diff --git a/model/fv3gfs/outofcontrol_scripts/theia/prep/getges.sh b/model/fv3gfs/outofcontrol_scripts/theia/prep/getges.sh new file mode 100755 index 0000000..1672800 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/theia/prep/getges.sh @@ -0,0 +1,1385 @@ +#!/bin/ksh +################################################################################ +# +# Name: getges.sh Author: Mark Iredell +# +# Abstract: +# This script copies the valid global guess file to a given file. +# Alternatively, it writes the name of the guess file to standard output. +# Specify option "-n network" for the job network (default global). +# Other options are gdas, gfs, cdas, mrf, prx, etc. +# Specify option "-e environment" for the job environment (default prod). +# Another option is test. +# Specify option "-f fhour" for the specific forecast hour wanted (default any). +# Specify option "-q" for quiet mode to turn off script messages. +# Specify option "-r resolution" for the resolution wanted (default high). +# Other options are 25464 17042, 12628, low, 6228, namopl, any. +# Specify option "-t filetype" for the filetype wanted from among these choices: +# sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3, +# sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3, +# biascr, satang, satcnt, gesfil +# pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3, +# sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl, +# enggrb, enggri, icegrb, icegri, snogrb, snogrb_high, snogri, sstgrb, sstgri. +# natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur, +# nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur, +# nstcur, nflges, nflgp3 +# Specify option "-v valid" for the valid date wanted (default $CDATE). +# Currently, the valid hours specified must be a multiple of 3. +# Either 2-digit or 4-digit years are currently allowed. +# Specify positional argument to be the file to which to copy the guess. +# If missing, the NAME of the guess file is written to standard output. +# A nonzero return code from this script means either the arguments are invalid +# or the guess could not be found; a message is written to standard error in +# this case, but neither a file copy nor a standard output write will be done. +# The file returned is guaranteed to exist and be readable. +# The script uses the utility commands NDATE and NHOUR. +# +# Example 1. Copy the production sigma guess for 1998100100 to the file sges. +# getges.sh -e prod -t sigges -v 1998100100 sges +# +# Example 2. Assign the pressure grib guess for the date 1998100121. +# export CDATE=1998100121 +# export XLFUNIT_12="$(getges.sh -qt pgbges||echo /dev/null)" +# +# Example 3. Get the PRX pgb analysis or the best valid guess at 1998100112. +# getges -e prx -t pgbcur -v 1998100112 pgbfile +# +# Example 5. Get the 24-hour GFS forecast sigma file valid at 1998100112. +# getges -t sigcur -v 1998100112 -f 24 -e gfs sigfile +# +# History: 1996 December Iredell Initial implementation +# 1997 March Iredell Nine new filetypes +# 1997 April Iredell Two new filetypes and -f option +# 1997 December Iredell Four new filetypes +# 1998 April Iredell 4-digit year allowed; +# sigges internal date no longer checked +# 1998 May Iredell T170L42 defaulted; four new filetypes +# and two filetypes deleted +# 1998 June Rogers Nam types added +# 1998 September Iredell high is default resolution +# 2000 March Iredell Cdas and -n option +# 2000 June Iredell Eight new filetypes +# 2002 April Treadon T254L64 defaulted; add angle dependent +# bias correction file +# 2003 March Iredell GFS network out to 384 hours +# 2003 August Iredell Hourly global guesses +# 2005 September Treadon Add satellite data count file (satcnt) +# 2006 September Gayno Add high-res snow analysis +# 2009 January Rogers Added sfluxgrb file +# 2011 April Rogers Added GFS pg2ges file +# 2016 May Menlove Changed GETGES_COM variable to $COMINmodel +# 2016 November Iredell Adapted getges for NEMS GSM +# Also removed a lot of dead wood +# +################################################################################ +#------------------------------------------------------------------------------- +# Set some default parameters. +fhbeg=03 # hour to begin searching backward for guess +fhinc=03 # hour to increment backward in search +fhend=384 # hour to end searching backward for guess + +#------------------------------------------------------------------------------- +# Get options and arguments. +netwk=global # default network +envir=prod # default environment +fhour=any # default forecast hour +quiet=NO # default quiet mode +trace=NO # default execution trace mode +resol=high # default resolution +typef=sigges # default filetype +valid=${CDATE:-'?'} # default valid date +err=0 + +while getopts n:e:f:qxr:t:v: opt;do + case $opt in + n) netwk="$OPTARG";; + e) envir="$OPTARG";; + f) fhour="$OPTARG";; + q) quiet=YES;; + x) trace=YES;; + r) resol="$OPTARG";; + t) typef="$OPTARG";; + v) valid="$OPTARG";; + \?) err=1;; + esac +done +shift $(($OPTIND-1)) +gfile=$1 +if [[ -z $valid ]];then + echo "$0: either -v option or environment variable CDATE must be set" >&2 +elif [[ $# -gt 1 ]];then + echo "$0: too many positional arguments" >&2 +elif [[ $err -ne 0 ]];then + echo "$0: invalid option" >&2 +fi +if [[ $gfile = '?' || $# -gt 1 || $err -ne 0 || -z $valid ||\ + $netwk = '?' || $envir = '?' || $fhour = '?' || $resol = '?' ||\ + $typef = '?' || $valid = '?' ]];then + echo "Usage: getges.sh [-n network] [-e environment] [-f fhour] [-q] [-r resolution]" >&2 + echo " [-t filetype] [-v valid] [gfile]" >&2 + if [[ $netwk = '?' ]];then + echo " network choices:" >&2 + echo " global (default), namopl, gdas, gfs, cdas, etc." >&2 + elif [[ $envir = '?' ]];then + echo " environment choices:" >&2 + echo " prod (default), test, para, dump, prx" >&2 + echo " (some network values allowed for compatibility)" >&2 + elif [[ $fhour = '?' ]];then + echo " fhour is optional specific forecast hour" >&2 + elif [[ $resol = '?' ]];then + echo " resolution choices:" >&2 + echo " high (default), 25464, 17042, 12628, low, 6228, namopl, any" >&2 + elif [[ $typef = '?' ]];then + echo " filetype choices:" >&2 + echo " sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3," >&2 + echo " sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3," >&2 + echo " sfgges, sfggp3, biascr, satang, satcnt, gesfil" >&2 + echo " pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3," >&2 + echo " sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl," >&2 + echo " enggrb, enggri, icegrb, icegri, snogrb, snogri, sstgrb, sstgri," >&2 + echo " pg2cur, pg2ges, restrt," >&2 + echo " natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur," >&2 + echo " nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur," >&2 + echo " nstcur, nflges, nflgp3," >&2 + elif [[ $valid = '?' ]];then + echo " valid is the valid date in yyyymmddhh or yymmddhh form" >&2 + echo " (default is environmental variable CDATE)" >&2 + elif [[ $gfile = '?' ]];then + echo " gfile is the guess file to write" >&2 + echo " (default is to write the guess file name to stdout)" >&2 + else + echo " (Note: set a given option to '?' for more details)" >&2 + fi + exit 1 +fi +[[ $trace == YES ]]&&set -x +if [[ $envir != prod && $envir != test && $envir != para && $envir != dump && $envir != pr? && $envir != dev ]];then + netwk=$envir + envir=prod + echo '************************************************************' >&2 + echo '* WARNING: Using "-e" is deprecated in this case. *' >&2 + echo '* Please use "-n" instead. *' >&2 + echo '************************************************************' >&2 +fi +if [[ "$netwk" = "namopl" || "$resol" = "namopl" ]];then + netwk=namopl + typef=restrt + resol=namopl +fi +[[ $resol = 57464 || $resol = 38264 || $resol = 19064 || $resol = 25464 || $resol = 17042 || $resol = 12628 ]]&&resol=high +[[ $resol = 6228 ]]&&resol=low +resolsuf="" +[[ $resol == *deg ]]&&resolsuf=.$resol +fhbeg=$(${NHOUR:?} $valid) +[[ $fhbeg -le 0 ]]&&fhbeg=03 +((fhbeg=(10#$fhbeg-1)/3*3+3)) +[[ $fhbeg -lt 10 ]]&&fhbeg=0$fhbeg +if [[ $typef = enggrb ]];then + typef=icegrb + echo '************************************************************' >&2 + echo '* WARNING: Using "-t enggrb" is now deprecated. *' >&2 + echo '* Please use "-t icegrb". *' >&2 + echo '************************************************************' >&2 +elif [[ $typef = enggri ]];then + typef=icegri + echo '************************************************************' >&2 + echo '* WARNING: Using "-t enggri" is now deprecated. *' >&2 + echo '* Please use "-t icegri". *' >&2 + echo '************************************************************' >&2 +fi + +#------------------------------------------------------------------------------- +# Assemble guess list in descending order from the best guess. +geslist="" +getlist00="" + +# GDAS +if [[ "$netwk" = "gdas" ]];then + if [ -z "$COMINgdas" ]; then + echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 + exit 1 + fi + fhend=12 + case $typef in + biascr) geslist=' + $COMINgdas/gdas.t${cyc}z.abias' + ;; + biascr_pc) geslist=' + $COMINgdas/gdas.t${cyc}z.abias_pc' + ;; + biascr_air) geslist=' + $COMINgdas/gdas.t${cyc}z.abias_air' + ;; + radstat) geslist=' + $COMINgdas/gdas.t${cyc}z.radstat' + ;; + pgbges) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh' + ;; + pg2ges) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' + ;; + pgbgm6) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6' + ;; + pgbgm3) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3' + ;; + pgbgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3' + ;; + pgbcur) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINgdas/gdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINgdas/gdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINgdas/gdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576' + fhbeg=00 + fhinc=06 + ;; + snogrb_1534) geslist=' + $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINgdas/gdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + natges) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' + ;; + natgm3) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio' + ;; + natgm2) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio' + ;; + natgm1) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio' + ;; + natgp1) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio' + ;; + natgp2) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio' + ;; + natgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio' + ;; + natcur) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' + getlist00=' + $COMINgdas/gdas.t${cyc}z.atmanl.nemsio' + fhbeg=00 + ;; + nsfges) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' + ;; + nsfgm3) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio' + ;; + nsfgm2) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio' + ;; + nsfgm1) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio' + ;; + nsfgp1) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio' + ;; + nsfgp2) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio' + ;; + nsfgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio' + ;; + nsfcur) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' + getlist00=' + $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio' + fhbeg=00 + ;; + nstcur) geslist=' + $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio' + getlist00=' + $COMINgdas/gdas.t${cyc}z.nstanl.nemsio' + fhbeg=00 + ;; + nflges) geslist=' + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' + ;; + nflgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio' + ;; + nflcur) geslist=' + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' + fhbeg=00 + ;; + esac + +# CFS-CDAS +elif [[ "$netwk" = "cfs-cdas" ]];then + if [ -z "$COMINcfs_cdas" ]; then + echo "getges.sh ERROR: The \$COMINcfs_cdas variable must be defined." >&2 + exit 1 + fi + fhend=12 + case $typef in + sigges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fh}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm3}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm2}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm1}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp1}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp2}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp3}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.abias' + ;; + satang) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.satang' + ;; + satcnt) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fh' + ;; + sfggp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fhp3' + ;; + pgbges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm6 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm6 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhp3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhp3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' + getlist00=' + $COMINcfs_cdas/cdas1.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' + getlist00=' + $COMINcfs_cdas/cdas1.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574 + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# GFS +elif [[ "$netwk" = "gfs" ]];then + if [ -z "$COMINgfs" ]; then + echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 + exit 1 + fi + fhend=384 + case $typef in + natges) geslist=' + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + ;; + pgbcur) geslist=' + $COMINgfs/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINgfs/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvitl) geslist=' + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINgfs/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINgfs/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_1534) geslist=' + $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINgfs/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + natcur) geslist=' + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + getlist00=' + $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' + fhbeg=00 + ;; + nsfcur) geslist=' + $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' + getlist00=' + $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' + fhbeg=00 + ;; + nstcur) geslist=' + $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' + getlist00=' + $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' + fhbeg=00 + ;; + nflcur) geslist=' + $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' + fhbeg=00 + ;; + esac + +# CDAS +elif [[ "$netwk" = "cdas" ]];then + if [ -z "$COMINcdas" ]; then + echo "getges.sh ERROR: The \$COMINcdas variable must be defined." >&2 + exit 1 + fi + fhbeg=06 + fhend=06 + case $typef in + sigges) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $COMINcdas/cdas.t${cyc}z.abias' + ;; + satang) geslist=' + $COMINcdas/cdas.t${cyc}z.satang' + ;; + satcnt) geslist=' + $COMINcdas/cdas.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $COMINcdas/cdas.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fh' + getlist00=' + $COMINcdas/cdas.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fh' + getlist00=' + $COMINcdas/cdas.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINcdas/cdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINcdas/cdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $COMINcdas/cdas.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINcdas/cdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $COMINcdas/cdas.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINcdas/cdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $COMINcdas/cdas.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# CDC CDAS +elif [[ "$netwk" = "cdc" ]];then + if [ -z "$COMINcdc" ]; then + echo "getges.sh ERROR: The \$COMINcdc variable must be defined." >&2 + exit 1 + fi + fhbeg=06 + fhend=06 + case $typef in + sigges) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $COMINcdc/cdas.t${cyc}z.abias' + ;; + satang) geslist=' + $COMINcdc/cdas.t${cyc}z.satang' + ;; + satcnt) geslist=' + $COMINcdc/cdas.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $COMINcdc/cdas.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fh' + getlist00=' + $COMINcdc/cdas.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fh' + getlist00=' + $COMINcdc/cdas.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINcdc/cdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINcdc/cdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $COMINcdc/cdas.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINcdc/cdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $COMINcdc/cdas.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINcdc/cdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $COMINcdc/cdas.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# Any resolution production +elif [[ "$netwk" = "global" ]];then + if [ -z "$COMINgdas" ]; then + echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 + exit 1 + fi + if [ -z "$COMINgfs" ]; then + echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 + exit 1 + fi + GETGES_NWG=${GETGES_NWG:-${GESROOT:?}} + case $typef in + biascr) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.abias + $COMINgdas/gdas.t${cyc}z.abias + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias + $COMINgfs/gfs.t${cyc}z.abias' + fhbeg=06 + fhinc=06 + ;; + pgbges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $COMINgfs/gfs.t${cyc}z.pgrbf$fh' + ;; + pgbgm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm6 + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm6 + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 + $COMINgfs/gfs.t${cyc}z.pgrbf$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm3 + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm3 + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 + $COMINgfs/gfs.t${cyc}z.pgrbf$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhp3 + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhp3 + $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 + $COMINgfs/gfs.t${cyc}z.pgrbf$fhp3' + ;; + pg2ges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$gh + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$gh + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' + ;; + pg2gm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm6 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm6' + ;; + pg2gm5) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm5 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm5' + ;; + pg2gm4) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm4 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm4' + ;; + pg2gm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm3 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm3' + ;; + pg2gm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm2 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm2' + ;; + pg2gm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm1 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm1' + ;; + pg2gp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp1 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp1' + ;; + pg2gp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp2 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp2' + ;; + pg2gp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp3 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp3' + ;; + pgbcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $COMINgfs/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p50.f$gh + $COMINgdas/gdas.t${cyc}z.pgrb2.0p50.f$gh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f$gh + $COMINgfs/gfs.t${cyc}z.pgrb2.0p50.f$gh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.prepbufr + $COMINgdas/gdas.t${cyc}z.prepbufr + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr + $COMINgfs/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.engicegrb + $COMINgdas/gdas.t${cyc}z.engicegrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb + $COMINgfs/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb + $COMINgdas/gdas.t${cyc}z.snogrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb + $COMINgfs/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t574.1152.576 + $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574.1152.576 + $COMINgfs/gfs.t${cyc}z.snogrb_t574.1152.576' + fhbeg=00 + fhinc=06 + ;; + snogrb_1534) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t1534.3072.1536 + $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t1534.3072.1536 + $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sstgrb + $COMINgdas/gdas.t${cyc}z.sstgrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb + $COMINgfs/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + natges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + ((vhr=$valid%100)) + if [[ $(($vhr % 3)) -ne 0 ]]; then + fhinc=01 + fi + ;; + natgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm3.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm3.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghm3.nemsio' + ;; + natgm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm2.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm2.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghm2.nemsio' + ;; + natgm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm1.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm1.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghm1.nemsio' + ;; + natgp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp1.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp1.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghp1.nemsio' + ;; + natgp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp2.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp2.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghp2.nemsio' + ;; + natgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp3.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp3.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghp3.nemsio' + ;; + natcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmanl.nemsio + $COMINgdas/gdas.t${cyc}z.atmanl.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmanl.nemsio + $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' + fhbeg=00 + ;; + nsfges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' + ;; + nsfgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm3.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm3.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghm3.nemsio' + ;; + nsfgm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm2.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm2.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghm2.nemsio' + ;; + nsfgm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm1.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm1.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghm1.nemsio' + ;; + nsfgp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp1.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp1.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghp1.nemsio' + ;; + nsfgp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp2.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp2.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghp2.nemsio' + ;; + nsfgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp3.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp3.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghp3.nemsio' + ;; + nsfcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcanl.nemsio + $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl.nemsio + $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' + fhbeg=00 + ;; + nstcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstanl.nemsio + $COMINgdas/gdas.t${cyc}z.nstanl.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstanl.nemsio + $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' + fhbeg=00 + ;; + nflges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' + ;; + nflgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$ghp3.nemsio + $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$ghp3.nemsio + $COMINgfs/gfs.t${cyc}z.flxf$ghp3.nemsio' + ;; + nflcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' + fhbeg=00 + ;; + esac +fi + +# Check validity of options. +if [[ $fhour != any ]];then + fhbeg=$fhour + fhend=$fhour +fi +if [[ $valid -lt 20000000 ]];then + valid=20$valid + echo '************************************************************' >&2 + echo '* WARNING: A 2-digit year was converted to a 4-digit year. *' >&2 + echo '* Please use full a 4-digit year in this utility. *' >&2 + echo '************************************************************' >&2 +fi +if [[ $($NDATE 0 $valid 2>/dev/null) != $valid ]];then + echo getges.sh: invalid date $valid >&2 + exit 2 +fi +if [[ -z "$geslist" ]];then + echo getges.sh: filetype $typef or resolution $resol not recognized >&2 + exit 2 +fi + +#------------------------------------------------------------------------------- +# Loop until guess is found. +fh=$fhbeg +if [ -z "$PDY" ];then echo "getges.sh WARNING: \$PDY variable not set" >&2; fi +while [[ $fh -le $fhend ]];do + ((fhm6=10#$fh-6)) + [[ $fhm6 -lt 10 && $fhm6 -ge 0 ]]&&fhm6=0$fhm6 + ((fhm5=10#$fh-5)) + [[ $fhm5 -lt 10 && $fhm5 -ge 0 ]]&&fhm5=0$fhm5 + ((fhm4=10#$fh-4)) + [[ $fhm4 -lt 10 && $fhm4 -ge 0 ]]&&fhm4=0$fhm4 + ((fhm3=10#$fh-3)) + [[ $fhm3 -lt 10 && $fhm3 -ge 0 ]]&&fhm3=0$fhm3 + ((fhm2=10#$fh-2)) + [[ $fhm2 -lt 10 && $fhm2 -ge 0 ]]&&fhm2=0$fhm2 + ((fhm1=10#$fh-1)) + [[ $fhm1 -lt 10 && $fhm1 -ge 0 ]]&&fhm1=0$fhm1 + ((fhp1=10#$fh+1)) + [[ $fhp1 -lt 10 ]]&&fhp1=0$fhp1 + ((fhp2=10#$fh+2)) + [[ $fhp2 -lt 10 ]]&&fhp2=0$fhp2 + ((fhp3=10#$fh+3)) + [[ $fhp3 -lt 10 ]]&&fhp3=0$fhp3 + gh=$fh;[[ $gh -lt 100 ]]&&gh=0$gh + ghm6=$fhm6;[[ $ghm6 -lt 100 ]]&&ghm6=0$ghm6 + ghm5=$fhm5;[[ $ghm5 -lt 100 ]]&&ghm5=0$ghm5 + ghm4=$fhm4;[[ $ghm4 -lt 100 ]]&&ghm4=0$ghm4 + ghm3=$fhm3;[[ $ghm3 -lt 100 ]]&&ghm3=0$ghm3 + ghm2=$fhm2;[[ $ghm2 -lt 100 ]]&&ghm2=0$ghm2 + ghm1=$fhm1;[[ $ghm1 -lt 100 ]]&&ghm1=0$ghm1 + ghp1=$fhp1;[[ $ghp1 -lt 100 ]]&&ghp1=0$ghp1 + ghp2=$fhp2;[[ $ghp2 -lt 100 ]]&&ghp2=0$ghp2 + ghp3=$fhp3;[[ $ghp3 -lt 100 ]]&&ghp3=0$ghp3 + id=$($NDATE -$fh $valid) + typeset -L8 day=$id + typeset -R2 cyc=$id + eval list=\$getlist$fh + [[ -z "$list" ]]&&list=${geslist} + for ges_var in $list;do + # Replace variables in guess with their values + eval ges_val=$ges_var + # Replace the current PDY with the valid date + ges=${ges_val/$PDY\//$day/} + [[ $quiet = NO ]]&&echo Checking: $ges >&2 + [[ -r $ges ]]&&break 2 + done + fh=$((10#$fh+10#$fhinc)) + [[ $fh -lt 10 ]]&&fh=0$fh +done +if [[ $fh -gt $fhend ]];then + echo getges.sh: unable to find $netwk.$envir.$typef.$resol.$valid >&2 + exit 8 +fi + +#------------------------------------------------------------------------------- +# Either copy guess to a file or write guess name to standard output. +if [[ -z "$gfile" ]];then + echo $ges + exit $? +else + cp $ges $gfile + exit $? +fi diff --git a/model/fv3gfs/outofcontrol_scripts/theia/prep/getges.txt b/model/fv3gfs/outofcontrol_scripts/theia/prep/getges.txt new file mode 100644 index 0000000..92e2025 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/theia/prep/getges.txt @@ -0,0 +1 @@ +prep=/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/getges.sh diff --git a/model/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.sh b/model/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.sh new file mode 100755 index 0000000..ff85639 --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.sh @@ -0,0 +1,2466 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + +#### UNIX Script Documentation Block +# +# Script name: prepobs_makeprepbufr.sh +# Script description: Prepares & quality controls PREPBUFR file +# +# Author: Keyser Org: EMC Date: 2017-04-20 +# +# Abstract: This script creates the PREPBUFR file containing observational data +# assimilated by all versions of NCEP atmospheric analyses. It points to BUFR +# observational data dumps as input files. PREPOBS_PREPDATA combines them to +# generate an initial form of the PREPBUFR file which also contains the +# background guess as well as the observational error field. If tropical +# cyclone relocation processing has previously occurred, the background global +# guess read in by PREPOBS_PREPDATA (and later by SYNDAT_SYNDATA if it runs) +# is the relocated guess valid at the center date/time for the PREPBUFR +# processing. Otherwise it is the regular (unrelocated) global atmosperic +# guess obtained via the getges utility script. After PREPOBS_PREPDATA runs, +# this script can execute SYNDAT_SYNDATA to generate synthetic wind bogus +# data, as well as their background guess and observational error fields, +# which are appended to the PREPBUFR file. +# +# In the global networks the decision to append synthetic wind bogus data in +# the SYNDATA processing is determined by the outcome of the previous +# tropical cyclone relocation processing (if it was run). There are three +# possible outcomes: +# 1) If all storms in the original tcvitals file have vorticies of at +# least medium intensity such that a relocation was previously +# performed for each, then SYNDAT_SYNDATA will still run but will not +# append synthetic wind bogus data to the PREPBUFR file for any storm. +# It will input the original tcvitals file (output from qctropcy +# processing) and (if the option is set) it will flag dropwinsonde +# winds in the vicinity of each tropical storm in the file. +# 2) If all storms in the original tcvitals file (output from qctropcy +# processing) have weak vorticies such that a relocation was not +# previously performed for any, then SYNDAT_SYNDATA will run, inputting +# the original tcvitals file, and it will append synthetic wind bogus +# data to the PREPBUFR file for each storm in it. It will also +# possibly flag mass pressure and/or dropwinsonde wind reports in the +# vicinity of each storm (if requested). +# 3) If some storms in the original tcvitals file (output from qctropcy +# processing) have weak vorticies, such that a relocation was not +# previously performed for them, and others have vorticies of at least +# medium intensity, such that a relocation was previously performed for +# these, then SYNDAT_SYNDATA will run twice. The first time, it will +# input the relocation-generated tcvitals file, which contains all of +# the weak storms, and it will append synthetic wind bogus data to the +# PREPBUFR file for each storm in it. It will also possibly flag mass +# pressure and/or dropwinsonde wind reports in the vicinity of each of +# these storms (if requested). The second time SYNDAT_SYNDATA runs, it +# will input any storm records that were in the original tcvitals file +# but not in the relocation-generated tcvitals file (i.e., storms with +# vorticies of at least medium intensity). It will not append +# synthetic wind bogus data to the PREPBUFR file for any of these +# storms, but it will flag dropwinsonde winds in the vicinity of each +# storm in the original tcvitals file but not in the relocation- +# generated tcvitals file (if requested). +# If this is the nam network, the only reason relocation processing would +# have been previously run would be to update the first guess read in here by +# PREPOBS_PREPDATA and SYNDAT_SYNDATA. In this case, SYNDAT_SYNDATA inputs +# the original tcvitals file (output from qctropcy processing), appends +# synthetic wind bogus data to the PREPBUFR file for each storm in it, and +# possibly also flags mass pressure and/or dropwinsonde wind reports in the +# vicinity of each storm in the file (if requested). +# +# After all of this, the script then executes a series of quality control +# programs which can change the observation value and/or its quality marker. +# The PREPBUFR file is set up such that all changes to data are stacked on +# top of previous values. Such changes are considered to be "events", with +# the event containing an associated program code and reason code to describe +# it. This allows the PREPBUFR file to internally contain a record of all +# events preformed on the observations. This script has been designed to be +# executed by either an "operational J-job" script, a "test J-job" script, a +# "parallel J-job" script, or a stand-alone batch run initiated by a user. +# +# Script history log: +# 1999-07-20 Dennis A. Keyser -- Original version for implementation +# 2000-06-14 Dennis A. Keyser -- Added the tropical cyclone relocation +# processing +# 2001-03-20 Dennis A. Keyser -- Now gets tcvitals file for t-12 as well as +# t-06 in tropical cyclone relocation processing and passes both to ush +# relocate_relocate_ts.sh as new pos. parameters 3 and 4 +# 2004-01-15 Dennis A. Keyser -- Reads file *aircar_status_flag* in $COMSP +# path to see whether ARINC (primary) or AFWA (backup) reports in AIRCAR +# dump should be read and processed as ACARS data in PREPBUFR (flag file +# generated in upstream dump process and is based on a comparison of report +# counts), inserts proper switch in parm cards read by PREPOBS_PREPDATA +# program +# 2004-08-30 Dennis A. Keyser -- Connects new data dumps to PREPDATA +# processing, copies t-3 and t+3 sigma guess files for GFS and GDAS even if +# DO_RELOCATE = NO (unless GETGUESS = NO); Copies the "PRE-QC" snapshot of +# the PREPBUFR file AFTER SYNDAT_SYNDATA runs (if it does) rather than +# before it runs (ensures that the PRE-QC PREPBUFR file contains ALL of the +# observations); Variable PRVT (observational error table file path) is now +# read by NAM network and defaults to $FIXPREP/prepobs_errtable.nam if not +# imported (obs. errors are now read into PREPBUFR file in NAM network in +# preparation for the switch to the GSI analysis, the operational 3DVAR +# analysis ignores the obs errors in PREPBUFR and still reads them in from +# $PARMPREP/nam_errtable.r3dv) +# 2005-07-01 Dennis A. Keyser -- Logic changes to run SYNDAT_SYNDATA in all +# networks where requested regardless of outcome of relocation processing, +# but sets new script variable DO_BOGUS to NO if SYNDAT_SYNDATA is to NOT +# generate synthetic wind bogus reports and append them to PREPBUFR file +# (SYNDAT_SYNDATA program also modified to read in this variable) - change +# needed because (if requested) SYNDAT_SYNDATA will now flag all +# dropwinsonde wind reports in vicinity of each storm in original tcvitals +# file, regardless of whether or not bogus winds are generated +# 2006-03-22 Dennis A. Keyser -- Accounts for possibility of split dump status +# files (status1 and status2) at the time this runs {in the test for the +# presence of the dump status file(s)} +# 2006-06-13 Dennis A. Keyser -- Adds dump file "wdsatr" to default BUFRLIST +# value and assigns it to unit 38 read in to PREPOBS_PREPDATA; Removed +# tropical cyclone relocation processing, this is now done (if requested) +# in a new script called tropcy_relocate.sh which runs in the new +# TROPCY_QC_RELOC job prior to the PREP job that executes this script +# (TROPCY_QC_RELOC first performs qctropcy processing, this was moved from +# the DUMP job) - this was done to allow the TROPCY_QC_RELOC job to run at +# the same time as the DUMP job in order to speed up overall obs +# processing and remove variability in the PREP job executing this script +# (i.e., this job had run faster when no tropical storms were present) +# 2007-09-14 Dennis A. Keyser -- Replaced "export XLFUNIT_xx=" with +# "ln .sf fort.xx" in preparation for PREPOBS_PREPDATA +# interfacing with global spectral guess files using sigio routines (via +# W3LIB routine GBLEVENTS - sigio requires explicit open statements in the +# code and this conflicts with XLFUNIT statements; Removed test on +# existence of status2 file from NAM_DUMP2 and NDAS_DUMP2 jobs since dump +# files here (currently only "nexrad") are not processed into the PREPBUFR +# file - PREP job initiation may soon no longer be dependent upon +# completion of DUMP2 job in NAM and NDAS networks; in the case where an +# input (normally, pre-QC) PREPBUFR file is passed into the script via the +# variable PREPBUFR_IN, it had been assumed that this file had already +# been run through SYNDATA processing (but that was not the case prior to +# 12Z 25 Jan 2005) - this script changed to use the value of variable +# SYNDATA to determine if the file in PREPBUFR_IN should be run through +# SYNDATA processing (i.e., if SYNDATA=YES, run it through SYNDATA +# processing) (this change will allow reanalysis runs prior to 12Z 25 Jan +# 2005 to work properly) +# 2008-09-25 Dennis A. Keyser -- Added dump file "ascatw" to default BUFRLIST +# value and assigns it to unit 39 read in to PREPOBS_PREPDATA; in +# preparation for future NRL aircraft QC code NRLACQC, added new script +# variables NRLACQC (def=NO), USHNQC (def=${HOMEALL}/ush), NQCX +# (def=$EXECPREP/prepobs_nrlacqc) and NQCC +# (def=$PARMPREP/prepobs_nrlacqc.${NET}.parm"), if NRLACQC=YES will +# execute script USHNQC to perform NRL aircraft QC (not yet ready) +# 2011-10-14 D.A. Keyser -- Updated to handle new "rap" (Rapid Refresh) +# network and its model runs "rap", "rap_p" and "rap_e" +# 2012-05-09 S. Bender/D. Keyser -- Removed all prior references to "NRL" +# aircraft QC script variables (never actually used) since the NRL +# aircraft QC nomenclature is being dropped in place of the existing +# PREPACQC nomenclature and will use its existing script variables; +# removed all references to the ACARSQC processing since it is no longer +# executed (ACARS QC is now performed within the revamped PREPACQC +# processing); removed script variables no longer used by the new version +# of the PREPACQC processing; added new script variables which are +# associated with the new program PREPOBS_PREPACPF which now runs as a +# second program within the PREPACQC processing (after program +# PREPOBS_PREPACQC) in the ush script prepobs_prepacqc.sh {PROCESS_ACQC +# (def=YES), PROCESS_ACPF (def=YES), DICTPREP (def=$HOMEALL/dictionaries), +# DICT (def=$DICTPREP/metar.tbl), APFX (def=$EXECPREP/prepobs_prepacpf), +# and the new second argument "$DATA/adpsfc" passed to +# prepobs_prepacqc.sh} +# 2013-03-05 D.A. Keyser -- Modified to properly run on WCOSS system: replaced +# all usage of "timex" with "time -p."; replaced script variables +# XLFUNIT_n with FORTn (where n is the unit number connected to the +# filename defined by the variable FORTn) - needed because ifort uses +# FORTn; script is now set to run under ksh shell as the default; added +# script variable "BACK" which, when YES, threads the mp_prepdata herefile +# into background shells that run simultaneously (an alternative option +# to poe which is not ready on WCOSS); touches all dump files not included +# in BUFRLIST so that they will not cause a read error if PREPOBS_PREPDATA +# tries to read them +# 2014-01-15 S. Melchior -- Placed into new OBSPROC_PREP vertical directory +# structure/environmental equivalence paradigm. As a result: imports new +# environment variable $HOMEobsproc_prep which points to directory path for +# generic prep subdirectories under version control (in production this is +# normally /nwprod/obsproc_prep.vX.Y.Z where X.Y.Z is version number being +# used, usually the latest); and imports new environment variable +# $HOMEobsproc_network which points to directory path for network-specific +# prep subdirectories under version control (in production this is normally +# /nwprod//obsproc_NETWORK.vX.Y.Z where NETWORK is, e.g., global, namp, rap, +# rtma, urma, and X.Y.Z is version number being used, usually the latest) - +# these replace /nw${envir} in order to point to files moved from +# horizontal to vertical directory structure. +# 2014-02-25 D.A. Keyser -- Removed all references to RUC. Removed option +# to run on MACHINE=sgi - this is obsolete (as a result variables $MACHINE +# and $HOMEALL are no longer used in this script). Replaced variable +# $EXECUTIL with $utilexec for directory path to utility program ndate +# (both were exported from job scripts with same value, $EXECUTIL has now +# been removed from all job scripts). Removed all references to "cdc" +# network (this is obsolete). +# 2014-07-23 D.A. Keyser -- Imported script environment variable DICTPREP now +# defaults to new vertical structure directory path location for metar.tbl +# dictionary, /nw${envir}/decoders/decod_shared/dictionaries, rather than +# old horizontal structure location, /nw${envir}/dictionaries (the latter +# will be removed in September 2014). +# 2016-02-05 JWhiting -- Use NCO-established variables to point to root +# directories for main software components and input/output directories in +# order to run on WCOSS Phase 1 or Phase 2 (here, $COMROOT which replaces +# hardwire to "/com", $NWROOT which replaces hardwire to "/nwprod" in +# comments only). Use NCO-established variables (presumably obtained from +# modules) to point to prod utilities [here, $NDATE from module prod_util +# (default or specified version, loaded in each network which executes this +# script) which replaces executable ndate in non-versioned, horizontal +# structure utility directory path defined by imported variable $utilexec]. +# 2016-04-29 D.A. Keyser -- Updated logic such that when tropical cyclone +# relocation has not run, a first guess is required, the network is gfs or +# gdas, but the cycle time is not 00, 06, 12 or 18z, no attempt will be +# made to obtain a guess 3-hrs before and after cycle time (since it can +# fail). Instead this is treated the same as any 3- or 1-hrly cycle run +# (like rap, e.g.) meaning two guess files will be obtained at the +# spanning 3 hour interval around any cycle time not a multiple of 3 hrs. +# BENEFIT: Allows future hourly WAM model to run properly. +# 2016-07-12 D.C. Stokes -- Reinstated poe option to run multiple instances +# of the PREPDATA processing script in parallel. New variable $launcher +# defines the parallel scripting launch mechanism (description below). +# Added logic to create scaled down versions of err_chk and err_exit +# scripts if they don't exist in the working directory and eliminated +# similar blocks of logic that had been repeated throughout the script. +# Updated USHGETGES default to pick up more recent versions of getges.sh. +# 2017-02-07 D.C. Stokes -- Updated to run on Cray-XC40 as well as iDataPlex. +# If on Cray-XC40, default parallel scripting launching mechanism is cfp +# inovked by aprun. Variable name used for launching mechanism changed from +# "launcher" to "launcher_PREP". Variable COMDATEROOT is now the primary +# default for the root of the directory containing NCEP date files. The +# variable NWROOTp1 is now the default root for directory DICTPREP. Logic +# used to determine if $COMSP points to production "com" directory was +# updated to recognize full path name (as needed on luna/surge). +# 2017-03-19 D.C. Stokes/D.A. Keyser -- Updated to input nemsio atmopheric +# guess files -or- the older sigio atmospheric files. The nemsio option +# is triggered by flag NEMSIO_IN=.true. For nemsio runs, a single guess +# file valid at the prepbufr center time is picked up, even for runs with +# center time that is not a multiple of 3. Also the dbn_alert subtype is +# now dependent upon $RUN (for transition from "gdas1" to "gdas"). +# 2017-04-20 D.C. Stokes -- Relocated assignments of variable stype to ensure +# it always passes the proper value to the getges utility script. +# +# +# Usage: prepobs_makeprepbufr.sh yyyymmddhh +# +# Input script positional parameters: +# 1 String indicating the center date/time for the PREPBUFR +# processing - if missing, then this time +# is obtained from the ${COMDATEROOT}/date/$cycle file +# +# Imported Shell Variables: +# +# These must ALWAYS be exported to this script by the parent script -- +# +# COMROOT Root to input/output "com" directory (in production, +# normally "/com", "/com2", or "/gpfs/hps/nco/ops/com") +# NSPLIT Number of parts into which the PREPDATA processing shell +# script (herefile MP_PREPDATA) will be split in order to +# run in parallel for computational efficiency (either using +# multiple tasks when POE is not "NO" or in background threads +# when BACK is "YES") +# NOTE : This is required ONLY if the imported shell variable +# POE is not "NO" (see below) or the imported shell +# variable BACK is "YES" (see below) (i.e., a parallel +# environment), and the imported shell variable +# PREPDATA=YES (see below) +# NET String indicating system network {either "gfs", "gdas", +# "cdas", "nam", "rap", "rtma" or "urma"} +# NOTE : NET is changed to gdas in the parent Job script for +# RUN=gdas or RUN=gdas1 (was gfs) +# RUN String indicating model run {either "gfs", "gdas", "gdas1", +# "cdas", "nam", "ndas", "rap", "rap_p", "rap_e", +# "rtma", or "urma"} +# cycle String indicating the center cycle hour for PREPBUFR +# processing {"txxz", where xx is two-digit hour of the day +# (UTC)} +# NOTE : This is required ONLY if input script positional +# parameter 1 is missing (see above) +# DATA String indicating the working directory path (usually a +# temporary location) +# COMSP String indicating the directory/filename path to input BUFR +# observational data dumps, tropical cyclone location +# (tcvitals) files, global atmos guess files, and status +# files (e.g., "$COMROOT/gfs/prod/gfs.20060612/gfs.t12z.") +# DBNROOT String indicating directory path to bin/dbn_alert file +# location +# NOTE : This is required ONLY if the imported shell variable +# SENDDBN is "YES" (see below) +# job - String indicating job name (e.g., 'gdas_prep_12') +# NOTE : This is required ONLY if the imported shell variable +# SENDDBN is "YES" (see below) +# $HOMEobsproc_prep - string indicating directory path to generic prep +# subdirectories under version control +# (in production this is normally +# ${NWROOT}/obsproc_prep.vX.Y.Z where X.Y.Z is +# version number being used, usually the latest) +# $HOMEobsproc_network - string indicating directory path to network- +# specific prep subdirectories under version control +# (in production this is normally +# ${NWROOT}/obsproc_NETWORK.vX.Y.Z where NETWORK is, +# e.g., global, nam, rap, rtma, urma, and X.Y.Z is +# version number being used, usually the latest) +# +# These will be set to their default value in this script if not exported +# to this script by the parent script -- +# +# SITE Site name (may have been set by local shell startup script) +# Default is "" +# sys_tp System type and phase. If not imported, an attempt is made +# to set it using getsystem.pl (an NCO prod_util script). +# A failed attempt results in an empty string. +# NEMSIO_IN Flag that if ".true." indicates that nemsio atmospheric +# background fields will be input rather than sigio. +# Default is "" +# SENDDBN String indicating whether or not to alert an output file to +# the NWS/TOC (= "YES" - invoke alert; anything else - do not +# invoke alert) +# Default is "NO" +# NPROCS Number of "poe" tasks to use for mpmd (must be .GE. $NSPLIT) +# NOTE : This is applicable ONLY if the imported shell +# variable POE is not "NO" (see below) and variable +# launcher_PREP is not "cfp" or "aprun" (see below) and +# the imported shell variable PREPDATA=YES (see below) +# For LSF jobs, the count of hosts listed in string $LSB_HOSTS +# will be used to set NPROCS (overriding any imported value). +# Default is "$NSPLIT" +# envir String indicating environment under which job runs ('prod' +# or 'test') +# Default is "prod" +# envir_getges String indicating environment under which GETGES utility +# ush runs (see getges.sh docblock for more information) +# Default is "$envir" +# network_getges +# String indicating job network under which GETGES utility +# ush runs (see getges.sh docblock for more information) +# Default is "global" unless the center PREPBUFR processing +# date/time is not a multiple of 3-hrs and the global guess is +# sigio-based, then the default is "gfs" +# pgmout String indicating file containing standard output (output +# always contatenated onto this file) +# Default is "/dev/null" +# tstsp String indicating the directory/filename path to one or +# more BUFR observational data dumps and/or tropical cyclone +# location (tcvitals) files and/or global atmos guess files +# and/or status files that are to override the corresponding +# file in $COMSP (this should be imported with the same +# naming convention as $COMSP; e.g., +# "/gpfstmp/wx22dk/test_dump/ndas.20060612/ndas.t12z." - +# (if tstsp is not imported, the default is used and no +# overriding file would exist; if tstsp is imported then any +# file found would override the correspoding file in $COMSP) +# Default is "/tmp/null/" +# tmmark - string indicating hour for center PREPBUFR processing date/ +# time relative to the analysis time embedded in $tstsp or +# $COMSP (e.g., "tm12", "tm09", "tm06", "tm03", "tm00") +# Default is "tm00" +# BUFRLIST String indicating list of BUFR data dump file names to +# process +# Default is "adpupa proflr aircar aircft satwnd adpsfc \ +# sfcshp sfcbog vadwnd goesnd spssmi erscat qkswnd msonet \ +# gpsipw rassda wdsatr ascatw" +# POE String indicating whether or not to use a poe-like launcher +# to spread instances of the PREPBUFR processing herefile +# MP_PREPDATA over multiple pes in parallel. (= "NO" - +# do not invoke invoke "poe"; anything else - invoke "poe") +# Default is "YES" +# launcher_PREP Parallel scripting launch tool. Settings are in place for +# aprun, mpirun.lsf, and cfp but a different tool can be +# specified. +# NOTE : This is applicable ONLY if the imported shell +# variable POE is not "NO" and the imported shell +# variable PREPDATA=YES (see below) +# Default on Cray-XC40 is "aprun". Otherwise: "mpirun.lsf" +# BACK String indicating whether or not to run background shells +# (on the same task) for the PREPBUFR processing (= "YES" - +# run background shells; anything else - do not run +# background shells). IF BACK=YES on Cray-XC40, the shells +# are invoked by aprun. +# USHSYND String indicating directory path for SYNDATA ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHPREV String indicating directory path for PREVENTS ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHCQC String indicating directory path for CQCBUFR ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHPQC String indicating directory path for PROFCQC ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHVQC String indicating directory path for CQCVAD ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHAQC String indicating directory path for PREPACQC ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHOIQC String indicating directory path for OIQCBUFR ush file +# Default is "${HOMEobsproc_prep}/ush" +# EXECPREP String indicating directory path for PREPOBS executables +# Default is "${HOMEobsproc_prep}/exec" +# PARMPREP String indicating directory path for PREPOBS parm files +# Default is "${HOMEobsproc_network}/parm" +# FIXPREP String indicating directory path for PREPOBS fix-field +# files +# Default is "${HOMEobsproc_prep}/fix" +# DICTPREP String indicating directory path for PREPOBS dictionary +# files +# Default is "${NWROOTp1}/decoders/decod_shared/dictionaries" +# EXECSYND String indicating directory path for SYNTHETIC data +# executables +# Default is "${HOMEobsproc_prep}/exec" +# PARMSYND String indicating directory path for SYNTHETIC parm files +# Default is "${HOMEobsproc_network}/parm" +# FIXSYND String indicating directory path for SYNTHETIC data fix- +# field files +# Default is "${HOMEobsproc_prep}/fix" +# GETGUESS String: if = "YES" will encode first guess (background) +# values interpolated by the program PREPOBS_PREPDATA to +# observation locations in the PREPBUFR file for use by the +# q.c. programs. This guess is always from a global atmos +# guess file valid at the center PREPBUFR processing date/ +# time or from an interpolated guess obtained from global +# atmos guess files valid at times 3-hours apart which span +# the PREPBUFR processing date/time (the latter is performed +# by the program PREPOBS_PREPDATA and occurs when the guess +# files are sigio-based and the PREPBUFR date/time hour is not +# a multiple of 3, e.g. 02Z rap or tm04 nam catchup runs). The +# guess file (or files) may be obtained in one of two ways: +# 1) From pre-existing files in the working directory +# $DATA called sgesprep and sgesprepA (either copied +# there prior to the execution of this script, or +# copied there earlier in this script from either +# $tstsp, or if not found there, $COMSP which was +# populated by the previous running of tropical +# cyclone relocation processing +# NOTE 1: sgesprepA is needed only when the guess is +# sigio-based and the PREPBUFR processing +# date/time is not a multiple of 3-hrs. +# NOTE 2: if previous tropical cyclone relocation +# processing was run, then an sgesprepA file +# is NEVER generated, not a problem since +# previous tropical cyclone relocation +# processing is not run in rap, rap_p or +# rap_e runs +# 2) Via the execution of the GETGES utility ush to +# obtain sgesprep (if pre-existing file $DATA/sgesprep +# does not exist), and possibly via the execution of +# the GETGES utility ush to obtain sgesprepA (if +# PREPBUFR processing date/time is not a multiple of +# 3-hrs and the global guess is sigio-based, and the +# pre-existing file $DATA/sgesprepA does not exist) +# Default is "YES" +# NOTE: If GETGUESS=NO, then the program PREPOBS_PREPDATA +# will NOT call w3emc routine GBLEVENTS to perform +# "prevents" processing +# PREPDATA String: if = "YES" will perform PREPDATA processing +# (in either a parallel or serial environment depending upon +# the values for POE and BACK) +# Default is "YES" +# SYNDATA String: if = "YES" will attempt to perform synthetic bogus +# processing (generation of synthetic bogus winds to be +# appended to PREPBUFR file and, possibly, flagging of mass +# pressure data "near" storms; and, possibly, flagging of +# dropwinsonde wind data "near" storms) +# Default is "YES" +# DO_QC String: if = "YES" will perform quality control +# Default is "YES" +# PREVENTS String: if = "YES" will encode background and obs. errors +# into PREPBUFR file (usually this should be "NO" since the +# programs PREPOBS_PREPDATA and SYNDAT_SYNDATA normally are +# set to perform this function) +# NOTE: Only invoked if DO_QC=YES +# Default is "NO" +# CQCBUFR String: if = "YES" will complex quality control radiosonde +# data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# PROFCQC String: if = "YES" will quality control wind profiler data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# CQCVAD String: if = "YES" will quality control VAD wind data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# PREPACQC String: if = "YES" will quality control aircraft data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# PROCESS_ACQC String: if = "YES" will execute PREPOBS_PREPACQC program as +# part of PREPACQC processing +# NOTE: Only invoked if PREPACQC=YES +# Default is "YES" +# PROCESS_ACPF String: if = "YES" will execute PREPOBS_PREPACPF program as +# part of PREPACQC processing +# NOTE: Only invoked if PREPACQC=YES +# Default is "YES" +# OIQCBUFR String: if = "YES" will perform final oi-based quality +# control on all data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# MPCOPYX String indicating executable path for PREPOBS_MPCOPYBUFR +# program +# Default is "$EXECPREP/prepobs_mpcopybufr" +# PRPX String indicating executable path for PREPOBS_PREPDATA +# program +# Default is "$EXECPREP/prepobs_prepdata" +# errPREPDATA_limit +# String indicating the highest allowed foreground exit +# status for program PREPOBS_PREPDATA (any exit status higher +# than this is considered a failure) +# (Note: errPREPDATA_limit=1 is ALWAYS considered a FAILURE) +# Default is "0" +# PRPC String indicating data card path for PREPOBS_PREPDATA +# program +# Default is "$PARMPREP/prepobs_prepdata.${NET}.parm" +# PRPT String indicating bufrtable file path for PREPOBS_PREPDATA +# program +# Default is "$FIXPREP/prepobs_prep.bufrtable" +# LANDC String indicating land/sea mask file path for +# PREPOBS_PREPDATA program +# Default is "$FIXPREP/prepobs_landc" +# PRVT String indicating observational error table file path for +# PREPOBS_PREPDATA, SYNDAT_SYNDATA and PREPOBS_PREVENTS +# programs (used by GBLEVENTS subroutine) +# NOTE: Only read by gdas, gfs, cdas and nam networks +# If imported "NET=gdas" or "NET=gfs", default is +# "$HOMEobproc_network/fix/prepobs_errtable.global"; +# if imported "NET=cdas", default is +# "$HOMEobsproc_network/fix/prepobs_errtable.cdas"; +# if imported "NET=nam", default is +# "$HOMEobsproc_network/fix/prepobs_errtable.nam" +# otherwise, default is "$DATA/scratch.PRVT" a null file +# LISTHDX String indicating executable path for PREPOBS_LISTHEADERS +# program +# Default is "$EXECPREP/prepobs_listheaders" +# MONOBFRX String indicating executable path for PREPOBS_MONOPREPBUFR +# program +# Default is "$EXECPREP/prepobs_monoprepbufr" +# SYNDX String indicating executable path for SYNDAT_SYNDATA +# program +# Default is "$EXECSYND/syndat_syndata" +# SYNDC String indicating data card path for SYNDAT_SYNDATA program +# Default is "$PARMSYND/syndat_syndata.${NET}.parm" +# PREX String indicating executable path for PREPOBS_PREVENTS +# program +# Default is "$EXECPREP/prepobs_prevents" +# PREC String indicating data card path for PREPOBS_PREVENTS +# program +# Default is "$PARMPREP/prepobs_prevents.${NET}.parm" +# AQCX String indicating executable path for PREPOBS_PREPACQC +# program +# Default is "$EXECPREP/prepobs_prepacqc" +# AQCC String indicating data card path for PREPOBS_PREPACQC +# program +# Default is "$PARMPREP/prepobs_prepacqc.${NET}.parm" +# APFX String indicating executable path for PREPOBS_PREPACPF +# program +# Default is "$EXECPREP/prepobs_prepacpf" +# DICT String indicating METAR station dictionary path for +# PREPOBS_PREPACPF program +# Default is "$DICTPREP/metar.tbl" +# PQCX String indicating executable path for PREPOBS_PROFCQC +# program +# Default is "$EXECPREP/prepobs_profcqc" +# PQCC String indicating data card path for PREPOBS_PROFCQC +# program +# Default is "$PARMPREP/prepobs_profcqc.${NET}.parm" +# VQCX String indicating executable path for PREPOBS_CQCVAD +# program +# Default is "$EXECPREP/prepobs_cqcvad" +# CQCX String indicating executable path for PREPOBS_CQCBUFR +# program +# Default is "$EXECPREP/prepobs_cqcbufr" +# CQCC String indicating data card path for PREPOBS_CQCBUFR +# program +# Default is "$PARMPREP/prepobs_cqcbufr.${NET}.parm" +# CQCS String indicating statbge path for PREPOBS_CQCBUFR program +# Default is "$FIXPREP/prepobs_cqc_statbge" +# OIQCX String indicating executable path for PREPOBS_OIQCBUFR +# program +# Default is "$EXECPREP/prepobs_oiqcbufr" +# OIQCT String indicating observational error table file path for +# PREPOBS_OIQCBUFR program +# NOTE: If imported "NET=cdas", default is +# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas"; +# otherwise default is +# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs" +# +# These do not have to be exported to this script. If they are, they will +# be used by the script. If they are not, they will be skipped +# over by the script. +# +# PREPBUFR_APP String indicating path to output PREPBUFR file for +# PREPOBS_PREPDATA program. +# If present and POE is "NO" and BACK is not "YES" (i.e., a +# serial environment), PREPOBS_PREPDATA will append all +# output BUFR messages to a copy of this file (prepda) in +# the current working directory, using the internal BUFR +# mnemonic table in the first several BUFR messages at the +# top of the file +# NOTE 1: In this case, it is assumed the the switch APPEND +# is set to TRUE in the parm cards $PRPC (careful, +# if APPEND is FALSE, the original copy of +# $PREPBUFR_APP will be wiped out and the case below +# will occur) +# NOTE 2: When POE is not "NO" or BACK is "YES" (i.e., a +# parallel environment), appending makes no sense +# because the original output PREPBUFR file is +# monolithic +# If not present or POE is not "NO" or BACK is "YES" (i.e., a +# parallel environment), PREPOBS_PREPDATA will write all +# output BUFR messages to a new file (prepda) in the current +# working directory using the external BUFR mnemonic table +# in the file $PRPT +# NOTE 3: In this case, it is assumed the the switch APPEND +# is set to FALSE in the parm cards $PRPC (careful, +# if APPEND is TRUE, PREPOBS_PREPDATA will abort +# because the original empty PREPBUFR file has no +# internal BUFR mnemonic table) +# PREPBUFR_IN String indicating path to input PREPBUFR file +# If present, this file will be used by SYNDAT_SYNDATA (if +# SYNDATA=YES - see @ below) and by all applicable Q.C. +# programs (set to to be invoked here) rather than the +# PREPBUFR file generated in this script by PREPOBS_PREPDATA +# (normally this would be used when PREPDATA=NO) +# @ - if the PREPBUFR_IN target file is obtained from +# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, +# then for all runs on and after 12Z 25 Jan 2005, +# SYNDATA should be NO because the target files +# will already contain synthetic bogus data; +# if the PREPBUFR_IN target file is obtained from +# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, +# then for all runs prior to 12Z 25 Jan 2005, +# SYNDATA should be YES because the target files +# will not have contain synthetic bogus data. +# If not present, then the PREPBUFR file generated in this +# script by PREPOBS_PREPDATA and possibly appended to by +# SYNDAT_SYNDATA is passed on as input to all applicable +# Q.C. programs +# jlogfile String indicating path to joblog file +# +# These do not have be exported to this script. +# +# COMDATEROOT Primary default for the root of the directory containing +# produciton date files. +# +# NWROOTp1 Root directory for production software on WCOSS Phase 1. +# +# USHGETGES String indicating directory path for GETGES utility script. +# Default is $HOMEobsproc_prep/ush. +# +# GETGESprep GETGES utility script. If NEMSIO_IN=.true., defaults to: +# $USHGETGES/getges.sh +# otherwise, defaults to: +# $USHGETGES/getges_sig.sh +# +# PREPDATAtpn Tasks per node when invoking cfp on Cray-XC40. Will be +# computed if needed but was not imported. +# +# These do not have to be exported to this script. If they are, they will +# be passed on to the script $USHCQC/prepobs_cqcbufr.sh. They are not used +# by this script. +# +# PRPI_m24 See documentation in $USHCQC/prepobs_cqcbufr.sh +# PRPI_m12 See documentation in $USHCQC/prepobs_cqcbufr.sh +# PRPI_p12 See documentation in $USHCQC/prepobs_cqcbufr.sh +# PRPI_p24 See documentation in $USHCQC/prepobs_cqcbufr.sh +# +# Exported Shell Variables: +# CDATE10 String indicating the center date/time for the PREPBUFR +# processing +# SGES Either ... +# 1) String indicating the full path name for global +# sigio-based or nemsio-based guess file valid at the +# center PREPBUFR processing date/time (in which case the +# center PREPBUFR processing date/time is a multiple of +# 3-hrs, or for any PREPBUFR center hour if global guess +# is nemsio-based) - This guess file will be encoded +# into the PREPBUFR file for use by the q.c. programs. +# -- or -- +# 2) String indicating the full path name for the global +# atmosperic guess file valid at the nearest cycle time +# prior to the center PREPBUFR processing date/time which +# is a multiple of 3 (in which case the center PREPBUFR +# processing date/time is not a multiple of 3-hrs and the +# global guess is sigio-based) - A linear interpolation +# (of the spectal coefficients) between this file and the +# guess file indicated by SGESA case 2 below will be +# performed by program PREPOBS_PREPDATA and encoded into +# the PREPBUFR file for use by the q.c. programs. The +# SGES file is always from the GFS in this case. +# NOTE 1: Only case 1 above is valid when tropical cyclone +# relocation processing previously occurred. +# NOTE 2: Case 2 above is necessary because the w3emc lib +# routine gblevents called by PREPOBS_PREPDATA +# expects that sigio-based guess files will only +# have valid hours which are a multiple of 3 +# NOTE 3: Only case 1 above is valid when global guess is +# nemsio-based. +# SGESA Either ... +# 1) String set to "/dev/null" for case 1 of SGES above +# (default) +# -- or -- +# 2) String indicating the full path name for the global +# sigma guess file valid at the nearest cycle time after +# the center PREPBUFR processing/date time which is a +# multiple of 3 for case 2 of SGES above - A linear +# interpolation (of the spectal coefficients) between +# this guess file and the guess file indicated by SGES +# above (see case 2 for SGES) will be performed by the +# program PREPOBS_PREPDATA and encoded into the PREPBUFR +# file for use by the q.c. programs. The SGESA file is +# always from the GFS in this case and its forecast hour +# is 3-hrs later than the SGES file (thus both initiate +# at the same time). +# NOTE 1: Only case 1 above is valid when tropical cyclone +# relocation processing previously occurred. +# NOTE 2: Case 2 above is necessary because the w3emc lib +# routine gblevents called by PREPOBS_PREPDATA +# expects that sigio-based guess files will only +# have valid hours which are a multiple of 3 +# NOTE 3: Only case 1 above is valid when global guess is +# nemsio-based. +# +# +# Modules and files referenced: +# herefiles : $DATA/MP_PREPDATA +# $DATA/MERGE_MSGS +# scripts : $USHGETGES/getges.sh +# $USHGETGES/getges_sig.sh +# $USHSYND/prepobs_syndata.sh +# $USHPREV/prepobs_prevents.sh +# $USHCQC/prepobs_cqcbufr.sh +# $USHPQC/prepobs_profcqc.sh +# $USHVQC/prepobs_cqcvad.sh +# $USHAQC/prepobs_prepacqc.sh +# $USHOIQC/prepobs_oiqcbufr.sh +# $DATA/postmsg (required ONLY if "$jlogfile" is present) +# $DATA/prep_step {here and by referenced script(s)} +# $DATA/err_exit +# $DATA/err_chk {here and by referenced script(s)} +# (NOTE: The last three scripts above are NOT REQUIRED +# utilities. If $DATA/prep_step not found, a scaled down +# version of it is executed in-line. If $DATA/err_exit +# or $DATA/err_chk are not found, scaled down versions, +# created in-line, are executed. +# executables: $NDATE (from prod_util module) +# programs : +# PREPOBS_MPCOPYBUFR - executable: $MPCOPYX +# PREPOBS_PREPDATA - executable: $PRPX +# land/sea mask: $LANDC +# bufr mnemonic user table: $PRPT +# obs. error table: $PRVT +# data cards: $PRPC +# PREPOBS_LISTHEADERS - executable: $LISTHDX +# PREPOBS_MONOPREPBUFR - executable: $MONOBFRX +# SYNDAT_SYNDATA - executable: $SYNDX +# T126 gaussian land/sea mask: +# $FIXSYND/syndat_syndata.slmask.t126.gaussian +# weights: $FIXSYND/syndat_weight +# obs. error table: $PRVT +# data cards: $SYNDC +# PREPOBS_PREVENTS - executable: $PREX +# obs. error table: $PRVT +# data cards: $PREC +# PREPOBS_PREPACQC - executable: $AQCX +# data cards: $AQCC +# PREPOBS_PREPACPF - executable: $APFX +# dictionary: $DICT +# PREPOBS_PROFCQC - executable: $PQCX +# data cards: $PQCC +# PREPOBS_CQCVAD - executable: $VQCX +# PREPOBS_CQCBUFR - executable: $CQCX +# data cards: $CQCC +# PREPOBS_OIQCBUFR - executable: $OIQCX +# obs. error table: $OIQCT +# +# Remarks: +# +# Condition codes +# 0 - no problem encountered +# >0 - some problem encountered +# +# Attributes: +# Language: Korn shell under linux +# Machine: NCEP WCOSS +# +#### + +set -aux + +NEMSIO_IN=${NEMSIO_IN:=""} +jlogfile=${jlogfile:=""} +SENDDBN=${SENDDBN:-NO} + +if [ ! -d $DATA ] ; then mkdir -p $DATA ;fi + +cd $DATA + +qid=$$ + +##################################################### +##################################################### +# create error check and exit utilities if necessary. +# (as may be the case for some developer runs) +##################################################### + +if [ ! -x $DATA/err_exit ]; then +cat <<\EOFerrexit > $DATA/err_exit + set -x + if [ -n "$LSB_JOBID" ]; then + bkill $LSB_JOBID + sleep 60 + date + else + set -e + kill -n 9 $qid + fi + exit 7 # for extra measure +EOFerrexit +chmod 775 $DATA/err_exit +fi + +if [ ! -x $DATA/err_chk ]; then +cat <<\EOFerrchk > $DATA/err_chk + set -x + if [ "$err" != '0' ]; then + $DATA/err_exit + fi +EOFerrchk +chmod 775 $DATA/err_chk +fi + +##################################################### +##################################################### + + +# determine local system name and type if available +# ------------------------------------------------- +SITE=${SITE:-""} +sys_tp=${sys_tp:-$(getsystem.pl -tp)} +getsystp_err=$? +if [ $getsystp_err -ne 0 ]; then + msg="***WARNING: error using getsystem.pl to determine system type and phase" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" +fi +echo sys_tp is set to: $sys_tp + +#------------------------------------------------------------------------------- + +# obtain the center date/time for PREPBUFR processing +# --------------------------------------------------- + +if [ $# -ne 1 ] ; then + cp ${COMDATEROOT:-$COMROOT}/date/$cycle ncepdate + err0=$? + CDATE10=`cut -c7-16 ncepdate` +else + CDATE10=$1 + if [ "${#CDATE10}" -ne '10' ]; then + err0=1 + else + cycle=t`echo $CDATE10|cut -c9-10`z + err0=0 + fi +fi + +if test $err0 -ne 0 +then +# problem with obtaining date record so exit + set +x + echo + echo "problem with obtaining date record;" + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure +fi + +cyc=`echo $CDATE10|cut -c9-10` +modhr=`expr $cyc % 3` + +set +x +echo +echo "CENTER DATE/TIME FOR PREPBUFR PROCESSING IS $CDATE10" +echo +set -x + +#---------------------------------------------------------------------------- + +# Create variables needed for this script and its children +# -------------------------------------------------------- + +envir=${envir:-prod} + +envir_getges=${envir_getges:-$envir} +if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then + network_getges=${network_getges:-global} +else + network_getges=${network_getges:-gfs} +fi + +pgmout=${pgmout:-/dev/null} + +tstsp=${tstsp:-/tmp/null/} +tmmark=${tmmark:-tm00} + +BUFRLIST=${BUFRLIST:-"adpupa proflr aircar aircft satwnd adpsfc sfcshp \ + sfcbog vadwnd goesnd spssmi erscat qkswnd msonet gpsipw rassda wdsatr \ + ascatw"} + +PREPDATA=${PREPDATA:-YES} + +if [ "$PREPDATA" != 'YES' ] ; then + POE=NO + BACK=NO +else + set +u + [ -z "$POE" -a "$BACK" = 'YES' ] && POE=NO + POE=${POE:-YES} + if [ "$POE" != 'NO' -a "$BACK" = 'YES' ]; then + set -u + set +x +echo +echo "YOU have set both POE and BACK to YES - choose one or the other!!" +echo "Defaults are POE=YES and BACK=NO, as is preferable for WCOSS." +echo + set -x + exit 99 + fi + BACK=${BACK:-NO} + PARALLEL=NO + [ "$POE" != 'NO' -o "$BACK" = 'YES' ] && PARALLEL=YES + if [ "$POE" != 'NO' ] ; then + if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + launcher_PREP=${launcher_PREP:-aprun} + else + launcher_PREP=${launcher_PREP:-mpirun.lsf} + fi + if [ "$launcher_PREP" != 'cfp' -a "$launcher_PREP" != aprun ]; then + if [ -n ${LSB_HOSTS:-""} ]; then + NPROCS=$(echo $LSB_HOSTS|wc -w) + set +x; echo "Setting NPROCS based on LSB_HOSTS count"; set -x + else + NPROCS=${NPROCS:-$NSPLIT} + fi + if [ $NPROCS -lt $NSPLIT ]; then + set +x +echo "********************************************************************" +echo " P R O B L E M ! ! ! " +echo "********************************************************************" +echo " NPROCS=$NPROCS IS NOT SUFFICIENT FOR NSPLIT=$NSPLIT " +echo " NPROCS must be greater than NSPLIT when using a " +echo " parallel processing launcher other than cfp " +echo "********************************************************************" + set -x + msg="***FATAL ERROR: Insufficient NPROCS for NSPLIT=$NSPLIT" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + $DATA/err_exit + exit 55 # for extra measure + fi + fi + elif [ "$BACK" = 'YES' ] ; then + NPROCS=$NSPLIT + fi +# fi for PREPDATA != YES +fi + +USHSYND=${USHSYND:-${HOMEobsproc_prep}/ush} +USHPREV=${USHPREV:-${HOMEobsproc_prep}/ush} +USHCQC=${USHCQC:-${HOMEobsproc_prep}/ush} +USHPQC=${USHPQC:-${HOMEobsproc_prep}/ush} +USHVQC=${USHVQC:-${HOMEobsproc_prep}/ush} +USHAQC=${USHAQC:-${HOMEobsproc_prep}/ush} +USHOIQC=${USHOIQC:-${HOMEobsproc_prep}/ush} + +EXECPREP=${EXECPREP:-${HOMEobsproc_prep}/exec} +PARMPREP=${PARMPREP:-${HOMEobsproc_network}/parm} +FIXPREP=${FIXPREP:-${HOMEobsproc_prep}/fix} +DICTPREP=${DICTPREP:-${NWROOTp1}/decoders/decod_shared/dictionaries} + +EXECSYND=${EXECSYND:-${HOMEobsproc_prep}/exec} +PARMSYND=${PARMSYND:-${HOMEobsproc_network}/parm} +FIXSYND=${FIXSYND:-${HOMEobsproc_prep}/fix} + +GETGUESS=${GETGUESS:-YES} +if [ "$GETGUESS" = 'YES' ]; then + USHGETGES=${USHGETGES:-${HOMEobsproc_prep}/ush} + if [ "$NEMSIO_IN" = .true. ]; then + GETGESprep=${GETGESprep:-$USHGETGES/getges.sh} + else + GETGESprep=${GETGESprep:-$USHGETGES/getges_sig.sh} + fi +fi + +PREPDATA=${PREPDATA:-YES} + +SYNDATA=${SYNDATA:-YES} + +DO_QC=${DO_QC:-YES} + +PREVENTS=${PREVENTS:-NO} +CQCBUFR=${CQCBUFR:-YES} +PROFCQC=${PROFCQC:-YES} +CQCVAD=${CQCVAD:-YES} +PREPACQC=${PREPACQC:-YES} +PROCESS_ACQC=${PROCESS_ACQC:-YES} +PROCESS_ACPF=${PROCESS_ACPF:-YES} +OIQCBUFR=${OIQCBUFR:-YES} + +MPCOPYX=${MPCOPYX:-$EXECPREP/prepobs_mpcopybufr} +PRPX=${PRPX:-$EXECPREP/prepobs_prepdata} +errPREPDATA_limit=${errPREPDATA_limit:-0} +PRPC=${PRPC:-$PARMPREP/prepobs_prepdata.${NET}.parm} +PRPT=${PRPT:-$FIXPREP/prepobs_prep.bufrtable} +cp $PRPT prep.bufrtable +LANDC=${LANDC:-$FIXPREP/prepobs_landc} +if [ "$NET" = 'gdas' -o "$NET" = 'gfs' ]; then + PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.global} +elif [ "$NET" = 'cdas' ]; then + PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.cdas} +elif [ "$NET" = 'nam' ]; then + PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.nam} +else + cp /dev/null $DATA/scratch.PRVT + PRVT=${PRVT:-$DATA/scratch.PRVT} +fi +LISTHDX=${LISTHDX:-$EXECPREP/prepobs_listheaders} +MONOBFRX=${MONOBFRX:-$EXECPREP/prepobs_monoprepbufr} +SYNDX=${SYNDX:-$EXECSYND/syndat_syndata} +SYNDC=${SYNDC:-$PARMSYND/syndat_syndata.${NET}.parm} +PREX=${PREX:-$EXECPREP/prepobs_prevents} +PREC=${PREC:-$PARMPREP/prepobs_prevents.${NET}.parm} +AQCX=${AQCX:-$EXECPREP/prepobs_prepacqc} +AQCC=${AQCC:-$PARMPREP/prepobs_prepacqc.${NET}.parm} +APFX=${APFX:-$EXECPREP/prepobs_prepacpf} +DICT=${DICT:-$DICTPREP/metar.tbl} +PQCX=${PQCX:-$EXECPREP/prepobs_profcqc} +PQCC=${PQCC:-$PARMPREP/prepobs_profcqc.${NET}.parm} +VQCX=${VQCX:-$EXECPREP/prepobs_cqcvad} +CQCX=${CQCX:-$EXECPREP/prepobs_cqcbufr} +CQCC=${CQCC:-$PARMPREP/prepobs_cqcbufr.${NET}.parm} +CQCS=${CQCS:-$FIXPREP/prepobs_cqc_statbge} +OIQCX=${OIQCX:-$EXECPREP/prepobs_oiqcbufr} +if [ "$NET" = 'cdas' ]; then + OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas} +else + OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs} +fi +TIMEIT=${TIMEIT:-""} +[ -s $DATA/time ] && TIMEIT="$DATA/time -p" + + +# See if tropical cyclone relocation previously ran for this network and cycle +# by checking for status file in first in $tstsp, and if not found there, +# then in $COMSP +# ---------------------------------------------------------------------------- + +relo_rec=no # this will remain no even if relocation run, in the event it did + # not process an tropical cyclone records +if [ -s ${tstsp}tropcy_relocation_status.$tmmark ]; then + RELOCATION_HAS_RUN=YES + msg="Tropical cyclone RELOCATION RAN prior to this job - \ +`cat ${tstsp}tropcy_relocation_status.$tmmark`" + [ "`cat ${tstsp}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ + && relo_rec=yes +elif [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then + RELOCATION_HAS_RUN=YES + msg="Tropical cyclone RELOCATION RAN prior to this job - \ +`cat ${COMSP}tropcy_relocation_status.$tmmark`" + [ "`cat ${COMSP}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ + && relo_rec=yes +else + RELOCATION_HAS_RUN=NO + msg="Tropical cyclone RELOCATION did NOT run prior to this job" +fi +[ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + +if [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then + if [ "$SENDDBN" = "YES" ]; then + if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then + RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) + $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job \ + ${COMSP}tropcy_relocation_status.$tmmark + fi + fi +fi + +if [ "$RELOCATION_HAS_RUN" != 'YES' -a "$GETGUESS" != 'NO' ]; then + + if [ $cyc = 00 -o $cyc = 06 -o $cyc = 12 -o $cyc = 18 ]; then + +# The GFS and GDAS networks at 00, 06, 12 and 18z will get the t-3 and t+3 +# atmos guess files here since they are needed by the GSI even if tropical +# cyclone relocation was not previously performed (RELOCATION_HAS_RUN=NO) +# (NOTE 1: Normally RELOCATION_HAS_RUN=YES for these networks) +# (NOTE 2: If RELOCATION_HAS_RUN=YES, the t-3 and t+3 atmos guess files have +# already been obtained for all networks including the GFS and GDAS) +# (NOTE 3: This is not done if GETGUESS is NO) +# + + if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then + for fhr in -3 +3 ;do + if [ "$NEMSIO_IN" = .true. ]; then + if [ $fhr = "-3" ] ; then + sges=sgm3prep + stype=natgm3 + echo $sges + else + sges=sgp3prep + stype=natgp3 + echo $sges + fi + else + if [ $fhr = "-3" ] ; then + sges=sgm3prep + stype=siggm3 + echo $sges + else + sges=sgp3prep + stype=siggp3 + echo $sges + fi + fi + if [ ! -s $sges ]; then + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Tropical cylone relocation HAS NOT previously run" +echo " Get global atmospheric GUESS valid for $fhr hrs relative to center" +echo " PREPBUFR processing date/time" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + $GETGESprep -e $envir_getges -n $network_getges \ + -v $CDATE10 -t $stype $sges + errges=$? + if test $errges -ne 0; then +# problem obtaining global atmospheric first guess so exit + set +x + echo + echo "problem obtaining global atmos guess valid $fhr hrs \ +relative to center PREPBUFR date/time;" + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + set +x + echo +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + fi + done + fi + fi + +elif [ "$RELOCATION_HAS_RUN" = 'YES' ]; then + +# If Tropical cyclone relocation previously ran for this network and cycle +# copy the t-3, t+0 and t+3 atmos guess files and the tcvitals_relocate file +# from either $tstsp or, if not found there, $COMSP to working directory +# (Note: tcvitals_relocate file can be empty, but it must exist) +# -------------------------------------------------------------------------- + + qual_last=".$tmmark" # need this because gfs and gdas don't add $tmmark + # qualifier to end of output atmos guess files + [ $NET = gfs -o $NET = gdas ] && qual_last="" + for file in sgm3prep sgesprep sgp3prep tcvitals.relocate.$tmmark; do + case $file in + tcvitals.relocate.$tmmark) infile=$file; qual_last="";; # already has $tmmark at end + sgm3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgm3.nemsio;else infile=$file;fi;; + sgesprep) if [ "$NEMSIO_IN" = .true. ];then infile=atmges.nemsio;else infile=$file;fi;; + sgp3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgp3.nemsio;else infile=$file;fi;; + esac + if [ -s ${tstsp}${infile}${qual_last} ]; then + cp ${tstsp}${infile}${qual_last} $file + continue + elif [ -s ${COMSP}${infile}${qual_last} ]; then + cp ${COMSP}${infile}${qual_last} $file + continue + else + if [ $file = tcvitals.relocate.$tmmark ]; then + if [ -f ${tstsp}$file ]; then + > $file + continue + elif [ -f ${COMSP}$file ]; then + > $file + continue + fi + fi + fi +# either t-3,t+0 or t+3 atmos guess file or the tcvitals_relocate file not +# found in expected location so exit + set +x + echo + echo "$file file not found in expected location where it should have \ +populated by earlier tropical cyclone relocation processing" + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + done + cp tcvitals.relocate.$tmmark tcvitals + if [ $relo_rec = yes ]; then # come here if relocation ran and processed + # 1 or more records, means it updated + # sgesprep + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" +echo " Global atmospheric GUESS valid for 0 hrs relative to center" +echo " PREPBUFR processing date/time was generated by" +echo " previous tropical cyclone relocation processing" +echo " It will be encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + else # come here if relocation ran but did not + # process any records, means it did not update + # sgesprep (sgesprep obtained via getges used) + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" +echo " Global atmospheric GUESS valid for 0 hrs relative to center" +echo " PREPBUFR processing date/time was obtained via GETGES" +echo " It will be encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + fi + +fi + +############################################################################### +# POSSIBLY OBTAIN GBL ATMOS GUESS FILE(S) FOR LATER ENCODING INTO PREPBUFR FILE +############################################################################### + +if [ "$PREPDATA" = 'YES' -o "$SYNDATA" = 'YES' -o "$PREVENTS" = 'YES' ]; then + + SGES=/dev/null + SGESA=/dev/null + > sgesprep_pathname + > sgesprepA_pathname + + if [ "$GETGUESS" != 'NO' ]; then + +# Either ... +# If the global background guess will be nemsio-based -OR- if the global +# background guess will be sigio-based and the center PREPBUFR processing +# date/time is a multiple of 3-hrs, then get a global atmospheric guess valid +# at the center PREPBUFR processing date/time - this will be interpolated to +# observation locations by PREPDATA and encoded into the PREPBUFR file for +# use by the q.c. programs; if a non-zero length file sgesprep exists in the +# working directory, then this guess is used - otherwise: the GETGES utility +# is executed to obtain the global atmospheric guess file here +# +# (NOTE 1: a pre-existing sgesprep file in the working directory at this +# point was either: +# copied there prior to the execution of this script +# or +# copied there earlier in this script from either $tstsp, or if +# not found there, $COMSP which was populated by the previous +# running of tropical cyclone relocation processing +# (NOTE 2: If imported variable GETGUESS=NO, then bypass this step - a +# global atmos guess valid at center PREPBUFR time is not obtained) +# +# -- or -- +# +# (AND THIS APPLIES ONLY TO A GLOBAL SIGIO-BASED GUESS!!) +# +# If center PREPBUFR processing date/time is not a multiple of 3-hrs -AND- +# global guess is sigio-based, then get a global sigma guess valid at the +# nearest cycle time prior to the center PREPBUFR processing date/time which +# is a multiple of 3, then get a global sigma guess valid at the nearest +# cycle time after the center PREPBUFR processing date/time which is a +# multiple of 3 - the spectral coefficients will be linearly interpolated to +# the center PREPBUFR processing date/time by the program PREPOBS_PREPDATA +# and this guess will then be interpolated to observation locations (again by +# the program PREPOBS_PREPDATA) and encoded into the PREPBUFR file for use by +# the q.c. programs; if a non-zero length file sgesprep exists in the working +# directory, then this guess is used for time prior to the center PREPBUFR +# processing date/time - otherwise: the utility ush GETGES is executed to +# obtain the global atmos guess file here (will always be from GFS network); +# +# likewise if a non-zero length file sgesprepA exists in the working +# directory, then this guess is used for time after the center PREPBUFR +# processing date/time - otherwise: the utility ush GETGES is executed to +# obtain the global atmos guess file here (will always be from the GFS +# network and initiate at the same time as the guess file valid prior to the +# PREPBUFR processing date/time) +# +# (NOTE 1: a pre-existing sgesprep file in the working directory at this +# point was either: +# copied there prior to the execution of this script +# or +# copied there earlier in this script from either $tstsp, or if +# not found there, $COMSP which was populated by the previous +# running of tropical cyclone relocation processing +# (NOTE 2: a pre-existing sgesprepA file in the working directory at this +# point was copied there prior to the execution of this script - +# it could not have been copied from either $tstsp or $COMSP +# because previous tropical cyclone relocation processing can run +# only when the center tropical cyclone relocation (or PREPBUFR) +# processing date/time is a multiple of 3) +# (NOTE 3: this case is necessary because the gblevents subroutine used to +# add background forecast values to the prepbufr file expects sigio- +# based files to be valid only at hours that are a multiple of 3) +# (NOTE 4: if imported variable GETGUESS=NO, then bypass this step - a +# global atmos guess valid at center PREPBUFR time is not obtained) +# ---------------------------------------------------------------------- + + for sfx in "" A; do + if [ ! -s sgesprep${sfx} ]; then + fhr=any + if [ "$NEMSIO_IN" = .true. ]; then + dhr=0 + stype=natges + else + dhr=`expr 0 - $modhr` + stype=sigges + fi + if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then + [ "$sfx" = 'A' ] && break + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Either center PREPBUFR processing date/time is a multiple of 3-hrs" +echo " -OR-" +echo " global guess is nemsio-based" +echo " Use GETGES to get global sigio-based or nemsio-based GUESS valid for" +echo " 0 hrs relative to center PREPBUFR processing date/time" +echo " Will be encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + else + if [ "$sfx" = 'A' ]; then + typeset -Z2 fhr + fhr=`awk -F"sf" '{print$2}' sgesprep_pathname | cut -c1-2` + fhr=`expr $fhr + 03` + dhr=`expr 3 - $modhr` + fi + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Center PREPBUFR processing date/time is not a multiple of 3-hrs" + if [ "$sfx" != 'A' ]; then +echo " Get global atmos GUESS valid at the nearest cycle time prior to" + else +echo " Get global atmos GUESS valid at the nearest cycle time after" + fi +echo " center PREPBUFR processing date/time which is a multiple of 3" +echo " Will be used to generate an interpolated guess which will be" +echo " encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + fi + $GETGESprep -e $envir_getges -n $network_getges -t $stype\ + -f $fhr -v `${NDATE} $dhr $CDATE10` > sgesprep${sfx}_pathname + errges=$? + if test $errges -ne 0 + then +# problem obtaining global sigio-based or nemsio-based guess - exit if center +# PREPBUFR processing date/time is a multiple of 3-hrs or if global guess is +# nemsio-based, otherwise continue running but set GETGUESS=NO meaning a +# first guess will NOT be encoded in PREPBUFR file + if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then + if [ "$NEMSIO_IN" = .true. ]; then + set +x + echo +echo "problem obtaining global nemsio-based guess;" + else + set +x + echo +echo "problem obtaining global sigio-based guess valid 0 hrs relative to \ +center PREPBUFR date/time;" + fi +echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + else + set +x + echo +echo "problem obtaining global atmos guess valid at the nearest cycle time " + if [ "$sfx" != 'A' ]; then +echo "prior to center PREPBUFR processing date/time which is a multiple of 3" + else +echo "after center PREPBUFR processing date/time which is a multiple of 3" + fi +echo "will continue running but a GUESS will NOT be encoded in PREPBUFR file!!" + echo + set -x + msg="PROBLEM OBTAINING ONE OR BOTH SPANNING ATMOS GUESS \ +FILES, GUESS NOT ENCODED IN PREPBUFR FILE --> non-fatal" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + GETGUESS=NO + SGES=/dev/null + SGESA=/dev/null + > sgesprep + > sgesprepA + > sgesprep_pathname + > sgesprepA_pathname + break + fi + fi + cp `cat sgesprep${sfx}_pathname | awk '{ print $1 }'` sgesprep${sfx} + set +x + echo +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + else + if [ $RELOCATION_HAS_RUN = YES ]; then + +# If relocation ran, then ${sfx} is always "" (null) because relocation will +# only run on center times that are a multiple of 3-hrs - come here to +# store the pathname for the sgesprep file in sgesprep${sfx}_pathname - +# note that it will not be stored here if relocation did not process any +# records, i.e., it did not update the guess, because it was already stored +# in tropcy_relocate.sh (with the getges path) +# -------------------------------------------------------------------------- + + qual_last=".$tmmark" # need this because gfs and gdas don't add + # $tmmark qualifer to end of output atmos + # guess files + [ $NET = gfs -o $NET = gdas ] && qual_last="" + if [ "$NEMSIO_IN" = .true. ]; then + gesbase="atmges.nemsio" + else + gesbase="sgesprep" + fi + if [ -s ${tstsp}${gesbase}${qual_last} ]; then + echo "${tstsp}${gesbase}${qual_last}" > sgesprep${sfx}_pathname + elif [ -s ${COMSP}${gesbase}${qual_last} ]; then + echo "${COMSP}${gesbase}${qual_last}" > sgesprep${sfx}_pathname + fi + else + +# If relocation did not run, then the guess files in $DATA were copied there +# prior to the execution of this script by the user - just echo the path +# to this guess file in $DATA into sgesprep${sfx}_pathname +# -------------------------------------------------------------------------- + + echo "$DATA/sgesprep${sfx}" > sgesprep${sfx}_pathname + fi + fi + eval SGES${sfx}=$DATA/sgesprep${sfx} + done + fi +fi + +################################ +# EXECUTE PREPDATA PROCESSING +################################ + +if [ "$PREPDATA" = 'YES' ]; then + + cd $DATA + +set +u + if [ -z "$PREPBUFR_APP" -o "$PARALLEL" = 'YES' ]; then +set -u + if [ ! -s ${tstsp}status.${tmmark}.bufr_d -a \ + ! -s ${COMSP}status.${tmmark}.bufr_d ]; then + +#########if [ \( ! -s ${tstsp}status1.${tmmark}.bufr_d -o \ +######### ! -s ${tstsp}status2.${tmmark}.bufr_d \) -a \ +######### \( ! -s ${COMSP}status1.${tmmark}.bufr_d -o \ +######### ! -s ${COMSP}status2.${tmmark}.bufr_d \) ]; then + if [ ! -s ${tstsp}status1.${tmmark}.bufr_d -a \ + ! -s ${COMSP}status1.${tmmark}.bufr_d ]; then + +# problem: status file not found - indicates some or all data dumps were not +# found (produced) for requested time ... +# If highest level directory pointing to input BUFR observational +# data dumps is /com or /com2 then EXIT (assumes all data dumps are +# required) +# Otherwise, just echo a diagnostic (assumes only some data dumps are +# required) +# ---------------------------------------------------------------------------- + +echo +echo "Some or all BUFR data dumps were not found for requested time ... " +echo + set -x + + if [[ "$COMSP" =~ (^/com/|^/com2/|^/gpfs/.../nco/ops/com/) && \ + "$tstsp" =~ (^/tmp/null) ]]; then + set +x +echo +echo "ABNORMAL EXIT!!!!!!!!!!!" +echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + fi + fi + + echo $BUFRLIST | grep adpsfc + grp_adpsfc=$? + echo $BUFRLIST | grep adpupa + grp_adpupa=$? + if [ \( ! -f ${COMSP}adpsfc.${tmmark}.bufr_d -a \ + ! -f ${tstsp}adpsfc.${tmmark}.bufr_d -a $grp_adpsfc -eq 0 \) -o \ + \( ! -f ${COMSP}adpupa.${tmmark}.bufr_d -a \ + ! -f ${tstsp}adpupa.${tmmark}.bufr_d -a $grp_adpupa -eq 0 \) ] + then + +# problem: either adpsfc (surface land) or adpupa (raob/pibal/recco) file, or +# both, not found for requested time - this is unacceptable; EXIT +# (unless the culprit file was not included in the $BUFRLIST) +# --------------------------------------------------------------------------- + + set +x +echo +echo "ADPSFC and/or ADPUPA BUFR data dump was not produced for requested" +echo " time (but is in BUFRLIST); ABNORMAL EXIT!!!!!!!!!!!" +echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + + fi + + for name in ${BUFRLIST} ;do + > $name + if [ -f ${tstsp}${name}.${tmmark}.bufr_d ]; then + cp ${tstsp}${name}.${tmmark}.bufr_d $name + elif [ -s ${COMSP}${name}.${tmmark}.bufr_d ]; then + cp ${COMSP}${name}.${tmmark}.bufr_d $name + fi + done + + > prep_exec.cmd + + > prepda.${cycle} + + echo " $CDATE10" > cdate10.dat + +# If GETGUESS=YES, then either ... +# a global sigio-based guess file valid at the center PREPBUFR processing +# date/time which is a multiple of 3-hrs is valid at this point +# -- or -- +# global sigio-based guess files valid at times which are multiples of 3-hrs +# and span the center PREPBUFR processing date/time which is NOT a multiple of +# 3-hrs are available and valid at this point +# -- or -- +# a global nemsio-based guess file valid at the center PREPBUFR processing +# date/time for any hour is valid at this point + +# In any case, namelist "GBLEVN" with PREVEN=T is cat'ed to the beginning +# of the PREPOBS_PREPDATA program data cards file - this means +# PREPOBS_PREPDATA will call w3emc routine GBLEVENTS to do the "prevents" +# processing (otherwise PREVEN=F by default) + + > prepdata.stdin + [ "$GETGUESS" != 'NO' ] && echo " &gblevn preven=true /" >>prepdata.stdin + cat $PRPC >> prepdata.stdin + +# Check contents of *aircar_status_flag* file in $tstsp, or if not found there, +# $COMSP path - this was generated by previous bufr_dump_obs.sh script: if it +# exists and indicates that there were more AFWA (backup) ACARS reports than +# ARINC (primary) ACARS reports in the AIRCAR dump, then skip processing of +# ARINC ACARS messages in PREPOBS_PREPDATA (meaning process ONLY AFWA ACARS +# messages); otherwise, as is usually the case, skip processing of AFWA ACARS +# messages (meaning process only ARINC ACARS messages in PREPOBS_PREPDATA) + + echo " SUBSKP(004,007) = TRUE," > insert + if [ -s ${tstsp}aircar_status_flag.${tmmark}.bufr_d ]; then + grep -q -Fe "004.007" ${tstsp}aircar_status_flag.${tmmark}.bufr_d + err_grep=$? + if [ $err_grep -eq 0 ]; then + echo " SUBSKP(004,004) = TRUE," > insert + msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ +backup AFWA ACARS into PREPBUFR" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + fi + elif [ -s ${COMSP}aircar_status_flag.${tmmark}.bufr_d ]; then + grep -q -Fe "004.007" ${COMSP}aircar_status_flag.${tmmark}.bufr_d + err_grep=$? + if [ $err_grep -eq 0 ]; then + echo " SUBSKP(004,004) = TRUE," > insert + msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ +backup AFWA ACARS into PREPBUFR" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + fi + fi + + grep -q -Fe "`cat insert`" prepdata.stdin + err_grep=$? + if [ $err_grep -ne 0 ]; then + nlines=`cat < prepdata.stdin | wc -l` + line=`grep -n -Fe "&LDTA" prepdata.stdin | cut -f1 -d:` + head -n $line prepdata.stdin > top_part + mlines=`expr $nlines - $line` + tail -n $mlines prepdata.stdin > bottom_part + [ $mlines -gt 2 ] && cat top_part insert bottom_part > prepdata.stdin + rm top_part bottom_part + fi + rm insert + + +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## +## HEREFILE MP_PREPDATA ## +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## + +set +x +cat <<\EOFmpp > MP_PREPDATA + +{ echo + +# This herefile script performs the "prepdata" processing. It is designed to +# run in either a parallel (e.g., poe/mpi or background threads) or serial +# environment. In the parallel environment, it first splits the input BUFR +# data dump files into $NSPLIT equally-sized parts (analogous to dealing +# multiple sets of cards to $NSPLIT players, where each set of cards is a +# different BUFR data dump file). Next, in either the parallel or serial +# environment, it runs PREPOBS_PREPDATA to write out prepbufr files (either +# a single complete file in the serial environment or $NSPLIT partial +# PREPBUFR files in the parallel environment). Finally, it generates a list of +# PREPBUFR message headers which, in the parallel environment, is needed to +# later merge the partial PREPBUFR files together in the proper order. +# +# IMPORTANT: This script assumes that the BUFR data dump files it is to +# process have been copied into the $DATA directory and that each +# file name is the same as in $BUFRLIST. It also assumes that the +# NCEP production date file is present in the $DATA directory and +# that it is called cdate10.dat. Finally, it assumes that the +# PREPOBS_PREPDATA program data cards (parm) file is present in the +# $DATA directory and it is called prepdata.stdin +# ----------------------------------------------------------------------------- +# +# Positional parameters passed in: +# 1 - Stream index ($multi) (0 to $NSPLIT-1) +# +# Imported variables that must be passed in: +# DATA - path to working directory +# PARALLEL - indicates whether or not this script is running in a parallel +# (e.g., poe/mpi or background threads) or serial environment +# "YES" - running in a parallel environment; "NO" running in a +# serial environment) +# NSPLIT number of parts into which the input BUFR data dump files are to +# be evenly divided (applicable only when PARALLEL is "YES") +# BUFRLIST - list of BUFR data dump files to process +# MPCOPYX - path to PREPOBS_MPCOPYBUFR program executable +# PRPT - path to PREPOBS_PREPDATA bufrtable file +# LANDC - path to land/sea mask file +# SGES - path to COPY OF global sigio-based or nemsio-based first guess +# file valid at either center PREPBUFR processing date/time or, +# for global sigio-based guess only, nearest 3-hrly cycle time +# prior to center PREPBUFR processing date/time +# SGESA - path to COPY OF global sigio-based guess file valid at nearest +# 3-hrly cycle AFTER center PREPBUFR processing date/time (if +# needed, otherwise /dev/null). Only used if SGES is valid at +# 3-hrly cycle time PRIOR to center PREPBUFR processing date/time +# (and thus not used if NEMSIO_IN=.true.) +# PRVT - path to observation error table file +# PRPX - path to PREPOBS_PREPDATA program executable +# LISTHDX - path to PREPOBS_LISTHEADERS program executable + +set -aux +multi=$1 + +data=$DATA/multi$multi + +if [ ! -d $DATA/multi$multi ] ; then + mkdir -p $DATA/multi$multi +fi + +status=$data/mstatus ; > $status +mp_pgmout=$data/mp_pgmout ; > $mp_pgmout + + +{ echo +set +x +echo +echo "********************************************************************" +echo "This is stream (task/thread) $multi executing on node `hostname -s`" +echo "Starting time: `date`" +echo "********************************************************************" +echo +set -x +} >> $mp_pgmout + +cd $data + +if [ "$PARALLEL" = 'YES' ]; then + + n=0 + + pgm=`basename $MPCOPYX` +#-----mimics prep_step----- + set +x + echo $pgm > pgmname + set +u + [ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" + set -u + [ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout + rm pgmname + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` + [ -s $DATA/tracer ] && cat $DATA/tracer > errfile + set -x +#-------------------------- + + for name in ${BUFRLIST[*]} ;do + > $name + if [ -s $DATA/$name ] ; then + ((n+=1)) + export FORT$((10+n))=$DATA/$name + export FORT$((50+n))=$name + fi + done + + cat<> $mp_pgmout 2>&1 + &namin nfiles=$n / + &mp nprocs=$NSPLIT,mp_process=$multi / +EOF + err=$? + set +x + echo + echo "The foreground exit status for PREPOBS_MPCOPYBUFR is " $err + echo + set -x + + [ "$err" -gt '0' ] && exit + + dump_dir=$data + +else + + dump_dir=$DATA + +# fi for $PARALLEL = YES +fi + + +pgm=`basename $PRPX` +#-----mimics prep_step----- +set +x +echo $pgm > pgmname +set +u +[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" +set -u +[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout +rm pgmname +[ -f errfile ] && rm errfile +unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +[ -s $DATA/tracer ] && cat $DATA/tracer > errfile +set -x +#-------------------------- + +set +u +[ -n "$PREPBUFR_APP" -a "$PARALLEL" = 'NO' ] && \ + cp $PREPBUFR_APP prepda +set -u + +# Namelist "TASK" with mp_process set to the value of $multi - either the poe/ +# mpi task number (for POE not equal to "NO") or to the background thread +# number (for BACK equal to "YES") in the parallel environment, or hardwired +# to zero in the serial environment, is cat'ed to the beginning of the +# PREPOBS_PREPDATA program data cards (parm) file - this will allow +# PREPOBS_PREPDATA to identify this stream + +> prepdata.stdin +echo " &task mp_process=$multi /" >>prepdata.stdin +cat $DATA/prepdata.stdin >> prepdata.stdin + +BUFRLIST_all="adpupa aircar aircft satwnd proflr vadwnd rassda adpsfc sfcshp \ + sfcbog msonet spssmi erscat qkswnd wdsatr ascatw rtovs atovs goesnd gpsipw" +###BUFRLIST_all_array=($BUFRLIST_all) # this does not work on all platforms +set -A BUFRLIST_all_array `echo $BUFRLIST_all` # this works on all platforms + + +# Any dump file not included in BUFRLIST is "touched" so that it will not +# cause a read error in the event that PREPOBS_PREPDATA still tries to read it + +for name in $BUFRLIST_all;do +[ ! -f $dump_dir/$name ] && > $dump_dir/$name +done + +export FORT11=$DATA/cdate10.dat +export FORT12=$PRPT +export FORT15=$LANDC +## export FORT18=$SGES +## export FORT19=$SGESA + +# The PREPOBS_PREPDATA code opens GFS spectral coefficient guess files using +# sigio routines or GFS gaussian grid guess files using nemsio routines (via +# W3EMC routine GBLEVENTS) in a manner that may not recognize the FORTxx +# variables above. So, the above statements setting FORTxx vars for $SGES and +# $SGESA are replaced by the soft links below. + +ln -sf $SGES fort.18 +ln -sf $SGESA fort.19 +export FORT20=$PRVT +export FORT21=$dump_dir/${BUFRLIST_all_array[0]} +export FORT22=$dump_dir/${BUFRLIST_all_array[1]} +export FORT23=$dump_dir/${BUFRLIST_all_array[2]} +export FORT24=$dump_dir/${BUFRLIST_all_array[3]} +export FORT25=$dump_dir/${BUFRLIST_all_array[4]} +export FORT26=$dump_dir/${BUFRLIST_all_array[5]} +export FORT27=$dump_dir/${BUFRLIST_all_array[6]} +export FORT31=$dump_dir/${BUFRLIST_all_array[7]} +export FORT32=$dump_dir/${BUFRLIST_all_array[8]} +export FORT33=$dump_dir/${BUFRLIST_all_array[9]} +export FORT34=$dump_dir/${BUFRLIST_all_array[10]} +export FORT35=$dump_dir/${BUFRLIST_all_array[11]} +export FORT36=$dump_dir/${BUFRLIST_all_array[12]} +export FORT37=$dump_dir/${BUFRLIST_all_array[13]} +export FORT38=$dump_dir/${BUFRLIST_all_array[14]} +export FORT39=$dump_dir/${BUFRLIST_all_array[15]} +export FORT41=$dump_dir/${BUFRLIST_all_array[16]} +export FORT42=$dump_dir/${BUFRLIST_all_array[17]} +export FORT46=$dump_dir/${BUFRLIST_all_array[18]} +export FORT48=$dump_dir/${BUFRLIST_all_array[19]} +export FORT51=prepda +export FORT52=prevents.filtering.prepdata + +#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) +#If program ever fails, try changing 64000000 to 20000000 +#set +u +#[ -n "$LOADL_PROCESSOR_LIST" ] && XLSMPOPTS=parthds=2:stack=64000000 +#set -u + +# The following improves performance on Cray-XC40 if $PRPX was +# linked to the IOBUF i/o buffering library +export IOBUF_PARAMS='*prevents.filtering.prepdata:verbose' + +$TIMEIT $PRPX >$mp_pgmout 2>&1 +errPREPDATA=$? +unset IOBUF_PARAMS +cat prevents.filtering.prepdata >> $mp_pgmout +set +x +echo +echo "The foreground exit status for PREPOBS_PREPDATA is " $errPREPDATA +echo +set -x + +[ "$errPREPDATA" -gt '4' -o "$errPREPDATA" -eq '1' ] && exit + +# Will execute PREPOBS_LISTHEADERS even if PARALLEL is "NO", because it will +# reorder the monolithic PREPBUFR file to ensure that all messages of the same +# subtype will always be grouped together in sequential messages, arranged in +# the order found in $PRPT (Note: This is a necessity when PARALLEL is "YES" +# because the later program PREPOBS_MONOPREPBUFR must merge the $NSPLIT +# individual (partial) PREPBUFR files together in the proper order) + + +# Build listhdx.stdin from bufrtable entries of possible message headers first +# line is count, followed by list + +grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|wc -l|tee listhdx.stdin +grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|tee -a listhdx.stdin + +pgm=`basename $LISTHDX` +#-----mimics prep_step----- +set +x +echo $pgm > pgmname +set +u +[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" +set -u +[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout +rm pgmname +[ -f errfile ] && rm errfile +unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +[ -s $DATA/tracer ] && cat $DATA/tracer > errfile +set -x +#-------------------------- + +export FORT11=prepda +export FORT51=prepda.reorder +export FORT52=prepda.hdrs + +$TIMEIT $LISTHDX < listhdx.stdin >>$mp_pgmout 2>&1 +err=$? +cat prepda.hdrs +set +x +echo +echo "The foreground exit status for PREPOBS_LISTHEADERS is " $err +echo +set -x + +[ "$err" -gt '0' ] && exit + +mv prepda.reorder prepda +rm listhdx.stdin + +echo "$multi finished -- errPREPDATA = $errPREPDATA" > $status + +{ echo +set +x +echo +echo "********************************************************************" +echo "Finished executing on node `hostname -s`" +echo "Ending time : `date`" +echo "********************************************************************" +echo +set -x +} >> $mp_pgmout + +} 1> $DATA/mp_stream${1}.stdout 2> $DATA/mp_stream${1}.errfile + +exit 0 +EOFmpp +set -x + +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## +## end of HEREFILE MP_PREPDATA ## +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## + + chmod 775 MP_PREPDATA + + if [ "$PARALLEL" = 'YES' ]; then + +# In the parallel environment, either cat the multiple MP_PREPDATA tasks +# into a poe command file (for poe/mpi/cfp) - or - set up a script that will +# fire off each MP_PREPDATA thread as a background process +# ----------------------------------------------------------------------- + if [ "$POE" != 'NO' ]; then + multi=-1 + while [ $((multi+=1)) -lt $NSPLIT ] ; do + echo "ksh $DATA/MP_PREPDATA $multi "|tee -a $DATA/prep_exec.cmd + done + if [ "$launcher_PREP" != cfp -a "$launcher_PREP" != aprun ]; then + # fill in empty tasks + multi=$((multi-=1)) #need to go back one + while [ $((multi+=1)) -lt $NPROCS ] ; do + echo "echo do-nothing" >> $DATA/prep_exec.cmd + done + fi + elif [ $BACK = 'YES' ] ; then + multi=-1 + echo "#!/bin/ksh" > $DATA/prepthrds.sh + while [ $((multi+=1)) -lt $NSPLIT ] ; do + echo "$DATA/MP_PREPDATA $multi &" >> $DATA/prepthrds.sh + echo "echo $DATA/MP_PREPDATA $multi submitted in background" \ + >> $DATA/prepthrds.sh + done + echo "wait" >> $DATA/prepthrds.sh + chmod 775 $DATA/prepthrds.sh + fi + +# In the parallel environment, next either execute the poe wrapper (for poe/ +# mpi/cfp) (do not execute a time command with poe!) - or - run prepthrds.sh +# to kick off background processes and wait for them to complete +# -------------------------------------------------------------------------- + if [ "$POE" != 'NO' ]; then + if [ "$launcher_PREP" = mpirun.lsf ]; then + export MP_CMDFILE=$DATA/prep_exec.cmd + export MP_PGMMODEL=mpmd + export MP_PULSE=0 + export MP_DEBUG_NOTIMEOUT=yes + export MP_LABELIO=yes + export MP_STDOUTMODE=ordered + mpirun.lsf + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + elif [ "$launcher_PREP" = cfp ]; then + export MP_CSS_INTERRUPT=yes + export MP_LABELIO=yes + export MP_STDOUTMODE=ordered + mpirun.lsf cfp $DATA/prep_exec.cmd + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + elif [ "$launcher_PREP" = aprun ]; then + ## Determine tasks per node (PREPDATAtpn) and + ## max number of concurrent procs (PREPDATAprocs) for cfp + typeset -i nodesall=$(echo -e "${LSB_HOSTS// /\\n}"|sort -u|wc -w) + typeset -i ncnodes=$(($nodesall-1)) # we want compute nodes only + if [ $ncnodes -lt 1 ]; then + set +x + echo + echo " ** Could not get positive compute node count for aprun **" + echo " ** Are we using LSF queue with compute node access? **" + echo + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + if [[ -z ${PREPDATAtpn:-""} ]]; then + PREPDATAtpn=$((($NSPLIT+$ncnodes-1)/$ncnodes)) + # cfp is faster with extra thread so add one if there is room. + # (this logic needs an update to avoid hardwired 24) + [ $PREPDATAtpn -lt 24 ] && PREPDATAtpn=$(($PREPDATAtpn+1)) + fi + if [[ -z ${PREPDATAprocs:-""} ]]; then + PREPDATAprocs=$(($ncnodes*$PREPDATAtpn)) # max concurrent processes + fi + aprun -j 1 -n${PREPDATAprocs} -N${PREPDATAtpn} -d1 cfp $DATA/prep_exec.cmd + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + else # unknown launcher and options (eg, for use on R&D system) + $launcher_PREP + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + fi + elif [ $BACK = 'YES' ] ; then + if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + aprun -n 1 -d $NSPLIT $DATA/prepthrds.sh + else + $DATA/prepthrds.sh + fi + fi + totalt=$NSPLIT + else + +# In the serial environment, just fire off a single thread of MP_PREPDATA +# ----------------------------------------------------------------------- + multi=0 + if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + aprun -n 1 -N 1 ksh $DATA/MP_PREPDATA $multi + else + $DATA/MP_PREPDATA $multi + fi + totalt=1 + + # fi for $PARALLEL = YES + fi + + set +x + multi=0 + while [ $multi -lt $totalt ]; do +echo +echo "********************************************************************" +echo " ++ Script STDOUT from MP_PREPDATA for stream (task/thread) $multi ++" +echo "********************************************************************" +echo + cat $DATA/mp_stream${multi}.stdout +echo "********************************************************************" +echo " ++ End of Script STDOUT from MP_PREPDATA for stream $multi ++ " +echo "********************************************************************" + multi=`expr $multi + 1` + done + +echo +echo "********************************************************************" +echo " ++ Script trace from MP_PREPDATA for stream (task/thread) 0 ++ " + if [ "$PARALLEL" = 'YES' ]; then +echo +echo " In order to conserve space, the script trace from other " +echo " streams is not invoked unless the stream failed. " + fi +echo "********************************************************************" +echo + + cat mp_stream0.errfile + +echo +echo "********************************************************************" +echo " ++ End of Script trace from MP_PREPDATA for stream 0 ++ " +echo "********************************************************************" +echo + set -x + +# check status files +# ------------------ + + errSTATUS=0 + errPREPDATA=0 + four_check=yes + multi=0 + while [ $multi -lt $totalt ]; do + cat $DATA/multi$multi/mp_pgmout >> prepdata.out + cat $DATA/multi$multi/mp_pgmout >> $pgmout + status=$DATA/multi$multi/mstatus + if [ ! -s $status ]; then + set +x +echo +echo "********************************************************************" +echo " P R O B L E M ! ! ! " +echo "********************************************************************" +echo " ###> MP_PREPDATA stream (task/thread) $multi FAILED - Cycle date: \ +$CDATE10" +echo " Current working directory: $DATA " +echo +echo " Script trace from MP_PREPDATA for stream $multi follows ... " +echo "********************************************************************" +echo + cat $DATA/mp_stream${multi}.errfile +echo +echo "********************************************************************" +echo " ++ End of Script trace from MP_PREPDATA for stream $multi ++ " +echo "********************************************************************" +echo + set -x + errSTATUS=99 + else + err_this=`cut -f 2 -d = $status` + [ "$err_this" -gt "$errPREPDATA" ] && errPREPDATA=$err_this + [ "$err_this" -eq '0' ] && four_check=no + fi + multi=`expr $multi + 1` + done + + if [ "$errSTATUS" -gt '0' ]; then + $DATA/err_exit + exit 55 # for extra measure + fi + + [ "$errPREPDATA" -eq '4' -a "$four_check" = 'no' ] && errPREPDATA=0 + + set +x + echo + echo "For all MP_PREPDATA Streams, the largest foreground exit status \ + amongst all PREPOBS_PREPDATA runs is " $errPREPDATA + echo + set -x + + if [ "$errPREPDATA" -le "$errPREPDATA_limit" -a $errPREPDATA -ne 1 ]; then + err=0 + if [ "$errPREPDATA" -eq '4' ]; then + set +x + echo + echo "WARNING: PREPOBS_PREPDATA FOUND EITHER NO ADPUPA OR NO ADPSFC DATA" + echo "-------- THESE DATA WILL NOT BE AVAILABLE TO ANALYSES" + echo + set -x + fi + else + err=$errPREPDATA + fi + + pgm=`basename $PRPX` + touch errfile + $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + + if [ "$PARALLEL" = 'YES' ]; then + +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## +## HEREFILE MERGE_MSGS ## +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## + +set +x +cat <<\EOFmrg > MERGE_MSGS + +# This herefile script merges the individual partial PREPBUFR files present at +# this point into a complete, monolithic PREPBUFR file in the proper message +# type order. It is the last step in the PREPDATA processing. It runs only +# in the parallel environment. +# ---------------------------------------------------------------------------- + +# Positional parameters passed in: +# 1 - Number of input partial PREPBUFR files that are going to be merged +# ($nfiles) +# 2 - Working directory path ($DATA) (contains separate partial PREPBUFR +# files and text files containing headers for each, one directory down) +# 3 - Beginning string of sub-directories in $DATA ($subdir) (each sub- +# directory contains an input partial PREPBUFR file and a text file +# containing headers for all messages in that PREPBUFR file) +# 4 - File in each sub-directory containing headers for all messages in +# partial PREPBUFR file in same sub-directory (file name only - same name +# in all sub-directories) ($header_file_name) +# 5 - Partial PREPBUFR file in each sub-directory (file name only - same name +# in all sub-directories) ($prep_in) +# 6 - Output monolithic PREPBUFR file name (file name only) ($prep_out) +# +# Imported variables that must be passed in: +# MONOBFRX - path to PREPOBS_MONOPREPBUFR program executable +# +# Imported variables that can be passed in: +# pgmout - string indicating path to for standard output file (skipped over +# by this script if not passed in) + + +if [ $# -ne 6 ] ; then + echo "Usage: $0 nfiles DATA subdir header_file_name prep_in prep_out" + exit 1 +fi + +set -aux + +qid=$$ + +nfiles=$1;DATA=$2;subdir=$3;header_file_name=$4;prep_in=$5;prep_out=$6 + + +# From all the header files, extract the header counts and names build +# namelist input to drive $MONOBFRX program +# --------------------------------------------------------------------- + +nheaders=`cat $DATA/${subdir}*/$header_file_name|awk '{print $1}'|sort -u|wc -l` +((nheaders+=0)) + +>$DATA/input echo +echo " &namin nfiles=$nfiles, nheaders=$nheaders," >>$DATA/input + +cd $DATA + + +# Assign the fort units to the files +# ----------------------------------- + +pgm=`basename $MONOBFRX` +if [ -s $DATA/prep_step ]; then + . $DATA/prep_step +else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +fi + + +n=-1 +while [ $((n+=1)) -lt $nfiles ] ;do + [ ! -s $DATA/${subdir}$n/$prep_in ] && exit 1 + export FORT$((11+n))=$DATA/${subdir}$n/$prep_in +done +export FORT51=$prep_out +set +x + + +# Extract the total span of headers by searching through all the header files +# --------------------------------------------------------------------------- + +n=-1 +while [ $((n+=1)) -lt $nfiles ]; do + file=$DATA/${subdir}$n/$header_file_name + [ ! -s $file ] && exit 1 + if [ `cat $file|awk '{print $1}'| \ + sort -u|wc -l` -eq $nheaders ] ; then + headers="" + nlines=`cat $file|wc -l` + i=0 + while [ $((i+=1)) -le $nlines ]; do + line=`sed -n $i,${i}p $file` + header=`echo $line|awk '{print $1}'` + echo " cheaders($i)='$header',">>$DATA/input + headers="$headers $header" + done + break + fi +done + + +# Tranlate the hdrs file contents into namelist array +# --------------------------------------------------- + +n=-1 +while [ $((n+=1)) -lt $nfiles ]; do + file=$DATA/${subdir}$n/$header_file_name + line= + i=0 + for hdr in $headers; do + ((i+=1)) + count=`grep $hdr $file|awk '{print $2}'` + set +u + [ -z "$count" ] && count=0 + set -u + line="${line}msgs($i,$((n+1)))=$count," + done + echo " $line " >>$DATA/input +done + +echo " &end" >>$DATA/input +set -x +cat $DATA/input + +$TIMEIT $MONOBFRX <$DATA/input > outout 2> errfile +export err=$? +###cat errfile +cat errfile >> outout +cat outout >> monoprepbufr.out +set +u +[ -n "$pgmout" ] && cat outout >> $pgmout +set -u +rm outout +set +x +echo +echo "The foreground exit status for PREPOBS_MONOPREPBUFR is " $err +echo +set -x +$DATA/err_chk +[ $err != 0 ] && exit 55 # for extra measure + +exit 0 +EOFmrg +set -x + +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## +## end of HEREFILE MERGE_MSGS ## +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## + + chmod 775 MERGE_MSGS + +# In parallel environment, make monolithic PREPBUFR file by meriging the +# partial PREPBUFR files +# ---------------------------------------------------------------------- + $TIMEIT $DATA/MERGE_MSGS $NSPLIT $DATA multi prepda.hdrs prepda \ + prepda.${cycle} + errsc=$? + if test $errsc -ne 0 + then +# problem with merge script + $DATA/err_exit + exit 55 # for extra measure + fi + else + +# In serial environment, already have a monolithic PREPBUFR file - just +# copy it to expected local monolithic PREPBUFR file location +# --------------------------------------------------------------------- + cp $DATA/multi0/prepda prepda.${cycle} + + # fi for $PARALLEL = YES + fi + +# fi for $PREPDATA = YES +fi + +set +u +[ -n "$PREPBUFR_IN" ] && cp $PREPBUFR_IN $DATA/prepda.${cycle} +set -u + + +############################################ +# EXECUTE SYNTHETIC CYCLONE DATA PROCESSING +############################################ + +if [ "$SYNDATA" = 'YES' ]; then + +# Check condition code - SDM can shut-off synthetic cyclone bogusing +# ------------------------------------------------------------------ +# ==> this switch is NOT YET in place, so it will be hardwired to "YES" + +###cp ???????????? syndata_cond + echo "YES" > syndata_cond + SYN=`cat tcvitals_orig_sort + sort tcvitals > tcvitals_sort + comm -23 tcvitals_orig_sort tcvitals_sort > tcvitals_removed + [ -s tcvitals_removed ] && run_syndat_twice=yes + fi + fi + + $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ + $DATA/tcvitals $CDATE10 + + if [ $run_syndat_twice = yes ]; then + +# Run SYNDATA a second time when switch "run_syndat_twice" was set to "yes" in +# above logic (see %% above) + + DO_BOGUS=NO + $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ + $DATA/tcvitals_removed $CDATE10 + fi + fi +fi + +[ "$PREPDATA" = 'YES' ] && cp prepda.${cycle} prepda.prepdata + + +########################################### +# EXECUTE GSI QUALITY-CONTROL PROCESSING +########################################### + +if [ "$DO_QC" = 'YES' ]; then + if [ "$PREVENTS" = 'YES' ];then + $TIMEIT $USHPREV/prepobs_prevents.sh $DATA/prepda.${cycle} $CDATE10 + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$CQCBUFR" = 'YES' ];then + $TIMEIT $USHCQC/prepobs_cqcbufr.sh $DATA/prepda.${cycle} + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$PROFCQC" = 'YES' ];then + $TIMEIT $USHPQC/prepobs_profcqc.sh $DATA/prepda.${cycle} + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$CQCVAD" = 'YES' ];then + $TIMEIT $USHVQC/prepobs_cqcvad.sh $DATA/prepda.${cycle} $CDATE10 + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$PREPACQC" = 'YES' ];then + $TIMEIT $USHAQC/prepobs_prepacqc.sh $DATA/prepda.${cycle} $DATA/adpsfc + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$OIQCBUFR" = 'YES' ];then + $TIMEIT $USHOIQC/prepobs_oiqcbufr.sh $DATA/prepda.${cycle} $CDATE10 + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi +fi + + +# Look for "OVERLARGE" subsets in stdout (print out of bufrlib when subset +# discarded because it is too big to fit in a BUFR message) -- post to +# jlogfile if appropriate + +msg=`grep "OVERLARGE SUBSET DISCARDED" $pgmout` +err=$? +if [ "$err" -eq '0' ]; then + set +x + echo + echo "$msg" + echo + set -x + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" +fi + +exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.txt b/model/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.txt new file mode 100644 index 0000000..546ed9e --- /dev/null +++ b/model/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.txt @@ -0,0 +1 @@ +MAKEPREPBUFRSH=/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/prepobs_makeprepbufr.sh From b5e51330b18521c8a812434ebefe9b640dfda052 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 8 Nov 2017 20:46:39 +0000 Subject: [PATCH 218/487] Got past eomg problem on cycled workflow. Got up to the post in the forecast-only workflow. Post is still running. --- model/fv3gfs/exp/actions/ecen.yaml | 3 ++- model/fv3gfs/exp/actions/eomg.yaml | 3 ++- model/fv3gfs/exp/actions/eupd.yaml | 1 + model/fv3gfs/exp/actions/ics.yaml | 13 ++++++++++++- model/fv3gfs/exp/cases/Cindy_GFS@C384.yaml | 2 +- model/fv3gfs/exp/defaults/no.yaml | 8 ++++++-- model/fv3gfs/exp/defaults/places.yaml | 2 ++ model/fv3gfs/exp/runtime/gfs_forecast_workflow.yaml | 9 --------- model/fv3gfs/exp/validation/data_assimilation.yaml | 2 +- model/fv3gfs/jobs/eupd.sh | 3 ++- 10 files changed, 29 insertions(+), 17 deletions(-) diff --git a/model/fv3gfs/exp/actions/ecen.yaml b/model/fv3gfs/exp/actions/ecen.yaml index 71f8ae5..8a0fe3f 100644 --- a/model/fv3gfs/exp/actions/ecen.yaml +++ b/model/fv3gfs/exp/actions/ecen.yaml @@ -20,6 +20,7 @@ ecen: &ecen_action !Action # ---------------------------------------- # From config.ecen ENKFRECENSH: !expand "{doc.places.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_recenter_fv3gfs.sh.ecf" + CHGRESSH: !calc doc.places.CHGRESSH_ECEN APRUN_CHGRES: !FirstTrue - when: !calc doc.platform.name == "THEIA" do: "time" @@ -27,7 +28,7 @@ ecen: &ecen_action !Action tools.command_without_exe( par,chgres_resources,"placeholder") APRUN_ECEN: !calc tools.command_without_exe(par,resources,"placeholder") - NTHREADS_ECEN: ! nodes.omp_threads_for(resources[0]) + NTHREADS_ECEN: !calc nodes.omp_threads_for(resources[0]) APRUN_CHGRES: "time" CDUMP: gdas Inherit: !Inherit diff --git a/model/fv3gfs/exp/actions/eomg.yaml b/model/fv3gfs/exp/actions/eomg.yaml index 1645465..b30da7e 100644 --- a/model/fv3gfs/exp/actions/eomg.yaml +++ b/model/fv3gfs/exp/actions/eomg.yaml @@ -3,7 +3,7 @@ eomg: &eomg_action !Action J_JOB: eomg ANALYSISSH: !expand "{doc.places.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" APRUN_GSI: !calc tools.command_without_exe(par,run_eomg.resources,'placeholder') - walltime: !timedelta 00:15:00 + walltime: !timedelta 00:35:00 resources: !calc run_eomg.resources memory: !calc run_eomg.memory shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "sys_tp", "l.*4d.*" ] @@ -12,6 +12,7 @@ eomg: &eomg_action !Action - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - [ doc.fv3_enkf_settings, "FHCYC" ] - [ doc.data_assimilation, ".*" ] + - [ doc.platform.mpi_tuning, ".*" ] - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - [ doc.case, "EDATE|SDATE|gfs_cyc" ] CDUMP: gdas diff --git a/model/fv3gfs/exp/actions/eupd.yaml b/model/fv3gfs/exp/actions/eupd.yaml index ca440d5..3e1b68b 100644 --- a/model/fv3gfs/exp/actions/eupd.yaml +++ b/model/fv3gfs/exp/actions/eupd.yaml @@ -20,6 +20,7 @@ eupd: &eupd_action !Action - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - [ doc.case, "EDATE|SDATE|gfs_cyc" ] CDUMP: gdas + ENKFUPDSH: !calc doc.places.ENKFUPDSH CASE: !calc doc.fv3_enkf_settings.CASE NTHREADS_ENKF: 2 NTHSTACK: 1024000000 diff --git a/model/fv3gfs/exp/actions/ics.yaml b/model/fv3gfs/exp/actions/ics.yaml index e55057d..8fd3592 100644 --- a/model/fv3gfs/exp/actions/ics.yaml +++ b/model/fv3gfs/exp/actions/ics.yaml @@ -4,7 +4,18 @@ fv3ics: &fv3ics_action !Action walltime: !timedelta 00:30:00 resources: !calc run_fv3ic.resources memory: !calc run_fv3ic.memory - accounting: !calc doc.platform.transfer_accounting + CASE: !calc doc.fv3_settings.CASE + + shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "sys_tp", "l.*4d.*" ] + Inherit: !Inherit + - [ doc.platform.general_env, ".*" ] + - [ doc.fv3_gfs_settings, ".*" ] + - [ doc.data_assimilation, ".*" ] + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.platform.mpi_tuning, '.*' ] + - [ doc.fv3_enkf_settings, "FHCYC" ] + - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc" ] getics: &getics_action !Action <<: *action_base diff --git a/model/fv3gfs/exp/cases/Cindy_GFS@C384.yaml b/model/fv3gfs/exp/cases/Cindy_GFS@C384.yaml index 216cd9b..5350ad9 100644 --- a/model/fv3gfs/exp/cases/Cindy_GFS@C384.yaml +++ b/model/fv3gfs/exp/cases/Cindy_GFS@C384.yaml @@ -1,11 +1,11 @@ fv3_settings: &fv3_settings LEVS: 65 # Number of vertical levels (mandatory) + CASE: C384 # FV3 horizontal resolution (mandatory) QUILTING: NO fv3_gfs_settings: &fv3_gfs_settings <<: *fv3_settings Template: *fv3_settings_template - CASE: C384 # FV3 horizontal resolution (mandatory) CDUMP: gfs fv3_enkf_settings: &fv3_enkf_settings diff --git a/model/fv3gfs/exp/defaults/no.yaml b/model/fv3gfs/exp/defaults/no.yaml index 8c8b797..9e0bb94 100644 --- a/model/fv3gfs/exp/defaults/no.yaml +++ b/model/fv3gfs/exp/defaults/no.yaml @@ -1,13 +1,17 @@ no_enkf: &no_enkf + Template: *fv3_settings_template CDUMP: gdas CASE: !calc doc.fv3_gdas_settings.CASE LEVS: !calc doc.fv3_gdas_settings.LEVS no_gdas: &no_gdas + Template: *fv3_settings_template CDUMP: gdas CASE: !calc doc.fv3_gfs_settings.CASE LEVS: !calc doc.fv3_gfs_settings.LEVS -no_data_assimilation: &no_data_assimilation {} +no_data_assimilation: &no_data_assimilation + Template: *data_assimilation_template -no_prepbufr: &no_prepbufr {} +no_prepbufr: &no_prepbufr + Template: *obsproc_template diff --git a/model/fv3gfs/exp/defaults/places.yaml b/model/fv3gfs/exp/defaults/places.yaml index 9a8dd70..71fec38 100644 --- a/model/fv3gfs/exp/defaults/places.yaml +++ b/model/fv3gfs/exp/defaults/places.yaml @@ -46,8 +46,10 @@ default_places: &default_places BASE_OZNMON: !calc BASE_GSI GFSDOWNSH: !expand "{BASE_WORKFLOW}/ush/fv3gfs_downstream_nems.sh" GFSDWNSH: !expand "{BASE_WORKFLOW}/ush/fv3gfs_dwn_nems.sh" + ENKFUPDSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_update_fv3gfs.sh.ecf" CHGRESSH: !expand "{BASE_POST}/ush/global_chgres_GSM.sh" + CHGRESSH_ECEN: !expand "{BASE_GSM}/ush/global_chgres_GSM.sh" vsdbhome: !calc BASE_VERIF vsdbsave: !expand "{PROJECT_DIR}/noscrub/{tools.env('USER')}/archive/vsdb_data" diff --git a/model/fv3gfs/exp/runtime/gfs_forecast_workflow.yaml b/model/fv3gfs/exp/runtime/gfs_forecast_workflow.yaml index c8091e0..9c83310 100644 --- a/model/fv3gfs/exp/runtime/gfs_forecast_workflow.yaml +++ b/model/fv3gfs/exp/runtime/gfs_forecast_workflow.yaml @@ -19,19 +19,10 @@ gfs_forecast_workflow: !Cycle gfs: !Family Trigger: !Depend ics - prep: !Task - Perform: *prep_gfs_action - Rocoto: *task_template - - anal: !Task - Perform: *anal_gfs_action - Rocoto: *task_template - Trigger: !Depend prep fcst: !Task Perform: *fcst_gfs_action Rocoto: *task_template - Trigger: !Depend anal post: !Task Perform: *post_gfs_action diff --git a/model/fv3gfs/exp/validation/data_assimilation.yaml b/model/fv3gfs/exp/validation/data_assimilation.yaml index 46f1e17..8f3dccc 100644 --- a/model/fv3gfs/exp/validation/data_assimilation.yaml +++ b/model/fv3gfs/exp/validation/data_assimilation.yaml @@ -5,7 +5,7 @@ data_assimilation_template: &data_assimilation_template description: flag (YES or NO) for hybrid ensemble variational option NMEM_ENKF: type: int - default: 80, + default: 80 allowed: [ 10, 20, 30, 40, 50, 60, 70, 80 ] description: Number of members of the GFS ENKF ensemble. NMEM_ENKF_GRP_EOMN: { type: int, default: 10, allowed: [ 10 ] } diff --git a/model/fv3gfs/jobs/eupd.sh b/model/fv3gfs/jobs/eupd.sh index c017e86..2605520 100755 --- a/model/fv3gfs/jobs/eupd.sh +++ b/model/fv3gfs/jobs/eupd.sh @@ -26,7 +26,7 @@ eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from: ( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) unset JOBNAME if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi - +set +e ############################################################### # Set script and dependency variables export GDATE=$($NDATE -$assim_freq $CDATE) @@ -48,6 +48,7 @@ if [ ${KEEPDATA:-"NO"} = "NO" ] ; then rm -rf $DATA ; fi ############################################################### # Run relevant exglobal script +echo "RUN: $ENKFUPDSH" $ENKFUPDSH status=$? [[ $status -ne 0 ]] && exit $status From 8a32ed1a63e2e75b9ac1212501ec0017c8043ff2 Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Wed, 8 Nov 2017 22:04:20 +0000 Subject: [PATCH 219/487] added most of out out control scripts for theia (need to add a few more), some updates to regression script --- tests/regression/fv3gfs_regression.sh | 149 +++++++++++++++++++------- 1 file changed, 108 insertions(+), 41 deletions(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index 6fdc607..0977a92 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -1,20 +1,24 @@ #!/bin/bash usage () { - echo -e "\033[1mUSAGE:\033[0m\n $0 [[baseline_dir]] [[ compair_dir ]]" + echo -e "\033[1mUSAGE:\033[0m\n $0 [[baseline_dir]] [[ compair_dir ]] [[--non-interactive]]" echo -e "\tno arguments : creates a baseline with sorc and exp dir in \$PWD named fvgfs_sorc_baseline fv3gfs_exp_basline respectivly" echo -e "\tone argument (string) : creates a baseline with sorc and exp dir in \$PWD named fvgfs_sorc_\${string} fv3gfs_exp_\${string} respectivly" echo -e "\tone argument (dir) : creates a test_run with sorc and exp dir in \$PWD named fvgfs_sorc_testrun fv3gfs_exp_testrun respectivly" echo -e "\ttwo arguments (dir) (str) : creates a test_run with sorc and exp dir in \$PWD named fvgfs_sorc_\${string} fv3gfs_exp_\${srting} respectivly" echo -e "\ttwo arguments (dir) (dir) : does a bitwise compair on the gfs files from the first dir to the second" + echo -e "\tthird optional argument is used when acctually running the script so no promps are given, otherwize the script will report on the settings." exit } -if [[ "$#" -gt "2" ]] || [[ $1 == '--help' ]]; then + +# Traps that only allow the above inputs + +if [[ "$#" -gt "3" ]] || [[ $1 == '--help' ]]; then usage fi -if [[ "$#" == "2" ]]; then +if [[ "$#" == "2" ]] || [[ "$#" == "3" ]]; then if [[ ! -d $1 ]] && [[ ! -d $2 ]]; then usage fi @@ -26,9 +30,10 @@ fi log_message () { logtime=`date` - echo "LOG : $logtime : $1 : $2" + echo -e "LOG : $logtime : $1 : $2" if [[ $1 == "CRITICAL" ]]; then exit -1 + exit -1 fi } @@ -43,9 +48,9 @@ CREATE_EXP='TRUE' RUNROCOTO='TRUE' #RUNROCOTO='FALSE' -regressionID='svntrunk' +regressionID='baseline' idate='2017073118' -edate='2017080206' +edate='2017080106' ICS_dir_cray='/gpfs/hps3/emc/global/noscrub/emc.glopara/ICS' PTMP_cray='/gpfs/hps3/ptmp' @@ -54,7 +59,7 @@ PTMP_theia='/scratch4/NCEPDEV/stmp4' find_data_dir () { - check_base_line_dir=$1 + local _check_baseline_dir=$1 STARTTIME=$(date +%s) while IFS= read -r -d '' file @@ -62,49 +67,63 @@ find_data_dir () { gfsfile=`basename $file | cut -f 1 -d"."` if [[ $gfsfile == "enkf" ]]; then check_real_base_dir=`dirname $file` - echo "dir $check_real_base_dir" - echo "file $file" if ls $check_real_base_dir/gdas.* 1> /dev/null 2>&1; then real_base_dir=$check_real_base_dir break fi fi - if [[ $(($ENDTIME - $STARTTIME)) > 41 ]]; then + if [[ $(($ENDTIME - $STARTTIME)) > 20 ]]; then log_message "CRITICAL" "looking for valid baseline directory put then gave up after a minute" fi - done < <(find $check_base_line_dir -print0 ) + done < <(find $_check_baseline_dir -print0 ) if [[ -z $real_base_dir ]]; then - log_message "CRITICAL" "$check_base_line_dir is not a directory with a baseline to test in it" - fi - if [[ $real_base_dir != $check_base_line_dir ]]; then - log_message "WARNING" "given directory did not have gfs data, but subdirectory found that did" + log_message "CRITICAL" "$_check_baseline_dir is not a directory with a baseline to test in it" fi - check_base_line_dir=`dirname $file` - log_message "INFO" "found baseline fv3gfs gfs data found in directory: $check_base_line_dir" + #if [[ $real_base_dir != $_check_baseline_dir ]]; then + #log_message "WARNING" "given directory did not have gfs data, but a subsiquent subdirectory was found that did" + #fi + _check_baseline_dir=`dirname $file` + #log_message "INFO" "found baseline fv3gfs gfs data found in directory: $_check_baseline_dir" + echo $_check_baseline_dir } -COMPAIR_BASELINE='FALSE' +COMPAIR_BASE='FALSE' if [[ ! -d $1 ]] && [[ ! -f $1 ]]; then - if [[ -z $1 ]]; then - regressionID='baseline' - log_message "INFO" "No arguments given assuming to make baseline with default ID '$regressionID'" - else - regressionID=$1 - log_message "INFO" "No baseline specifed, createing baseline with regression ID: $regressionID" + if [[ -z $1 || $1 == "--non-interactive" ]]; then + regressionID='baseline' + log_message "INFO" "No arguments given assuming to make new baseline with default ID: $regressionID" + else + log_message "INFO" "No baseline specifed, createing new baseline with regression ID: $regressionID" fi fi log_message "INFO" "running regression script on host $HOST" + +checkout_dir_basename="${pslot_basename}_sorc_${regressionID}" +pslot="${pslot_basename}_exp_${regressionID}" + if [[ -d $1 ]]; then - check_base_line_dir=`readlink -f $1` - regressionID='baseline' - log_message "INFO" "Running test run agaist regression baseline in directory $check_base_line_dir" - COMPAIR_BASELINE='TRUE' + check_baseline_dir=`readlink -f $1` + if [[ ! -z "$2" ]] && [[ ! -d $2 ]] ; then + regressionID="$2" + else + regressionID='test_run' + fi + pslot_basename='fv3gfs' + checkout_dir_basename="${pslot_basename}_sorc_${regressionID}" + pslot="${pslot_basename}_exp_${regressionID}" + log_message "INFO" "Running test run ($regressionID) agaist regression baseline in directory $check_baseline_dir" + COMPAIR_BASE='TRUE' fi -if [[ $COMPAIR_BASELINE == 'TRUE' ]]; then - find_data_dir $check_base_line_dir +if [[ $COMPAIR_BASE == 'TRUE' ]]; then + check_baseline_dir_get=$( find_data_dir $check_baseline_dir ) + if [[ $check_baseline_dir != $_check_baseline_dir_get ]]; then + check_baseline_dir=$check_baseline_dir_get + log_message "WARNING" "given directory did not have gfs data, but a subsiquent subdirectory was found that did" + log_message "INFO" "found baseline fv3gfs gfs data found in directory: $check_baseline_dir" + fi fi fv3gfs_git_branch='master' @@ -121,6 +140,52 @@ else exit -1 fi +JUST_COMPAIR_TWO_DIRS='FALSE' +if [[ -d $1 ]] && [[ -d $2 ]]; then + CHECKOUT='FALSE' + BUILD='FALSE' + CREATE_EXP='FALSE' + RUNROCOTO='FALSE' + check_baseline_dir_with_this_dir=`readlink -f $2` + check_baseline_dir_with_this_dir=$( find_data_dir $check_baseline_dir_with_this_dir ) + log_message "INFO" "Simply doing a diff on these two directories:\n $check_baseline_dir \n $check_baseline_dir_with_this_dir" + JUST_COMPAIR_TWO_DIRS='TRUE' +fi + +INTERACTIVE='TRUE' +if [[ ! -z $1 && $1 == "--non-interactive" ]] || [[ -z $2 && $2 == "--non-interactive" ]] || [[ -z $3 && $3 == "--non-interactive" ]]; then + INTERACTIVE='FALSE' +fi + + +if [[ $INTERACTIVE == "TRUE" ]]; then + echo -e "Current Settings are:\n" + echo "regressionID = $regressionID" + echo "idate = $idate" + echo "edate = $edate" + echo "CHECKOUT_DIR = $CHECKOUT_DIR" + echo "CHECKOUT = $CHECKOUT" + echo "BUILD = $BUILD" + echo "CREATE_EXP = $CREATE_EXP" + echo "COMPAIR_BASE = $COMPAIR_BASE" + echo -e "RUNROCOTO = $RUNROCOTO\n" + while read -n1 -r -p "Are these the correct settings (y/n): " answer + do + if [[ $answer == "n" ]]; then + echo -e "\n" + exit + fi + if [[ $answer == "y" ]]; then + break + fi + echo "" + done +#else + #if [[ -z $3 && $3 != "--non-interactive" ]]; then + # log_message "CRITICAL" "The third argument is only valid as --non-interactive, argument given was: $3" + #fi +fi + module load $load_rocoto rocotoruncmd=`which rocotorun` if [[ -z ${rocotoruncmd} ]]; then @@ -236,11 +301,10 @@ if [[ $BUILD == 'TRUE' ]]; then fi fi -if [[ ! -d ${EXP_FULLPATH} ]]; then - log_message "CRITICAL" "experment directory $EXP_FULLPATH not found" -fi - if [[ $RUNROCOTO == 'TRUE' ]]; then + if [[ ! -d ${EXP_FULLPATH} ]]; then + log_message "CRITICAL" "experment directory $EXP_FULLPATH not found" + fi log_message "INFO" "running regression script on host $HOST" log_message "INTO" "moving to PWD $EXP_FULLPATH to run cycleing in experiment directory" cd ${EXP_FULLPATH} @@ -257,7 +321,7 @@ if [[ $RUNROCOTO == 'TRUE' ]]; then fi log_message "INFO" "rocotorun successfully ran initial rocoorun to to create database file: ${pslot}.db" - log_message "INFO" "running: $rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -s -c all | tail -1 | awk '{print $1}'" + log_message "INFO" "running: $rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -s -c all | tail -1 | awk '{print \$1}'" lastcycle=`$rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -s -c all | tail -1 | awk '{print $1}'` if [[ $? != 0 ]]; then log_message "CRITICAL" "rocotostat failed when determining last cycle in test run" @@ -294,9 +358,12 @@ if [[ $RUNROCOTO == 'TRUE' ]]; then fi diff_file_name="${CHECKOUT_DIR}/diff_file_list_${regressionID}.txt" -if [[ $COMPAIR_BASELINE == 'TRUE' ]]; then - log_message "INFO" "doing the diff compair in $check_base_line_dir against $comrot_test_dir" - if [[ ! -d $check_base_line_dir ]] || [[ ! -d $comrot_test_dir ]]; then +if [[ $COMPAIR_BASE == 'TRUE' ]]; then + if [[ $JUST_COMPAIR_TWO_DIRS=='TRUE' ]]; then + comrot_test_dir=$check_baseline_dir_with_this_dir + fi + log_message "INFO" "doing the diff compair in $check_baseline_dir against $comrot_test_dir" + if [[ ! -d $check_baseline_dir ]] || [[ ! -d $comrot_test_dir ]]; then log_message "CRITICAL" "One of the target directories does not exist" fi log_message "INFO" "Moving to direcotry $comrot to do the compare" @@ -305,9 +372,9 @@ if [[ $COMPAIR_BASELINE == 'TRUE' ]]; then else log_message "CRITICAL" "The directory $comrot does not exsist" fi - check_base_line_dir_basename=`basename $check_base_line_dir` + check_baseline_dir_basename=`basename $check_baseline_dir` comrot_test_dir_basename=`basename $comrot_test_dir` - log_message "INFO" "running command: diff --brief -Nr --exclude \"*.log*\" $check_base_line_dir_basename $comrot_test_dir_basename >& $$diff_file_name" - diff --brief -Nr --exclude "*.log*" $check_base_line_dir_basename $comrot_test_dir_basename > ${diff_file_name} 2>&1 + log_message "INFO" "running command: diff --brief -Nr --exclude \"*.log*\" $check_baseline_dir_basename $comrot_test_dir_basename >& $$diff_file_name" + diff --brief -Nr --exclude "*.log*" $check_baseline_dir_basename $comrot_test_dir_basename > ${diff_file_name} 2>&1 log_message "INFO" "completed runing diff for fv3gfs regression test ($regressionID) resluts in file: $diff_file_name" fi From e839c66d991434735be6f242b07e73a8aff08788 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 9 Nov 2017 00:39:43 +0000 Subject: [PATCH 220/487] some missing shell variables in vrfy_action --- model/fv3gfs/exp/actions/vrfy.yaml | 3 +++ 1 file changed, 3 insertions(+) diff --git a/model/fv3gfs/exp/actions/vrfy.yaml b/model/fv3gfs/exp/actions/vrfy.yaml index aecc795..7fae32c 100644 --- a/model/fv3gfs/exp/actions/vrfy.yaml +++ b/model/fv3gfs/exp/actions/vrfy.yaml @@ -56,6 +56,9 @@ vrfy_gfs_action: &vrfy_gfs_action !Action vsdbsave: !calc doc.places.vsdbsave VDUMP: !calc CDUMP + # Variables to import in shell: + shell_vars: [ "[A-Z][A-Z0-9_]*$", "v.*", "ftyplist", "envir", ".*syndir", "HOME.*", "COM.*", ".*TANK.*", ".*typlist", ".*vrfy.*", "fitdir" ] + vrfy_gdas_action: &vrfy_gdas_action !Action <<: *vrfy_base CDUMP: gdas From 55105275b779fca2d5eca4bb93aafdb42824f7b4 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 9 Nov 2017 00:45:27 +0000 Subject: [PATCH 221/487] clarified user.yaml.default --- model/fv3gfs/exp/user.yaml.default | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) diff --git a/model/fv3gfs/exp/user.yaml.default b/model/fv3gfs/exp/user.yaml.default index 556335d..30cb9cd 100644 --- a/model/fv3gfs/exp/user.yaml.default +++ b/model/fv3gfs/exp/user.yaml.default @@ -1,9 +1,11 @@ places: &places <<: *default_places + PROJECT_DIR: !error Please select a project directory. # ie. /scratch4/NCEPDEV/ocean + HOMEgfs: !error Where is your fv3gfs checkout? - # ie. /scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/fv3gfs-fcst-post/EXP-cyc-try2/ + # NOTE: Use this: /scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/fv3gfs-fcst-post/fv3gfs accounting: &accounting # Project for CPU accounting. From e6a8587e405edc81bc7569e07815488af9940454 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 9 Nov 2017 17:27:59 +0000 Subject: [PATCH 222/487] Clean up cases/ directory: delete the technical-test and rename FV3_whatever to August2017_whatever --- ... August2017_GFS@C384_ENKF@C192-20MEM.yaml} | 0 model/fv3gfs/exp/cases/technical-test.yaml | 71 ------------------- 2 files changed, 71 deletions(-) rename model/fv3gfs/exp/cases/{FV3_GFS@C384_ENKF@C192-20MEM.yaml => August2017_GFS@C384_ENKF@C192-20MEM.yaml} (100%) delete mode 100644 model/fv3gfs/exp/cases/technical-test.yaml diff --git a/model/fv3gfs/exp/cases/FV3_GFS@C384_ENKF@C192-20MEM.yaml b/model/fv3gfs/exp/cases/August2017_GFS@C384_ENKF@C192-20MEM.yaml similarity index 100% rename from model/fv3gfs/exp/cases/FV3_GFS@C384_ENKF@C192-20MEM.yaml rename to model/fv3gfs/exp/cases/August2017_GFS@C384_ENKF@C192-20MEM.yaml diff --git a/model/fv3gfs/exp/cases/technical-test.yaml b/model/fv3gfs/exp/cases/technical-test.yaml deleted file mode 100644 index c78650c..0000000 --- a/model/fv3gfs/exp/cases/technical-test.yaml +++ /dev/null @@ -1,71 +0,0 @@ -fv3_settings: &fv3_settings - LEVS: 65 # Number of vertical levels (mandatory) - -fv3_gfs_settings: &fv3_gfs_settings - <<: *fv3_settings - Template: *fv3_settings_template - CASE: C192 # FV3 horizontal resolution (mandatory) - CDUMP: gfs - -fv3_enkf_settings: &fv3_enkf_settings - <<: *fv3_settings - Template: *fv3_settings_template - CASE: C192 # FV3 horizontal resolution for ensemble (mandatory) - CDUMP: gdas - restart_interval: 6 # do not change - -fv3_gdas_settings: &fv3_gdas_settings - <<: *fv3_gfs_settings - Template: *fv3_settings_template - CDUMP: gdas - -######################################################################## - -gfs_output_settings: &gfs_output_settings - Template: *gfs_output_settings_template - - FHMAX_GFS: 120 # Last GFS forecast hour (mandatory) - FHOUT_GFS: 6 # GFS output frequency (optional) - - OUTPUT_GRID: "gaussian_grid" - -######################################################################## - -data_assimilation: &data_assimilation - Template: *data_assimilation_template - # Shared parameters/switches - DOHYBVAR: YES # optional - NMEM_ENKF: 80 # DO NOT CHANGE (yet) - NMEM_ENKF_GRP_EOMN: 10 # DO NOT CHANGE (yet) - NMEM_ENKF_GRP_EFMN: 10 # DO NOT CHANGE (yet) - RECENTER_ENKF: YES # optional - l4densvar: ".false." # optional - lwrite4danl: ".false." # optional - DO_MAKEPREPBUFR: YES # if NO, will copy prepbufr from globaldump - -######################################################################## - -accounting: &accounting - # Project for CPU accounting. - Template: *accounting_template - cpu_project: nems - hpss_project: emc-hwrf # Project for storing HPSS data. - noscrub_project: nems # Project for storing non-scrubbed data. - -######################################################################## - -case: - Template: *case_template - # User-defined experiment name; should be a-z followed by alphanumeric: - experiment_name: expt #Formerly known as PSLOT - - SDATE: 2016-10-01t00:00:00 - EDATE: 2016-10-01t12:00:00 - - DO_RELOCATE: NO # DO NOT CHANGE (yet) - relocate not yet implemented - - master_grid: "0p25deg" # 1deg 0p5deg 0p25deg 0p125deg etc - - ACTUALLY_RUN: NO - -#-END OF FILE-# From b1c8bd5580633c37ee175534eb6ec1b8d495299d Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 9 Nov 2017 17:38:11 +0000 Subject: [PATCH 223/487] Minor bug fixes in YAML. --- model/fv3gfs/exp/platforms/jet.yaml | 4 ++-- model/fv3gfs/exp/runtime/_main.yaml | 1 + 2 files changed, 3 insertions(+), 2 deletions(-) diff --git a/model/fv3gfs/exp/platforms/jet.yaml b/model/fv3gfs/exp/platforms/jet.yaml index 69256da..21e9131 100644 --- a/model/fv3gfs/exp/platforms/jet.yaml +++ b/model/fv3gfs/exp/platforms/jet.yaml @@ -34,8 +34,8 @@ jet: &jet !Platform logical_cpus_per_core: 2 hyperthreading_allowed: true indent_text: " " - parallelism_settings: { <<: *theia_scheduler, name: HydraIMPI } - node_type_settings: { <<: *theia_scheduler, node_type: generic } + parallelism_settings: { <<: *jet_scheduler, name: HydraIMPI } + node_type_settings: { <<: *jet_scheduler, node_type: generic } mpi_tuning: MPI_BUFS_PER_HOST: 2048 diff --git a/model/fv3gfs/exp/runtime/_main.yaml b/model/fv3gfs/exp/runtime/_main.yaml index 1f61399..8207894 100644 --- a/model/fv3gfs/exp/runtime/_main.yaml +++ b/model/fv3gfs/exp/runtime/_main.yaml @@ -1,3 +1,4 @@ include: + - runtime.yaml - rocoto.yaml - "*.yaml" From 013fc2309a27cda9b38721692d74817701cf1385 Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Thu, 9 Nov 2017 17:44:36 +0000 Subject: [PATCH 224/487] Made final touches on fv3gfs_regression.sh (fixed spellings and usage) and test and fixed all variations for arguments --- tests/regression/fv3gfs_regression.sh | 55 +++++++++++++++------------ 1 file changed, 30 insertions(+), 25 deletions(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index 0977a92..0b252d5 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -1,13 +1,15 @@ #!/bin/bash usage () { - echo -e "\033[1mUSAGE:\033[0m\n $0 [[baseline_dir]] [[ compair_dir ]] [[--non-interactive]]" - echo -e "\tno arguments : creates a baseline with sorc and exp dir in \$PWD named fvgfs_sorc_baseline fv3gfs_exp_basline respectivly" - echo -e "\tone argument (string) : creates a baseline with sorc and exp dir in \$PWD named fvgfs_sorc_\${string} fv3gfs_exp_\${string} respectivly" - echo -e "\tone argument (dir) : creates a test_run with sorc and exp dir in \$PWD named fvgfs_sorc_testrun fv3gfs_exp_testrun respectivly" - echo -e "\ttwo arguments (dir) (str) : creates a test_run with sorc and exp dir in \$PWD named fvgfs_sorc_\${string} fv3gfs_exp_\${srting} respectivly" - echo -e "\ttwo arguments (dir) (dir) : does a bitwise compair on the gfs files from the first dir to the second" - echo -e "\tthird optional argument is used when acctually running the script so no promps are given, otherwize the script will report on the settings." + echo -e "\033[1mUSAGE:\033[0m\n $0 [[baseline]] [[compair]] [[--non-interactive]]\n" + echo -e "\tno arguments : creates a baseline with sorc and exp dir in \$PWD named fvgfs_sorc_baseline fv3gfs_exp_basline respectivly" + echo -e "\tone argument (string) : creates a baseline with sorc and exp dir in \$PWD named fvgfs_sorc_\${string} fv3gfs_exp_\${string} respectivly\n\n" + echo -e "\tone argument (dir) : creates a test run with sorc and exp dir in \$PWD named fvgfs_sorc_test_run fv3gfs_exp_test_run respectivly \n\t\t\t\t and then compairs the resluts against the comrot found in the directory \${dir}" + echo -e "\ttwo arguments (dir) (str) : creates a test_run with sorc and exp dir in \$PWD named fvgfs_sorc_\${string} fv3gfs_exp_\${srting} respectivly \n\t\t\t\t and then compairs the resluts against the comrot found in the directory \${dir} " + echo -e "\ttwo arguments (dir) (dir) : does a bitwise compair on the gfs files from the first dir to the second\n" + echo -e "\tthird optional argument is used when acctually running the script so no promps are given, otherwize the script will report on the settings.\n\n" + echo -e "\033[1mEXAMPLE:\033[0m\n" + echo -e "\tnohup ./fv3gfs_regression.sh fv3gfs_regression_baseline --non-interactive > & fv3gfs_regression_test_run.log &\n" exit } @@ -90,11 +92,9 @@ find_data_dir () { COMPAIR_BASE='FALSE' if [[ ! -d $1 ]] && [[ ! -f $1 ]]; then - if [[ -z $1 || $1 == "--non-interactive" ]]; then + if [[ -z $1 || $1 == "--non-interactive" ]]; then regressionID='baseline' log_message "INFO" "No arguments given assuming to make new baseline with default ID: $regressionID" - else - log_message "INFO" "No baseline specifed, createing new baseline with regression ID: $regressionID" fi fi @@ -105,21 +105,29 @@ pslot="${pslot_basename}_exp_${regressionID}" if [[ -d $1 ]]; then check_baseline_dir=`readlink -f $1` - if [[ ! -z "$2" ]] && [[ ! -d $2 ]] ; then - regressionID="$2" - else + if [[ -z $2 ]] && [[ ! -d $2 ]] ; then regressionID='test_run' + else + if [[ $2 == "--non-interactive" ]]; then + regressionID='test_run' + else + if [[ `echo $2 | cut -c1-2` == "--" ]]; then + log_message "CRITICAL" "an errounous option was given ($2), --non-interactive is the only allowable option" + else + regressionID=$2 + fi + fi fi pslot_basename='fv3gfs' checkout_dir_basename="${pslot_basename}_sorc_${regressionID}" pslot="${pslot_basename}_exp_${regressionID}" - log_message "INFO" "Running test run ($regressionID) agaist regression baseline in directory $check_baseline_dir" + log_message "INFO" "running test run ($regressionID) agaist regression baseline in directory $check_baseline_dir" COMPAIR_BASE='TRUE' fi if [[ $COMPAIR_BASE == 'TRUE' ]]; then check_baseline_dir_get=$( find_data_dir $check_baseline_dir ) - if [[ $check_baseline_dir != $_check_baseline_dir_get ]]; then + if [[ $check_baseline_dir != $check_baseline_dir_get ]]; then check_baseline_dir=$check_baseline_dir_get log_message "WARNING" "given directory did not have gfs data, but a subsiquent subdirectory was found that did" log_message "INFO" "found baseline fv3gfs gfs data found in directory: $check_baseline_dir" @@ -153,7 +161,7 @@ if [[ -d $1 ]] && [[ -d $2 ]]; then fi INTERACTIVE='TRUE' -if [[ ! -z $1 && $1 == "--non-interactive" ]] || [[ -z $2 && $2 == "--non-interactive" ]] || [[ -z $3 && $3 == "--non-interactive" ]]; then +if [[ $1 == "--non-interactive" ]] || [[ $2 == "--non-interactive" ]] || [[ $3 == "--non-interactive" ]]; then INTERACTIVE='FALSE' fi @@ -180,10 +188,6 @@ if [[ $INTERACTIVE == "TRUE" ]]; then fi echo "" done -#else - #if [[ -z $3 && $3 != "--non-interactive" ]]; then - # log_message "CRITICAL" "The third argument is only valid as --non-interactive, argument given was: $3" - #fi fi module load $load_rocoto @@ -245,7 +249,8 @@ if [[ $CHECKOUT == 'TRUE' ]]; then else - log_message "INFO" "cloneing fvgfs from git with branch $fv3gfs_git_branch" + log_message "INFO" "cloning fvgfs from git with branch $fv3gfs_git_branch" + log_message "INFO" "git clone ssh://${username}@vlab.ncep.noaa.gov:29418/fv3gfs ${checkout_dir_basename}" git clone ssh://${username}@vlab.ncep.noaa.gov:29418/fv3gfs ${checkout_dir_basename} if [[ ! -z "${fv3gfs_git_branch}// }" ]]; then @@ -263,13 +268,13 @@ EXP_FULLPATH=${CHECKOUT_DIR}/${pslot} if [[ $CREATE_EXP == 'TRUE' ]]; then - log_message "INFO" "setting up experment: ${setup_expt} ${exp_setup_string}" + log_message "INFO" "setting up experiment: ${setup_expt} ${exp_setup_string}" removed='' if [[ -d $EXP_FULLPATH ]]; then removed='it was present but now has been removed' fi rm -Rf $EXP_FULLPATH - log_message "INFO" "experment directory is $EXP_FULLPATH $removed" + log_message "INFO" "experiment directory is $EXP_FULLPATH $removed" removed='' if [[ -d ${comrot}/${pslot} ]]; then removed='it was present but now has been removed' @@ -303,7 +308,7 @@ fi if [[ $RUNROCOTO == 'TRUE' ]]; then if [[ ! -d ${EXP_FULLPATH} ]]; then - log_message "CRITICAL" "experment directory $EXP_FULLPATH not found" + log_message "CRITICAL" "experiment directory $EXP_FULLPATH not found" fi log_message "INFO" "running regression script on host $HOST" log_message "INTO" "moving to PWD $EXP_FULLPATH to run cycleing in experiment directory" @@ -346,7 +351,7 @@ if [[ $RUNROCOTO == 'TRUE' ]]; then fi $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml if [[ $? == "0" ]]; then - log_message "INFO" "Successfull: $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml" + log_message "INFO" "Successful: $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml" else log_message "WARNING" "FAILED: $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml" fi From bae4c589815faddfad8c92589eac551834e0799e Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 9 Nov 2017 18:22:22 +0000 Subject: [PATCH 225/487] rename August case to be more elegant --- ...F@C192-20MEM.yaml => August2017_GFS@C384_ENKF@C192+20MEM.yaml} | 0 1 file changed, 0 insertions(+), 0 deletions(-) rename model/fv3gfs/exp/cases/{August2017_GFS@C384_ENKF@C192-20MEM.yaml => August2017_GFS@C384_ENKF@C192+20MEM.yaml} (100%) diff --git a/model/fv3gfs/exp/cases/August2017_GFS@C384_ENKF@C192-20MEM.yaml b/model/fv3gfs/exp/cases/August2017_GFS@C384_ENKF@C192+20MEM.yaml similarity index 100% rename from model/fv3gfs/exp/cases/August2017_GFS@C384_ENKF@C192-20MEM.yaml rename to model/fv3gfs/exp/cases/August2017_GFS@C384_ENKF@C192+20MEM.yaml From d7fc11ee166a636df89ac131ce126c60fd01ebd0 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 9 Nov 2017 19:16:15 +0000 Subject: [PATCH 226/487] remove environment variable debugging stuff --- model/fv3gfs/jobs/anal.sh | 5 ----- model/fv3gfs/jobs/arch.sh | 5 ----- model/fv3gfs/jobs/earc.sh | 5 ----- model/fv3gfs/jobs/ecen.sh | 5 ----- model/fv3gfs/jobs/efcs.sh | 5 ----- model/fv3gfs/jobs/eobs.sh | 5 ----- model/fv3gfs/jobs/eomg.sh | 5 ----- model/fv3gfs/jobs/epos.sh | 5 ----- model/fv3gfs/jobs/eupd.sh | 5 ----- model/fv3gfs/jobs/fcst.sh | 5 ----- model/fv3gfs/jobs/fv3ic.sh | 5 ----- model/fv3gfs/jobs/getic.sh | 5 ----- model/fv3gfs/jobs/post.sh | 5 ----- model/fv3gfs/jobs/prep.sh | 5 ----- model/fv3gfs/jobs/vrfy.sh | 5 ----- 15 files changed, 75 deletions(-) diff --git a/model/fv3gfs/jobs/anal.sh b/model/fv3gfs/jobs/anal.sh index e1fdaed..c294d96 100755 --- a/model/fv3gfs/jobs/anal.sh +++ b/model/fv3gfs/jobs/anal.sh @@ -18,14 +18,9 @@ ############################################################### set -ex -JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) -( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) -( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) -unset JOBNAME -if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/arch.sh b/model/fv3gfs/jobs/arch.sh index 7a521cf..7d7124f 100755 --- a/model/fv3gfs/jobs/arch.sh +++ b/model/fv3gfs/jobs/arch.sh @@ -17,14 +17,9 @@ ## CDUMP : cycle name (gdas / gfs) ############################################################### -JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) -( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) -( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) -unset JOBNAME -if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi set -x diff --git a/model/fv3gfs/jobs/earc.sh b/model/fv3gfs/jobs/earc.sh index 5b4b9de..886be95 100755 --- a/model/fv3gfs/jobs/earc.sh +++ b/model/fv3gfs/jobs/earc.sh @@ -19,14 +19,9 @@ ############################################################### set -ex -JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) -( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) -( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) -unset JOBNAME -if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi ############################################################### # Run relevant tasks diff --git a/model/fv3gfs/jobs/ecen.sh b/model/fv3gfs/jobs/ecen.sh index 5c58676..1fff763 100755 --- a/model/fv3gfs/jobs/ecen.sh +++ b/model/fv3gfs/jobs/ecen.sh @@ -18,14 +18,9 @@ ############################################################### set -ex -JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) -( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) -( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) -unset JOBNAME -if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/efcs.sh b/model/fv3gfs/jobs/efcs.sh index 945492f..3c957fd 100755 --- a/model/fv3gfs/jobs/efcs.sh +++ b/model/fv3gfs/jobs/efcs.sh @@ -19,15 +19,10 @@ ############################################################### set -ex -JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) -( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH bool:.true.,.false. from:true_false_vars ) -( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) -unset JOBNAME -if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/eobs.sh b/model/fv3gfs/jobs/eobs.sh index 5e74974..15a786d 100755 --- a/model/fv3gfs/jobs/eobs.sh +++ b/model/fv3gfs/jobs/eobs.sh @@ -18,15 +18,10 @@ ############################################################### set -ex -JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) -( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) -( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH bool:.true.,.false. from:true_false_vars ) -unset JOBNAME -if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi unset DELTIM ############################################################### diff --git a/model/fv3gfs/jobs/eomg.sh b/model/fv3gfs/jobs/eomg.sh index 401c3f0..3d18a8d 100755 --- a/model/fv3gfs/jobs/eomg.sh +++ b/model/fv3gfs/jobs/eomg.sh @@ -19,14 +19,9 @@ ############################################################### set -ex -JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) -( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) -( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) -unset JOBNAME -if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/epos.sh b/model/fv3gfs/jobs/epos.sh index daacd93..b86fe91 100755 --- a/model/fv3gfs/jobs/epos.sh +++ b/model/fv3gfs/jobs/epos.sh @@ -18,14 +18,9 @@ ############################################################### set -ex -JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) -( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) -( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) -unset JOBNAME -if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/eupd.sh b/model/fv3gfs/jobs/eupd.sh index 2605520..492dbfc 100755 --- a/model/fv3gfs/jobs/eupd.sh +++ b/model/fv3gfs/jobs/eupd.sh @@ -18,14 +18,9 @@ ############################################################### set -ex -JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) -( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) -( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) -unset JOBNAME -if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi set +e ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/fcst.sh b/model/fv3gfs/jobs/fcst.sh index 4d041de..1e4e70c 100755 --- a/model/fv3gfs/jobs/fcst.sh +++ b/model/fv3gfs/jobs/fcst.sh @@ -19,15 +19,10 @@ ############################################################### set -ex -JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) -( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH bool:.true.,.false. from:true_false_vars ) -( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) -unset JOBNAME -if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/fv3ic.sh b/model/fv3gfs/jobs/fv3ic.sh index 1016a80..37e67bd 100755 --- a/model/fv3gfs/jobs/fv3ic.sh +++ b/model/fv3gfs/jobs/fv3ic.sh @@ -21,13 +21,8 @@ export CDUMP=${3:-$CDUMP} ############################################################### set -ex -JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) -( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) -( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) -unset JOBNAME -if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi # Temporary runtime directory export DATA="$RUNDIR/$CDATE/$CDUMP/fv3ic$$" diff --git a/model/fv3gfs/jobs/getic.sh b/model/fv3gfs/jobs/getic.sh index 5d5422e..89e448b 100755 --- a/model/fv3gfs/jobs/getic.sh +++ b/model/fv3gfs/jobs/getic.sh @@ -18,13 +18,8 @@ ############################################################### set -ex -JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) -( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) -( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) -unset JOBNAME -if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/post.sh b/model/fv3gfs/jobs/post.sh index 995904f..bb54857 100755 --- a/model/fv3gfs/jobs/post.sh +++ b/model/fv3gfs/jobs/post.sh @@ -19,15 +19,10 @@ ############################################################### set -ex -JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) -( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH bool:.true.,.false. from:true_false_vars ) -( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) -unset JOBNAME -if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/prep.sh b/model/fv3gfs/jobs/prep.sh index 79c67d1..26d6e97 100755 --- a/model/fv3gfs/jobs/prep.sh +++ b/model/fv3gfs/jobs/prep.sh @@ -18,14 +18,9 @@ ############################################################### set -ex -JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) -( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) -( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) -unset JOBNAME -if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi ############################################################### # Set script and dependency variables diff --git a/model/fv3gfs/jobs/vrfy.sh b/model/fv3gfs/jobs/vrfy.sh index d44ed50..1a46bde 100755 --- a/model/fv3gfs/jobs/vrfy.sh +++ b/model/fv3gfs/jobs/vrfy.sh @@ -22,16 +22,11 @@ set -ex export LOGNAME=${LOGNAME:-${CDUMP:-fv3gfs}} # usually set at ecflow level -JOBNAME=$( echo "$PBS_JOBNAME" | sed 's,/,.,g' ) -( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%before-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%before-to-sh ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH \ apply:LOGNAME=\"$LOGNAME\" from:shell_vars ) eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH bool:.true.,.false. from:true_false_vars ) -( set -ue ; set -o posix ; set > $HOME/env-scan/$CDATE%$JOBNAME%set%after-to-sh ; env > $HOME/env-scan/$CDATE%$JOBNAME%env%after-to-sh ) -unset JOBNAME -if [[ "${ACTUALLY_RUN:-NO}" == NO ]] ; then echo just testing ; exit 0 ; fi export OZNDIR="${OZNDIR:-$NOSCRUB/$LOGNAME/ozone/stats/{doc.case.experiment_name}" From 78dca1ec7399e30c519f0d6f8008af914ded4aa2 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 9 Nov 2017 19:16:30 +0000 Subject: [PATCH 227/487] remove environment variable debugging script --- model/fv3gfs/utils/scan-env-changes.pl | 72 -------------------------- 1 file changed, 72 deletions(-) delete mode 100755 model/fv3gfs/utils/scan-env-changes.pl diff --git a/model/fv3gfs/utils/scan-env-changes.pl b/model/fv3gfs/utils/scan-env-changes.pl deleted file mode 100755 index 9537870..0000000 --- a/model/fv3gfs/utils/scan-env-changes.pl +++ /dev/null @@ -1,72 +0,0 @@ -#! /usr/bin/env perl - -use strict; -use warnings; - -sub readit { - my $file=$_[0]; - open(CFG,"$file") or die "$file"; - my @lines=; - close(CFG); - my %vars; - foreach (@lines) { - chomp; - /^BASH_/ and next; - /^([A-Za-z][A-Za-z0-9_]+)=(.*)/ or next; - $vars{$1}=$2; - } - return %vars; -} - -sub diffmod { - my %before=%{$_[0]}; - my %after=%{$_[1]}; - my %before_env=%{$_[2]}; - my %after_env=%{$_[3]}; - - foreach my $name (sort {$a cmp $b} keys(%before)) { - if(!defined($after{$name})) { - print("unset $name\n"); - next; - } - - if(defined($before_env{$name}) && !defined($after_env{$name})) { - print("export -n $name\n"); - } - - if($before{$name} ne $after{$name}) { - if(defined($after_env{$name})) { - print("export $name=\"$after{$name}\"\n"); - } else { - print("$name=\"$after{$name}\" # shell-local\n"); - } - } elsif(!defined($before_env{$name}) && defined($after_env{$name})) { - print("export $name\n"); - } - } - - foreach my $name (sort {$a cmp $b} keys(%after)) { - if(!defined($before{$name})) { - if(defined($after_env{$name})) { - print("export $name=\"$after{$name}\"\n"); - } else { - print("$name=\"$after{$name}\" # shell-local\n"); - } - } - } -} - -######################################################################## - -my $pre=$ARGV[0]; - -print("# scan-env-changes.pl $pre\n"); - -my %before_set=readit("$pre\%set\%before-to-sh"); -my %after_set=readit("$pre\%set\%after-to-sh"); - -my %before_env=readit("$pre\%env\%before-to-sh"); -my %after_env=readit("$pre\%env\%after-to-sh"); - -print("# Variable changes:\n"); -diffmod(\%before_set,\%after_set,\%before_env,\%after_env) From ff64432ec7adbae4b4e2c7e7fed5df404cca3c8c Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Sat, 11 Nov 2017 21:05:11 +0000 Subject: [PATCH 228/487] Allow if_present-derived Templates to override values set earlier. Full recursion is supported, using a memo to avoid re-entering the same Template more than once. --- crow/config/eval_tools.py | 2 +- crow/config/template.py | 96 ++++++++++++++++++++------------------- 2 files changed, 51 insertions(+), 47 deletions(-) diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 19453b4..2dfc759 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -216,7 +216,7 @@ def _validate(self,stage,memo=None): if not isinstance(tmpl,Mapping): return if not hasattr(tmpl,'_check_scope'): tmpl=Template(tmpl,self._path+'.Template',self.__globals) - tmpl._check_scope(self,stage) + tmpl._check_scope(self,stage,memo) def __getitem__(self,key): if key not in self.__cache: self.__cache[key]=self.__child[key] diff --git a/crow/config/template.py b/crow/config/template.py index c3193ad..2efa128 100644 --- a/crow/config/template.py +++ b/crow/config/template.py @@ -50,63 +50,63 @@ class Template(dict_eval): """!Internal implementation of the YAML Template type. Validates a dict_eval, inserting defaults and reporting errors via the TemplateErrors exception. """ - def _check_scope(self,scope,stage): - _logger.debug(f'{scope._path}: validate') + def __init__(self,child,path='',globals=None): + self.__my_id=id(child) + super().__init__(child,path,globals) + + def _check_scope(self,scope,stage,memo): + if self.__my_id in memo: + _logger.debug(f'{scope._path}: do not re-validate with {self._path}') + return + memo.add(self.__my_id) + + _logger.debug(f'{scope._path}: validate with {self._path}') + checked=set() errors=list() template=copy(self) did_something=True + child_templates=list() # Main validation loop. Iteratively validate, adding new # Templates as they become available via is_present. - while did_something: - did_something=False - assert(hasattr(template,'_check_scope')) - - # Inner validation loop. Validate based on all Templates - # found thus far. Add new templates if found via - # is_present. Run prechecks if present - for var in set(scope)-checked: - assert(isinstance(template,Template)) - if var not in template: continue - try: - did_something=True - checked.add(var) - scheme=template[var] - if not isinstance(scheme,Mapping): continue # not a template - if stage and 'stages' in scheme: - if stage not in scheme.stages: - continue # skip validation; wrong stage + for var in template: + try: + scheme=template[var] + if not isinstance(scheme,Mapping): continue # not a template + if stage and 'stages' in scheme: + if stage not in scheme.stages: + continue # skip validation; wrong stage elif 'stages' in scheme: continue # skip validation of stage-specific schemes - if 'precheck' in scheme: - scope[var]=scheme.precheck - - validate_var(scope._path,scheme,var,scope[var]) - if 'if_present' in scheme: - _logger.debug(f'{scope._path}.{var}: evaluate if_present ' - f'{scheme._raw("if_present")._path}') - ip=from_config( - var,scheme._raw('if_present'),self._globals(),scope, - f'{scope._path}.{var}') - _logger.debug(f'{scope._path}.{var}: result = {ip!r}') - if not ip: continue - if hasattr(ip,'_path'): - _logger.debug( - f'{scope._path}.{var}: present ({scope._raw(var)!r}); ' - f'add {ip._path} to validation') + if 'precheck' in scheme: + scope[var]=scheme.precheck + + if var not in scope: continue + + validate_var(scope._path,scheme,var,scope[var]) + if 'if_present' in scheme: + _logger.debug(f'{scope._path}.{var}: evaluate if_present ' + f'{scheme._raw("if_present")._path}') + ip=from_config( + var,scheme._raw('if_present'),self._globals(),scope, + f'{scope._path}.{var}') + _logger.debug(f'{scope._path}.{var}: result = {ip!r}') + if not ip: continue + if not isinstance(ip,Template): if not isinstance(ip,Mapping): continue - new_template=Template(ip._raw_child()) - new_template.update(template) - template=new_template - assert(isinstance(template,Template)) - except (IndexError,AttributeError,TypeError,ValueError) as pye: - errors.append(f'{scope._path}.{var}: {type(pye).__name__}: {pye}') - _logger.debug(f'{scope._path}.{var}: {pye}',exc_info=True) - except ConfigError as ce: - errors.append(str(ce)) - _logger.debug(f'{scope._path}.{var}: {type(ce).__name__}: {ce}',exc_info=True) + ip=Template(ip._raw_child(),ip._path,ip._get_globals()) + _logger.debug( + f'{scope._path}.{var}: present ({scope._raw(var)!r}); ' + f'add {ip._path} to validation') + child_templates.append(ip) + except (IndexError,AttributeError,TypeError,ValueError) as pye: + errors.append(f'{scope._path}.{var}: {type(pye).__name__}: {pye}') + _logger.debug(f'{scope._path}.{var}: {pye}',exc_info=True) + except ConfigError as ce: + errors.append(str(ce)) + _logger.debug(f'{scope._path}.{var}: {type(ce).__name__}: {ce}',exc_info=True) # Insert default values for all templates found thus far and # detect any missing, non-optional, variables @@ -138,6 +138,10 @@ def _check_scope(self,scope,stage): f'{scope._path}.Template.{var}.override') if override is not None: scope[var]=override + # Handle child templates + for child in child_templates: + child._check_scope(scope,stage,memo) + # Check for variables that evaluate to an error for key,expr in scope._raw_child().items(): if hasattr(expr,'_is_error'): From 473ff06cc704bbaa57a9c2ce223c84686e44a826 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Sat, 11 Nov 2017 21:05:36 +0000 Subject: [PATCH 229/487] enable debugging in example-config.py to assist in finding bugs in Template validation --- examples/toy-yaml/example-config.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/examples/toy-yaml/example-config.py b/examples/toy-yaml/example-config.py index a1636ef..0b33cd2 100755 --- a/examples/toy-yaml/example-config.py +++ b/examples/toy-yaml/example-config.py @@ -10,7 +10,7 @@ config=crow.config.from_file('test.yml','platform.yml','templates.yml', 'actions.yml') -logging.basicConfig(stream=sys.stderr,level=logging.INFO) +logging.basicConfig(stream=sys.stderr,level=logging.DEBUG) crow.config.validate(config.fcst) crow.config.validate(config.test) From c116596237a2c3e0378e95fcaf7bccfc681f8c00 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Sun, 12 Nov 2017 06:50:03 +0000 Subject: [PATCH 230/487] Now able to run the forecast by loading config and namelist from yaml --- crow/config/tasks.py | 10 +- crow/config/template.py | 18 +- crow/tools.py | 2 + crow_dataflow_cycle_sh.py | 2 +- crow_dataflow_deliver_sh.py | 2 +- model/fv3gfs/exp/actions/crow_fcst_sh.yaml | 458 ++++++++++++++++ model/fv3gfs/exp/actions/ics.yaml | 4 + .../exp/cases/CROW_sh_Cindy_GFS@C384.yaml | 47 ++ .../exp/cases/CROW_sh_df_Cindy_GFS@C384.yaml | 47 ++ model/fv3gfs/exp/cases/Harvey_GFS@C192.yaml | 53 ++ model/fv3gfs/exp/defaults/places.yaml | 9 +- .../exp/runtime/crow_sh_df_fcst_workflow.yaml | 96 ++++ .../exp/runtime/crow_sh_fcst_workflow.yaml | 46 ++ model/fv3gfs/exp/runtime/rocoto.yaml | 4 + model/fv3gfs/exp/setup_case.py | 8 +- model/fv3gfs/exp/validation/accounting.yaml | 2 +- model/fv3gfs/exp/validation/chgres.yaml | 2 +- .../exp/validation/data_assimilation.yaml | 2 +- model/fv3gfs/exp/validation/fv3.yaml | 6 +- model/fv3gfs/exp/validation/fv3_forecast.yaml | 516 ++++++++++++++++++ model/fv3gfs/exp/validation/obsproc.yaml | 2 +- model/fv3gfs/exp/validation/output.yaml | 2 +- model/fv3gfs/exp/validation/workflow.yaml | 9 +- model/fv3gfs/jobs/fv3ic_df.sh | 76 +++ model/fv3gfs/scripts/exglobal_fcst_crow.sh | 273 +++++++++ 25 files changed, 1671 insertions(+), 25 deletions(-) create mode 100644 model/fv3gfs/exp/actions/crow_fcst_sh.yaml create mode 100644 model/fv3gfs/exp/cases/CROW_sh_Cindy_GFS@C384.yaml create mode 100644 model/fv3gfs/exp/cases/CROW_sh_df_Cindy_GFS@C384.yaml create mode 100644 model/fv3gfs/exp/cases/Harvey_GFS@C192.yaml create mode 100644 model/fv3gfs/exp/runtime/crow_sh_df_fcst_workflow.yaml create mode 100644 model/fv3gfs/exp/runtime/crow_sh_fcst_workflow.yaml create mode 100644 model/fv3gfs/exp/validation/fv3_forecast.yaml create mode 100755 model/fv3gfs/jobs/fv3ic_df.sh create mode 100644 model/fv3gfs/scripts/exglobal_fcst_crow.sh diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 2a90080..7dba105 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -17,7 +17,7 @@ from collections.abc import Mapping, Sequence from copy import copy, deepcopy from crow.config.exceptions import * -from crow.config.eval_tools import dict_eval, strcalc, multidict +from crow.config.eval_tools import dict_eval, strcalc, multidict, from_config from crow.tools import to_timedelta, typecheck __all__=[ 'SuiteView', 'Suite', 'Depend', 'LogicalDependency', @@ -84,6 +84,12 @@ def __init__(self,suite,viewed,path,parent): self.path=SuitePath(path) self.parent=parent self.__cache={} + if isinstance(self.viewed,Slot): + locals=multidict(self.parent,self.viewed) + globals=self.viewed._get_globals() + for k,v in self.viewed._raw_child().items(): + if hasattr(v,'_as_dependency'): continue + self.viewed[k]=from_config(k,v,globals,locals,self.viewed._path) def _globals(self): return self.viewed._globals() @@ -211,7 +217,7 @@ def is_completed(self): class SlotView(SuiteView): def __init__(self,suite,viewed,path,parent,search=MISSING): - super().__init__(suite,viewed,path,parent) + super().__init__(suite,copy(viewed),path,parent) assert(isinstance(path,Sequence)) if search is MISSING: self.__search={} diff --git a/crow/config/template.py b/crow/config/template.py index 2efa128..06d54c7 100644 --- a/crow/config/template.py +++ b/crow/config/template.py @@ -77,8 +77,8 @@ def _check_scope(self,scope,stage,memo): if stage and 'stages' in scheme: if stage not in scheme.stages: continue # skip validation; wrong stage - elif 'stages' in scheme: - continue # skip validation of stage-specific schemes + elif 'stages' in scheme: + continue # skip validation of stage-specific schemes if 'precheck' in scheme: scope[var]=scheme.precheck @@ -118,15 +118,11 @@ def _check_scope(self,scope,stage,memo): raise TypeError(f'{self._path}.{var}: All entries in a !Template must be maps not {type(tmpl).__name__}') if 'default' in tmpl: try: - did_something=True scope[var]=tmpl._raw('default') except AttributeError: scope[var]=tmpl['default'] elif not tmpl.get('optional',False): missing.append(var) - if missing: - raise VariableMissing(f'{scope._path}: missing: '+ - ', '.join(missing)) # Override any variables if requested via "override" clauses. for var in template: @@ -138,6 +134,16 @@ def _check_scope(self,scope,stage,memo): f'{scope._path}.Template.{var}.override') if override is not None: scope[var]=override + # Second pass checking for required variables that have no + # values. This second pass deals with variables that were + # updated by an "override" clause. + still_missing=list() + for var in missing: + if var not in scope: missing.append(var) + if still_missing: + raise VariableMissing(f'{scope._path}: missing: '+ + ', '.join(still_missing)) + # Handle child templates for child in child_templates: child._check_scope(scope,stage,memo) diff --git a/crow/tools.py b/crow/tools.py index 5b1d655..ccd7d2c 100644 --- a/crow/tools.py +++ b/crow/tools.py @@ -108,6 +108,8 @@ def __iter__(self): def to_timedelta(s): if isinstance(s,timedelta): return s + if isinstance(s,int): return timedelta(seconds=s) + if isinstance(s,float): return timedelta(seconds=round(s)) if not isinstance(s,str): raise TypeError('Argument to to_timedelta must be a str not a %s'%( type(s).__name__,)) diff --git a/crow_dataflow_cycle_sh.py b/crow_dataflow_cycle_sh.py index fbbd1d2..b49c85c 100755 --- a/crow_dataflow_cycle_sh.py +++ b/crow_dataflow_cycle_sh.py @@ -8,7 +8,7 @@ from datetime import datetime ALLOWED_DATE_FORMATS=[ '%Y-%m-%dt%H:%M:%S', '%Y-%m-%dT%H:%M:%S', - '%Y-%m-%d %H:%M:%S' ] + '%Y-%m-%d %H:%M:%S', '%Y%m%d%H', '%Y%m%d%H%M' ] def usage(why): sys.stderr.write('''Format: crow_dataflow_cycle_sh.py [-v] file.db (add|del) cycle -v = be verbose diff --git a/crow_dataflow_deliver_sh.py b/crow_dataflow_deliver_sh.py index 43f0a75..2fef1b0 100755 --- a/crow_dataflow_deliver_sh.py +++ b/crow_dataflow_deliver_sh.py @@ -8,7 +8,7 @@ from crow.tools import shell_to_python_type ALLOWED_DATE_FORMATS=[ '%Y-%m-%dt%H:%M:%S', '%Y-%m-%dT%H:%M:%S', - '%Y-%m-%d %H:%M:%S' ] + '%Y-%m-%d %H:%M:%S', '%Y%m%d%H', '%Y%m%d%H%M' ] USAGE='''Format: crow_dataflow_sh.py [-v] [-m] ( -i input | -o output ) \\ dataflow.db cycle actor var=value [var=value [...]] diff --git a/model/fv3gfs/exp/actions/crow_fcst_sh.yaml b/model/fv3gfs/exp/actions/crow_fcst_sh.yaml new file mode 100644 index 0000000..50f896e --- /dev/null +++ b/model/fv3gfs/exp/actions/crow_fcst_sh.yaml @@ -0,0 +1,458 @@ +crow_sh_fcst_base: &crow_sh_fcst_base !Action + <<: *action_base + J_JOB: fcst + fcst_resources: !JobRequest + - exe: placeholder + mpi_ranks: !calc "layout_x*layout_y*6" + OMP_NUM_THREADS: 2 + resources: !calc fcst_resources + memory: !calc run_fcst.memory + resources_regrid_nemsio: !JobRequest + - exe: placeholder + mpi_ranks: !calc doc.fv3_settings.LEVS + max_ppn: !calc nodes.max_ranks_per_node(fcst_resources[0]) + true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE', 'WRITE_NEMSIOFLIP' ] + shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*" ] + + APRUN_REGRID_NEMSIO: !calc | + tools.command_without_exe( + par,resources_regrid_nemsio,'placeholder') + APRUN_FV3: !calc tools.command_without_exe(par,fcst_resources,'placeholder') + APRUN_REMAP: !calc APRUN_FV3 + ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" + + CASE_ENKF: !calc doc.fv3_enkf_settings.CASE + + FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" + + KEEPDATA: NO + + NTHREADS_FV3: !calc | + nodes.omp_threads_for(resources[0]) + NTHREADS_REGRID_NEMSIO: !calc | + nodes.omp_threads_for(resources_regrid_nemsio[0]) + NTHREADS_REMAP: !calc NTHREADS_FV3 + + # Keep: + NTASKS_FV3: !calc resources.total_ranks() + + PSLOT: !calc doc.case.experiment_name + PARM_FV3DIAG: !calc doc.places.PARM_FV3DIAG + REMAPSH: !expand "{doc.places.BASE_GSM}/ush/fv3gfs_remap.sh" + TYPE: "nh" + USE_COUPLER_RES: NO + VERBOSE: YES + WRITE_NEMSIOFILE: YES + + true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE', 'nst_anl', 'zhao_mic' ] + + # Variables to import in shell: + shell_vars: [ "[A-Z][A-Z0-9_]*$", 'restart_interval' ] + + cores_per_node: 24 + restart_interval: 6 + + USE_COUPLER_RES: NO + + restart_interval: !FirstTrue + - when: !calc CDUMP=="gdas" + do: 6 + - otherwise: 0 + + master_grid: !calc doc.case.master_grid + + diag_table_header: !expand | + FV3 Forecast + {CDATE:%Y %m %d %H} 0 0 + + nems_configure: | + EARTH_component_list: ATM + ATM_model: fv3 + runSeq:: + ATM + :: + + model_configure: !expand | + total_member: {ENS_NUM} + print_esmf: {print_esmf} + PE_MEMBER01: {NTASKS_FV3} + start_year: {CDATE:%Y} + start_month: {CDATE:%m} + start_day: {CDATE:%d} + start_hour: {CDATE:%H} + start_minute: 0 + start_second: 0 + nhours_fcst: {FHMAX} + RUN_CONTINUE: {RUN_CONTINUE} + ENS_SPS: {ENS_SPS} + + dt_atmos: {DELTIM} + calendar: {calendar} + memuse_verbose: {memuse_verbose} + atmos_nthreads: {NTHREADS_FV3} + use_hyper_thread: {hyperthread} + ncores_per_node: {cores_per_node} + restart_interval: {restart_interval} + + quilting: {QUILTING} + write_groups: {WRITE_GROUP} + write_tasks_per_group: {WRTTASK_PER_GROUP} + num_files: {NUM_FILES} + filename_base: {filename_base} + output_grid: {OUTPUT_GRID} + write_nemsiofile: {WRITE_NEMSIOFILE} + write_nemsioflip: {WRITE_NEMSIOFLIP} + imo: {LONB} + jmo: {LATB} + + nfhout: {FHOUT} + nfhmax_hf: {FHMAX_HF} + nfhout_hf: {FHOUT_HF} + nsout: {NSOUT} + + input_nml: !expand | + &amip_interp_nml + interp_oi_sst = .true. + use_ncep_sst = .true. + use_ncep_ice = .false. + no_anom_sst = .false. + data_set = 'reynolds_oi' + date_out_of_range = 'climo' + {amip_interp_nml} + / + + &atmos_model_nml + blocksize = {blocksize} + chksum_debug = {chksum_debug} + dycore_only = {dycore_only} + {atmos_model_nml} + / + + &diag_manager_nml + prepend_date = .false. + $diag_manager_nml + / + + &fms_io_nml + checksum_required = .false. + max_files_r = 100 + max_files_w = 100 + {fms_io_nml} + / + + &fms_nml + clock_grain = 'ROUTINE' + domains_stack_size = {domains_stack_size} + print_memory_usage = {print_memory_usage} + {fms_nml} + / + + &fv_core_nml + layout = {layout_x},{layout_y} + io_layout = {io_layout} + npx = {npx} + npy = {npy} + ntiles = {ntiles} + npz = {npz} + grid_type = -1 + make_nh = {make_nh} + fv_debug = {fv_debug} + range_warn = {range_warn} + reset_eta = .false. + n_sponge = {n_sponge} + nudge_qv = {nudge_qv} + nudge_dz = {nudge_dz} + tau = {tau} + rf_cutoff = {rf_cutoff} + d2_bg_k1 = {d2_bg_k1} + d2_bg_k2 = {d2_bg_k2} + kord_tm = {kord_tm} + kord_mt = {kord_mt} + kord_wz = {kord_wz} + kord_tr = {kord_tr} + hydrostatic = {hydrostatic} + phys_hydrostatic = {phys_hydrostatic} + use_hydro_pressure = {use_hydro_pressure} + beta = 0. + a_imp = 1. + p_fac = 0.1 + k_split = {k_split} + n_split = {n_split} + nwat = {nwat} + na_init = {na_init} + d_ext = 0. + dnats = {dnats} + fv_sg_adj = {fv_sg_adj} + d2_bg = 0. + nord = {nord} + dddmp = {dddmp} + d4_bg = {d4_bg} + vtdm4 = {vtdm4} + delt_max = {delt_max} + ke_bg = 0. + do_vort_damp = {do_vort_damp} + external_ic = {external_ic} + external_eta = {external_eta} + gfs_phil = {gfs_phil} + nggps_ic = {nggps_ic} + mountain = {mountain} + ncep_ic = {ncep_ic} + d_con = {d_con} + hord_mt = {hord_mt} + hord_vt = {hord_xx} + hord_tm = {hord_xx} + hord_dp = {hord_dp} + hord_tr = {hord_tr} + adjust_dry_mass = {adjust_dry_mass} + consv_te = {consv_te} + do_sat_adj = {do_sat_adj} + consv_am = .false. + fill = .true. + dwind_2d = .false. + print_freq = {print_freq} + warm_start = {warm_start} + no_dycore = {no_dycore} + z_tracer = .true. + agrid_vel_rst = {agrid_vel_rst} + read_increment = {read_increment} + res_latlon_dynamics = {res_latlon_dynamics} + {fv_core_nml} + / + + &external_ic_nml + filtered_terrain = {filtered_terrain} + levp = {LEVS} + gfs_dwinds = {gfs_dwinds} + checker_tr = .false. + nt_checker = 0 + {external_ic_nml} + / + + &gfs_physics_nml + fhzero = {FHZER} + ldiag3d = {ldiag3d} + fhcyc = {FHCYC} + use_ufo = {use_ufo} + pre_rad = {pre_rad} + ncld = {ncld} + zhao_mic = {zhao_mic} + pdfcld = {pdfcld} + fhswr = {FHSWR} + fhlwr = {FHLWR} + ialb = {IALB} + iems = {IEMS} + iaer = {IAER} + ico2 = {ICO2} + isubc_sw = {isubc_sw} + isubc_lw = {isubc_lw} + isol = {ISOL} + lwhtr = {lwhtr} + swhtr = {swhtr} + cnvgwd = {cnvgwd} + shal_cnv = {shal_cnv} + cal_pre = {cal_pre} + redrag = {redrag} + dspheat = {dspheat} + hybedmf = {hybedmf} + random_clds = {random_clds} + trans_trac = {trans_trac} + cnvcld = {cnvcld} + imfshalcnv = {imfshalcnv} + imfdeepcnv = {imfdeepcnv} + cdmbgwd = {cdmbgwd} + prslrd0 = {prslrd0} + ivegsrc = {ivegsrc} + isot = {isot} + debug = {gfs_phys_debug} + nstf_name = {nstf_name} + nst_anl = {nst_anl} + psautco = {psautco} + prautco = {prautco} + {gfs_physics_nml} + / + + &gfdl_cloud_microphysics_nml + sedi_transport = .true. + do_sedi_heat = .false. + rad_snow = .true. + rad_graupel = .true. + rad_rain = .true. + const_vi = .F. + const_vs = .F. + const_vg = .F. + const_vr = .F. + vi_max = 1. + vs_max = 2. + vg_max = 12. + vr_max = 12. + qi_lim = 1. + prog_ccn = .false. + do_qa = .true. + fast_sat_adj = .true. + tau_l2v = 300. + tau_l2v = 225. + tau_v2l = 150. + tau_g2v = 900. + rthresh = 10.e-6 ! This is a key parameter for cloud water + dw_land = 0.16 + dw_ocean = 0.10 + ql_gen = 1.0e-3 + ql_mlt = 1.0e-3 + qi0_crt = 8.0E-5 + qs0_crt = 1.0e-3 + tau_i2s = 1000. + c_psaci = 0.05 + c_pgacs = 0.01 + rh_inc = 0.30 + rh_inr = 0.30 + rh_ins = 0.30 + ccn_l = 300. + ccn_o = 100. + c_paut = 0.5 + c_cracw = 0.8 + use_ppm = .false. + use_ccn = .true. + mono_prof = .true. + z_slope_liq = .true. + z_slope_ice = .true. + de_ice = .false. + fix_negative = .true. + icloud_f = 1 + mp_time = 150. + / + + &nggps_diag_nml + fdiag = {fdiag} + {nggps_diag_nml} + / + + &interpolator_nml + interp_method = 'conserve_great_circle' + {interpolator_nml} + / + + &namsfc + FNGLAC = !calc "{tools.fort(FNGLAC)}" + FNMXIC = !calc "{tools.fort(FNMXIC)}" + FNTSFC = !calc "{tools.fort(FNTSFC)}" + FNSNOC = !calc "{tools.fort(FNSNOC)}" + FNZORC = !calc "{tools.fort(FNZORC)}" + FNALBC = !calc "{tools.fort(FNALBC)}" + FNALBC2 = !calc "{tools.fort(FNALBC2)}" + FNAISC = !calc "{tools.fort(FNAISC)}" + FNTG3C = !calc "{tools.fort(FNTG3C)}" + FNVEGC = !calc "{tools.fort(FNVEGC)}" + FNVETC = !calc "{tools.fort(FNVETC)}" + FNSOTC = !calc "{tools.fort(FNSOTC)}" + FNSMCC = !calc "{tools.fort(FNSMCC)}" + FNMSKH = !calc "{tools.fort(FNMSKH)}" + FNTSFA = !calc "{tools.fort(FNTSFA)}" + FNACNA = !calc "{tools.fort(FNACNA)}" + FNSNOA = !calc "{tools.fort(FNSNOA)}" + FNVMNC = !calc "{tools.fort(FNVMNC)}" + FNVMXC = !calc "{tools.fort(FNVMXC)}" + FNSLPC = !calc "{tools.fort(FNSLPC)}" + FNABSC = !calc "{tools.fort(FNABSC)}" + LDEBUG = {LDEBUG} + FSMCL(2) = ${FSMCL2} + FSMCL(3) = ${FSMCL3} + FSMCL(4) = ${FSMCL4} + FTSFS = {FTSFS} + FAISL = {FAISL} + FAISS = {FAISS} + FSNOL = {FSNOL} + FSNOS = {FSNOS} + FSICL = 99999 + FSICS = 99999 + FTSFL = 99999 + FVETL = 99999 + FSOTL = 99999 + FvmnL = 99999 + FvmxL = 99999 + FSLPL = 99999 + FABSL = 99999 + {namsfc_nml} + / + + &fv_grid_nml + grid_file = 'INPUT/grid_spec.nc' + {fv_grid_nml} + / + + {input_nml_stochy} + + input_nml_stochy: !FirstTrue + - when: !calc MEMBER>0 + do: !expand | + &nam_stochy + ntrunc = {JCAP_STP} + lon_s = {LONB_STP} + lat_s = {LATB_STP} + {input_nml_stochy_skeb} + {input_nml_stochy_shum} + {input_nml_stochy_sppt} + {nam_stochy_nml} + / + - otherwise: | + &nam_stochy + / + + input_nml_stochy_skeb: !FirstTrue + - when: !calc MEMBER>0 and DO_SKEB + do: !expand | + skeb = {SKEB} + iseed_skeb = {ISEED_SKEB} + skeb_tau = {SKEB_TAU} + skeb_lscale = {SKEB_LSCALE} + skebnorm = {SKEBNORM} + - otherwise: "" + + input_nml_stochy_shum: !FirstTrue + - when: !calc MEMBER>0 and DO_SHUM + do: !expand | + shum = {SHUM} + iseed_shum = {ISEED_SHUM} + shum_tau = {SHUM_TAU} + shum_lscale = {SHUM_LSCALE} + - otherwise: "" + + input_nml_stochy_sppt: !FirstTrue + - when: !calc MEMBER>0 and DO_SPPT + do: !expand | + sppt = $SPPT + iseed_sppt = ${ISEED_SPPT:-$ISEED} + sppt_tau = ${SPPT_TAU:-"-999."} + sppt_lscale = ${SPPT_LSCALE:-"-999."} + sppt_logit = ${SPPT_LOGIT:-".true."} + sppt_sfclimit = ${SPPT_SFCLIMIT:-".true."} + - otherwise: "" + +crow_sh_fcst_gdas: &crow_sh_fcst_gdas_action !Action + <<: *crow_sh_fcst_base + Template: [ *fv3_settings_template, *fv3_forecast_template ] + Inherit: !Inherit + - [ doc.fv3_gdas_settings, ".*" ] + - [ doc.data_assimilation, ".*" ] + - [ doc.gfs_output_settings, ".*" ] + - [ doc.platform.mpi_tuning, ".*" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + CDUMP: gdas + walltime: !timedelta 00:10:00 + FHOUT: !calc doc.gfs_output_settings.FHOUT_GDAS + FHMAX: !calc doc.gfs_output_settings.FHMAX_GDAS + FHMIN: !calc doc.gfs_output_settings.FHMIN_GDAS + +crow_sh_fcst_gfs: &crow_sh_fcst_gfs_action !Action + <<: [ *fv3_gfs_settings, *data_assimilation, *crow_sh_fcst_base ] + Template: [ *fv3_settings_template, *fv3_forecast_template ] + Inherit: !Inherit + - [ doc.fv3_gdas_settings, ".*" ] + - [ doc.data_assimilation, ".*" ] + - [ doc.gfs_output_settings, ".*" ] + - [ doc.platform.mpi_tuning, ".*" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + CDUMP: gfs + walltime: !timedelta 02:35:00 + FHOUT: !calc doc.gfs_output_settings.FHOUT_GFS + FHMAX: !calc doc.gfs_output_settings.FHMAX_GFS + FHMIN: !calc doc.gfs_output_settings.FHMIN_GFS diff --git a/model/fv3gfs/exp/actions/ics.yaml b/model/fv3gfs/exp/actions/ics.yaml index 8fd3592..4a3ab68 100644 --- a/model/fv3gfs/exp/actions/ics.yaml +++ b/model/fv3gfs/exp/actions/ics.yaml @@ -17,6 +17,10 @@ fv3ics: &fv3ics_action !Action - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - [ doc.case, "EDATE|SDATE|gfs_cyc" ] +fv3ics_df: &fv3ics_df_action !Action + <<: *fv3ics_action + J_JOB: fv3ic_df + getics: &getics_action !Action <<: *action_base J_JOB: getic diff --git a/model/fv3gfs/exp/cases/CROW_sh_Cindy_GFS@C384.yaml b/model/fv3gfs/exp/cases/CROW_sh_Cindy_GFS@C384.yaml new file mode 100644 index 0000000..4c08a89 --- /dev/null +++ b/model/fv3gfs/exp/cases/CROW_sh_Cindy_GFS@C384.yaml @@ -0,0 +1,47 @@ +fv3_settings: &fv3_settings + LEVS: 65 # Number of vertical levels (mandatory) + CASE: C384 # FV3 horizontal resolution (mandatory) + QUILTING: NO + +fv3_gfs_settings: &fv3_gfs_settings + <<: *fv3_settings + Template: *fv3_settings_template + CDUMP: gfs + +fv3_enkf_settings: &fv3_enkf_settings + <<: *no_enkf + +fv3_gdas_settings: &fv3_gdas_settings + <<: *no_gdas + +######################################################################## + +gfs_output_settings: &gfs_output_settings + Template: *gfs_output_settings_template + + FHMAX_GFS: 120 # Last GFS forecast hour (mandatory) + FHOUT_GFS: 6 # GFS output frequency (optional) + + OUTPUT_GRID: "gaussian_grid" + +######################################################################## + +data_assimilation: &data_assimilation + <<: *no_data_assimilation + +prepbufr: &prepbufr + <<: *no_prepbufr + +######################################################################## + +case: + Template: !Template { <<: [ *case_template, *known_workflows ] } + SDATE: 2017-06-19t18:00:00 + EDATE: 2017-06-22t12:00:00 + + workflow: crow_sh_fcst_workflow + + ics_from: pargfs + parexp: prnemsrn + +#-END OF FILE-# diff --git a/model/fv3gfs/exp/cases/CROW_sh_df_Cindy_GFS@C384.yaml b/model/fv3gfs/exp/cases/CROW_sh_df_Cindy_GFS@C384.yaml new file mode 100644 index 0000000..f0ff72f --- /dev/null +++ b/model/fv3gfs/exp/cases/CROW_sh_df_Cindy_GFS@C384.yaml @@ -0,0 +1,47 @@ +fv3_settings: &fv3_settings + LEVS: 65 # Number of vertical levels (mandatory) + CASE: C384 # FV3 horizontal resolution (mandatory) + QUILTING: NO + +fv3_gfs_settings: &fv3_gfs_settings + <<: *fv3_settings + Template: *fv3_settings_template + CDUMP: gfs + +fv3_enkf_settings: &fv3_enkf_settings + <<: *no_enkf + +fv3_gdas_settings: &fv3_gdas_settings + <<: *no_gdas + +######################################################################## + +gfs_output_settings: &gfs_output_settings + Template: *gfs_output_settings_template + + FHMAX_GFS: 120 # Last GFS forecast hour (mandatory) + FHOUT_GFS: 6 # GFS output frequency (optional) + + OUTPUT_GRID: "gaussian_grid" + +######################################################################## + +data_assimilation: &data_assimilation + <<: *no_data_assimilation + +prepbufr: &prepbufr + <<: *no_prepbufr + +######################################################################## + +case: + Template: !Template { <<: [ *case_template, *known_workflows ] } + SDATE: 2017-06-19t18:00:00 + EDATE: 2017-06-22t12:00:00 + + workflow: crow_sh_df_fcst_workflow + + ics_from: pargfs + parexp: prnemsrn + +#-END OF FILE-# diff --git a/model/fv3gfs/exp/cases/Harvey_GFS@C192.yaml b/model/fv3gfs/exp/cases/Harvey_GFS@C192.yaml new file mode 100644 index 0000000..f89e075 --- /dev/null +++ b/model/fv3gfs/exp/cases/Harvey_GFS@C192.yaml @@ -0,0 +1,53 @@ +fv3_settings: &fv3_settings + LEVS: 65 # Number of vertical levels (mandatory) + CASE: C192 # FV3 horizontal resolution (mandatory) + QUILTING: NO + +fv3_gfs_settings: &fv3_gfs_settings + <<: *fv3_settings + Template: *fv3_settings_template + CDUMP: gfs + +fv3_enkf_settings: &fv3_enkf_settings + <<: *no_enkf + +fv3_gdas_settings: &fv3_gdas_settings + <<: *no_gdas + +######################################################################## + +gfs_output_settings: &gfs_output_settings + Template: *gfs_output_settings_template + + FHMAX_GFS: 120 # Last GFS forecast hour (mandatory) + FHOUT_GFS: 6 # GFS output frequency (optional) + + OUTPUT_GRID: "gaussian_grid" + +######################################################################## + +data_assimilation: &data_assimilation + <<: *no_data_assimilation + +prepbufr: &prepbufr + <<: *no_prepbufr + +######################################################################## + +case: + Template: !Template { <<: [ *case_template, *known_workflows ] } + SDATE: 2017-08-17t12:00:00 + EDATE: 2017-08-31t00:00:00 + + workflow: gfs_forecast_workflow + + ics_from: opsgfs + +#-END OF FILE-# + + + + + + +# ... or is it? diff --git a/model/fv3gfs/exp/defaults/places.yaml b/model/fv3gfs/exp/defaults/places.yaml index 71fec38..c57e044 100644 --- a/model/fv3gfs/exp/defaults/places.yaml +++ b/model/fv3gfs/exp/defaults/places.yaml @@ -48,6 +48,10 @@ default_places: &default_places GFSDWNSH: !expand "{BASE_WORKFLOW}/ush/fv3gfs_dwn_nems.sh" ENKFUPDSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_update_fv3gfs.sh.ecf" + FIX_DIR: !expand "{BASE_GSM}/fix" + FIX_AM: !expand "{FIX_DIR}/fix_am" + FIX_FV3: !expand "{FIX_DIR}/fix_fv3" + CHGRESSH: !expand "{BASE_POST}/ush/global_chgres_GSM.sh" CHGRESSH_ECEN: !expand "{BASE_GSM}/ush/global_chgres_GSM.sh" @@ -71,10 +75,11 @@ default_places: &default_places FORECASTSH: !expand "{BASE_GSM}/scripts/exglobal_fcst_nemsfv3gfs.sh" POSTGPSH: !expand "{BASE_POST}/ush/global_nceppost.sh" POSTGPEXEC: !expand "{BASE_POST}/exec/ncep_post" - FIELD_TABLE: !expand "{BASE_GSM}/parm/parm_fv3diag/field_table_ncld1" + PARM_FV3DIAG: !expand "{BASE_GSM}/parm/parm_fv3diag" + FIELD_TABLE: !expand "{PARM_FV3DIAG}/field_table_ncld1" REGRID_NEMSIO_SH: !expand "{BASE_GSM}/ush/fv3gfs_regrid_nemsio.sh" - REGRID_NEMSIO_TBL: !expand "{BASE_GSM}/parm/parm_fv3diag/variable_table_da_nonsst.txt" + REGRID_NEMSIO_TBL: !expand "{PARM_FV3DIAG}/variable_table_da_nonsst.txt" NC2NEMSIOSH: !expand "{BASE_GSM}/ush/fv3gfs_nc2nemsio.sh" REMAPSH: !expand "{BASE_GSM}/ush/fv3gfs_remap.sh" diff --git a/model/fv3gfs/exp/runtime/crow_sh_df_fcst_workflow.yaml b/model/fv3gfs/exp/runtime/crow_sh_df_fcst_workflow.yaml new file mode 100644 index 0000000..06d16c1 --- /dev/null +++ b/model/fv3gfs/exp/runtime/crow_sh_df_fcst_workflow.yaml @@ -0,0 +1,96 @@ +crow_sh_df_fcst_workflow: !Cycle + Rocoto: *Rocoto + + Clock: *clock + + ICSDIR_CYC: !calc | + doc.places.ICSDIR+"{cycle:%Y%m%d%H}/gfs/"+doc.fv3_gfs_settings.CASE+"/INPUT/" + + ics: !Family + getics: !Task + Perform: + <<: *getics_action + CDUMP: gfs + Rocoto: *task_template + + fv3ics: !Task + Perform: + <<: *fv3ics_df_action + CDUMP: gfs + Rocoto: *task_template + Trigger: !Depend getics + + + gfs_cntrl_nc: !OutputSlot { Loc: !calc suite.ICSDIR_CYC+"gfs_cntrl.nc" } + output_data_tiles: !OutputSlot + tile: [ 1, 2, 3, 4, 5, 6 ] + kind: [ sfc_data, gfs_data ] + Loc: !calc suite.ICSDIR_CYC+"{kind}.tile{tile}.nc" + + gfs: !Family + Trigger: !Depend ics + + fcst: !Task + Perform: *crow_sh_fcst_gfs_action + Rocoto: *task_template + + COMOUT: !calc | + doc.places.ROTDIR+"/gfs.{cycle:%Y%m%d}/{cycle:%H}/" + + # Inputs from fv3ic + gfs_cntrl_nc: !InputSlot + Out: !Message up.up.ics.fv3ics.gfs_cntrl_nc + input_data_tiles: !InputSlot + Out: !Message up.up.ics.fv3ics.output_data_tiles(tile=tile) + tile: [ 1, 2, 3, 4, 5, 6 ] + kind: [ sfc_data, gfs_data ] + + coupler_res: !OutputSlot + dt_end: !calc tools.to_timedelta(Perform.FHMAX*3600) + Loc: !calc COMOUT+"RESTART/"+"{cycle+dt_end:%Y%m%d.%H%M%S}.coupler.res" + + fv3_core_res: !OutputSlot + dt_end: !calc tools.to_timedelta(Perform.FHMAX*3600) + Loc: !calc COMOUT+"RESTART/"+"{cycle+dt_end:%Y%m%d.%H%M%S}.fv3_core.res.nc" + + end_time_tiles: !OutputSlot + dt_end: !calc tools.to_timedelta(Perform.FHMAX*3600) + tile: [ 1, 2, 3, 4, 5, 6 ] + kind: + - fv3_core.res + - fv_srf_wind.res + - fv_tracer.res + - phy_data + - sfc_data + Loc: !calc COMOUT+"RESTART/"+"{cycle+dt_end:%Y%m%d.%H%M%S}.{kind}.tile{tile:%d}.nc" + + untimed_tiles: !OutputSlot + tile: [ 1, 2, 3, 4, 5, 6 ] + kind: + - atmos_4xdaily + - atmos_static + - grid_spec + - nggps2d + - nggps3d + Loc: !calc COMOUT+"{kind}.tile{tile:%d}.nc" + + post: !Task + Perform: *post_gfs_action + Rocoto: *task_template + Trigger: !Depend fcst + + vrfy: !Task + Perform: *vrfy_gfs_action + Rocoto: *task_template + Trigger: !Depend post + + arch: !Task + Perform: + <<: *arch_gfs_action + CDUMP: gfs + Rocoto: *task_template + Trigger: !Depend gfs + + final: !Task + Perform: *final_action + Rocoto: *task_template diff --git a/model/fv3gfs/exp/runtime/crow_sh_fcst_workflow.yaml b/model/fv3gfs/exp/runtime/crow_sh_fcst_workflow.yaml new file mode 100644 index 0000000..ffb69e7 --- /dev/null +++ b/model/fv3gfs/exp/runtime/crow_sh_fcst_workflow.yaml @@ -0,0 +1,46 @@ +crow_sh_fcst_workflow: !Cycle + Rocoto: *Rocoto + + Clock: *clock + + ics: !Family + getics: !Task + Perform: + <<: *getics_action + CDUMP: gfs + Rocoto: *task_template + + fv3ics: !Task + Perform: + <<: *fv3ics_action + CDUMP: gfs + Rocoto: *task_template + Trigger: !Depend getics + + gfs: !Family + Trigger: !Depend ics + + fcst: !Task + Perform: *crow_sh_fcst_gfs_action + Rocoto: *task_template + + post: !Task + Perform: *post_gfs_action + Rocoto: *task_template + Trigger: !Depend fcst + + vrfy: !Task + Perform: *vrfy_gfs_action + Rocoto: *task_template + Trigger: !Depend post + + arch: !Task + Perform: + <<: *arch_gfs_action + CDUMP: gfs + Rocoto: *task_template + Trigger: !Depend gfs + + final: !Task + Perform: *final_action + Rocoto: *task_template diff --git a/model/fv3gfs/exp/runtime/rocoto.yaml b/model/fv3gfs/exp/runtime/rocoto.yaml index 51cfb1c..70ba0f9 100644 --- a/model/fv3gfs/exp/runtime/rocoto.yaml +++ b/model/fv3gfs/exp/runtime/rocoto.yaml @@ -66,6 +66,10 @@ Rocoto: &Rocoto CONFIG_YAML {doc.places.EXPDIR}/config.yaml + + CROW_DATAFLOW_DB + {doc.places.EXPDIR}/dataflow.db + HOMEcrow {doc.places.HOMEcrow} diff --git a/model/fv3gfs/exp/setup_case.py b/model/fv3gfs/exp/setup_case.py index 135b175..edb3d81 100755 --- a/model/fv3gfs/exp/setup_case.py +++ b/model/fv3gfs/exp/setup_case.py @@ -8,7 +8,7 @@ os.path.dirname(__file__),'../../..'))) from create_comrot import create_COMROT -import crow.config, crow.metascheduler +import crow.config, crow.metascheduler, crow.dataflow from crow.config import Platform logger=logging.getLogger("setup_case") @@ -107,6 +107,7 @@ def main(): EXPDIR=conf.places.EXPDIR logger.info(f'Run directory: {EXPDIR}') config_yaml=os.path.join(EXPDIR,'config.yaml') + dataflow_db=os.path.join(EXPDIR,'dataflow.db') try: os.makedirs(EXPDIR) @@ -126,7 +127,7 @@ def main(): suite=crow.config.Suite(conf[chosen_workflow]) doc=crow.config.document_root(suite) - + expname=conf.case.experiment_name logger.info(f'Experiment name: {expname}') @@ -139,6 +140,9 @@ def main(): with open(config_yaml,'wt') as fd: fd.write(yaml) + logger.info(f'Write the dataflow sqlite3 file: {dataflow_db}') + df=crow.dataflow.from_suite(suite,dataflow_db) + rocoto_xml_file=os.path.join(EXPDIR,f'{expname}.xml') logger.info(f'Rocoto XML file: {rocoto_xml_file}') with open(rocoto_xml_file,'wt') as fd: diff --git a/model/fv3gfs/exp/validation/accounting.yaml b/model/fv3gfs/exp/validation/accounting.yaml index 42010ee..26a825f 100644 --- a/model/fv3gfs/exp/validation/accounting.yaml +++ b/model/fv3gfs/exp/validation/accounting.yaml @@ -1,4 +1,4 @@ -accounting_template: &accounting_template +accounting_template: !Template &accounting_template cpu_project: type: string description: Which project or accounting code to use for cpu time in the batch queue diff --git a/model/fv3gfs/exp/validation/chgres.yaml b/model/fv3gfs/exp/validation/chgres.yaml index 48b6105..4de42b7 100644 --- a/model/fv3gfs/exp/validation/chgres.yaml +++ b/model/fv3gfs/exp/validation/chgres.yaml @@ -1,4 +1,4 @@ -chgres_template: &chgres_template +chgres_template: !Template &chgres_template CHGRESVARS_ENKF: type: string default: "use_ufo=.true.,nopdpvv=.true." diff --git a/model/fv3gfs/exp/validation/data_assimilation.yaml b/model/fv3gfs/exp/validation/data_assimilation.yaml index 8f3dccc..8080b5f 100644 --- a/model/fv3gfs/exp/validation/data_assimilation.yaml +++ b/model/fv3gfs/exp/validation/data_assimilation.yaml @@ -1,4 +1,4 @@ -data_assimilation_template: &data_assimilation_template +data_assimilation_template: !Template &data_assimilation_template DOHYBVAR: type: bool default: YES diff --git a/model/fv3gfs/exp/validation/fv3.yaml b/model/fv3gfs/exp/validation/fv3.yaml index c8d1a1a..e20bc4d 100644 --- a/model/fv3gfs/exp/validation/fv3.yaml +++ b/model/fv3gfs/exp/validation/fv3.yaml @@ -1,4 +1,4 @@ -fv3_settings_template: &fv3_settings_template +fv3_settings_template: !Template &fv3_settings_template do_vort_damp: type: string @@ -68,6 +68,10 @@ fv3_settings_template: &fv3_settings_template default: YES description: Should nemsio output be used (yes/true) instead of netcdf (no/false)? + WRITE_NEMSIOFLIP: + type: bool + default: YES + nst_anl: type: bool default: YES diff --git a/model/fv3gfs/exp/validation/fv3_forecast.yaml b/model/fv3gfs/exp/validation/fv3_forecast.yaml new file mode 100644 index 0000000..4aa7b24 --- /dev/null +++ b/model/fv3gfs/exp/validation/fv3_forecast.yaml @@ -0,0 +1,516 @@ +fv3_forecast_template: !Template &fv3_forecast_template + + # Required variables with no defaults: + QUILTING: { type: bool } + dt_atmos: { type: int } + hypterthread: { type: bool } + cores_per_node: { type: int } + OUTPUT_GRID: { type: string } + + FHZER: { type: int, default: 6 } + NSOUT: { type: int, default: -1 } + ENS_NUM: { type: int, default: 1 } + ntiles: { type: int, default: 6 } + NUM_FILES: { type: int, default: 2 } + + filename_base: + type: string + stages: [ execution ] + default: "'{CDUMP}.t${CDUMP[8:]}z.atm' '{CDUMP}.t${CDUMP[8:]}z.sfc'" + + rCDUMP: + type: string + allowed: [ gfs, gdas ] + default: !FirstTrue + - when: !calc CDUMP=="gfs" + do: gdas + - otherwise: !calc CDUMP + + FIELD_TABLE: + type: string + default: !expand "{doc.places.PARM_FV3DIAG/field_table}" + + DIAG_TABLE: + type: string + default: !expand "{doc.places.PARM_FV3DIAG/diag_table}" + + DATA_TABLE: + type: string + default: !expand "{doc.places.PARM_FV3DIAG/data_table}" + + domains_stack_size: { type: int, default: 3000000 } + print_memory_usage: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + + res: { type: int, default: !calc "int(CASE[1:])" } + JCAP_CASE: { type: int, default: !calc "2*res-2" } + LONB_CASE: { type: int, default: !calc "4*res" } + LATB_CASE: { type: int, default: !calc "2*res" } + JCAP: { type: int, default: !calc JCAP_CASE } + LONB: { type: int, default: !calc LONB_CASE } + LATB: { type: int, default: !calc LATB_CASE } + JCAP_STP: { type: int, default: !calc JCAP_CASE } + LONB_STP: { type: int, default: !calc LONB_CASE } + LATB_STP: { type: int, default: !calc LATB_CASE } + npx: { type: int, default: !calc res+1 } + npy: { type: int, default: !calc res+1 } + npz: { type: int, default: !calc LEVS-1 } + + FNGLAC: { type: string, default: !expand "{FIX_AM}/global_glacier.2x2.grb" } + FNMXIC: { type: string, default: !expand "{FIX_AM}/global_maxice.2x2.grb"} + FNTSFC: { type: string, default: !expand "{FIX_AM}/RTGSST.1982.2012.monthly.clim.grb"} + FNSNOC: { type: string, default: !expand "{FIX_AM}/global_snoclim.1.875.grb"} + FNZORC: { type: string, default: "igbp"} + FNALBC2: { type: string, default: !expand "{FIX_AM}/global_albedo4.1x1.grb"} + FNAISC: { type: string, default: !expand "{FIX_AM}/CFSR.SEAICE.1982.2012.monthly.clim.grb"} + FNTG3C: { type: string, default: !expand "{FIX_AM}/global_tg3clim.2.6x1.5.grb"} + FNVEGC: { type: string, default: !expand "{FIX_AM}/global_vegfrac.0.144.decpercent.grb"} + FNMSKH: { type: string, default: !expand "{FIX_AM}/seaice_newland.grb"} + FNVMNC: { type: string, default: !expand "{FIX_AM}/global_shdmin.0.144x0.144.grb"} + FNVMXC: { type: string, default: !expand "{FIX_AM}/global_shdmax.0.144x0.144.grb"} + FNSLPC: { type: string, default: !expand "{FIX_AM}/global_slope.1x1.grb"} + + FNALBC: + type: string + default: !FirstTrue + - do: !expand "{FIX_AM}/global_snowfree_albedo.bosu.t{JCAP}.{LONB}.{LATB}.rg.grb" + when: !calc tools.isfile(do) + - otherwise: "{FIX_AM}/global_snowfree_albedo.bosu.t1534.3072.1536.rg.grb" + + FNVETC: + type: string + default: !FirstTrue + - do: !expand "{FIX_AM}/global_vegtype.igbp.t{JCAP}.{LONB}.{LATB}.rg.grb" + when: !calc tools.isfile(do) + - otherwise: "{FIX_AM}/global_vegtype.igbp.t1534.3072.1536.rg.grb" + + FNSOTC: + type: string + default: !FirstTrue + - do: !expand "{FIX_AM}/global_soiltype.statsgo.t{JCAP}.{LONB}.{LATB}.rg.grb" + when: !calc tools.isfile(do) + - otherwise: "{FIX_AM}/global_soiltype.statsgo.t1534.3072.1536.rg.grb" + + FNABSC: + type: string + default: !FirstTrue + - do: !expand "{FIX_AM}/global_mxsnoalb.uariz.t{JCAP}.{LONB}.{LATB}.rg.grb" + when: !calc tools.isfile(do) + - otherwise: "{FIX_AM}/global_mxsnoalb.uariz.t1534.3072.1536.rg.grb" + + FNSMCC: + type: string + default: !FirstTrue + - do: !expand "{FIX_AM}/global_soilmgldas.t{JCAP}.{LONB}.{LATB}.grb" + when: !calc tools.isfile(do) + - otherwise: "{FIX_AM}/global_soilmgldas.t1534.3072.1536.grb" + + nstf_name: + type: string + defauilt: "0,0,0,0,0" + description: | + nstf_name contains the NSST related parameters + nstf_name(1) : 0 = NSSTM off, 1 = NSSTM on but uncoupled, 2 = NSSTM on and coupled + nstf_name(2) : 0 = NSSTM spin up off, 1 = NSSTM spin up on, + nstf_name(3) : 0 = NSSTM analysis off, 1 = NSST analysis on + nstf_name(4) : zsea1 in mm + nstf_name(5) : zsea2 in mm + + io_layout: + type: string + default: "1,1" + + blocksize: + type: int + default: 32 + description: | + Comment from exglobal_fcst_nemsfv3gfs.sh: + blocking factor used for threading and general physics performance + + nyblocks=`expr \( $npy - 1 \) \/ $layout_y ` + nxblocks=`expr \( $npx - 1 \) \/ $layout_x \/ 32` + if [ $nxblocks -le 0 ]; then nxblocks=1 ; fi + + warm_start: + type: string + allowed: [ ".true.", ".false." ] + description: | + True = warm start from restart file + False = CHGRES GFS analyses + if_present: !FirstTrue + - when: warm_start==".true." + do: !Template + na_init: { type: int, default: 0 } + nggps_ic: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + ncep_ic: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + external_ic: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + mountain: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + + res_latlon_dynamics: + description: Add increment on the fly to the restarts + type: string + default: !FirstTrue + - when: !calc read_increment == ".true." + do: "fv3_increment.nc" + - otherwise: "" + - otherwise: + do: !Template + na_init: { type: int, default: 0 } + + nggps_ic: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + ncep_ic: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + external_ic: + type: string + allowed: [ ".true.", ".false." ] + override: ".true." + mountain: + type: string + allowed: [ ".true.", ".false." ] + override: ".false." + read_increment: + type: string + allowed: [ ".true.", ".false." ] + override: ".false." + res_latlon_dynamics: + type: string + default: "" + + filtered_terrain: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + + gfs_dwinds: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + + no_dycore: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + + adiabatic: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + + dycore_only: + type: string + allowed: [ ".true.", ".false." ] + default: !calc adiabatic + + chksum_debug: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + + print_esmf: { type: bool, default: true } + RUN_CONTINUE: { type: bool, default: false } + ENS_SPS: { type: bool, default: false } + calendar: { type: string, default: "julian" } + memuse_verbose: { type: bool, default: false } + + + print_freq: + type: string + allowed: [ ".true.", ".false." ] + default: 6 + + + k_split: { type: int, default: 2 } + n_split: { type: int, default: 6 } + + hord_mt_nh_mono: { type: int, default: 10 } + hord_xx_nh_mono: { type: int, default: 10 } + vtdm4_nh_mono: { type: float, default: 0.05 } + + hord_mt_hydro_mono: { type: int, default: 10 } + hord_xx_hydro_mono: { type: int, default: 10 } + vtdm4_hydro_mono: { type: float, default: 0.05 } + + hord_mt_nh_nonmono: { type: int, default: 5 } + hord_xx_nh_nonmono: { type: int, default: 5 } + vtdm4_nh_nonmono: { type: float, default: 0.06 } + + hord_mt_hydro_nonmono: { type: int, default: 10 } + hord_xx_hydro_nonmono: { type: int, default: 10 } + vtdm4_hydro_nonmono: { type: float, default: 0.05 } + + vtdm4_nh_nonmono: { type: float, default: 0.06 } + + nh_type: + type: string + default: "nh" + if_present: + - when: !calc nh_type == "nh" + do: !Template + hord_mt_mono: { type: int, default: !calc hord_mt_nh_mono } + hord_xx_mono: { type: int, default: !calc hord_xx_nh_mono } + vtdm4_mono: { type: float, default: !calc vtdm4_nh_mono } + hord_mt_nonmono: { type: int, default: !calc hord_mt_nh_nonmono } + hord_xx_nonmono: { type: int, default: !calc hord_xx_nh_nonmono } + vtdm4_nonmono: { type: float, default: !calc vtdm4_nh_nonmono } + hydrostatic: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + phys_hydrostatic: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + description: enable heating in hydrostatic balance in non-hydrostatic simulation + use_hydro_pressure: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + description: use hydrostatic pressure for physics + make_nh: + type: string + allowed: [ ".true.", ".false." ] + default: !FirstTrue + - when: !calc warm_start==".true." + do: ".false." + - otherwise: ".true." + - otherwise: !Template + hord_mt_mono: { type: int, default: !calc hord_mt_hydro_mono } + hord_xx_mono: { type: int, default: !calc hord_xx_hydro_mono } + vtdm4_mono: { type: float, default: !calc vtdm4_hydro_mono } + hord_mt_nonmono: { type: int, default: !calc hord_mt_hydro_nonmono } + hord_xx_nonmono: { type: int, default: !calc hord_xx_hydro_nonmono } + vtdm4_nonmono: { type: float, default: !calc vtdm4_hydro_nonmono } + hydrostatic: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + phys_hydrostatic: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + description: ignored when hydrostatic is true + use_hydro_pressure: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + description: ignored when hydrostatic is true + make_nh: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + + MONO: + type: string + default: "non-mono" + allowed: [ mono, non-mono ] + if_present: + - when: !calc MONO == "mono" + do: !Template + d_con_mono: { type: float, default: 0. } + d_con: { type: float, override: !calc d_con_mono } + do_vort_damp: { type: string, override: ".false." } + hord_mt: !calc hord_mt_mono + hord_xx: !calc hord_xx_mono + vtdm: !calc vtdm_mono + - otherwise: + d_con_nonmono: { type: float, default: 1. } + d_con: { type: float, override: !calc d_con_nonmono } + do_vort_damp: { type: string, override: ".true." } + hord_mt: !calc hord_mt_nonmono + hord_xx: !calc hord_xx_nonmono + vtdm: !calc vtdm_nonmono + + + + fv_debug: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + + range_warn: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + n_sponge: + type: int + default: 10 + nudge_qv: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + nudge_dz: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + + tau: { type: float, default: 10. } + rf_cutoff: { type: float, default: 7.5e2 } + d2_bg_k1: { type: float, default: 0.15 } + d2_bg_k2: { type: float, default: 0.02 } + kord_tm: { type: int, default: -9 } + kord_mt: { type: int, default: 9 } + kord_wz: { type: int, default: 9 } + kord_tr: { type: int, default: 9 } + nwat: { type: int, default: 2 } + dnats: { type: int, default: 0 } + fv_sg_adj: { type: int, default: 450 } + nord: { type: int, default: 3 } + dddmp: { type: float, default: 0.2 } + d4_bg: { type: float, default: 0.15 } + delt_max: { type: float, default: 0.002 } + external_eta: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + gfs_phil: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + hord_tr: { type: int, default: 8 } + adjust_dry_mass: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + do_sat_adj: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + hord_dp: { type: int, default: !calc -hord_xx } + agrid_vel_rst: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + + ldiag3d: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + use_ufo: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + pre_rad: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + ncld: + default: 1 + zhao_mic: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + pdfcld: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + FHSWR: { type: float, default: 3600. } + FHLWR: { type: float, default: 3600. } + isubc_sw: { type: int, default: 2 } + isubc_lw: { type: int, default: 2 } + lwhtr: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + swhtr: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + cnvgwd: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + shal_cnv: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + cal_pre: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + redrag: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + dspheat: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + hybedmf: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + random_clds: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + trans_trac: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + cnvcld: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + imfshalcnv: { type: int, default: 2 } + imfdeepcnv: { type: int, default: 2 } + cdmbgwd: { type: string, default: "3.5,0.25" } + prslrd0: { type: float, default: 0. } + ivegsrc: { type: int, default: 1 } + isot: { type: int, default: 1 } + psautco: { type: string, default: "0.0008,0.0005" } + prautco: { type: string, default: "0.00015,0.00015" } + + fdiag: { type: int, default: !calc FHOUT } + + LDEBUG: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + + FSMCL2: { type: int, default: 99999 } + FSMCL3: { type: int, default: 99999 } + FSMCL4: { type: int, default: 99999 } + + FTSFS: { type: int, default: 90 } + FAISL: { type: int, default: 99999 } + FAISS: { type: int, default: 99999 } + FSNOL: { type: int, default: 99999 } + FSNOS: { type: int, default: 99999 } + + SPPT_LOGIT: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + + amip_interp_nml: { type: string, default: "" } + atmos_model_nml: { type: string, default: "" } + diag_manager_nml: { type: string, default: "" } + fms_io_nml: { type: string, default: "" } + fms_nml: { type: string, default: "" } + fv_core_nml: { type: string, default: "" } + external_ic_nml: { type: string, default: "" } + gfs_physics_nml: { type: string, default: "" } + nggps_diag_nml: { type: string, default: "" } + interpolator_nml: { type: string, default: "" } + namsfc_nml: { type: string, default: "" } + fv_grid_nml: { type: string, default: "" } + nam_stochy_nml: { type: string, default: "" } diff --git a/model/fv3gfs/exp/validation/obsproc.yaml b/model/fv3gfs/exp/validation/obsproc.yaml index 2a1649d..8a2d8c7 100644 --- a/model/fv3gfs/exp/validation/obsproc.yaml +++ b/model/fv3gfs/exp/validation/obsproc.yaml @@ -1,4 +1,4 @@ -obsproc_template: &obsproc_template +obsproc_template: !Template &obsproc_template SYNDATA: type: bool default: YES diff --git a/model/fv3gfs/exp/validation/output.yaml b/model/fv3gfs/exp/validation/output.yaml index 7420382..fc16325 100644 --- a/model/fv3gfs/exp/validation/output.yaml +++ b/model/fv3gfs/exp/validation/output.yaml @@ -1,4 +1,4 @@ -gfs_output_settings_template: &gfs_output_settings_template +gfs_output_settings_template: !Template &gfs_output_settings_template FHOUT_GFS: type: int default: 3 diff --git a/model/fv3gfs/exp/validation/workflow.yaml b/model/fv3gfs/exp/validation/workflow.yaml index 033dea2..d2cd3c9 100644 --- a/model/fv3gfs/exp/validation/workflow.yaml +++ b/model/fv3gfs/exp/validation/workflow.yaml @@ -1,7 +1,8 @@ -known_workflows: &known_workflows +known_workflows: !Template &known_workflows workflow: type: string - allowed: [ cycled_workflow, gfs_forecast_workflow ] + allowed: [ cycled_workflow, gfs_forecast_workflow, crow_sh_fcst_workflow, + crow_sh_df_fcst_workflow ] if_present: !FirstTrue - when: !calc workflow=="cycled_workflow" do: !Template @@ -13,8 +14,7 @@ known_workflows: &known_workflows IC_DIR: type: string description: Disk location of initial conditions for cycled workflow - - when: !calc workflow=="gfs_forecast_workflow" - do: !Template + - otherwise: !Template ics_from: type: string allowed: [ opsgfs, pargfs ] @@ -28,4 +28,3 @@ known_workflows: &known_workflows default: !expand "/5year/NCEPDEV/emc-global/emc.glopara/WCOSS_C/{parexp}" type: string description: Path to HPSS tapes with GFS parallel data - - otherwise: null diff --git a/model/fv3gfs/jobs/fv3ic_df.sh b/model/fv3gfs/jobs/fv3ic_df.sh new file mode 100755 index 0000000..2fc55fa --- /dev/null +++ b/model/fv3gfs/jobs/fv3ic_df.sh @@ -0,0 +1,76 @@ +#! /bin/bash +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ +# $Revision: 98721 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: fv3ic.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Rahul Mahajan Org: NCEP/EMC Date: August 2017 + +## Abstract: +## Create FV3 initial conditions from GFS intitial conditions +## EXPDIR : /full/path/to/config/files +## CDATE : current date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +export EXPDIR=${1:-$EXPDIR} +export CDATE=${2:-$CDATE} +export CDUMP=${3:-$CDUMP} +############################################################### + +set -ex +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) + +# Temporary runtime directory +export DATA="$RUNDIR/$CDATE/$CDUMP/fv3ic$$" +[[ -d $DATA ]] && rm -rf $DATA + +# Input GFS initial condition files +export INIDIR="$ICSDIR/$CDATE/$CDUMP" +export ATMANL="$ICSDIR/$CDATE/$CDUMP/siganl.${CDUMP}.$CDATE" +export SFCANL="$ICSDIR/$CDATE/$CDUMP/sfcanl.${CDUMP}.$CDATE" +if [ -f $ICSDIR/$CDATE/$CDUMP/nstanl.${CDUMP}.$CDATE ]; then + export NSTANL="$ICSDIR/$CDATE/$CDUMP/nstanl.${CDUMP}.$CDATE" +fi + +# Output FV3 initial condition files +#export OUTDIR="$ICSDIR/$CDATE/$CDUMP/$CASE/INPUT" +export OUTDIR="$DATA/outdir" +mkdir -p "$OUTDIR" + +export OMP_NUM_THREADS_CH=$NTHREADS_CHGRES +export APRUNC=$APRUN_CHGRES + +# Call global_chgres_driver.sh +$BASE_GSM/ush/global_chgres_driver.sh +status=$? +if [ $status -ne 0 ]; then + echo "global_chgres_driver.sh returned with a non-zero exit code, ABORT!" + exit $status +fi + +set -xue + +$HOMEcrow/crow_dataflow_deliver_sh.py -i "$OUTDIR/gfs_cntrl.nc" \ + "$CROW_DATAFLOW_DB" "$CDATE" "$TASK_PATH" slot=gfs_cntrl_nc + +$HOMEcrow/crow_dataflow_deliver_sh.py -i "$OUTDIR/{kind}.tile{tile}.nc" \ + "$CROW_DATAFLOW_DB" "$CDATE" "$TASK_PATH" slot=output_data_tiles + +# $HOMEcrow/crow_dataflow_deliver_sh.py \ +# -i "$OUTDIR/RESTART/{cycle:%Y%m%d.%H%M%S}0000.{kind}.tile{tile:%d}.nc" \ +# "$crow_db" "$CDATE" "$TASK_PATH" "slot=end_time_tiles" + +# $HOMEcrow/crow_dataflow_deliver_sh.py \ +# -i "$OUTDIR/RESTART/{kind}.tile{tile:%d}.nc" \ +# "$crow_db" "$CDATE" "$TASK_PATH" "slot=end_time_tiles" + +# $HOMEcrow/crow_dataflow_deliver_sh.py \ +# -i "$OUTDIR/RESTART/{cycle:%Y%m%d" \ + +############################################################### +# Exit cleanly +exit 0 diff --git a/model/fv3gfs/scripts/exglobal_fcst_crow.sh b/model/fv3gfs/scripts/exglobal_fcst_crow.sh new file mode 100644 index 0000000..b45bf8e --- /dev/null +++ b/model/fv3gfs/scripts/exglobal_fcst_crow.sh @@ -0,0 +1,273 @@ +#!/bin/ksh +################################################################################ +# UNIX Script Documentation Block +# Script name: exglobal_fcst_nemsfv3gfs.sh.ecf +# Script description: Runs a global FV3GFS model forecast +# +# Author: Fanglin Yang Org: NCEP/EMC Date: 2016-11-15 +# Abstract: This script runs a single GFS forecast with FV3 dynamical core. +# This script is created based on a C-shell script that GFDL wrote +# for the NGGPS Phase-II Dycore Comparison Project. +# +# Script history log: +# 2016-11-15 Fanglin Yang First Version. +# 2017-02-09 Rahul Mahajan Added warm start and restructured the code. +# 2017-03-10 Fanglin Yang Updated for running forecast on Cray. +# 2017-03-24 Fanglin Yang Updated to use NEMS FV3GFS with IPD4 +# 2017-05-24 Rahul Mahajan Updated for cycling with NEMS FV3GFS +# 2017-09-13 Fanglin Yang Updated for using GFDL MP and Write Component +# +# $Id$ +# +# Attributes: +# Language: Portable Operating System Interface (POSIX) Shell +# Machine: WCOSS-CRAY, Theia +################################################################################ + +# Set environment. +VERBOSE=${VERBOSE:-"YES"} +if [ $VERBOSE = "YES" ] ; then + echo $(date) EXECUTING $0 $* >&2 + set -x +fi + +# This should be in the script that calls this script, not here +machine=${machine:-"WCOSS_C"} +machine=$(echo $machine | tr '[a-z]' '[A-Z]') +if [ $machine = "WCOSS_C" ] ; then + . $MODULESHOME/init/sh 2>/dev/null + PRGENV=${PRGENV:-intel} + HUGEPAGES=${HUGEPAGES:-hugepages4M} + module unload prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null + module load prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null + module load intel/16.3.210 2>/dev/null + module use /usrx/local/dev/modulefiles + export IOBUF_PARAMS=${IOBUF_PARAMS:-'*:size=8M:verbose'} + export MPICH_GNI_COLL_OPT_OFF=${MPICH_GNI_COLL_OPT_OFF:-MPI_Alltoallv} + export MKL_CBWR=AVX2 + module use /gpfs/hps3/emc/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null + module load esmf/7.1.0bs34 2>/dev/null +elif [ $machine = "THEIA" ]; then + . $MODULESHOME/init/sh 2>/dev/null + module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null + module load esmf/7.1.0bs34 2>/dev/null +fi + +# Directories. +pwd=$(pwd) +DATA=${DATA:-$pwd/fv3tmp$$} # temporary running directory +SEND=${SEND:-"YES"} #move final result to rotating directory +KEEPDATA=${KEEPDATA:-"NO"} +NTASKS_FV3=${NTASKS_FV3:-$npe_fv3} + +#------------------------------------------------------- +if [ ! -d $ROTDIR ]; then mkdir -p $ROTDIR; fi +if [ ! -d $DATA ]; then mkdir -p $DATA ;fi +mkdir -p $DATA/RESTART $DATA/INPUT +cd $DATA || exit 8 + +#------------------------------------------------------- +# member directory +if [ $MEMBER -lt 0 ]; then + prefix=$CDUMP + rprefix=$rCDUMP + memchar="" +else + prefix=enkf.$CDUMP + rprefix=enkf.$rCDUMP + memchar=mem$(printf %03i $MEMBER) +fi +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) +memdir=$ROTDIR/${prefix}.$cymd/$chh/$memchar +if [ ! -d $memdir ]; then mkdir -p $memdir; fi + +GDATE=$($NDATE -$assim_freq $CDATE) +gymd=$(echo $GDATE | cut -c1-8) +ghh=$(echo $GDATE | cut -c9-10) +gmemdir=$ROTDIR/${rprefix}.$gymd/$ghh/$memchar + +#------------------------------------------------------- +# initial conditions +increment_file=${increment_file:-$memdir/${CDUMP}.t${chh}z.atminc.nc} + +if [ $warm_start = ".false." ]; then + if [ -d $ICSDIR/$CDATE/$CDUMP/$CASE/INPUT ]; then + $NCP $ICSDIR/$CDATE/$CDUMP/$CASE/INPUT/* $DATA/INPUT/. + else + for file in $memdir/INPUT/*.nc; do + file2=$(echo $(basename $file)) + fsuf=$(echo $file2 | cut -c1-3) + if [ $fsuf = "gfs" -o $fsuf = "sfc" ]; then + $NLN $file $DATA/INPUT/$file2 + fi + done + fi +else + if [ ${restart_test:-"NO"} = "YES" ]; then + # start from the end of last forecast run + $NLN $gmemdir/RESTART/* $DATA/INPUT/. + else + + # Link all (except sfc_data) restart files from $gmemdir + for file in $gmemdir/RESTART/${cymd}.${chh}0000.*.nc; do + file2=$(echo $(basename $file)) + file2=$(echo $file2 | cut -d. -f3-) # remove the date from file + fsuf=$(echo $file2 | cut -d. -f1) + if [ $fsuf != "sfc_data" ]; then + $NLN $file $DATA/INPUT/$file2 + fi + done + + # Link sfcanl_data restart files from $memdir + for file in $memdir/RESTART/${cymd}.${chh}0000.*.nc; do + file2=$(echo $(basename $file)) + file2=$(echo $file2 | cut -d. -f3-) # remove the date from file + fsufanl=$(echo $file2 | cut -d. -f1) + if [ $fsufanl = "sfcanl_data" ]; then + file2=$(echo $file2 | sed -e "s/sfcanl_data/sfc_data/g") + $NLN $file $DATA/INPUT/$file2 + fi + done + + # Handle coupler.res file for DA cycling + if [ ${USE_COUPLER_RES:-"YES"} = "YES" ]; then + # In DA, this is not really a "true restart", + # and the model start time is the analysis time + # The alternative is to replace + # model start time with current model time in coupler.res + file=$gmemdir/RESTART/${cymd}.${chh}0000.coupler.res + file2=$(echo $(basename $file)) + file2=$(echo $file2 | cut -d. -f3-) # remove the date from file + $NLN $file $DATA/INPUT/$file2 + fi + + if [ $read_increment = ".true." ]; then + if [ -f $increment_file ]; then + $NLN $increment_file $DATA/INPUT/fv3_increment.nc + else + read_increment=".false." + fi + fi + fi +fi +nfiles=$(ls -1 $DATA/INPUT/* | wc -l) +if [ $nfiles -le 0 ]; then + echo "Initial conditions must exist in $DATA/INPUT, ABORT!" + exit 1 +fi + +#-------------------------------------------------------------------------- +# Grid and orography data +for n in $(seq 1 $ntiles); do + $NLN $FIX_FV3/$CASE/${CASE}_grid.tile${n}.nc $DATA/INPUT/${CASE}_grid.tile${n}.nc + $NLN $FIX_FV3/$CASE/${CASE}_oro_data.tile${n}.nc $DATA/INPUT/oro_data.tile${n}.nc +done +$NLN $FIX_FV3/$CASE/${CASE}_mosaic.nc $DATA/INPUT/grid_spec.nc + +# GFS standard input data + +$NLN $FIX_AM/global_solarconstant_noaa_an.txt $DATA/solarconstant_noaa_an.txt +$NLN $FIX_AM/global_o3prdlos.f77 $DATA/INPUT/global_o3prdlos.f77 +$NLN $FIX_AM/global_sfc_emissivity_idx.txt $DATA/sfc_emissivity_idx.txt + +$NLN $FIX_AM/global_co2historicaldata_glob.txt $DATA/co2historicaldata_glob.txt +$NLN $FIX_AM/co2monthlycyc.txt $DATA/co2monthlycyc.txt +if [ $ICO2 -gt 0 ]; then + for file in $(ls $FIX_AM/fix_co2_proj/global_co2historicaldata*) ; do + $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") + done +fi + +$NLN $FIX_AM/global_climaeropac_global.txt $DATA/aerosol.dat +if [ $IAER -gt 0 ] ; then + for file in $(ls $FIX_AM/global_volcanic_aerosols*) ; do + $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") + done +fi + +#------------------------------------------------------------------ +# Namelists. + +CROW_TO_SH="$HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH" + +# Override stochastic physics seeds if requested: +if [ ${SET_STP_SEED:-"YES"} = "YES" ]; then + ISEED_SKEB=$((CDATE*1000 + MEMBER*10 + 1)) + ISEED_SHUM=$((CDATE*1000 + MEMBER*10 + 2)) + ISEED_SPPT=$((CDATE*1000 + MEMBER*10 + 3)) + CROW_TO_SH="$CROW_TO_SH apply:ISEED_SKEB=$ISEED_SKEB apply:ISEED_SHUM=$ISEED_SHUM apply:ISEED_SPPT=$ISEED_SPPT" +fi + +# Build the FMS diag_table with the experiment name and date stamp: +eval $( $CROW_TO_SH expand:diag_table_header ) > input.nml +eval $( $CROW_TO_SH DIAG_TABLE=DIAG_TABLE ) +cat $DIAG_TABLE >> diag_table + +# NEMS and FV3 namelists: +eval $( $CROW_TO_SH expand:input_nml ) > input.nml +eval $( $CROW_TO_SH expand:nems_configure ) > nems.configure +eval $( $CROW_TO_SH expand:model_configure ) > model_configure + +#------------------------------------------------------------------ +# setup the runtime environment and run the executable +cd $DATA +$NCP $FCSTEXECDIR/$FCSTEXEC $DATA/. +export OMP_NUM_THREADS=$NTHREADS_FV3 +$APRUN_FV3 $DATA/$FCSTEXEC 1>&1 2>&2 +export ERR=$? +export err=$ERR +$ERRSCRIPT || exit $err + +#------------------------------------------------------------------ +if [ $SEND = "YES" ]; then + # Copy model output files + cd $DATA + if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then + $NCP ${CDUMP}.t${chh}z.atm*.nemsio $memdir/. + $NCP ${CDUMP}.t${chh}z.sfc*.nemsio $memdir/. + else + for n in $(seq 1 $ntiles); do + for file in *.tile${n}.nc; do + $NCP $file $memdir/. + done + done + fi + + # Copy model restart files + cd $DATA/RESTART + mkdir -p $memdir/RESTART + + # Add time-stamp to restart files at FHMAX (this should be done inside the model) + RDATE=$($NDATE +$FHMAX $CDATE) + rymd=$(echo $RDATE | cut -c1-8) + rhh=$(echo $RDATE | cut -c9-10) + for file in $(ls * | grep -v 0000); do + $NMV $file ${rymd}.${rhh}0000.$file + done + + # Only save restarts at single time in RESTART directory + # Either at FHMAX or at first time in restart_interval + if [ $restart_interval -eq 0 ]; then + RDATE=$($NDATE +$FHMAX $CDATE) + else + RDATE=$($NDATE +$restart_interval $CDATE) + fi + rymd=$(echo $RDATE | cut -c1-8) + rhh=$(echo $RDATE | cut -c9-10) + for file in ${rymd}.${rhh}0000.* ; do + $NCP $file $memdir/RESTART/$file + done + +fi + +#------------------------------------------------------------------ +# Clean up before leaving +if [ $KEEPDATA = "NO" ]; then rm -rf $DATA; fi + +#------------------------------------------------------------------ +set +x +if [ $VERBOSE = "YES" ] ; then + echo $(date) EXITING $0 with return code $err >&2 +fi +exit 0 From dfad5f0d96b3d93fc6d21c835833036ae5f7b2af Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Mon, 13 Nov 2017 01:42:11 +0000 Subject: [PATCH 231/487] added regressionID for comparing two dirs --- tests/regression/fv3gfs_regression.sh | 9 +++++++-- 1 file changed, 7 insertions(+), 2 deletions(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index 0b252d5..8626d5b 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -156,8 +156,13 @@ if [[ -d $1 ]] && [[ -d $2 ]]; then RUNROCOTO='FALSE' check_baseline_dir_with_this_dir=`readlink -f $2` check_baseline_dir_with_this_dir=$( find_data_dir $check_baseline_dir_with_this_dir ) - log_message "INFO" "Simply doing a diff on these two directories:\n $check_baseline_dir \n $check_baseline_dir_with_this_dir" + log_message "INFO" "simply doing a diff on these two directories:\n $check_baseline_dir \n $check_baseline_dir_with_this_dir" JUST_COMPAIR_TWO_DIRS='TRUE' + if [[ -z $3 ]]; then + regressionID='compair' + else + regressionID=$3 + fi fi INTERACTIVE='TRUE' @@ -379,7 +384,7 @@ if [[ $COMPAIR_BASE == 'TRUE' ]]; then fi check_baseline_dir_basename=`basename $check_baseline_dir` comrot_test_dir_basename=`basename $comrot_test_dir` - log_message "INFO" "running command: diff --brief -Nr --exclude \"*.log*\" $check_baseline_dir_basename $comrot_test_dir_basename >& $$diff_file_name" + log_message "INFO" "running command: diff --brief -Nr --exclude \"*.log*\" $check_baseline_dir_basename $comrot_test_dir_basename >& $diff_file_name" diff --brief -Nr --exclude "*.log*" $check_baseline_dir_basename $comrot_test_dir_basename > ${diff_file_name} 2>&1 log_message "INFO" "completed runing diff for fv3gfs regression test ($regressionID) resluts in file: $diff_file_name" fi From c3f04dedc8f9ba711e4ea656989faf1b05b04495 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 13 Nov 2017 16:38:05 +0000 Subject: [PATCH 232/487] about half way to getting modularized shell scripts to work --- crow/config/eval_tools.py | 23 +- crow/config/from_yaml.py | 7 +- crow/config/tasks.py | 9 +- crow/config/template.py | 51 ++-- crow/config/to_yaml.py | 2 +- crow/dataflow/from_suite_impl.py | 93 +++++-- crow/dataflow/interface.py | 4 +- crow/dataflow/sql.py | 64 +++-- crow/tools.py | 6 +- crow_dataflow_deliver_sh.py | 54 +++- model/fv3gfs/exp/actions/crow_fcst_sh.yaml | 110 +++++---- model/fv3gfs/exp/actions/vrfy.yaml | 3 +- .../August2017_GFS@C384_ENKF@C192+20MEM.yaml | 4 +- .../exp/cases/CROW_sh_Cindy_GFS@C384.yaml | 6 +- .../exp/cases/CROW_sh_df_Cindy_GFS@C384.yaml | 10 +- model/fv3gfs/exp/cases/Cindy_GFS@C384.yaml | 4 +- model/fv3gfs/exp/cases/Harvey_GFS@C192.yaml | 4 +- .../exp/runtime/crow_sh_df_fcst_workflow.yaml | 12 +- model/fv3gfs/exp/setup_case.py | 6 +- model/fv3gfs/exp/user.yaml.default | 2 +- model/fv3gfs/exp/validation/fv3_forecast.yaml | 129 +++++----- model/fv3gfs/jobs/fcst_df.sh | 105 ++++++++ model/fv3gfs/jobs/fv3ic_df.sh | 17 +- model/fv3gfs/scripts/exglobal_fcst_crow.sh | 16 +- model/fv3gfs/scripts/exglobal_fcst_crow_df.sh | 231 ++++++++++++++++++ to_sh.py | 2 +- 26 files changed, 747 insertions(+), 227 deletions(-) create mode 100755 model/fv3gfs/jobs/fcst_df.sh mode change 100644 => 100755 model/fv3gfs/scripts/exglobal_fcst_crow.sh create mode 100755 model/fv3gfs/scripts/exglobal_fcst_crow_df.sh diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 2dfc759..62d4df5 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -63,12 +63,13 @@ def from_config(key,val,globals,locals,path): Other types are returned unmodified.""" try: if hasattr(val,'_result'): + #_logger.debug(f'{path}: expand {key} with locals {list(locals.keys())}') result=val._result(globals,locals) return from_config(key,result,globals,locals,path) return val except(SyntaxError,TypeError,KeyError,NameError,IndexError,AttributeError) as ke: raise CalcKeyError(f'{path}: {type(val).__name__} {str(val)[0:40]} - ' - f'{type(ke).__name__} {str(ke)}') + f'{type(ke).__name__} {str(ke)} - scope keys: {list(locals.keys())}') except RecursionError as re: raise CalcRecursionTooDeep('%s: !%s %s'%( str(key),type(val).__name__,str(val))) @@ -183,7 +184,7 @@ def _deepcopy_privates_from(self,memo,other): #self.__globals=deepcopy(other.__globals,memo) def __deepcopy__(self,memo): cls=type(self) - r=cls({}) + r=cls(type(self.__child)()) memo[id(self)]=r r.__child=self._deepcopy_child(memo) r._deepcopy_privates_from(memo,self) @@ -213,12 +214,20 @@ def _validate(self,stage,memo=None): if 'Template' in self: tmpl=self.Template if not tmpl: return - if not isinstance(tmpl,Mapping): return - if not hasattr(tmpl,'_check_scope'): - tmpl=Template(tmpl,self._path+'.Template',self.__globals) - tmpl._check_scope(self,stage,memo) + if isinstance(tmpl,str): return + if isinstance(tmpl,Sequence): + templates=tmpl + else: + templates=[ tmpl ] + for tmpl in templates: + if not isinstance(tmpl,Mapping): continue + if not hasattr(tmpl,'_check_scope'): + tmpl=Template(tmpl,self._path+'.Template',self.__globals) + tmpl._check_scope(self,stage,memo) def __getitem__(self,key): if key not in self.__cache: + if key not in self.__child: + raise KeyError(f'{self._path}: no {key} in {list(self.keys())}') self.__cache[key]=self.__child[key] val=self.__cache[key] if hasattr(val,'_result'): @@ -231,7 +240,7 @@ def __getitem__(self,key): return val def __getattr__(self,name): if name in self: return self[name] - raise AttributeError(name) + raise AttributeError(f'{self._path}: no {name} in {list(self.keys())}') def __setattr__(self,name,value): if name.startswith('_'): object.__setattr__(self,name,value) diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index 5a903fd..6b46ec1 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -28,7 +28,7 @@ # YAML representation objects: class PlatformYAML(YAMLObject): yaml_tag=u'!Platform' class ActionYAML(YAMLObject): yaml_tag=u'!Action' -class TemplateYAML(YAMLObject): yaml_tag=u'!Template' +#class TemplateYAML(YAMLObject): yaml_tag=u'!Template' class FirstMaxYAML(list): yaml_tag=u'!FirstMax' class FirstMinYAML(list): yaml_tag=u'!FirstMin' @@ -43,6 +43,7 @@ class ShellCommandYAML(dict): pass class TaskYAML(OrderedDict): pass class FamilyYAML(OrderedDict): pass class CycleYAML(OrderedDict): pass +class TemplateYAML(OrderedDict): pass class InputSlotYAML(dict): pass class OutputSlotYAML(dict): pass class JobResourceSpecMakerYAML(list): pass @@ -51,7 +52,7 @@ class JobResourceSpecMakerYAML(list): pass # * internal representation class # * python core class for intermediate conversion TYPE_MAP={ PlatformYAML: [ Platform, dict, None ], - TemplateYAML: [ Template, dict, None ], + TemplateYAML: [ Template, OrderedDict, None ], ActionYAML: [ Action, dict, None ], ShellCommandYAML: [ ShellCommand, OrderedDict, None ], TaskYAML: [ Task, OrderedDict, None ], @@ -167,11 +168,13 @@ def constructor(loader,node): add_yaml_ordered_dict(u'!OutputSlot',OutputSlotYAML) add_yaml_ordered_dict(u'!Clock',ClockYAML) add_yaml_ordered_dict(u'!Cycle',CycleYAML) +add_yaml_ordered_dict(u'!Template',TemplateYAML) add_yaml_ordered_dict(u'!Task',TaskYAML) add_yaml_ordered_dict(u'!Family',FamilyYAML) SUITE={ EvalYAML: Eval, CycleYAML: Cycle, + TemplateYAML: Template, TaskYAML: Task, FamilyYAML: Family, ClockYAML:ClockMaker, diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 7dba105..b3ce908 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -163,7 +163,7 @@ def at(self,dt): def __getattr__(self,key): if key in SuiteView.LOCALS: raise AttributeError(key) if key in self: return self[key] - raise AttributeError(key) + raise AttributeError(f'{self.viewed._path}: no {key} in {list(self.keys())}') def __getitem__(self,key): assert(isinstance(key,str)) @@ -284,7 +284,12 @@ class CycleView(SuiteView): pass class TaskView(SuiteView): pass class FamilyView(SuiteView): pass class InputSlotView(SlotView): - def get_output_slot(self): return self.Out + def get_output_slot(self,meta): + result=self.viewed._raw('Out') + if not isinstance(result,Message): + raise TypeError(f'{self.viewed._path}.Out: Must be a Message, not a {type(result).__name__}') + return result._as_dependency(self._globals(),multidict(self.parent,meta), + f'{self.viewed._path}.Out') def get_flow_name(self): return 'I' class OutputSlotView(SlotView): def get_flow_name(self): return 'O' diff --git a/crow/config/template.py b/crow/config/template.py index 06d54c7..46805ae 100644 --- a/crow/config/template.py +++ b/crow/config/template.py @@ -13,6 +13,7 @@ import re, sys, logging from copy import copy +from collections import OrderedDict from datetime import timedelta, datetime from crow.config.exceptions import * from crow.config.eval_tools import list_eval, dict_eval, multidict, from_config @@ -51,6 +52,7 @@ class Template(dict_eval): dict_eval, inserting defaults and reporting errors via the TemplateErrors exception. """ def __init__(self,child,path='',globals=None): + assert(isinstance(child,OrderedDict)) self.__my_id=id(child) super().__init__(child,path,globals) @@ -66,11 +68,11 @@ def _check_scope(self,scope,stage,memo): errors=list() template=copy(self) did_something=True - child_templates=list() # Main validation loop. Iteratively validate, adding new # Templates as they become available via is_present. for var in template: + _logger.debug(f'{scope._path}.{var}: validate...') try: scheme=template[var] if not isinstance(scheme,Mapping): continue # not a template @@ -83,10 +85,16 @@ def _check_scope(self,scope,stage,memo): if 'precheck' in scheme: scope[var]=scheme.precheck - if var not in scope: continue - - validate_var(scope._path,scheme,var,scope[var]) - if 'if_present' in scheme: + if var in scope: + validate_var(scope._path,scheme,var,scope[var]) + elif 'default' in scheme: + scope[var]=from_config( + var,scheme._raw('default'),self._globals(),scope, + f'{scope._path}.{var}') + _logger.debug(f'{scope._path}.{var}: insert default {scope._raw(var)}') + if var not in scope and 'if_present' in scheme: + _logger.debug(f'{scope._path}.{var}: not present; skip if_present') + if var in scope and 'if_present' in scheme: _logger.debug(f'{scope._path}.{var}: evaluate if_present ' f'{scheme._raw("if_present")._path}') ip=from_config( @@ -100,7 +108,15 @@ def _check_scope(self,scope,stage,memo): _logger.debug( f'{scope._path}.{var}: present ({scope._raw(var)!r}); ' f'add {ip._path} to validation') - child_templates.append(ip) + ip._check_scope(scope,stage,memo) + + if 'override' in scheme: + override=from_config( + 'override',template[var]._raw('override'), + scope._globals(),scope, + f'{scope._path}.Template.{var}.override') + if override is not None: scope[var]=override + except (IndexError,AttributeError,TypeError,ValueError) as pye: errors.append(f'{scope._path}.{var}: {type(pye).__name__}: {pye}') _logger.debug(f'{scope._path}.{var}: {pye}',exc_info=True) @@ -116,38 +132,19 @@ def _check_scope(self,scope,stage,memo): tmpl=template[var] if not hasattr(tmpl,'__getitem__') or not hasattr(tmpl,'update'): raise TypeError(f'{self._path}.{var}: All entries in a !Template must be maps not {type(tmpl).__name__}') - if 'default' in tmpl: - try: - scope[var]=tmpl._raw('default') - except AttributeError: - scope[var]=tmpl['default'] - elif not tmpl.get('optional',False): + if 'default' not in tmpl and not tmpl.get('optional',False): missing.append(var) - # Override any variables if requested via "override" clauses. - for var in template: - if var in scope and isinstance(template[var],Mapping) and \ - 'override' in template[var]: - override=from_config( - 'override',template[var]._raw('override'), - scope._globals(),scope, - f'{scope._path}.Template.{var}.override') - if override is not None: scope[var]=override - # Second pass checking for required variables that have no # values. This second pass deals with variables that were # updated by an "override" clause. still_missing=list() for var in missing: - if var not in scope: missing.append(var) + if var not in scope: still_missing.append(var) if still_missing: raise VariableMissing(f'{scope._path}: missing: '+ ', '.join(still_missing)) - # Handle child templates - for child in child_templates: - child._check_scope(scope,stage,memo) - # Check for variables that evaluate to an error for key,expr in scope._raw_child().items(): if hasattr(expr,'_is_error'): diff --git a/crow/config/to_yaml.py b/crow/config/to_yaml.py index 0739cb8..583f456 100644 --- a/crow/config/to_yaml.py +++ b/crow/config/to_yaml.py @@ -68,7 +68,6 @@ def representer(dumper,data): add_yaml_dict_eval(None,GenericDict) add_yaml_dict_eval(u'!Platform',Platform) add_yaml_dict_eval(u'!Action',Action) -add_yaml_dict_eval(u'!Template',Template) add_yaml_dict_eval(u'!Eval',Eval) add_yaml_dict_eval(u'!InputSlot',InputSlot) add_yaml_dict_eval(u'!OutputSlot',OutputSlot) @@ -119,6 +118,7 @@ def representer(dumper,data): add_yaml_taskable(u'!Task',Task) add_yaml_taskable(u'!Family',Family) add_yaml_taskable(u'!Cycle',Cycle) +add_yaml_taskable(u'!Template',Template) ######################################################################## diff --git a/crow/dataflow/from_suite_impl.py b/crow/dataflow/from_suite_impl.py index 8005b6e..e55a361 100644 --- a/crow/dataflow/from_suite_impl.py +++ b/crow/dataflow/from_suite_impl.py @@ -1,3 +1,7 @@ +import logging +from collections.abc import Sequence +from datetime import datetime, timedelta + import crow.config from crow.config import Suite, Task from crow.config import OutputSlot as ConfigOutputSlot @@ -5,14 +9,38 @@ from crow.dataflow.interface import Dataflow from crow.tools import typecheck -def _parse_output_slot(actor,slot,sdata): +_logger=logging.getLogger('crow.dataflow') + +SPECIAL_VARS=set(['Loc', 'task_path_var', 'task_path_str', 'task_path_list','up']) + +def _parse_slot(actor,slot,sdata,flow): meta=dict(sdata) - if not 'Loc' in meta: - raise ValueError(f'{actor} {slot}: Must have a Loc entry') - loc=meta['Loc'] + if flow == 'O': + if not 'Loc' in meta: + raise ValueError(f'{actor} {slot}: Must have a Loc entry') + loc=meta['Loc'] + #typecheck(f'{actor} {slot}: Loc',loc,str) - del meta['Loc'] - return loc, meta + metakeep=dict() + for key in meta: + if key in SPECIAL_VARS: continue + val=meta[key] + if isinstance(val,str) or isinstance(val,int) or isinstance(val,float): + metakeep[key]=val + elif isinstance(val,datetime) or isinstance(val,timedelta): + metakeep[key]=val + elif isinstance(val,Sequence) and val: + val0=val[0] + if isinstance(val0,str) or isinstance(val0,int) \ + or isinstance(val0,float) or isinstance(val0,datetime) \ + or isinstance(val0,timdelta): + metakeep[key]=[ v for v in val ] + _logger.debug(f"{actor}.{slot}: metadata subsetted from {meta} is {metakeep}") + if flow=='O': + _logger.debug(f"{actor}.{slot}: metadata is {metakeep} location {loc}") + return loc, metakeep + else: + return metakeep def _parse_input_slot(actor,slot,sdata): meta=dict(sdata) @@ -30,6 +58,18 @@ def _walk_task_tree_for(suite,cls): if isinstance(item.viewed,cls): yield item.get_actor_path(),item.get_slot_name(),item +def meta_expand_iter(meta): + for k,v in meta.items(): + if isinstance(v,list): + for item in v: + newmeta=dict(meta) + newmeta[k]=item + for m in meta_expand_iter(newmeta): + yield m + return + yield meta + + def from_suite(suite,filename): typecheck('suite',suite,Suite) typecheck('filename',filename,str) @@ -37,23 +77,36 @@ def from_suite(suite,filename): # First pass: add output slots: for actor, slot, sdata in _walk_task_tree_for(suite,ConfigOutputSlot): - loc, meta = _parse_output_slot(actor,slot,sdata) - df.add_output_slot(actor,slot,sdata.get_slot_location(),sdata.get_meta()) + loc, meta = _parse_slot(actor,slot,sdata,'O') + df.add_output_slot(actor,slot,loc,meta) # Second pass: add input slots: for actor, slot, sdata in _walk_task_tree_for(suite,ConfigInputSlot): - islot=df.add_input_slot(actor,slot,sdata.get_meta()) - odata=sdata.get_output_slot() - - found=None - for oslot in df.find_output_slot( - odata.get_actor_path(),odata.get_slot_name(), - odata.get_meta()): - found=oslot - break - if not found: raise ValueError(f'{actor} {slot} output refers to ' - 'invalid or missing output slot.') - islot.connect_to(oslot) + meta = _parse_slot(actor,slot,sdata,'I') + _logger.debug(f'{actor}.{slot}: add input slot with meta {meta}') + df.add_input_slot(actor,slot,meta) + + for ometa in meta_expand_iter(meta): + _logger.debug(f'{actor}.{slot}: will check meta {ometa}') + + for ometa in meta_expand_iter(meta): + _logger.debug(f"{actor}.{slot}: check input slot meta {ometa}") + odata=sdata.get_output_slot(ometa) + + oslot=None + for oslot in df.find_output_slot( + odata.get_actor_path(),odata.get_slot_name(), + odata.get_meta()): + break + + islot=None + for islot in df.find_input_slot(actor,slot,ometa): + break + assert(islot) + if not oslot: raise ValueError(f'{actor}.{slot} output refers to ' + 'invalid or missing output slot.') + _logger.debug(f"{islot}: connect to {oslot}") + islot.connect_to(oslot) return df diff --git a/crow/dataflow/interface.py b/crow/dataflow/interface.py index 1e27e12..097193c 100644 --- a/crow/dataflow/interface.py +++ b/crow/dataflow/interface.py @@ -101,12 +101,12 @@ def __init__(self,filename: str) -> None: def add_output_slot(self,actor: str,slot: str,defloc: str, meta: Dict[str,Any]=None) -> None: - pid=add_slot(self._con,actor,slot,'O',defloc,meta) + pid=add_slots(self._con,actor,slot,'O',defloc,meta) return OutputSlot(self._con,pid,actor,slot,'O',defloc,meta) def add_input_slot(self,actor: str,slot: str, meta: Dict[str,Any]=None) -> InputSlot: - pid=add_slot(self._con,actor,slot,'I',None,meta) + pid=add_slots(self._con,actor,slot,'I',None,meta) return InputSlot(self._con,pid,actor,slot,'I',None,meta) def find_input_slot(self,actor: str=None,slot: str=None, diff --git a/crow/dataflow/sql.py b/crow/dataflow/sql.py index 51b792e..c346f24 100644 --- a/crow/dataflow/sql.py +++ b/crow/dataflow/sql.py @@ -6,9 +6,9 @@ from typing import Generator, Callable, List, Tuple, Any, Union, Dict, IO from contextlib import contextmanager -__all__=['from_datetime','transaction','add_slot','itercur','create_tables', +__all__=['from_datetime','transaction','add_slots','itercur','create_tables', 'get_meta','add_message','set_data','get_location','select_slot', - 'del_cycle','add_cycle'] + 'del_cycle','add_cycle','add_one_slot'] _logger=logging.getLogger('crow.dataflow') _ZERO_DT=timedelta(seconds=0) @@ -42,7 +42,7 @@ ityp INTEGER, ival INTEGER, sval VARCHAR, - CONSTRAINT pid_name UNIQUE (pid,name) + CONSTRAINT pid_name UNIQUE (pid,name,ival,sval) ); CREATE TEMP TABLE IF NOT EXISTS Row(n INTEGER,pid INTEGER); @@ -129,23 +129,52 @@ def _dump_prod_info(con: Connection,proditer) -> None: def create_tables(con: Connection) -> None: con.executescript(_CREATE_TABLES) -def add_slot(con: Connection,actor: str,slot: str,flow: str,defloc: str,meta: Dict=None) -> int: +def add_one_slot(con: Connection,actor: str,slot: str,flow: str,defloc: str,meta: Dict=None) -> int: assert(flow in [ 'O', 'I' ]) + _logger.debug(f'{actor}.{slot}: add slot with flow={flow} defloc={defloc} meta={meta}') with transaction(con): - _conex(con,'INSERT INTO Slot(actor,slot,flow,defloc) VALUES (?,?,?,?);', - [actor,slot,flow,defloc]) - _conex(con,'DELETE FROM Row;') - _conex(con,'INSERT INTO Row (n,pid) VALUES (1,last_insert_rowid());') + pid=None + if pid is None: + _conex(con,'INSERT INTO Slot(actor,slot,flow,defloc) VALUES (?,?,?,?);', + [actor,slot,flow,defloc]) + _conex(con,'DELETE FROM Row;') + _conex(con,'INSERT INTO Row (n,pid) VALUES (1,last_insert_rowid());') + pid=_conget(con,'SELECT pid FROM Row WHERE n=1') + _logger.debug(f'{actor}.{slot}: added with pid {pid}') + else: + _logger.debug(f'{actor}.{slot}: already has pid {pid}') + _conex(con,'DELETE FROM Row;') + _conex(con,'INSERT INTO Row (n,pid) VALUES (1,?);',pid) if meta: for k,v in meta.items(): ityp,cls,fld,cmp2,back,fore = _ityp_info(v) + _logger.debug(f'{actor}.{slot}: pid {pid} meta val {k} {fld}={fore(v)}') _conex(con, - f'INSERT INTO Meta (pid,name,ityp,{fld}) VALUES'\ + f'REPLACE INTO Meta (pid,name,ityp,{fld}) VALUES'\ '((SELECT pid FROM Row WHERE n=1),?,?,?);',[ k,ityp,fore(v)]) - pid=_conget(con,'SELECT pid FROM Row WHERE n=1') return pid[0] +def add_slots(con: Connection,actor: str,slot: str,flow: str,defloc: str,meta: Dict=None) -> int: + if not meta: + add_one_slot(con,actor,slot,flow,defloc,meta) + return + for k,v in meta.items(): + if isinstance(v,list): + # Array of meta returned. Replace the array with one item + # in that array, for each item in the array. This allows + # us to check all multi-dimensional combinations when + # there are multiple arrays of metadata. + _logger.debug(f'{actor}.{slot} loop over meta {k}={v}') + for item in v: + submeta=dict(meta) + submeta[k]=item + add_slots(con,actor,slot,flow,defloc,submeta) + return + # If we get here, then no arrays remain in the metadata, and we + # can simply add the slot + add_one_slot(con,actor,slot,flow,defloc,meta) + def get_meta(con: Connection,pid: int) -> Dict: meta=dict() for ityp in range(len(_ITYP_DATA)): @@ -153,18 +182,25 @@ def get_meta(con: Connection,pid: int) -> Dict: for name,pval in itercur(_conex(con, f'SELECT name,{fld} FROM Meta WHERE pid==? AND ityp=?', [pid,ityp])): - meta[name]=back(pval) + pyval=back(pval) + if name in meta: + if isinstance(meta[name],list) and pyval not in meta[name]: + meta[name].append(pyval) + elif not isinstance(meta[name],list) and meta[name]!=pyval: + meta[name]=[ meta[name], pyval ] + else: + meta[name]=pyval return meta def add_message(con: Connection,send: int,recv: int, rel_time: timedelta=None) -> None: if rel_time is None: rel_time=_ZERO_DT - _conex(con,'INSERT INTO Mess (pid_recv,pid_send,rel_time) ' + _conex(con,'REPLACE INTO Mess (pid_recv,pid_send,rel_time) ' 'VALUES (?,?,?)',[ recv,send,rel_time.total_seconds() ]) def set_data(con: Connection,pid: int,cycle: datetime, loc: str,avail:int=0) -> None: - _conex(con,'INSERT OR REPLACE INTO Data (pid,cycle,avail,loc) ' + _conex(con,'REPLACE INTO Data (pid,cycle,avail,loc) ' 'VALUES (?,?,?,?)',[ pid,from_datetime(cycle),avail,str(loc)]) @@ -251,5 +287,5 @@ def add_cycle(con,cycle: datetime) -> None: _logger.debug(f'loc {loc} for cycle={cycle:%Y%m%d%H%M} actor={actor} slot={slot} meta={meta}') args.extend([pid,scycle,loc]) if not args: return - _conex(con,'INSERT INTO Data(pid,cycle,loc) VALUES ' + \ + _conex(con,'INSERT OR IGNORE INTO Data(pid,cycle,loc) VALUES ' + \ '(?,?,?), '*(len(args)//3-1) + '(?,?,?);',args) diff --git a/crow/tools.py b/crow/tools.py index ccd7d2c..9b7f2bd 100644 --- a/crow/tools.py +++ b/crow/tools.py @@ -24,8 +24,10 @@ def deliver_file(from_file: str,to_file: str,*,blocksize: int=1048576, with tempfile.NamedTemporaryFile( prefix=f"_tmp_{to_base}.part.", delete=False,dir=to_dir) as out_fd: - shutil.copyfileobj(in_fd,out_fd,length=blocksize) temppath=out_fd.name + shutil.copyfileobj(in_fd,out_fd,length=blocksize) + assert(temppath) + assert(os.path.exists(temppath)) if preserve_perms: os.chmod(temppath,istat.st_mode&~permmask) if preserve_times: @@ -38,7 +40,7 @@ def deliver_file(from_file: str,to_file: str,*,blocksize: int=1048576, _logger.warning(f'{to_file}: {e}') raise finally: # Delete file on error - if temppath: os.unlink(temppath) + if temppath and os.path.exists(temppath): os.unlink(temppath) def panasas_gb(dir,pan_df='pan_df'): rdir=os.path.realpath(dir) diff --git a/crow_dataflow_deliver_sh.py b/crow_dataflow_deliver_sh.py index 2fef1b0..fc8cc79 100755 --- a/crow_dataflow_deliver_sh.py +++ b/crow_dataflow_deliver_sh.py @@ -30,6 +30,7 @@ def usage(why): exit(1) def deliver_by_name(logger,flow,local,message,check): + logger.debug(f'{message.actor}.{message.slot} (meta={locals}): deliver by name from {local}') if check: strloc=local if local == '-' and flow=='O': strloc='(stdin)' @@ -61,15 +62,34 @@ def deliver_by_name(logger,flow,local,message,check): #shutil.copyfileobj(sys.stdin.buffer,out_fd) out_fd.write(data) +def slot_meta_iter(slot,meta): + for k,v in meta.items(): + if isinstance(v,list): + for item in v: + newmeta=dict(meta) + newmeta[k]=item + for s,m in slot_meta_iter(slot,newmeta): + yield s,m + return + yield slot,meta + def deliver_by_format(logger,flow,format,message,check): if "'''" in format: raise ValueError(f"{format}: cannot contain three single quotes " "in a row '''") globals={ 'actor':message.actor, 'slot':message.slot, 'flow':message.flow, 'cycle':message.cycle } - locals=message.get_meta() - local_file=eval("f'''"+format+"'''",globals,locals) - deliver_by_name(logger,flow,local_file,message,check) + for slot,meta in slot_meta_iter(message,message.get_meta()): + logger.debug(f'{message.actor}.{message.slot} (meta={meta}): filename format {format}') + local_file=eval("f'''"+format+"'''",globals,meta) + logger.debug(f'{message.actor}.{message.slot} (meta={meta}): deliver by format from {local_file}') + deliver_by_name(logger,flow,local_file,message,check) + +def has_meta_lists(slot): + meta=slot.get_meta() + for k,v in meta.items(): + if isinstance(v,list): return True + return False def main(): (optval, args) = getopt(sys.argv[1:],'o:i:vmc') @@ -124,27 +144,35 @@ def main(): matches=iter(db.find_output_slot(actor,slot,meta)) local=options['-i'] + slots = [ slot for slot in matches ] + any_have_meta_lists=False + for slot in slots: + logger.info(str(slot)) + if has_meta_lists(slot): + any_have_meta_lists=True + logger.info('... has metadata lists') + #any_have_meta_lists = any([ has_meta_lists(slot) for slot in slots ]) + multi = len(slots)>1 or any_have_meta_lists + slot1, slot2 = None, None with suppress(StopIteration): slot1=next(matches) slot2=next(matches) - if slot1 is None: + if not slots: logger.error('No match for query. Such a slot does not exist.') exit(1) - - if slot2 is not None and '-m' not in options: + elif multi and '-m' not in options: logger.error('Multiple matches, and -m not specified. Abort.') exit(1) + elif not multi and '-m' in options: + logger.error('Single match but -m was specified. Abort.') + exit(1) - if '-m' in options: deliver = deliver_by_format - else: deliver = deliver_by_name - - for slot in [ slot1, slot2 ]: - if slot is not None: - deliver(logger,flow,local,slot.at(cycle),'-c' in options) - for slot in matches: + deliver = deliver_by_format if multi else deliver_by_name + for slot in slots: deliver(logger,flow,local,slot.at(cycle),'-c' in options) + if __name__ == '__main__': main() diff --git a/model/fv3gfs/exp/actions/crow_fcst_sh.yaml b/model/fv3gfs/exp/actions/crow_fcst_sh.yaml index 50f896e..4ab50ce 100644 --- a/model/fv3gfs/exp/actions/crow_fcst_sh.yaml +++ b/model/fv3gfs/exp/actions/crow_fcst_sh.yaml @@ -47,9 +47,9 @@ crow_sh_fcst_base: &crow_sh_fcst_base !Action true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE', 'nst_anl', 'zhao_mic' ] # Variables to import in shell: - shell_vars: [ "[A-Z][A-Z0-9_]*$", 'restart_interval' ] + shell_vars: [ "[A-Z][A-Z0-9_]*$", 'restart_interval', 'ntiles' ] - cores_per_node: 24 + cores_per_node: !calc nodes.cores_per_node restart_interval: 6 USE_COUPLER_RES: NO @@ -61,6 +61,8 @@ crow_sh_fcst_base: &crow_sh_fcst_base !Action master_grid: !calc doc.case.master_grid + CDATE: !calc doc.clock.now + diag_table_header: !expand | FV3 Forecast {CDATE:%Y %m %d %H} 0 0 @@ -74,7 +76,7 @@ crow_sh_fcst_base: &crow_sh_fcst_base !Action model_configure: !expand | total_member: {ENS_NUM} - print_esmf: {print_esmf} + print_esmf: {tools.fort(print_esmf)} PE_MEMBER01: {NTASKS_FV3} start_year: {CDATE:%Y} start_month: {CDATE:%m} @@ -83,25 +85,25 @@ crow_sh_fcst_base: &crow_sh_fcst_base !Action start_minute: 0 start_second: 0 nhours_fcst: {FHMAX} - RUN_CONTINUE: {RUN_CONTINUE} - ENS_SPS: {ENS_SPS} + RUN_CONTINUE: {tools.fort(RUN_CONTINUE)} + ENS_SPS: {tools.fort(ENS_SPS)} dt_atmos: {DELTIM} - calendar: {calendar} - memuse_verbose: {memuse_verbose} + calendar: {tools.fort(calendar)} + memuse_verbose: {tools.fort(memuse_verbose)} atmos_nthreads: {NTHREADS_FV3} - use_hyper_thread: {hyperthread} + use_hyper_thread: {tools.fort(hyperthread)} ncores_per_node: {cores_per_node} restart_interval: {restart_interval} - quilting: {QUILTING} + quilting: {tools.fort(QUILTING)} write_groups: {WRITE_GROUP} write_tasks_per_group: {WRTTASK_PER_GROUP} num_files: {NUM_FILES} filename_base: {filename_base} - output_grid: {OUTPUT_GRID} - write_nemsiofile: {WRITE_NEMSIOFILE} - write_nemsioflip: {WRITE_NEMSIOFLIP} + output_grid: {tools.fort(OUTPUT_GRID)} + write_nemsiofile: {tools.fort(WRITE_NEMSIOFILE)} + write_nemsioflip: {tools.fort(WRITE_NEMSIOFLIP)} imo: {LONB} jmo: {LATB} @@ -130,7 +132,7 @@ crow_sh_fcst_base: &crow_sh_fcst_base !Action &diag_manager_nml prepend_date = .false. - $diag_manager_nml + {diag_manager_nml} / &fms_io_nml @@ -215,7 +217,7 @@ crow_sh_fcst_base: &crow_sh_fcst_base !Action z_tracer = .true. agrid_vel_rst = {agrid_vel_rst} read_increment = {read_increment} - res_latlon_dynamics = {res_latlon_dynamics} + res_latlon_dynamics = {tools.fort(res_latlon_dynamics)} {fv_core_nml} / @@ -235,7 +237,7 @@ crow_sh_fcst_base: &crow_sh_fcst_base !Action use_ufo = {use_ufo} pre_rad = {pre_rad} ncld = {ncld} - zhao_mic = {zhao_mic} + zhao_mic = {tools.fort(zhao_mic)} pdfcld = {pdfcld} fhswr = {FHSWR} fhlwr = {FHLWR} @@ -265,7 +267,7 @@ crow_sh_fcst_base: &crow_sh_fcst_base !Action isot = {isot} debug = {gfs_phys_debug} nstf_name = {nstf_name} - nst_anl = {nst_anl} + nst_anl = {tools.fort(nst_anl)} psautco = {psautco} prautco = {prautco} {gfs_physics_nml} @@ -332,31 +334,31 @@ crow_sh_fcst_base: &crow_sh_fcst_base !Action / &namsfc - FNGLAC = !calc "{tools.fort(FNGLAC)}" - FNMXIC = !calc "{tools.fort(FNMXIC)}" - FNTSFC = !calc "{tools.fort(FNTSFC)}" - FNSNOC = !calc "{tools.fort(FNSNOC)}" - FNZORC = !calc "{tools.fort(FNZORC)}" - FNALBC = !calc "{tools.fort(FNALBC)}" - FNALBC2 = !calc "{tools.fort(FNALBC2)}" - FNAISC = !calc "{tools.fort(FNAISC)}" - FNTG3C = !calc "{tools.fort(FNTG3C)}" - FNVEGC = !calc "{tools.fort(FNVEGC)}" - FNVETC = !calc "{tools.fort(FNVETC)}" - FNSOTC = !calc "{tools.fort(FNSOTC)}" - FNSMCC = !calc "{tools.fort(FNSMCC)}" - FNMSKH = !calc "{tools.fort(FNMSKH)}" - FNTSFA = !calc "{tools.fort(FNTSFA)}" - FNACNA = !calc "{tools.fort(FNACNA)}" - FNSNOA = !calc "{tools.fort(FNSNOA)}" - FNVMNC = !calc "{tools.fort(FNVMNC)}" - FNVMXC = !calc "{tools.fort(FNVMXC)}" - FNSLPC = !calc "{tools.fort(FNSLPC)}" - FNABSC = !calc "{tools.fort(FNABSC)}" + FNGLAC = {tools.fort(FNGLAC)} + FNMXIC = {tools.fort(FNMXIC)} + FNTSFC = {tools.fort(FNTSFC)} + FNSNOC = {tools.fort(FNSNOC)} + FNZORC = {tools.fort(FNZORC)} + FNALBC = {tools.fort(FNALBC)} + FNALBC2 = {tools.fort(FNALBC2)} + FNAISC = {tools.fort(FNAISC)} + FNTG3C = {tools.fort(FNTG3C)} + FNVEGC = {tools.fort(FNVEGC)} + FNVETC = {tools.fort(FNVETC)} + FNSOTC = {tools.fort(FNSOTC)} + FNSMCC = {tools.fort(FNSMCC)} + FNMSKH = {tools.fort(FNMSKH)} + FNTSFA = {tools.fort(FNTSFA)} + FNACNA = {tools.fort(FNACNA)} + FNSNOA = {tools.fort(FNSNOA)} + FNVMNC = {tools.fort(FNVMNC)} + FNVMXC = {tools.fort(FNVMXC)} + FNSLPC = {tools.fort(FNSLPC)} + FNABSC = {tools.fort(FNABSC)} LDEBUG = {LDEBUG} - FSMCL(2) = ${FSMCL2} - FSMCL(3) = ${FSMCL3} - FSMCL(4) = ${FSMCL4} + FSMCL(2) = {FSMCL2} + FSMCL(3) = {FSMCL3} + FSMCL(4) = {FSMCL4} FTSFS = {FTSFS} FAISL = {FAISL} FAISS = {FAISS} @@ -419,12 +421,12 @@ crow_sh_fcst_base: &crow_sh_fcst_base !Action input_nml_stochy_sppt: !FirstTrue - when: !calc MEMBER>0 and DO_SPPT do: !expand | - sppt = $SPPT - iseed_sppt = ${ISEED_SPPT:-$ISEED} - sppt_tau = ${SPPT_TAU:-"-999."} - sppt_lscale = ${SPPT_LSCALE:-"-999."} - sppt_logit = ${SPPT_LOGIT:-".true."} - sppt_sfclimit = ${SPPT_SFCLIMIT:-".true."} + sppt = SPPT + iseed_sppt = {ISEED_SPPT} + sppt_tau = {SPPT_TAU} + sppt_lscale = {SPPT_LSCALE} + sppt_logit = {SPPT_LOGIT} + sppt_sfclimit = {SPPT_SFCLIMIT} - otherwise: "" crow_sh_fcst_gdas: &crow_sh_fcst_gdas_action !Action @@ -441,6 +443,8 @@ crow_sh_fcst_gdas: &crow_sh_fcst_gdas_action !Action FHOUT: !calc doc.gfs_output_settings.FHOUT_GDAS FHMAX: !calc doc.gfs_output_settings.FHMAX_GDAS FHMIN: !calc doc.gfs_output_settings.FHMIN_GDAS + FHMAX_HF: 6 + FHOUT_HF: 1 crow_sh_fcst_gfs: &crow_sh_fcst_gfs_action !Action <<: [ *fv3_gfs_settings, *data_assimilation, *crow_sh_fcst_base ] @@ -452,7 +456,19 @@ crow_sh_fcst_gfs: &crow_sh_fcst_gfs_action !Action - [ doc.platform.mpi_tuning, ".*" ] - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] CDUMP: gfs - walltime: !timedelta 02:35:00 + walltime: !timedelta 00:10:00 +# walltime: !timedelta 02:35:00 FHOUT: !calc doc.gfs_output_settings.FHOUT_GFS FHMAX: !calc doc.gfs_output_settings.FHMAX_GFS FHMIN: !calc doc.gfs_output_settings.FHMIN_GFS + + FHMAX_HF: !calc doc.gfs_output_settings.FHMAX_HF_GFS + FHOUT_HF: !calc doc.gfs_output_settings.FHOUT_HF_GFS + +crow_sh_df_fcst_gdas: &crow_sh_df_fcst_gdas_action !Action + <<: *crow_sh_fcst_gdas_action + J_JOB: fcst_df + +crow_sh_df_fcst_gfs: &crow_sh_df_fcst_gfs_action !Action + <<: *crow_sh_fcst_gfs_action + J_JOB: fcst_df diff --git a/model/fv3gfs/exp/actions/vrfy.yaml b/model/fv3gfs/exp/actions/vrfy.yaml index 7fae32c..07795f5 100644 --- a/model/fv3gfs/exp/actions/vrfy.yaml +++ b/model/fv3gfs/exp/actions/vrfy.yaml @@ -1,8 +1,7 @@ vrfy_base: &vrfy_base !Action <<: *action_base - Template: !Template - <<: [ *CYC_TRACK_VARS, *CYC_GEN_VARS, *MIN_VARS, *RAD_VARS, *OZN_VARS, *MIN_RAD_OZN_VARS, *VRFY_CDUMP_GFS_VARS, *vrfy_template ] + Template: [ *CYC_TRACK_VARS, *CYC_GEN_VARS, *MIN_VARS, *RAD_VARS, *OZN_VARS, *MIN_RAD_OZN_VARS, *VRFY_CDUMP_GFS_VARS, *vrfy_template ] J_JOB: vrfy walltime: !timedelta 01:00:00 resources: !calc run_vrfy.resources diff --git a/model/fv3gfs/exp/cases/August2017_GFS@C384_ENKF@C192+20MEM.yaml b/model/fv3gfs/exp/cases/August2017_GFS@C384_ENKF@C192+20MEM.yaml index 26f28f7..b469035 100644 --- a/model/fv3gfs/exp/cases/August2017_GFS@C384_ENKF@C192+20MEM.yaml +++ b/model/fv3gfs/exp/cases/August2017_GFS@C384_ENKF@C192+20MEM.yaml @@ -50,8 +50,10 @@ prepbufr: &prepbufr ######################################################################## +places: &places { <<: *user_places } + case: - Template: !Template { <<: [ *case_template, *known_workflows ] } + Template: [ *case_template, *known_workflows ] SDATE: 2017-07-31t18:00:00 EDATE: 2017-08-05t00:00:00 diff --git a/model/fv3gfs/exp/cases/CROW_sh_Cindy_GFS@C384.yaml b/model/fv3gfs/exp/cases/CROW_sh_Cindy_GFS@C384.yaml index 4c08a89..83c07df 100644 --- a/model/fv3gfs/exp/cases/CROW_sh_Cindy_GFS@C384.yaml +++ b/model/fv3gfs/exp/cases/CROW_sh_Cindy_GFS@C384.yaml @@ -34,8 +34,12 @@ prepbufr: &prepbufr ######################################################################## +places: &places + <<: *user_places + FORECASTSH: !expand "{HOMEcrow}/model/fv3gfs/scripts/exglobal_fcst_crow.sh" + case: - Template: !Template { <<: [ *case_template, *known_workflows ] } + Template: [ *case_template, *known_workflows ] SDATE: 2017-06-19t18:00:00 EDATE: 2017-06-22t12:00:00 diff --git a/model/fv3gfs/exp/cases/CROW_sh_df_Cindy_GFS@C384.yaml b/model/fv3gfs/exp/cases/CROW_sh_df_Cindy_GFS@C384.yaml index f0ff72f..286a206 100644 --- a/model/fv3gfs/exp/cases/CROW_sh_df_Cindy_GFS@C384.yaml +++ b/model/fv3gfs/exp/cases/CROW_sh_df_Cindy_GFS@C384.yaml @@ -19,7 +19,7 @@ fv3_gdas_settings: &fv3_gdas_settings gfs_output_settings: &gfs_output_settings Template: *gfs_output_settings_template - FHMAX_GFS: 120 # Last GFS forecast hour (mandatory) + FHMAX_GFS: 24 # Last GFS forecast hour (mandatory) FHOUT_GFS: 6 # GFS output frequency (optional) OUTPUT_GRID: "gaussian_grid" @@ -34,8 +34,14 @@ prepbufr: &prepbufr ######################################################################## +places: &places + <<: *user_places + FORECASTSH: !expand "{HOMEcrow}/model/fv3gfs/scripts/exglobal_fcst_crow_df.sh" + +######################################################################## + case: - Template: !Template { <<: [ *case_template, *known_workflows ] } + Template: [ *case_template, *known_workflows ] SDATE: 2017-06-19t18:00:00 EDATE: 2017-06-22t12:00:00 diff --git a/model/fv3gfs/exp/cases/Cindy_GFS@C384.yaml b/model/fv3gfs/exp/cases/Cindy_GFS@C384.yaml index 5350ad9..f3300dc 100644 --- a/model/fv3gfs/exp/cases/Cindy_GFS@C384.yaml +++ b/model/fv3gfs/exp/cases/Cindy_GFS@C384.yaml @@ -34,8 +34,10 @@ prepbufr: &prepbufr ######################################################################## +places: &places { <<: *user_places } + case: - Template: !Template { <<: [ *case_template, *known_workflows ] } + Template: [ *case_template, *known_workflows ] SDATE: 2017-06-19t18:00:00 EDATE: 2017-06-22t12:00:00 diff --git a/model/fv3gfs/exp/cases/Harvey_GFS@C192.yaml b/model/fv3gfs/exp/cases/Harvey_GFS@C192.yaml index f89e075..ce38d1e 100644 --- a/model/fv3gfs/exp/cases/Harvey_GFS@C192.yaml +++ b/model/fv3gfs/exp/cases/Harvey_GFS@C192.yaml @@ -34,8 +34,10 @@ prepbufr: &prepbufr ######################################################################## +places: &places { <<: *user_places } + case: - Template: !Template { <<: [ *case_template, *known_workflows ] } + Template: [ *case_template, *known_workflows ] SDATE: 2017-08-17t12:00:00 EDATE: 2017-08-31t00:00:00 diff --git a/model/fv3gfs/exp/runtime/crow_sh_df_fcst_workflow.yaml b/model/fv3gfs/exp/runtime/crow_sh_df_fcst_workflow.yaml index 06d16c1..3c1860c 100644 --- a/model/fv3gfs/exp/runtime/crow_sh_df_fcst_workflow.yaml +++ b/model/fv3gfs/exp/runtime/crow_sh_df_fcst_workflow.yaml @@ -21,7 +21,7 @@ crow_sh_df_fcst_workflow: !Cycle Trigger: !Depend getics - gfs_cntrl_nc: !OutputSlot { Loc: !calc suite.ICSDIR_CYC+"gfs_cntrl.nc" } + gfs_ctrl_nc: !OutputSlot { Loc: !calc suite.ICSDIR_CYC+"gfs_ctrl.nc" } output_data_tiles: !OutputSlot tile: [ 1, 2, 3, 4, 5, 6 ] kind: [ sfc_data, gfs_data ] @@ -31,15 +31,15 @@ crow_sh_df_fcst_workflow: !Cycle Trigger: !Depend ics fcst: !Task - Perform: *crow_sh_fcst_gfs_action + Perform: *crow_sh_df_fcst_gfs_action Rocoto: *task_template COMOUT: !calc | doc.places.ROTDIR+"/gfs.{cycle:%Y%m%d}/{cycle:%H}/" # Inputs from fv3ic - gfs_cntrl_nc: !InputSlot - Out: !Message up.up.ics.fv3ics.gfs_cntrl_nc + gfs_ctrl_nc: !InputSlot + Out: !Message up.up.ics.fv3ics.gfs_ctrl_nc input_data_tiles: !InputSlot Out: !Message up.up.ics.fv3ics.output_data_tiles(tile=tile) tile: [ 1, 2, 3, 4, 5, 6 ] @@ -62,7 +62,7 @@ crow_sh_df_fcst_workflow: !Cycle - fv_tracer.res - phy_data - sfc_data - Loc: !calc COMOUT+"RESTART/"+"{cycle+dt_end:%Y%m%d.%H%M%S}.{kind}.tile{tile:%d}.nc" + Loc: !calc COMOUT+"RESTART/"+"{cycle+dt_end:%Y%m%d.%H%M%S}.{kind}.tile{tile}.nc" untimed_tiles: !OutputSlot tile: [ 1, 2, 3, 4, 5, 6 ] @@ -72,7 +72,7 @@ crow_sh_df_fcst_workflow: !Cycle - grid_spec - nggps2d - nggps3d - Loc: !calc COMOUT+"{kind}.tile{tile:%d}.nc" + Loc: !calc COMOUT+"{kind}.tile{tile}.nc" post: !Task Perform: *post_gfs_action diff --git a/model/fv3gfs/exp/setup_case.py b/model/fv3gfs/exp/setup_case.py index edb3d81..9fe7a34 100755 --- a/model/fv3gfs/exp/setup_case.py +++ b/model/fv3gfs/exp/setup_case.py @@ -140,8 +140,10 @@ def main(): with open(config_yaml,'wt') as fd: fd.write(yaml) - logger.info(f'Write the dataflow sqlite3 file: {dataflow_db}') - df=crow.dataflow.from_suite(suite,dataflow_db) + if not os.path.exists(dataflow_db): + logger.info(f'Write the dataflow sqlite3 file: {dataflow_db}') + df=crow.dataflow.from_suite(suite,dataflow_db) + #Uncomment to dump database to stdout: df.dump(sys.stdout) rocoto_xml_file=os.path.join(EXPDIR,f'{expname}.xml') logger.info(f'Rocoto XML file: {rocoto_xml_file}') diff --git a/model/fv3gfs/exp/user.yaml.default b/model/fv3gfs/exp/user.yaml.default index 30cb9cd..0182e54 100644 --- a/model/fv3gfs/exp/user.yaml.default +++ b/model/fv3gfs/exp/user.yaml.default @@ -1,4 +1,4 @@ -places: &places +user_places: &user_places <<: *default_places PROJECT_DIR: !error Please select a project directory. diff --git a/model/fv3gfs/exp/validation/fv3_forecast.yaml b/model/fv3gfs/exp/validation/fv3_forecast.yaml index 4aa7b24..2debc40 100644 --- a/model/fv3gfs/exp/validation/fv3_forecast.yaml +++ b/model/fv3gfs/exp/validation/fv3_forecast.yaml @@ -1,9 +1,6 @@ fv3_forecast_template: !Template &fv3_forecast_template - # Required variables with no defaults: QUILTING: { type: bool } - dt_atmos: { type: int } - hypterthread: { type: bool } cores_per_node: { type: int } OUTPUT_GRID: { type: string } @@ -12,11 +9,12 @@ fv3_forecast_template: !Template &fv3_forecast_template ENS_NUM: { type: int, default: 1 } ntiles: { type: int, default: 6 } NUM_FILES: { type: int, default: 2 } + hyperthread: { type: bool, default: false } filename_base: type: string stages: [ execution ] - default: "'{CDUMP}.t${CDUMP[8:]}z.atm' '{CDUMP}.t${CDUMP[8:]}z.sfc'" + default: !expand "'{CDUMP}.t{CDUMP[8:]}z.atm' '{CDUMP}.t{CDUMP[8:]}z.sfc'" rCDUMP: type: string @@ -28,15 +26,15 @@ fv3_forecast_template: !Template &fv3_forecast_template FIELD_TABLE: type: string - default: !expand "{doc.places.PARM_FV3DIAG/field_table}" + default: !expand "{doc.places.PARM_FV3DIAG}/field_table" DIAG_TABLE: type: string - default: !expand "{doc.places.PARM_FV3DIAG/diag_table}" + default: !expand "{doc.places.PARM_FV3DIAG}/diag_table" DATA_TABLE: type: string - default: !expand "{doc.places.PARM_FV3DIAG/data_table}" + default: !expand "{doc.places.PARM_FV3DIAG}/data_table" domains_stack_size: { type: int, default: 3000000 } print_memory_usage: @@ -58,58 +56,67 @@ fv3_forecast_template: !Template &fv3_forecast_template npy: { type: int, default: !calc res+1 } npz: { type: int, default: !calc LEVS-1 } - FNGLAC: { type: string, default: !expand "{FIX_AM}/global_glacier.2x2.grb" } - FNMXIC: { type: string, default: !expand "{FIX_AM}/global_maxice.2x2.grb"} - FNTSFC: { type: string, default: !expand "{FIX_AM}/RTGSST.1982.2012.monthly.clim.grb"} - FNSNOC: { type: string, default: !expand "{FIX_AM}/global_snoclim.1.875.grb"} + FNGLAC: { type: string, default: !expand "{doc.places.FIX_AM}/global_glacier.2x2.grb" } + FNMXIC: { type: string, default: !expand "{doc.places.FIX_AM}/global_maxice.2x2.grb"} + FNTSFC: { type: string, default: !expand "{doc.places.FIX_AM}/RTGSST.1982.2012.monthly.clim.grb"} + FNSNOC: { type: string, default: !expand "{doc.places.FIX_AM}/global_snoclim.1.875.grb"} FNZORC: { type: string, default: "igbp"} - FNALBC2: { type: string, default: !expand "{FIX_AM}/global_albedo4.1x1.grb"} - FNAISC: { type: string, default: !expand "{FIX_AM}/CFSR.SEAICE.1982.2012.monthly.clim.grb"} - FNTG3C: { type: string, default: !expand "{FIX_AM}/global_tg3clim.2.6x1.5.grb"} - FNVEGC: { type: string, default: !expand "{FIX_AM}/global_vegfrac.0.144.decpercent.grb"} - FNMSKH: { type: string, default: !expand "{FIX_AM}/seaice_newland.grb"} - FNVMNC: { type: string, default: !expand "{FIX_AM}/global_shdmin.0.144x0.144.grb"} - FNVMXC: { type: string, default: !expand "{FIX_AM}/global_shdmax.0.144x0.144.grb"} - FNSLPC: { type: string, default: !expand "{FIX_AM}/global_slope.1x1.grb"} + FNALBC2: { type: string, default: !expand "{doc.places.FIX_AM}/global_albedo4.1x1.grb"} + FNAISC: { type: string, default: !expand "{doc.places.FIX_AM}/CFSR.SEAICE.1982.2012.monthly.clim.grb"} + FNTG3C: { type: string, default: !expand "{doc.places.FIX_AM}/global_tg3clim.2.6x1.5.grb"} + FNVEGC: { type: string, default: !expand "{doc.places.FIX_AM}/global_vegfrac.0.144.decpercent.grb"} + FNMSKH: { type: string, default: !expand "{doc.places.FIX_AM}/seaice_newland.grb"} + FNVMNC: { type: string, default: !expand "{doc.places.FIX_AM}/global_shdmin.0.144x0.144.grb"} + FNVMXC: { type: string, default: !expand "{doc.places.FIX_AM}/global_shdmax.0.144x0.144.grb"} + FNSLPC: { type: string, default: !expand "{doc.places.FIX_AM}/global_slope.1x1.grb"} FNALBC: type: string - default: !FirstTrue - - do: !expand "{FIX_AM}/global_snowfree_albedo.bosu.t{JCAP}.{LONB}.{LATB}.rg.grb" - when: !calc tools.isfile(do) - - otherwise: "{FIX_AM}/global_snowfree_albedo.bosu.t1534.3072.1536.rg.grb" + default: !expand "{doc.places.FIX_AM}/global_snowfree_albedo.bosu.t{JCAP}.{LONB}.{LATB}.rg.grb" + override: !FirstTrue + - when: !calc not tools.isfile(FNALBC) + do: "{doc.places.FIX_AM}/global_snowfree_albedo.bosu.t1534.3072.1536.rg.grb" + - otherwise: null FNVETC: type: string - default: !FirstTrue - - do: !expand "{FIX_AM}/global_vegtype.igbp.t{JCAP}.{LONB}.{LATB}.rg.grb" - when: !calc tools.isfile(do) - - otherwise: "{FIX_AM}/global_vegtype.igbp.t1534.3072.1536.rg.grb" + default: !expand "{doc.places.FIX_AM}/global_vegtype.igbp.t{JCAP}.{LONB}.{LATB}.rg.grb" + override: !FirstTrue + - when: !calc not tools.isfile(FNVETC) + do: "{doc.places.FIX_AM}/global_vegtype.igbp.t1534.3072.1536.rg.grb" + - otherwise: null FNSOTC: type: string - default: !FirstTrue - - do: !expand "{FIX_AM}/global_soiltype.statsgo.t{JCAP}.{LONB}.{LATB}.rg.grb" - when: !calc tools.isfile(do) - - otherwise: "{FIX_AM}/global_soiltype.statsgo.t1534.3072.1536.rg.grb" + default: !expand "{doc.places.FIX_AM}/global_soiltype.statsgo.t{JCAP}.{LONB}.{LATB}.rg.grb" + otherwise: !FirstTrue + - when: !calc tools.isfile(FNSOTC) + do: "{doc.places.FIX_AM}/global_soiltype.statsgo.t1534.3072.1536.rg.grb" + - otherwise: null FNABSC: type: string - default: !FirstTrue - - do: !expand "{FIX_AM}/global_mxsnoalb.uariz.t{JCAP}.{LONB}.{LATB}.rg.grb" - when: !calc tools.isfile(do) - - otherwise: "{FIX_AM}/global_mxsnoalb.uariz.t1534.3072.1536.rg.grb" + default: !expand "{doc.places.FIX_AM}/global_mxsnoalb.uariz.t{JCAP}.{LONB}.{LATB}.rg.grb" + otherwise: !FirstTrue + - when: !calc tools.isfile(FNABSC) + do: "{doc.places.FIX_AM}/global_mxsnoalb.uariz.t1534.3072.1536.rg.grb" + - otherwise: null + + FNTSFA: { type: string, default: "" } + FNACNA: { type: string, default: "" } + FNSNOA: { type: string, default: "" } FNSMCC: type: string - default: !FirstTrue - - do: !expand "{FIX_AM}/global_soilmgldas.t{JCAP}.{LONB}.{LATB}.grb" - when: !calc tools.isfile(do) - - otherwise: "{FIX_AM}/global_soilmgldas.t1534.3072.1536.grb" + default: !expand "{doc.places.FIX_AM}/global_soilmgldas.t{JCAP}.{LONB}.{LATB}.grb" + otherwise: !FirstTrue + - when: !calc tools.isfile(FNSMCC) + do: "{doc.places.FIX_AM}/global_soilmgldas.t1534.3072.1536.grb" + - otherwise: null nstf_name: type: string - defauilt: "0,0,0,0,0" + default: "0,0,0,0,0" description: | nstf_name contains the NSST related parameters nstf_name(1) : 0 = NSSTM off, 1 = NSSTM on but uncoupled, 2 = NSSTM on and coupled @@ -140,7 +147,7 @@ fv3_forecast_template: !Template &fv3_forecast_template True = warm start from restart file False = CHGRES GFS analyses if_present: !FirstTrue - - when: warm_start==".true." + - when: !calc warm_start==".true." do: !Template na_init: { type: int, default: 0 } nggps_ic: @@ -167,9 +174,8 @@ fv3_forecast_template: !Template &fv3_forecast_template - when: !calc read_increment == ".true." do: "fv3_increment.nc" - otherwise: "" - - otherwise: - do: !Template - na_init: { type: int, default: 0 } + - otherwise: !Template + na_init: { type: int, default: 1 } nggps_ic: type: string @@ -225,6 +231,11 @@ fv3_forecast_template: !Template &fv3_forecast_template allowed: [ ".true.", ".false." ] default: ".false." + gfs_phys_debug: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + print_esmf: { type: bool, default: true } RUN_CONTINUE: { type: bool, default: false } ENS_SPS: { type: bool, default: false } @@ -233,8 +244,7 @@ fv3_forecast_template: !Template &fv3_forecast_template print_freq: - type: string - allowed: [ ".true.", ".false." ] + type: int default: 6 @@ -257,12 +267,10 @@ fv3_forecast_template: !Template &fv3_forecast_template hord_xx_hydro_nonmono: { type: int, default: 10 } vtdm4_hydro_nonmono: { type: float, default: 0.05 } - vtdm4_nh_nonmono: { type: float, default: 0.06 } - nh_type: type: string default: "nh" - if_present: + if_present: !FirstTrue - when: !calc nh_type == "nh" do: !Template hord_mt_mono: { type: int, default: !calc hord_mt_nh_mono } @@ -322,22 +330,22 @@ fv3_forecast_template: !Template &fv3_forecast_template type: string default: "non-mono" allowed: [ mono, non-mono ] - if_present: + if_present: !FirstTrue - when: !calc MONO == "mono" do: !Template d_con_mono: { type: float, default: 0. } d_con: { type: float, override: !calc d_con_mono } do_vort_damp: { type: string, override: ".false." } - hord_mt: !calc hord_mt_mono - hord_xx: !calc hord_xx_mono - vtdm: !calc vtdm_mono - - otherwise: + hord_mt: { type: int, override: !calc hord_mt_mono } + hord_xx: { type: int, override: !calc hord_xx_mono } + vtdm4: { type: float, override: !calc vtdm4_mono } + - otherwise: !Template d_con_nonmono: { type: float, default: 1. } d_con: { type: float, override: !calc d_con_nonmono } do_vort_damp: { type: string, override: ".true." } - hord_mt: !calc hord_mt_nonmono - hord_xx: !calc hord_xx_nonmono - vtdm: !calc vtdm_nonmono + hord_mt: { type: int, override: !calc hord_mt_nonmono } + hord_xx: { type: int, override: !calc hord_xx_nonmono } + vtdm4: { type: float, override: !calc vtdm4_nonmono } @@ -363,7 +371,7 @@ fv3_forecast_template: !Template &fv3_forecast_template default: ".false." tau: { type: float, default: 10. } - rf_cutoff: { type: float, default: 7.5e2 } + rf_cutoff: { type: float, default: 7.5e+2 } d2_bg_k1: { type: float, default: 0.15 } d2_bg_k2: { type: float, default: 0.02 } kord_tm: { type: int, default: -9 } @@ -413,11 +421,8 @@ fv3_forecast_template: !Template &fv3_forecast_template allowed: [ ".true.", ".false." ] default: ".false." ncld: + type: int default: 1 - zhao_mic: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." pdfcld: type: string allowed: [ ".true.", ".false." ] diff --git a/model/fv3gfs/jobs/fcst_df.sh b/model/fv3gfs/jobs/fcst_df.sh new file mode 100755 index 0000000..1e4e70c --- /dev/null +++ b/model/fv3gfs/jobs/fcst_df.sh @@ -0,0 +1,105 @@ +#! /bin/bash +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-10-08 16:02:04 +0000 (Sun, 08 Oct 2017) $ +# $Revision: 98185 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: fcst.sh 98185 2017-10-08 16:02:04Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Fanglin Yang Org: NCEP/EMC Date: October 2016 +## Rahul Mahajan Org: NCEP/EMC Date: April 2017 + +## Abstract: +## Model forecast driver script +## EXPDIR : /full/path/to/config/files +## CDATE : current analysis date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +############################################################### + +set -ex +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH bool:.true.,.false. from:true_false_vars ) + +############################################################### +# Set script and dependency variables +export DATA=$RUNDIR/$CDATE/$CDUMP/fcst +[[ -d $DATA ]] && rm -rf $DATA + +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) + +export GDATE=$($NDATE -$assim_freq $CDATE) +gymd=$(echo $GDATE | cut -c1-8) +ghh=$(echo $GDATE | cut -c9-10) + +# Default warm_start is OFF +export warm_start=".false." + +# If RESTART conditions exist; warm start the model +# Restart conditions for GFS cycle come from GDAS +rCDUMP=$CDUMP +[[ $CDUMP = "gfs" ]] && export rCDUMP="gdas" + +if [ -f $ROTDIR/${rCDUMP}.$gymd/$ghh/RESTART/${cymd}.${chh}0000.coupler.res ]; then + export warm_start=".true." + if [ -f $ROTDIR/${CDUMP}.$cymd/$chh/${CDUMP}.t${chh}z.atminc.nc ]; then + export read_increment=".true." + else + echo "WARNING: WARM START $CDUMP $CDATE WITHOUT READING INCREMENT!" + fi +fi + +# Forecast length for GFS forecast +if [ $CDUMP = "gfs" ]; then + export FHMIN=$FHMIN_GFS + export FHOUT=$FHOUT_GFS + export FHMAX=$FHMAX_GFS + export FHMAX_HF=$FHMAX_HF_GFS + export FHOUT_HF=$FHOUT_HF_GFS +fi + +############################################################### +# Run relevant exglobal script +$FORECASTSH +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Convert model native history files to nemsio + +export DATA=$ROTDIR/${CDUMP}.$cymd/$chh + +if [ $CDUMP = "gdas" ]; then + + if [ $OUTPUT_GRID = 'cubed_sphere_grid' -o $QUILTING = ".false." ]; then + # Regrid 6-tile output to global array in NEMSIO gaussian grid for DA + $REGRID_NEMSIO_SH + status=$? + [[ $status -ne 0 ]] && exit $status + fi + +elif [ $CDUMP = "gfs" ]; then + + if [ $OUTPUT_GRID = 'cubed_sphere_grid' -o $QUILTING = ".false." ]; then + # Remap 6-tile output to global array in NetCDF latlon + $REMAPSH + status=$? + [[ $status -ne 0 ]] && exit $status + fi + + if [ $WRITE_NEMSIOFILE = ".false." -o $QUILTING = ".false." ]; then + # Convert NetCDF to nemsio + $NC2NEMSIOSH + status=$? + [[ $status -ne 0 ]] && exit $status + fi + +fi + +############################################################### +# Exit out cleanly +exit 0 diff --git a/model/fv3gfs/jobs/fv3ic_df.sh b/model/fv3gfs/jobs/fv3ic_df.sh index 2fc55fa..868fc74 100755 --- a/model/fv3gfs/jobs/fv3ic_df.sh +++ b/model/fv3gfs/jobs/fv3ic_df.sh @@ -41,6 +41,9 @@ fi export OUTDIR="$DATA/outdir" mkdir -p "$OUTDIR" +$HOMEcrow/crow_dataflow_cycle_sh.py "$CROW_DATAFLOW_DB" add "$CDATE" +$HOMEcrow/crow_dataflow_cycle_sh.py "$CROW_DATAFLOW_DB" add "$CDATE" + export OMP_NUM_THREADS_CH=$NTHREADS_CHGRES export APRUNC=$APRUN_CHGRES @@ -54,19 +57,21 @@ fi set -xue -$HOMEcrow/crow_dataflow_deliver_sh.py -i "$OUTDIR/gfs_cntrl.nc" \ - "$CROW_DATAFLOW_DB" "$CDATE" "$TASK_PATH" slot=gfs_cntrl_nc +ACTOR=$( echo "$TASK_PATH" | sed 's,\.[^.]*$,,g' ) + +$HOMEcrow/crow_dataflow_deliver_sh.py -i "$OUTDIR/gfs_ctrl.nc" \ + "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=gfs_ctrl_nc -$HOMEcrow/crow_dataflow_deliver_sh.py -i "$OUTDIR/{kind}.tile{tile}.nc" \ - "$CROW_DATAFLOW_DB" "$CDATE" "$TASK_PATH" slot=output_data_tiles +$HOMEcrow/crow_dataflow_deliver_sh.py -m -i "$OUTDIR/{kind}.tile{tile}.nc" \ + "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=output_data_tiles # $HOMEcrow/crow_dataflow_deliver_sh.py \ # -i "$OUTDIR/RESTART/{cycle:%Y%m%d.%H%M%S}0000.{kind}.tile{tile:%d}.nc" \ -# "$crow_db" "$CDATE" "$TASK_PATH" "slot=end_time_tiles" +# "$crow_db" "$CDATE" "$ACTOR" "slot=end_time_tiles" # $HOMEcrow/crow_dataflow_deliver_sh.py \ # -i "$OUTDIR/RESTART/{kind}.tile{tile:%d}.nc" \ -# "$crow_db" "$CDATE" "$TASK_PATH" "slot=end_time_tiles" +# "$crow_db" "$CDATE" "$ACTOR" "slot=end_time_tiles" # $HOMEcrow/crow_dataflow_deliver_sh.py \ # -i "$OUTDIR/RESTART/{cycle:%Y%m%d" \ diff --git a/model/fv3gfs/scripts/exglobal_fcst_crow.sh b/model/fv3gfs/scripts/exglobal_fcst_crow.sh old mode 100644 new mode 100755 index b45bf8e..e0b746d --- a/model/fv3gfs/scripts/exglobal_fcst_crow.sh +++ b/model/fv3gfs/scripts/exglobal_fcst_crow.sh @@ -199,15 +199,23 @@ if [ ${SET_STP_SEED:-"YES"} = "YES" ]; then CROW_TO_SH="$CROW_TO_SH apply:ISEED_SKEB=$ISEED_SKEB apply:ISEED_SHUM=$ISEED_SHUM apply:ISEED_SPPT=$ISEED_SPPT" fi +set -eu + # Build the FMS diag_table with the experiment name and date stamp: -eval $( $CROW_TO_SH expand:diag_table_header ) > input.nml eval $( $CROW_TO_SH DIAG_TABLE=DIAG_TABLE ) +$CROW_TO_SH expand:diag_table_header > diag_table +cat diag_table cat $DIAG_TABLE >> diag_table # NEMS and FV3 namelists: -eval $( $CROW_TO_SH expand:input_nml ) > input.nml -eval $( $CROW_TO_SH expand:nems_configure ) > nems.configure -eval $( $CROW_TO_SH expand:model_configure ) > model_configure +$CROW_TO_SH expand:input_nml > input.nml +cat input.nml +$CROW_TO_SH expand:nems_configure > nems.configure +cat nems.configure +$CROW_TO_SH expand:model_configure > model_configure +cat model_configure + +set +eu #------------------------------------------------------------------ # setup the runtime environment and run the executable diff --git a/model/fv3gfs/scripts/exglobal_fcst_crow_df.sh b/model/fv3gfs/scripts/exglobal_fcst_crow_df.sh new file mode 100755 index 0000000..a2cd734 --- /dev/null +++ b/model/fv3gfs/scripts/exglobal_fcst_crow_df.sh @@ -0,0 +1,231 @@ +#!/bin/ksh +################################################################################ +# UNIX Script Documentation Block +# Script name: exglobal_fcst_nemsfv3gfs.sh.ecf +# Script description: Runs a global FV3GFS model forecast +# +# Author: Fanglin Yang Org: NCEP/EMC Date: 2016-11-15 +# Abstract: This script runs a single GFS forecast with FV3 dynamical core. +# This script is created based on a C-shell script that GFDL wrote +# for the NGGPS Phase-II Dycore Comparison Project. +# +# Script history log: +# 2016-11-15 Fanglin Yang First Version. +# 2017-02-09 Rahul Mahajan Added warm start and restructured the code. +# 2017-03-10 Fanglin Yang Updated for running forecast on Cray. +# 2017-03-24 Fanglin Yang Updated to use NEMS FV3GFS with IPD4 +# 2017-05-24 Rahul Mahajan Updated for cycling with NEMS FV3GFS +# 2017-09-13 Fanglin Yang Updated for using GFDL MP and Write Component +# +# $Id$ +# +# Attributes: +# Language: Portable Operating System Interface (POSIX) Shell +# Machine: WCOSS-CRAY, Theia +################################################################################ + +# Set environment. +VERBOSE=${VERBOSE:-"YES"} +if [ $VERBOSE = "YES" ] ; then + echo $(date) EXECUTING $0 $* >&2 + set -x +fi + +# This should be in the script that calls this script, not here +machine=${machine:-"WCOSS_C"} +machine=$(echo $machine | tr '[a-z]' '[A-Z]') +if [ $machine = "WCOSS_C" ] ; then + . $MODULESHOME/init/sh 2>/dev/null + PRGENV=${PRGENV:-intel} + HUGEPAGES=${HUGEPAGES:-hugepages4M} + module unload prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null + module load prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null + module load intel/16.3.210 2>/dev/null + module use /usrx/local/dev/modulefiles + export IOBUF_PARAMS=${IOBUF_PARAMS:-'*:size=8M:verbose'} + export MPICH_GNI_COLL_OPT_OFF=${MPICH_GNI_COLL_OPT_OFF:-MPI_Alltoallv} + export MKL_CBWR=AVX2 + module use /gpfs/hps3/emc/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null + module load esmf/7.1.0bs34 2>/dev/null +elif [ $machine = "THEIA" ]; then + . $MODULESHOME/init/sh 2>/dev/null + module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null + module load esmf/7.1.0bs34 2>/dev/null +fi + +# Directories. +pwd=$(pwd) +DATA=${DATA:-$pwd/fv3tmp$$} # temporary running directory +SEND=${SEND:-"YES"} #move final result to rotating directory +KEEPDATA=${KEEPDATA:-"NO"} +NTASKS_FV3=${NTASKS_FV3:-$npe_fv3} + +#------------------------------------------------------- +if [ ! -d $ROTDIR ]; then mkdir -p $ROTDIR; fi +if [ ! -d $DATA ]; then mkdir -p $DATA ;fi +mkdir -p $DATA/RESTART $DATA/INPUT +cd $DATA || exit 8 + +#------------------------------------------------------- +# member directory +if [ $MEMBER -lt 0 ]; then + prefix=$CDUMP + rprefix=$rCDUMP + memchar="" +else + prefix=enkf.$CDUMP + rprefix=enkf.$rCDUMP + memchar=mem$(printf %03i $MEMBER) +fi +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) +memdir=$ROTDIR/${prefix}.$cymd/$chh/$memchar +if [ ! -d $memdir ]; then mkdir -p $memdir; fi + +GDATE=$($NDATE -$assim_freq $CDATE) +gymd=$(echo $GDATE | cut -c1-8) +ghh=$(echo $GDATE | cut -c9-10) +gmemdir=$ROTDIR/${rprefix}.$gymd/$ghh/$memchar + +#------------------------------------------------------- +# initial conditions +set -ue +increment_file=${increment_file:-$memdir/${CDUMP}.t${chh}z.atminc.nc} + +ACTOR=$( echo "$TASK_PATH" | sed 's,\.[^.]*$,,g' ) + +$HOMEcrow/crow_dataflow_deliver_sh.py -v -o "$DATA/INPUT/gfs_ctrl.nc" \ + "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=gfs_ctrl_nc + +$HOMEcrow/crow_dataflow_deliver_sh.py -v -m -o "$DATA/INPUT/{kind}.tile{tile}.nc" \ + "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=input_data_tiles +set +ue + +nfiles=$(ls -1 $DATA/INPUT/* | wc -l) +if [ $nfiles -le 0 ]; then + echo "Initial conditions must exist in $DATA/INPUT, ABORT!" + exit 1 +fi + +#-------------------------------------------------------------------------- +# Grid and orography data +for n in $(seq 1 $ntiles); do + $NLN $FIX_FV3/$CASE/${CASE}_grid.tile${n}.nc $DATA/INPUT/${CASE}_grid.tile${n}.nc + $NLN $FIX_FV3/$CASE/${CASE}_oro_data.tile${n}.nc $DATA/INPUT/oro_data.tile${n}.nc +done +$NLN $FIX_FV3/$CASE/${CASE}_mosaic.nc $DATA/INPUT/grid_spec.nc + +# GFS standard input data + +$NLN $FIX_AM/global_solarconstant_noaa_an.txt $DATA/solarconstant_noaa_an.txt +$NLN $FIX_AM/global_o3prdlos.f77 $DATA/INPUT/global_o3prdlos.f77 +$NLN $FIX_AM/global_sfc_emissivity_idx.txt $DATA/sfc_emissivity_idx.txt + +$NLN $FIX_AM/global_co2historicaldata_glob.txt $DATA/co2historicaldata_glob.txt +$NLN $FIX_AM/co2monthlycyc.txt $DATA/co2monthlycyc.txt +if [ $ICO2 -gt 0 ]; then + for file in $(ls $FIX_AM/fix_co2_proj/global_co2historicaldata*) ; do + $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") + done +fi + +$NLN $FIX_AM/global_climaeropac_global.txt $DATA/aerosol.dat +if [ $IAER -gt 0 ] ; then + for file in $(ls $FIX_AM/global_volcanic_aerosols*) ; do + $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") + done +fi + +#------------------------------------------------------------------ +# Namelists. + +CROW_TO_SH="$HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH" + +# Override stochastic physics seeds if requested: +if [ ${SET_STP_SEED:-"YES"} = "YES" ]; then + ISEED_SKEB=$((CDATE*1000 + MEMBER*10 + 1)) + ISEED_SHUM=$((CDATE*1000 + MEMBER*10 + 2)) + ISEED_SPPT=$((CDATE*1000 + MEMBER*10 + 3)) + CROW_TO_SH="$CROW_TO_SH apply:ISEED_SKEB=$ISEED_SKEB apply:ISEED_SHUM=$ISEED_SHUM apply:ISEED_SPPT=$ISEED_SPPT" +fi + +set -eu + +# Build the FMS diag_table with the experiment name and date stamp: +eval $( $CROW_TO_SH DIAG_TABLE=DIAG_TABLE ) +$CROW_TO_SH expand:diag_table_header > diag_table +cat diag_table +cat $DIAG_TABLE >> diag_table + +# NEMS and FV3 namelists: +$CROW_TO_SH expand:input_nml > input.nml +cat input.nml +$CROW_TO_SH expand:nems_configure > nems.configure +cat nems.configure +$CROW_TO_SH expand:model_configure > model_configure +cat model_configure + +set +eu + +#------------------------------------------------------------------ +# setup the runtime environment and run the executable +cd $DATA +$NCP $FCSTEXECDIR/$FCSTEXEC $DATA/. +export OMP_NUM_THREADS=$NTHREADS_FV3 +$APRUN_FV3 $DATA/$FCSTEXEC 1>&1 2>&2 +export ERR=$? +export err=$ERR +$ERRSCRIPT || exit $err + +#------------------------------------------------------------------ +if [ $SEND = "YES" ]; then + # Copy model output files + cd $DATA + if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then + $NCP ${CDUMP}.t${chh}z.atm*.nemsio $memdir/. + $NCP ${CDUMP}.t${chh}z.sfc*.nemsio $memdir/. + else + for n in $(seq 1 $ntiles); do + for file in *.tile${n}.nc; do + $NCP $file $memdir/. + done + done + fi + + # Copy model restart files + cd $DATA/RESTART + mkdir -p $memdir/RESTART + + # Add time-stamp to restart files at FHMAX (this should be done inside the model) + RDATE=$($NDATE +$FHMAX $CDATE) + rymd=$(echo $RDATE | cut -c1-8) + rhh=$(echo $RDATE | cut -c9-10) + for file in $(ls * | grep -v 0000); do + $NMV $file ${rymd}.${rhh}0000.$file + done + + # Only save restarts at single time in RESTART directory + # Either at FHMAX or at first time in restart_interval + if [ $restart_interval -eq 0 ]; then + RDATE=$($NDATE +$FHMAX $CDATE) + else + RDATE=$($NDATE +$restart_interval $CDATE) + fi + rymd=$(echo $RDATE | cut -c1-8) + rhh=$(echo $RDATE | cut -c9-10) + for file in ${rymd}.${rhh}0000.* ; do + $NCP $file $memdir/RESTART/$file + done + +fi + +#------------------------------------------------------------------ +# Clean up before leaving +if [ $KEEPDATA = "NO" ]; then rm -rf $DATA; fi + +#------------------------------------------------------------------ +set +x +if [ $VERBOSE = "YES" ] ; then + echo $(date) EXITING $0 with return code $err >&2 +fi +exit 0 diff --git a/to_sh.py b/to_sh.py index 642ae59..cb11c6d 100755 --- a/to_sh.py +++ b/to_sh.py @@ -15,7 +15,7 @@ from datetime import datetime logger=logging.getLogger('CROW') -logging.basicConfig(level=logging.DEBUG,stream=sys.stderr) +logging.basicConfig(level=logging.INFO,stream=sys.stderr) UNSET_VARIABLE=object() SUCCESS=object() From 03ec7079c48eb0d0f87c0c601c5c83efc324e978 Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Mon, 13 Nov 2017 22:07:35 +0000 Subject: [PATCH 233/487] Added seperate test for NetCDF files that engnore header differnces --- tests/regression/fv3gfs_regression.sh | 76 +++++++++++++++++++-------- 1 file changed, 53 insertions(+), 23 deletions(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index 8626d5b..d8935e1 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -16,7 +16,7 @@ usage () { # Traps that only allow the above inputs -if [[ "$#" -gt "3" ]] || [[ $1 == '--help' ]]; then +if [[ "$#" -gt "4" ]] || [[ $1 == '--help' ]]; then usage fi @@ -134,7 +134,8 @@ if [[ $COMPAIR_BASE == 'TRUE' ]]; then fi fi -fv3gfs_git_branch='master' +#fv3gfs_git_branch='master' +fv3gfs_git_branch='hardcode_execs' # Leave fv3gfs_svn_url blank to use git branch in fv3gfs_git_branch fv3gfs_svn_url='' load_rocoto='rocoto/1.2.4' @@ -158,18 +159,22 @@ if [[ -d $1 ]] && [[ -d $2 ]]; then check_baseline_dir_with_this_dir=$( find_data_dir $check_baseline_dir_with_this_dir ) log_message "INFO" "simply doing a diff on these two directories:\n $check_baseline_dir \n $check_baseline_dir_with_this_dir" JUST_COMPAIR_TWO_DIRS='TRUE' - if [[ -z $3 ]]; then - regressionID='compair' - else - regressionID=$3 - fi + if [[ -z $3 ]]; then + regressionID='compair' + else + regressionID=$3 + fi fi INTERACTIVE='TRUE' -if [[ $1 == "--non-interactive" ]] || [[ $2 == "--non-interactive" ]] || [[ $3 == "--non-interactive" ]]; then +while test $# -gt 0 +do + if [[ $1 == "--non-interactive" ]]; then INTERACTIVE='FALSE' -fi - + break + fi + shift +done if [[ $INTERACTIVE == "TRUE" ]]; then echo -e "Current Settings are:\n" @@ -189,6 +194,7 @@ if [[ $INTERACTIVE == "TRUE" ]]; then exit fi if [[ $answer == "y" ]]; then + echo -e "\n" break fi echo "" @@ -240,7 +246,6 @@ username=`echo ${USER} | tr '[:upper:]' '[:lower:]'` setup_expt=${CHECKOUT_DIR}/${checkout_dir_basename}/gfs_workflow.${fv3gfs_ver}/ush/setup_expt.py setup_workflow=${CHECKOUT_DIR}/${checkout_dir_basename}/gfs_workflow.${fv3gfs_ver}/ush/setup_workflow.py config_dir=${CHECKOUT_DIR}/${checkout_dir_basename}/gfs_workflow.${fv3gfs_ver}/config -comrot_test_dir=$comrot/$pslot if [[ $CHECKOUT == 'TRUE' ]]; then cd ${CHECKOUT_DIR} @@ -270,6 +275,7 @@ fi exp_setup_string="--pslot ${pslot} --icsdir $ICS_dir --configdir ${config_dir} --comrot ${comrot} --idate $idate --edate $edate --expdir ${CHECKOUT_DIR}" EXP_FULLPATH=${CHECKOUT_DIR}/${pslot} +comrot_test_dir=${comrot}/RUNDIRS/${pslot} if [[ $CREATE_EXP == 'TRUE' ]]; then @@ -281,11 +287,11 @@ if [[ $CREATE_EXP == 'TRUE' ]]; then rm -Rf $EXP_FULLPATH log_message "INFO" "experiment directory is $EXP_FULLPATH $removed" removed='' - if [[ -d ${comrot}/${pslot} ]]; then + if [[ -d $comrot_test_dir ]]; then removed='it was present but now has been removed' fi - rm -Rf ${comrot}/${pslot} - log_message "INFO" "comrot directory is ${comrot}/${pslot} $removed" + rm -Rf $comrot_test_dir + log_message "INFO" "comrot directory is $comrot_test_dir $removed" ${setup_expt} ${exp_setup_string} log_message "INFO" "setting up workflow: ${setup_workflow} --expdir $EXP_FULLPATH" @@ -319,7 +325,7 @@ if [[ $RUNROCOTO == 'TRUE' ]]; then log_message "INTO" "moving to PWD $EXP_FULLPATH to run cycleing in experiment directory" cd ${EXP_FULLPATH} - log_message "INFO" "Starting to run fv3gfs cycling regression test run using $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml" + log_message "INFO" "starting to run fv3gfs cycling regression test run using $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml" log_message "INFO" "running $rocotoruncmd from $PWD" $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml @@ -363,12 +369,20 @@ if [[ $RUNROCOTO == 'TRUE' ]]; then sleep 5m done - log_message "INFO" "Rocotorun completed successfully" + log_message "INFO" "rocotorun completed successfully" fi diff_file_name="${CHECKOUT_DIR}/diff_file_list_${regressionID}.txt" if [[ $COMPAIR_BASE == 'TRUE' ]]; then + total_number_files=`find $check_baseline_dir -type f | wc -l` + if [[ $system == "theia" ]]; then + module load nccmp + NCCMP=`which nccmp` + else + NCCMP=/gpfs/hps3/emc/nems/noscrub/emc.nemspara/FV3GFS_V0_RELEASE/util/nccmp + fi + if [[ $JUST_COMPAIR_TWO_DIRS=='TRUE' ]]; then comrot_test_dir=$check_baseline_dir_with_this_dir fi @@ -376,15 +390,31 @@ if [[ $COMPAIR_BASE == 'TRUE' ]]; then if [[ ! -d $check_baseline_dir ]] || [[ ! -d $comrot_test_dir ]]; then log_message "CRITICAL" "One of the target directories does not exist" fi - log_message "INFO" "Moving to direcotry $comrot to do the compare" - if [[ -d $comrot ]]; then - cd $comrot + log_message "INFO" "moving to directory $comrot_test_dir to do the compare" + if [[ -d $comrot_test_dir ]]; then + cd $comrot_test_dir/.. else - log_message "CRITICAL" "The directory $comrot does not exsist" + log_message "CRITICAL" "The directory $comrot_test_dir does not exsist" fi check_baseline_dir_basename=`basename $check_baseline_dir` comrot_test_dir_basename=`basename $comrot_test_dir` - log_message "INFO" "running command: diff --brief -Nr --exclude \"*.log*\" $check_baseline_dir_basename $comrot_test_dir_basename >& $diff_file_name" - diff --brief -Nr --exclude "*.log*" $check_baseline_dir_basename $comrot_test_dir_basename > ${diff_file_name} 2>&1 - log_message "INFO" "completed runing diff for fv3gfs regression test ($regressionID) resluts in file: $diff_file_name" + log_message "INFO" "running command: diff --brief -Nr --exclude \"*.log*\" --exclude \"*.nc\" $check_baseline_dir_basename $comrot_test_dir_basename >& $diff_file_name" + diff --brief -Nr --exclude "*.log*" --exclude "*.nc" $check_baseline_dir_basename $comrot_test_dir_basename > ${diff_file_name} 2>&1 + + log_message "INFO" "comparing NetCDF files ..." + find . -name "*.nc" > netcdf_filelist.txt + while IFS=/ read netcdf_file; do + comp_base=`basename $netcdf_file` + dir_name=`dirname $netcdf_file` + just_dir=`echo "$dir_name" | sed 's,^[^/]*/,,'` + #echo "$just_dir/$comp_base $netcdf_file" + diff $just_dir/$comp_base $just_dir/$comp_base + if [[ $? != 0 ]]; then + $NCCMP -d $just_dir/$comp_base $just_dir/$comp_base >> ${diff_file_name} 2>&1 + fi + done < netcdf_filelist.txt + number_diff=`wc -l $diff_file_name | cut -d" " -f1` + log_message "INFO" "completed runing diff for fv3gfs regression test ($regressionID) and found resluts in file: $diff_file_name" + log_message "INFO" "out of $total_number_files files, there where $number_diff that differed" + rm netcdf_filelist.txt fi From db4d72bf394b86e0a0922794fc9be8ed6d543eca Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 14 Nov 2017 01:25:09 +0000 Subject: [PATCH 234/487] Config system connects properly to exglobal_fcst_crow.sh and _df equivalent. Dataflow not yet connected properly --- crow/config/eval_tools.py | 14 ++++++++++--- crow/config/tasks.py | 5 +++-- crow/config/to_yaml.py | 8 ++++--- crow/dataflow/from_suite_impl.py | 3 +-- crow/metascheduler/rocoto.py | 3 ++- model/fv3gfs/exp/actions/crow_fcst_sh.yaml | 2 +- .../exp/runtime/crow_sh_df_fcst_workflow.yaml | 2 +- model/fv3gfs/exp/runtime/runtime.yaml | 16 ++++++++++++++ model/fv3gfs/scripts/exglobal_fcst_crow.sh | 21 ++++++++++++------- model/fv3gfs/scripts/exglobal_fcst_crow_df.sh | 7 ++++++- 10 files changed, 60 insertions(+), 21 deletions(-) diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 62d4df5..c29035e 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -48,7 +48,15 @@ def _is_error(self): pass class expand(str): """!Represents a literal format string.""" def _result(self,globals,locals): - return eval("f'''"+self+"'''",globals,locals) + if "'''" in self: + raise ValueError("!expand strings cannot include three single " + f"quotes in a row ('''): {self[:80]}") + cmd=self + if cmd[-1] == "'": + cmd=cmd[:-1] + "\\" + cmd[-1] + return eval("f'''"+cmd+"'''",globals,locals) + +#f''''blah bla'h \'''' class strcalc(str): """Represents a string that should be run through eval()""" @@ -68,8 +76,8 @@ def from_config(key,val,globals,locals,path): return from_config(key,result,globals,locals,path) return val except(SyntaxError,TypeError,KeyError,NameError,IndexError,AttributeError) as ke: - raise CalcKeyError(f'{path}: {type(val).__name__} {str(val)[0:40]} - ' - f'{type(ke).__name__} {str(ke)} - scope keys: {list(locals.keys())}') + raise CalcKeyError(f'{path}: {type(val).__name__} {str(val)[0:80]} - ' + f'{type(ke).__name__} {str(ke)}') except RecursionError as re: raise CalcRecursionTooDeep('%s: !%s %s'%( str(key),type(val).__name__,str(val))) diff --git a/crow/config/tasks.py b/crow/config/tasks.py index b3ce908..01c7623 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -10,7 +10,7 @@ """ from functools import reduce -import operator, io +import operator, io, logging from datetime import timedelta from abc import abstractmethod from collections import namedtuple, OrderedDict, Sequence @@ -36,7 +36,7 @@ def __str__(self): return self.name RUNNING=StateConstant('RUNNING') COMPLETED=StateConstant('COMPLETED') FAILED=StateConstant('FAILED') - +_logger=logging.getLogger('crow.config') MISSING=object() VALID_STATES=[ 'RUNNING', 'FAILED', 'COMPLETED' ] ZERO_DT=timedelta() @@ -301,6 +301,7 @@ def __init__(self,suite,more_globals=EMPTY_DICT): raise TypeError('The top level of a suite must be a Cycle not ' 'a %s.'%(type(suite).__name__,)) viewed=deepcopy(suite) + old_doc=suite._get_globals()['doc'] globals=dict(viewed._globals()) assert(globals['tools'] is not None) globals.update(suite=self, diff --git a/crow/config/to_yaml.py b/crow/config/to_yaml.py index 583f456..3f96795 100644 --- a/crow/config/to_yaml.py +++ b/crow/config/to_yaml.py @@ -1,7 +1,7 @@ import yaml import sys, logging from yaml.nodes import MappingNode, ScalarNode, SequenceNode - +from copy import copy from collections import OrderedDict from collections.abc import Mapping from crow.tools import Clock @@ -23,7 +23,7 @@ def to_yaml(yml): if hasattr(yml,'_raw_cache'): - yml=yml._raw_cache().copy() + yml=copy(yml._raw_child()) return yaml.dump(yml) ######################################################################## @@ -182,5 +182,7 @@ def represent_Clock(dumper,data): yaml.add_representer(Clock,represent_Clock) def represent_ClockMaker(dumper,data): - return dumper.represent_mapping('!Clock',data._raw_child()) + while hasattr(data,'_raw_child'): + data=data._raw_child() + return dumper.represent_mapping('!Clock',data) yaml.add_representer(ClockMaker,represent_ClockMaker) diff --git a/crow/dataflow/from_suite_impl.py b/crow/dataflow/from_suite_impl.py index e55a361..35276b6 100644 --- a/crow/dataflow/from_suite_impl.py +++ b/crow/dataflow/from_suite_impl.py @@ -95,8 +95,7 @@ def from_suite(suite,filename): oslot=None for oslot in df.find_output_slot( - odata.get_actor_path(),odata.get_slot_name(), - odata.get_meta()): + odata.get_actor_path(),odata.get_slot_name(),ometa): break islot=None diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index bc7de38..c025b53 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -1,6 +1,7 @@ import sys from datetime import timedelta, datetime from io import StringIO +from copy import copy from crow.tools import typecheck from collections import namedtuple from collections.abc import Sequence, Mapping @@ -119,7 +120,7 @@ def __init__(self,suite): self.__families_with_completes=set() def make_time_xml(self,indent=1): - clock=self.suite.Clock + clock=copy(self.suite.Clock) start_time=clock.start.strftime('%Y%m%d%H%M') end_time=clock.end.strftime('%Y%m%d%H%M') step=to_timedelta(clock.step) # convert to python timedelta diff --git a/model/fv3gfs/exp/actions/crow_fcst_sh.yaml b/model/fv3gfs/exp/actions/crow_fcst_sh.yaml index 4ab50ce..244c1e6 100644 --- a/model/fv3gfs/exp/actions/crow_fcst_sh.yaml +++ b/model/fv3gfs/exp/actions/crow_fcst_sh.yaml @@ -456,7 +456,7 @@ crow_sh_fcst_gfs: &crow_sh_fcst_gfs_action !Action - [ doc.platform.mpi_tuning, ".*" ] - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] CDUMP: gfs - walltime: !timedelta 00:10:00 + walltime: !timedelta 02:35:00 # walltime: !timedelta 02:35:00 FHOUT: !calc doc.gfs_output_settings.FHOUT_GFS FHMAX: !calc doc.gfs_output_settings.FHMAX_GFS diff --git a/model/fv3gfs/exp/runtime/crow_sh_df_fcst_workflow.yaml b/model/fv3gfs/exp/runtime/crow_sh_df_fcst_workflow.yaml index 3c1860c..f495ac8 100644 --- a/model/fv3gfs/exp/runtime/crow_sh_df_fcst_workflow.yaml +++ b/model/fv3gfs/exp/runtime/crow_sh_df_fcst_workflow.yaml @@ -41,7 +41,7 @@ crow_sh_df_fcst_workflow: !Cycle gfs_ctrl_nc: !InputSlot Out: !Message up.up.ics.fv3ics.gfs_ctrl_nc input_data_tiles: !InputSlot - Out: !Message up.up.ics.fv3ics.output_data_tiles(tile=tile) + Out: !Message up.up.ics.fv3ics.output_data_tiles(tile=tile,kind=kind) tile: [ 1, 2, 3, 4, 5, 6 ] kind: [ sfc_data, gfs_data ] diff --git a/model/fv3gfs/exp/runtime/runtime.yaml b/model/fv3gfs/exp/runtime/runtime.yaml index 2b9c2b6..5f69a04 100644 --- a/model/fv3gfs/exp/runtime/runtime.yaml +++ b/model/fv3gfs/exp/runtime/runtime.yaml @@ -10,3 +10,19 @@ clock: &clock !Clock - do: !calc tools.from_YMDH(tools.env('CDATE')) when: !calc tools.have_env('CDATE') - otherwise: null + +clock2: !Clock + start: !calc doc.case.SDATE + end: !calc doc.case.EDATE + step: !timedelta 06:00 + now: !FirstTrue + - do: !calc tools.from_YMDH(tools.env('CDATE')) + when: !calc tools.have_env('CDATE') + - otherwise: null + +test1: + test2: + test3: !FirstTrue + - do: !calc tools.from_YMDH(tools.env('CDATE')) + when: !calc tools.have_env('CDATE') + - otherwise: null diff --git a/model/fv3gfs/scripts/exglobal_fcst_crow.sh b/model/fv3gfs/scripts/exglobal_fcst_crow.sh index e0b746d..0415c49 100755 --- a/model/fv3gfs/scripts/exglobal_fcst_crow.sh +++ b/model/fv3gfs/scripts/exglobal_fcst_crow.sh @@ -55,16 +55,18 @@ fi # Directories. pwd=$(pwd) -DATA=${DATA:-$pwd/fv3tmp$$} # temporary running directory +DATA=${DATA:-$( pwd -P )/fv3tmp$$} # temporary running directory SEND=${SEND:-"YES"} #move final result to rotating directory KEEPDATA=${KEEPDATA:-"NO"} NTASKS_FV3=${NTASKS_FV3:-$npe_fv3} #------------------------------------------------------- +set -ue if [ ! -d $ROTDIR ]; then mkdir -p $ROTDIR; fi if [ ! -d $DATA ]; then mkdir -p $DATA ;fi mkdir -p $DATA/RESTART $DATA/INPUT -cd $DATA || exit 8 +cd $DATA +set +ue #------------------------------------------------------- # member directory @@ -202,17 +204,22 @@ fi set -eu # Build the FMS diag_table with the experiment name and date stamp: +pwd +ls -ld . eval $( $CROW_TO_SH DIAG_TABLE=DIAG_TABLE ) -$CROW_TO_SH expand:diag_table_header > diag_table +$CROW_TO_SH expand:diag_table_header > ./diag_table cat diag_table -cat $DIAG_TABLE >> diag_table +cat $DIAG_TABLE >> ./diag_table + +$NCP $DATA_TABLE data_table +$NCP $FIELD_TABLE field_table # NEMS and FV3 namelists: -$CROW_TO_SH expand:input_nml > input.nml +$CROW_TO_SH expand:input_nml > ./input.nml cat input.nml -$CROW_TO_SH expand:nems_configure > nems.configure +$CROW_TO_SH expand:nems_configure > ./nems.configure cat nems.configure -$CROW_TO_SH expand:model_configure > model_configure +$CROW_TO_SH expand:model_configure > ./model_configure cat model_configure set +eu diff --git a/model/fv3gfs/scripts/exglobal_fcst_crow_df.sh b/model/fv3gfs/scripts/exglobal_fcst_crow_df.sh index a2cd734..62b4b56 100755 --- a/model/fv3gfs/scripts/exglobal_fcst_crow_df.sh +++ b/model/fv3gfs/scripts/exglobal_fcst_crow_df.sh @@ -61,10 +61,12 @@ KEEPDATA=${KEEPDATA:-"NO"} NTASKS_FV3=${NTASKS_FV3:-$npe_fv3} #------------------------------------------------------- +set -ue if [ ! -d $ROTDIR ]; then mkdir -p $ROTDIR; fi if [ ! -d $DATA ]; then mkdir -p $DATA ;fi mkdir -p $DATA/RESTART $DATA/INPUT -cd $DATA || exit 8 +cd $DATA +set +ue #------------------------------------------------------- # member directory @@ -157,6 +159,9 @@ $CROW_TO_SH expand:diag_table_header > diag_table cat diag_table cat $DIAG_TABLE >> diag_table +$NCP $DATA_TABLE data_table +$NCP $FIELD_TABLE field_table + # NEMS and FV3 namelists: $CROW_TO_SH expand:input_nml > input.nml cat input.nml From 76218b973b086c14923c6ccbd265114edfdcd196 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 14 Nov 2017 05:32:19 +0000 Subject: [PATCH 235/487] Dataflow input to forecast now works. Fixed a bug I missed in config too. --- model/fv3gfs/exp/actions/crow_fcst_sh.yaml | 4 +++- model/fv3gfs/exp/cases/CROW_sh_df_Cindy_GFS@C384.yaml | 2 +- model/fv3gfs/exp/validation/fv3_forecast.yaml | 3 ++- 3 files changed, 6 insertions(+), 3 deletions(-) diff --git a/model/fv3gfs/exp/actions/crow_fcst_sh.yaml b/model/fv3gfs/exp/actions/crow_fcst_sh.yaml index 244c1e6..d48fa02 100644 --- a/model/fv3gfs/exp/actions/crow_fcst_sh.yaml +++ b/model/fv3gfs/exp/actions/crow_fcst_sh.yaml @@ -450,7 +450,7 @@ crow_sh_fcst_gfs: &crow_sh_fcst_gfs_action !Action <<: [ *fv3_gfs_settings, *data_assimilation, *crow_sh_fcst_base ] Template: [ *fv3_settings_template, *fv3_forecast_template ] Inherit: !Inherit - - [ doc.fv3_gdas_settings, ".*" ] + - [ doc.fv3_gfs_settings, ".*" ] - [ doc.data_assimilation, ".*" ] - [ doc.gfs_output_settings, ".*" ] - [ doc.platform.mpi_tuning, ".*" ] @@ -465,6 +465,8 @@ crow_sh_fcst_gfs: &crow_sh_fcst_gfs_action !Action FHMAX_HF: !calc doc.gfs_output_settings.FHMAX_HF_GFS FHOUT_HF: !calc doc.gfs_output_settings.FHOUT_HF_GFS + KEEPDATA: YES + crow_sh_df_fcst_gdas: &crow_sh_df_fcst_gdas_action !Action <<: *crow_sh_fcst_gdas_action J_JOB: fcst_df diff --git a/model/fv3gfs/exp/cases/CROW_sh_df_Cindy_GFS@C384.yaml b/model/fv3gfs/exp/cases/CROW_sh_df_Cindy_GFS@C384.yaml index 286a206..977fa82 100644 --- a/model/fv3gfs/exp/cases/CROW_sh_df_Cindy_GFS@C384.yaml +++ b/model/fv3gfs/exp/cases/CROW_sh_df_Cindy_GFS@C384.yaml @@ -19,7 +19,7 @@ fv3_gdas_settings: &fv3_gdas_settings gfs_output_settings: &gfs_output_settings Template: *gfs_output_settings_template - FHMAX_GFS: 24 # Last GFS forecast hour (mandatory) + FHMAX_GFS: 120 # Last GFS forecast hour (mandatory) FHOUT_GFS: 6 # GFS output frequency (optional) OUTPUT_GRID: "gaussian_grid" diff --git a/model/fv3gfs/exp/validation/fv3_forecast.yaml b/model/fv3gfs/exp/validation/fv3_forecast.yaml index 2debc40..13b293b 100644 --- a/model/fv3gfs/exp/validation/fv3_forecast.yaml +++ b/model/fv3gfs/exp/validation/fv3_forecast.yaml @@ -14,7 +14,8 @@ fv3_forecast_template: !Template &fv3_forecast_template filename_base: type: string stages: [ execution ] - default: !expand "'{CDUMP}.t{CDUMP[8:]}z.atm' '{CDUMP}.t{CDUMP[8:]}z.sfc'" + default: !expand | + '{CDUMP}.t{doc.clock.now:%H}z.atm' '{CDUMP}.t{doc.clock.now:%H}z.sfc' rCDUMP: type: string From 0f055512de1c68c1e02b04f95d53f43aedc762c7 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 14 Nov 2017 05:32:44 +0000 Subject: [PATCH 236/487] added the crow_dataflow_check_sh.py that was missing; this is needed by earlier commits --- crow_dataflow_check_sh.py | 79 +++++++++++++++++++++++++++++++++++++++ 1 file changed, 79 insertions(+) create mode 100644 crow_dataflow_check_sh.py diff --git a/crow_dataflow_check_sh.py b/crow_dataflow_check_sh.py new file mode 100644 index 0000000..e5b05cc --- /dev/null +++ b/crow_dataflow_check_sh.py @@ -0,0 +1,79 @@ +#! /usr/bin/env python3.6 +import logging, sys +from getopt import getopt +from crow.dataflow import Dataflow +from crow.tools import shell_to_python_type + +def usage(why): + sys.stderr.write('''Format: crow_dataflow_find_sh.py [-v] (I|O) [ search parameters ] + -v = verbose + I = input slot + O = output slot + actor=path.to.actor = actor producing or consuming data + slot=slot_name = name of input or output slot + other=other = slot property\n''') + sys.stderr.write(why+'\n') + exit(1) + +def main(): + (optval,args) = getopt(sys.argv[1:],'v') + options=dict(optval) + if len(args)<2: + usage('specify database file and flow') + + level=logging.DEBUG if '-v' in options else logging.INFO + logging.basicConfig(stream=sys.stderr,level=level) + logger=logging.getLogger('crow_dataflow_sh') + + logger.info('top of script') + + dbfile, flow = args[0:2] + + if flow not in 'OI': + usage(f"flow must be O (output) or I (input) not {flow}") + + primary={ 'flow':flow, 'actor':None, 'slot':None } + meta={} + for arg in args[2:]: + split=arg.split('=',1) + if len(split)!=2: + usage(f'{arg}: arguments must be var=value') + ( var, strvalue ) = split + value=shell_to_python_type(strvalue) + if var in primary: + primary[var]=value + else: + meta[var]=value + + logger.info(f'{dbfile}: open sqlite3 database') + db=Dataflow(dbfile) + if flow == 'O': + find=db.find_output_slot + message='find output slots' + else: + find=db.find_input_slot + message='find input slots' + + if primary['actor']: + message+=f' actor={primary["actor"]}' + else: + message+=' for all actors' + if primary['slot']: message+=f' slot={primary["slot"]}' + if meta: + message+=' meta: ' + for k,v in meta: + message+=f' {k}={v}' + + logger.info(message) + db.dump(sys.stderr) + for slot in find(primary['actor'],primary['slot'],meta): + localmeta=slot.get_meta() + sys.stderr.write(f'{slot} meta = {localmeta}\n') + if localmeta: + metas=[ f'{k}={v}' for k,v in localmeta.items() ] + print(f'{slot.flow} {slot.actor} {slot.slot} {" ".join(metas)}') + else: + print(f'{slot.flow} {slot.actor} {slot.slot}') + +if __name__ == '__main__': + main() From 39be7213f1a037001aea72231fcb6a67ed3b93c1 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 15 Nov 2017 16:15:40 +0000 Subject: [PATCH 237/487] Modular shell script works in compset CROW_exe_Cindy_GFS@C384. I am most of the way through testing the cycled workflow. Branch should not be pushed to trunk until that is complete --- crow/config/template.py | 1 - crow/sysenv/jobs.py | 3 + crow_dataflow_deliver_sh.py | 3 +- model/fv3gfs/exp/actions/crow_fcst_sh.yaml | 19 ++ model/fv3gfs/exp/actions/fcst.yaml | 4 +- .../exp/cases/CROW_exe_Cindy_GFS@C384.yaml | 53 +++++ .../exp/cases/CROW_io_Cindy_GFS@C384.yaml | 53 +++++ .../exp/runtime/crow_exe_fcst_workflow.yaml | 92 +++++++++ .../exp/runtime/crow_sh_df_fcst_workflow.yaml | 14 +- model/fv3gfs/exp/validation/fv3_forecast.yaml | 8 + model/fv3gfs/exp/validation/workflow.yaml | 2 +- .../fv3gfs/scripts/exglobal_fcst_crow_exe.sh | 176 ++++++++++++++++ model/fv3gfs/scripts/exglobal_fcst_crow_io.sh | 189 ++++++++++++++++++ 13 files changed, 602 insertions(+), 15 deletions(-) create mode 100644 model/fv3gfs/exp/cases/CROW_exe_Cindy_GFS@C384.yaml create mode 100644 model/fv3gfs/exp/cases/CROW_io_Cindy_GFS@C384.yaml create mode 100644 model/fv3gfs/exp/runtime/crow_exe_fcst_workflow.yaml create mode 100755 model/fv3gfs/scripts/exglobal_fcst_crow_exe.sh create mode 100755 model/fv3gfs/scripts/exglobal_fcst_crow_io.sh diff --git a/crow/config/template.py b/crow/config/template.py index 46805ae..86717e7 100644 --- a/crow/config/template.py +++ b/crow/config/template.py @@ -52,7 +52,6 @@ class Template(dict_eval): dict_eval, inserting defaults and reporting errors via the TemplateErrors exception. """ def __init__(self,child,path='',globals=None): - assert(isinstance(child,OrderedDict)) self.__my_id=id(child) super().__init__(child,path,globals) diff --git a/crow/sysenv/jobs.py b/crow/sysenv/jobs.py index 8779360..f2d31c1 100644 --- a/crow/sysenv/jobs.py +++ b/crow/sysenv/jobs.py @@ -45,6 +45,9 @@ def __init__(self,OMP_NUM_THREADS=0,mpi_ranks=0, raise TypeError('exe must be a string, not a %s'%( type(exe).__name__,)) + def getexe(self): return self.__spec['exe'] + exe=property(getexe,None,None,None) + def is_exclusive(self): """!Trinary accessor - True, False, None (unset). None indicates no request was made for or against exclusive.""" diff --git a/crow_dataflow_deliver_sh.py b/crow_dataflow_deliver_sh.py index fc8cc79..a3a9d58 100755 --- a/crow_dataflow_deliver_sh.py +++ b/crow_dataflow_deliver_sh.py @@ -169,9 +169,8 @@ def main(): logger.error('Single match but -m was specified. Abort.') exit(1) - deliver = deliver_by_format if multi else deliver_by_name for slot in slots: - deliver(logger,flow,local,slot.at(cycle),'-c' in options) + deliver_by_format(logger,flow,local,slot.at(cycle),'-c' in options) if __name__ == '__main__': diff --git a/model/fv3gfs/exp/actions/crow_fcst_sh.yaml b/model/fv3gfs/exp/actions/crow_fcst_sh.yaml index d48fa02..5b37c2b 100644 --- a/model/fv3gfs/exp/actions/crow_fcst_sh.yaml +++ b/model/fv3gfs/exp/actions/crow_fcst_sh.yaml @@ -474,3 +474,22 @@ crow_sh_df_fcst_gdas: &crow_sh_df_fcst_gdas_action !Action crow_sh_df_fcst_gfs: &crow_sh_df_fcst_gfs_action !Action <<: *crow_sh_fcst_gfs_action J_JOB: fcst_df + + +crow_exe_fcst_gdas: &crow_exe_fcst_gdas_action !Action + <<: *crow_sh_df_fcst_gdas_action + J_JOB: fcst_df + actual_fcst_resources: !JobRequest + - exe: !expand "{doc.places.FCSTEXECDIR}/fv3_gfs_nh.prod.32bit.x" + mpi_ranks: !calc "layout_x*layout_y*6" + OMP_NUM_THREADS: 2 + FCSTEXEC: !calc tools.basename(fcst_resources[0].exe) + +crow_exe_fcst_gfs: &crow_exe_fcst_gfs_action !Action + <<: *crow_sh_df_fcst_gfs_action + J_JOB: fcst_df + actual_fcst_resources: !JobRequest + - exe: !expand "{doc.places.FCSTEXECDIR}/fv3_gfs_nh.prod.32bit.x" + mpi_ranks: !calc "layout_x*layout_y*6" + OMP_NUM_THREADS: 2 + FCSTEXEC: !calc tools.basename(fcst_resources[0].exe) diff --git a/model/fv3gfs/exp/actions/fcst.yaml b/model/fv3gfs/exp/actions/fcst.yaml index cd781e1..7169835 100644 --- a/model/fv3gfs/exp/actions/fcst.yaml +++ b/model/fv3gfs/exp/actions/fcst.yaml @@ -70,13 +70,13 @@ fcst_gdas: &fcst_gdas_action !Action - [ doc.platform.mpi_tuning, ".*" ] - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] CDUMP: gdas - walltime: !timedelta 00:10:00 + walltime: !timedelta 00:25:00 fcst_gfs: &fcst_gfs_action !Action <<: [ *fv3_gfs_settings, *data_assimilation, *fcst_base ] Template: *fv3_settings_template Inherit: !Inherit - - [ doc.fv3_gdas_settings, ".*" ] + - [ doc.fv3_gfs_settings, ".*" ] - [ doc.data_assimilation, ".*" ] - [ doc.gfs_output_settings, ".*" ] - [ doc.platform.mpi_tuning, ".*" ] diff --git a/model/fv3gfs/exp/cases/CROW_exe_Cindy_GFS@C384.yaml b/model/fv3gfs/exp/cases/CROW_exe_Cindy_GFS@C384.yaml new file mode 100644 index 0000000..817dc0e --- /dev/null +++ b/model/fv3gfs/exp/cases/CROW_exe_Cindy_GFS@C384.yaml @@ -0,0 +1,53 @@ +fv3_settings: &fv3_settings + LEVS: 65 # Number of vertical levels (mandatory) + CASE: C384 # FV3 horizontal resolution (mandatory) + QUILTING: NO + +fv3_gfs_settings: &fv3_gfs_settings + <<: *fv3_settings + Template: *fv3_settings_template + CDUMP: gfs + +fv3_enkf_settings: &fv3_enkf_settings + <<: *no_enkf + +fv3_gdas_settings: &fv3_gdas_settings + <<: *no_gdas + +######################################################################## + +gfs_output_settings: &gfs_output_settings + Template: *gfs_output_settings_template + + FHMAX_GFS: 120 # Last GFS forecast hour (mandatory) + FHOUT_GFS: 6 # GFS output frequency (optional) + + OUTPUT_GRID: "gaussian_grid" + +######################################################################## + +data_assimilation: &data_assimilation + <<: *no_data_assimilation + +prepbufr: &prepbufr + <<: *no_prepbufr + +######################################################################## + +places: &places + <<: *user_places + FORECASTSH: !expand "{HOMEcrow}/model/fv3gfs/scripts/exglobal_fcst_crow_exe.sh" + +######################################################################## + +case: + Template: [ *case_template, *known_workflows ] + SDATE: 2017-06-19t18:00:00 + EDATE: 2017-06-22t12:00:00 + + workflow: crow_exe_fcst_workflow + + ics_from: pargfs + parexp: prnemsrn + +#-END OF FILE-# diff --git a/model/fv3gfs/exp/cases/CROW_io_Cindy_GFS@C384.yaml b/model/fv3gfs/exp/cases/CROW_io_Cindy_GFS@C384.yaml new file mode 100644 index 0000000..823715c --- /dev/null +++ b/model/fv3gfs/exp/cases/CROW_io_Cindy_GFS@C384.yaml @@ -0,0 +1,53 @@ +fv3_settings: &fv3_settings + LEVS: 65 # Number of vertical levels (mandatory) + CASE: C384 # FV3 horizontal resolution (mandatory) + QUILTING: NO + +fv3_gfs_settings: &fv3_gfs_settings + <<: *fv3_settings + Template: *fv3_settings_template + CDUMP: gfs + +fv3_enkf_settings: &fv3_enkf_settings + <<: *no_enkf + +fv3_gdas_settings: &fv3_gdas_settings + <<: *no_gdas + +######################################################################## + +gfs_output_settings: &gfs_output_settings + Template: *gfs_output_settings_template + + FHMAX_GFS: 120 # Last GFS forecast hour (mandatory) + FHOUT_GFS: 6 # GFS output frequency (optional) + + OUTPUT_GRID: "gaussian_grid" + +######################################################################## + +data_assimilation: &data_assimilation + <<: *no_data_assimilation + +prepbufr: &prepbufr + <<: *no_prepbufr + +######################################################################## + +places: &places + <<: *user_places + FORECASTSH: !expand "{HOMEcrow}/model/fv3gfs/scripts/exglobal_fcst_crow_io.sh" + +######################################################################## + +case: + Template: [ *case_template, *known_workflows ] + SDATE: 2017-06-19t18:00:00 + EDATE: 2017-06-22t12:00:00 + + workflow: crow_sh_df_fcst_workflow + + ics_from: pargfs + parexp: prnemsrn + +#-END OF FILE-# diff --git a/model/fv3gfs/exp/runtime/crow_exe_fcst_workflow.yaml b/model/fv3gfs/exp/runtime/crow_exe_fcst_workflow.yaml new file mode 100644 index 0000000..562b34f --- /dev/null +++ b/model/fv3gfs/exp/runtime/crow_exe_fcst_workflow.yaml @@ -0,0 +1,92 @@ +crow_exe_fcst_workflow: !Cycle + Rocoto: *Rocoto + + Clock: *clock + + ICSDIR_CYC: !calc | + doc.places.ICSDIR+"{cycle:%Y%m%d%H}/gfs/"+doc.fv3_gfs_settings.CASE+"/INPUT/" + + ics: !Family + getics: !Task + Perform: + <<: *getics_action + CDUMP: gfs + Rocoto: *task_template + + fv3ics: !Task + Perform: + <<: *fv3ics_df_action + CDUMP: gfs + Rocoto: *task_template + Trigger: !Depend getics + + + gfs_ctrl_nc: !OutputSlot { Loc: !calc suite.ICSDIR_CYC+"gfs_ctrl.nc" } + output_data_tiles: !OutputSlot + tile: [ 1, 2, 3, 4, 5, 6 ] + kind: [ sfc_data, gfs_data ] + Loc: !calc suite.ICSDIR_CYC+"{kind}.tile{tile}.nc" + + gfs: !Family + Trigger: !Depend ics + + fcst: !Task + Perform: *crow_exe_fcst_gfs_action + Rocoto: *task_template + + COMOUT: !calc | + doc.places.ROTDIR+"/gfs.{cycle:%Y%m%d}/{cycle:%H}/" + + # Inputs from fv3ic + gfs_ctrl_nc: !InputSlot + Out: !Message up.up.ics.fv3ics.gfs_ctrl_nc + input_data_tiles: !InputSlot + Out: !Message up.up.ics.fv3ics.output_data_tiles(tile=tile,kind=kind) + tile: [ 1, 2, 3, 4, 5, 6 ] + kind: [ sfc_data, gfs_data ] + + coupler_res: !OutputSlot + dt_end: !calc Perform.restart_dt + Loc: !calc COMOUT+"RESTART/"+"{cycle+dt_end:%Y%m%d.%H%M%S}.coupler.res" + + restart_time_tiles: !OutputSlot + dt_end: !calc Perform.restart_dt + tile: [ 1, 2, 3, 4, 5, 6 ] + kind: + - fv_core.res + - fv_srf_wnd.res + - fv_tracer.res + - phy_data + - sfc_data + Loc: !calc COMOUT+"RESTART/"+"{cycle+dt_end:%Y%m%d.%H%M%S}.{kind}.tile{tile}.nc" + + untimed_tiles: !OutputSlot + tile: [ 1, 2, 3, 4, 5, 6 ] + kind: + - atmos_4xdaily + - atmos_static + - grid_spec + - nggps2d + - nggps3d + Loc: !calc COMOUT+"{kind}.tile{tile}.nc" + + post: !Task + Perform: *post_gfs_action + Rocoto: *task_template + Trigger: !Depend fcst + + vrfy: !Task + Perform: *vrfy_gfs_action + Rocoto: *task_template + Trigger: !Depend post + + arch: !Task + Perform: + <<: *arch_gfs_action + CDUMP: gfs + Rocoto: *task_template + Trigger: !Depend gfs + + final: !Task + Perform: *final_action + Rocoto: *task_template diff --git a/model/fv3gfs/exp/runtime/crow_sh_df_fcst_workflow.yaml b/model/fv3gfs/exp/runtime/crow_sh_df_fcst_workflow.yaml index f495ac8..3030d3c 100644 --- a/model/fv3gfs/exp/runtime/crow_sh_df_fcst_workflow.yaml +++ b/model/fv3gfs/exp/runtime/crow_sh_df_fcst_workflow.yaml @@ -46,19 +46,15 @@ crow_sh_df_fcst_workflow: !Cycle kind: [ sfc_data, gfs_data ] coupler_res: !OutputSlot - dt_end: !calc tools.to_timedelta(Perform.FHMAX*3600) + dt_end: !calc Perform.restart_dt Loc: !calc COMOUT+"RESTART/"+"{cycle+dt_end:%Y%m%d.%H%M%S}.coupler.res" - fv3_core_res: !OutputSlot - dt_end: !calc tools.to_timedelta(Perform.FHMAX*3600) - Loc: !calc COMOUT+"RESTART/"+"{cycle+dt_end:%Y%m%d.%H%M%S}.fv3_core.res.nc" - - end_time_tiles: !OutputSlot - dt_end: !calc tools.to_timedelta(Perform.FHMAX*3600) + restart_time_tiles: !OutputSlot + dt_end: !calc Perform.restart_dt tile: [ 1, 2, 3, 4, 5, 6 ] kind: - - fv3_core.res - - fv_srf_wind.res + - fv_core.res + - fv_srf_wnd.res - fv_tracer.res - phy_data - sfc_data diff --git a/model/fv3gfs/exp/validation/fv3_forecast.yaml b/model/fv3gfs/exp/validation/fv3_forecast.yaml index 13b293b..eb27c97 100644 --- a/model/fv3gfs/exp/validation/fv3_forecast.yaml +++ b/model/fv3gfs/exp/validation/fv3_forecast.yaml @@ -507,6 +507,13 @@ fv3_forecast_template: !Template &fv3_forecast_template allowed: [ ".true.", ".false." ] default: ".true." + restart_dt: + type: timedelta + default: !FirstTrue + - when: !calc restart_interval > 0 + do: !calc tools.to_timedelta(restart_interval*3600) + - otherwise: !calc tools.to_timedelta(FHMAX*3600) + amip_interp_nml: { type: string, default: "" } atmos_model_nml: { type: string, default: "" } diag_manager_nml: { type: string, default: "" } @@ -520,3 +527,4 @@ fv3_forecast_template: !Template &fv3_forecast_template namsfc_nml: { type: string, default: "" } fv_grid_nml: { type: string, default: "" } nam_stochy_nml: { type: string, default: "" } + diff --git a/model/fv3gfs/exp/validation/workflow.yaml b/model/fv3gfs/exp/validation/workflow.yaml index d2cd3c9..ba448f8 100644 --- a/model/fv3gfs/exp/validation/workflow.yaml +++ b/model/fv3gfs/exp/validation/workflow.yaml @@ -2,7 +2,7 @@ known_workflows: !Template &known_workflows workflow: type: string allowed: [ cycled_workflow, gfs_forecast_workflow, crow_sh_fcst_workflow, - crow_sh_df_fcst_workflow ] + crow_sh_df_fcst_workflow, crow_exe_fcst_workflow ] if_present: !FirstTrue - when: !calc workflow=="cycled_workflow" do: !Template diff --git a/model/fv3gfs/scripts/exglobal_fcst_crow_exe.sh b/model/fv3gfs/scripts/exglobal_fcst_crow_exe.sh new file mode 100755 index 0000000..84b0e6e --- /dev/null +++ b/model/fv3gfs/scripts/exglobal_fcst_crow_exe.sh @@ -0,0 +1,176 @@ +#!/bin/ksh +################################################################################ +# UNIX Script Documentation Block +# Script name: exglobal_fcst_nemsfv3gfs.sh.ecf +# Script description: Runs a global FV3GFS model forecast +# +# Author: Fanglin Yang Org: NCEP/EMC Date: 2016-11-15 +# Abstract: This script runs a single GFS forecast with FV3 dynamical core. +# This script is created based on a C-shell script that GFDL wrote +# for the NGGPS Phase-II Dycore Comparison Project. +# +# Script history log: +# 2016-11-15 Fanglin Yang First Version. +# 2017-02-09 Rahul Mahajan Added warm start and restructured the code. +# 2017-03-10 Fanglin Yang Updated for running forecast on Cray. +# 2017-03-24 Fanglin Yang Updated to use NEMS FV3GFS with IPD4 +# 2017-05-24 Rahul Mahajan Updated for cycling with NEMS FV3GFS +# 2017-09-13 Fanglin Yang Updated for using GFDL MP and Write Component +# +# $Id$ +# +# Attributes: +# Language: Portable Operating System Interface (POSIX) Shell +# Machine: WCOSS-CRAY, Theia +################################################################################ + +# Set environment. +VERBOSE=${VERBOSE:-"YES"} +if [ $VERBOSE = "YES" ] ; then + echo $(date) EXECUTING $0 $* >&2 + set -x +fi + +# This should be in the script that calls this script, not here +machine=${machine:-"WCOSS_C"} +machine=$(echo $machine | tr '[a-z]' '[A-Z]') +if [ $machine = "WCOSS_C" ] ; then + . $MODULESHOME/init/sh 2>/dev/null + PRGENV=${PRGENV:-intel} + HUGEPAGES=${HUGEPAGES:-hugepages4M} + module unload prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null + module load prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null + module load intel/16.3.210 2>/dev/null + module use /usrx/local/dev/modulefiles + export IOBUF_PARAMS=${IOBUF_PARAMS:-'*:size=8M:verbose'} + export MPICH_GNI_COLL_OPT_OFF=${MPICH_GNI_COLL_OPT_OFF:-MPI_Alltoallv} + export MKL_CBWR=AVX2 + module use /gpfs/hps3/emc/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null + module load esmf/7.1.0bs34 2>/dev/null +elif [ $machine = "THEIA" ]; then + . $MODULESHOME/init/sh 2>/dev/null + module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null + module load esmf/7.1.0bs34 2>/dev/null +fi + +# Directories. +pwd=$(pwd) +DATA=${DATA:-$pwd/fv3tmp$$} # temporary running directory +SEND=${SEND:-"YES"} #move final result to rotating directory +KEEPDATA=${KEEPDATA:-"NO"} +NTASKS_FV3=${NTASKS_FV3:-$npe_fv3} + +#------------------------------------------------------- +set -ue +if [ ! -d $ROTDIR ]; then mkdir -p $ROTDIR; fi +if [ ! -d $DATA ]; then mkdir -p $DATA ;fi +mkdir -p $DATA/RESTART $DATA/INPUT +cd $DATA +set +ue + +#------------------------------------------------------- +# initial conditions +set -ue + +ACTOR=$( echo "$TASK_PATH" | sed 's,\.[^.]*$,,g' ) + +$HOMEcrow/crow_dataflow_deliver_sh.py -v -o "$DATA/INPUT/gfs_ctrl.nc" \ + "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=gfs_ctrl_nc + +$HOMEcrow/crow_dataflow_deliver_sh.py -v -m -o "$DATA/INPUT/{kind}.tile{tile}.nc" \ + "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=input_data_tiles +set +ue + +nfiles=$(ls -1 $DATA/INPUT/* | wc -l) +if [ $nfiles -le 0 ]; then + echo "Initial conditions must exist in $DATA/INPUT, ABORT!" + exit 1 +fi + +#-------------------------------------------------------------------------- +# Grid and orography data +for n in $(seq 1 $ntiles); do + $NLN $FIX_FV3/$CASE/${CASE}_grid.tile${n}.nc $DATA/INPUT/${CASE}_grid.tile${n}.nc + $NLN $FIX_FV3/$CASE/${CASE}_oro_data.tile${n}.nc $DATA/INPUT/oro_data.tile${n}.nc +done +$NLN $FIX_FV3/$CASE/${CASE}_mosaic.nc $DATA/INPUT/grid_spec.nc + +# GFS standard input data + +$NLN $FIX_AM/global_solarconstant_noaa_an.txt $DATA/solarconstant_noaa_an.txt +$NLN $FIX_AM/global_o3prdlos.f77 $DATA/INPUT/global_o3prdlos.f77 +$NLN $FIX_AM/global_sfc_emissivity_idx.txt $DATA/sfc_emissivity_idx.txt + +$NLN $FIX_AM/global_co2historicaldata_glob.txt $DATA/co2historicaldata_glob.txt +$NLN $FIX_AM/co2monthlycyc.txt $DATA/co2monthlycyc.txt +if [ $ICO2 -gt 0 ]; then + for file in $(ls $FIX_AM/fix_co2_proj/global_co2historicaldata*) ; do + $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") + done +fi + +$NLN $FIX_AM/global_climaeropac_global.txt $DATA/aerosol.dat +if [ $IAER -gt 0 ] ; then + for file in $(ls $FIX_AM/global_volcanic_aerosols*) ; do + $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") + done +fi + +#------------------------------------------------------------------ +# Namelists. + +set -eu + +CROW_TO_SH="$HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH" + +# Override stochastic physics seeds if requested: +if [ ${SET_STP_SEED:-"YES"} = "YES" ]; then + ISEED_SKEB=$((CDATE*1000 + MEMBER*10 + 1)) + ISEED_SHUM=$((CDATE*1000 + MEMBER*10 + 2)) + ISEED_SPPT=$((CDATE*1000 + MEMBER*10 + 3)) + CROW_TO_SH="$CROW_TO_SH apply:ISEED_SKEB=$ISEED_SKEB apply:ISEED_SHUM=$ISEED_SHUM apply:ISEED_SPPT=$ISEED_SPPT" +fi + +# Build the FMS diag_table with the experiment name and date stamp: +eval $( $CROW_TO_SH DIAG_TABLE=DIAG_TABLE ) +$CROW_TO_SH expand:diag_table_header > diag_table +cat diag_table +cat $DIAG_TABLE >> diag_table + +$NCP $DATA_TABLE data_table +$NCP $FIELD_TABLE field_table + +# NEMS and FV3 namelists: +$CROW_TO_SH expand:input_nml > input.nml +cat input.nml +$CROW_TO_SH expand:nems_configure > nems.configure +cat nems.configure +$CROW_TO_SH expand:model_configure > model_configure +cat model_configure + + +#------------------------------------------------------------------ +# setup the runtime environment and run the executable +cd $DATA +$CROW_TO_SH run:actual_fcst_resources + +#------------------------------------------------------------------ +if [ $SEND = "YES" ]; then + # Copy model output files + cd $DATA + + $HOMEcrow/crow_dataflow_deliver_sh.py -v -m -i '{kind}.tile{tile}.nc' \ + "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=untimed_tiles + + $HOMEcrow/crow_dataflow_deliver_sh.py -v -i \ + 'RESTART/coupler.res' \ + "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=coupler_res + + $HOMEcrow/crow_dataflow_deliver_sh.py -v -m -i \ + 'RESTART/{kind}.tile{tile}.nc' \ + "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=restart_time_tiles +fi + +#------------------------------------------------------------------ +# Clean up before leaving +if [ $KEEPDATA = "NO" ]; then rm -rf $DATA; fi diff --git a/model/fv3gfs/scripts/exglobal_fcst_crow_io.sh b/model/fv3gfs/scripts/exglobal_fcst_crow_io.sh new file mode 100755 index 0000000..c39f719 --- /dev/null +++ b/model/fv3gfs/scripts/exglobal_fcst_crow_io.sh @@ -0,0 +1,189 @@ +#!/bin/ksh +################################################################################ +# UNIX Script Documentation Block +# Script name: exglobal_fcst_nemsfv3gfs.sh.ecf +# Script description: Runs a global FV3GFS model forecast +# +# Author: Fanglin Yang Org: NCEP/EMC Date: 2016-11-15 +# Abstract: This script runs a single GFS forecast with FV3 dynamical core. +# This script is created based on a C-shell script that GFDL wrote +# for the NGGPS Phase-II Dycore Comparison Project. +# +# Script history log: +# 2016-11-15 Fanglin Yang First Version. +# 2017-02-09 Rahul Mahajan Added warm start and restructured the code. +# 2017-03-10 Fanglin Yang Updated for running forecast on Cray. +# 2017-03-24 Fanglin Yang Updated to use NEMS FV3GFS with IPD4 +# 2017-05-24 Rahul Mahajan Updated for cycling with NEMS FV3GFS +# 2017-09-13 Fanglin Yang Updated for using GFDL MP and Write Component +# +# $Id$ +# +# Attributes: +# Language: Portable Operating System Interface (POSIX) Shell +# Machine: WCOSS-CRAY, Theia +################################################################################ + +# Set environment. +VERBOSE=${VERBOSE:-"YES"} +if [ $VERBOSE = "YES" ] ; then + echo $(date) EXECUTING $0 $* >&2 + set -x +fi + +# This should be in the script that calls this script, not here +machine=${machine:-"WCOSS_C"} +machine=$(echo $machine | tr '[a-z]' '[A-Z]') +if [ $machine = "WCOSS_C" ] ; then + . $MODULESHOME/init/sh 2>/dev/null + PRGENV=${PRGENV:-intel} + HUGEPAGES=${HUGEPAGES:-hugepages4M} + module unload prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null + module load prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null + module load intel/16.3.210 2>/dev/null + module use /usrx/local/dev/modulefiles + export IOBUF_PARAMS=${IOBUF_PARAMS:-'*:size=8M:verbose'} + export MPICH_GNI_COLL_OPT_OFF=${MPICH_GNI_COLL_OPT_OFF:-MPI_Alltoallv} + export MKL_CBWR=AVX2 + module use /gpfs/hps3/emc/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null + module load esmf/7.1.0bs34 2>/dev/null +elif [ $machine = "THEIA" ]; then + . $MODULESHOME/init/sh 2>/dev/null + module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null + module load esmf/7.1.0bs34 2>/dev/null +fi + +# Directories. +pwd=$(pwd) +DATA=${DATA:-$pwd/fv3tmp$$} # temporary running directory +SEND=${SEND:-"YES"} #move final result to rotating directory +KEEPDATA=${KEEPDATA:-"NO"} +NTASKS_FV3=${NTASKS_FV3:-$npe_fv3} + +#------------------------------------------------------- +set -ue +if [ ! -d $ROTDIR ]; then mkdir -p $ROTDIR; fi +if [ ! -d $DATA ]; then mkdir -p $DATA ;fi +mkdir -p $DATA/RESTART $DATA/INPUT +cd $DATA +set +ue + +#------------------------------------------------------- +# initial conditions +set -ue + +ACTOR=$( echo "$TASK_PATH" | sed 's,\.[^.]*$,,g' ) + +$HOMEcrow/crow_dataflow_deliver_sh.py -v -o "$DATA/INPUT/gfs_ctrl.nc" \ + "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=gfs_ctrl_nc + +$HOMEcrow/crow_dataflow_deliver_sh.py -v -m -o "$DATA/INPUT/{kind}.tile{tile}.nc" \ + "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=input_data_tiles +set +ue + +nfiles=$(ls -1 $DATA/INPUT/* | wc -l) +if [ $nfiles -le 0 ]; then + echo "Initial conditions must exist in $DATA/INPUT, ABORT!" + exit 1 +fi + +#-------------------------------------------------------------------------- +# Grid and orography data +for n in $(seq 1 $ntiles); do + $NLN $FIX_FV3/$CASE/${CASE}_grid.tile${n}.nc $DATA/INPUT/${CASE}_grid.tile${n}.nc + $NLN $FIX_FV3/$CASE/${CASE}_oro_data.tile${n}.nc $DATA/INPUT/oro_data.tile${n}.nc +done +$NLN $FIX_FV3/$CASE/${CASE}_mosaic.nc $DATA/INPUT/grid_spec.nc + +# GFS standard input data + +$NLN $FIX_AM/global_solarconstant_noaa_an.txt $DATA/solarconstant_noaa_an.txt +$NLN $FIX_AM/global_o3prdlos.f77 $DATA/INPUT/global_o3prdlos.f77 +$NLN $FIX_AM/global_sfc_emissivity_idx.txt $DATA/sfc_emissivity_idx.txt + +$NLN $FIX_AM/global_co2historicaldata_glob.txt $DATA/co2historicaldata_glob.txt +$NLN $FIX_AM/co2monthlycyc.txt $DATA/co2monthlycyc.txt +if [ $ICO2 -gt 0 ]; then + for file in $(ls $FIX_AM/fix_co2_proj/global_co2historicaldata*) ; do + $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") + done +fi + +$NLN $FIX_AM/global_climaeropac_global.txt $DATA/aerosol.dat +if [ $IAER -gt 0 ] ; then + for file in $(ls $FIX_AM/global_volcanic_aerosols*) ; do + $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") + done +fi + +#------------------------------------------------------------------ +# Namelists. + +CROW_TO_SH="$HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH" + +# Override stochastic physics seeds if requested: +if [ ${SET_STP_SEED:-"YES"} = "YES" ]; then + ISEED_SKEB=$((CDATE*1000 + MEMBER*10 + 1)) + ISEED_SHUM=$((CDATE*1000 + MEMBER*10 + 2)) + ISEED_SPPT=$((CDATE*1000 + MEMBER*10 + 3)) + CROW_TO_SH="$CROW_TO_SH apply:ISEED_SKEB=$ISEED_SKEB apply:ISEED_SHUM=$ISEED_SHUM apply:ISEED_SPPT=$ISEED_SPPT" +fi + +set -eu + +# Build the FMS diag_table with the experiment name and date stamp: +eval $( $CROW_TO_SH DIAG_TABLE=DIAG_TABLE ) +$CROW_TO_SH expand:diag_table_header > diag_table +cat diag_table +cat $DIAG_TABLE >> diag_table + +$NCP $DATA_TABLE data_table +$NCP $FIELD_TABLE field_table + +# NEMS and FV3 namelists: +$CROW_TO_SH expand:input_nml > input.nml +cat input.nml +$CROW_TO_SH expand:nems_configure > nems.configure +cat nems.configure +$CROW_TO_SH expand:model_configure > model_configure +cat model_configure + +set +eu + +#------------------------------------------------------------------ +# setup the runtime environment and run the executable +cd $DATA +$NCP $FCSTEXECDIR/$FCSTEXEC $DATA/. +export OMP_NUM_THREADS=$NTHREADS_FV3 +$APRUN_FV3 $DATA/$FCSTEXEC 1>&1 2>&2 +export ERR=$? +export err=$ERR +$ERRSCRIPT || exit $err + +#------------------------------------------------------------------ +if [ $SEND = "YES" ]; then + # Copy model output files + cd $DATA + + $HOMEcrow/crow_dataflow_deliver_sh.py -v -m -i '{kind}.tile{tile}.nc' \ + "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=untimed_tiles + + $HOMEcrow/crow_dataflow_deliver_sh.py -v -i \ + 'RESTART/coupler.res' \ + "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=coupler_res + + $HOMEcrow/crow_dataflow_deliver_sh.py -v -m -i \ + 'RESTART/{kind}.tile{tile}.nc' \ + "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=restart_time_tiles +fi + +#------------------------------------------------------------------ +# Clean up before leaving +if [ $KEEPDATA = "NO" ]; then rm -rf $DATA; fi + +#------------------------------------------------------------------ +set +x +if [ $VERBOSE = "YES" ] ; then + echo $(date) EXITING $0 with return code $err >&2 +fi +exit 0 From 7ccda9e48db3533a4e0cb5b47aea0dffa39402b4 Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Wed, 15 Nov 2017 17:48:42 +0000 Subject: [PATCH 238/487] Added compairisons for compressed and tar files, fixed up netCDF checks to give more information, minor bug fixes --- tests/regression/fv3gfs_regression.sh | 73 +++++++++++++++++++++++---- 1 file changed, 63 insertions(+), 10 deletions(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index d8935e1..3730776 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -95,6 +95,9 @@ if [[ ! -d $1 ]] && [[ ! -f $1 ]]; then if [[ -z $1 || $1 == "--non-interactive" ]]; then regressionID='baseline' log_message "INFO" "No arguments given assuming to make new baseline with default ID: $regressionID" + else + regressionID=$1 + log_message "INFO" "only the baseline will be created with ID: $regressionID" fi fi @@ -134,8 +137,7 @@ if [[ $COMPAIR_BASE == 'TRUE' ]]; then fi fi -#fv3gfs_git_branch='master' -fv3gfs_git_branch='hardcode_execs' +fv3gfs_git_branch='master' # Leave fv3gfs_svn_url blank to use git branch in fv3gfs_git_branch fv3gfs_svn_url='' load_rocoto='rocoto/1.2.4' @@ -146,7 +148,6 @@ elif [[ -d /gpfs/hps3 ]]; then system="cray" else log_message "CRITICAL" "Unknown machine $system, not supported" - exit -1 fi JUST_COMPAIR_TWO_DIRS='FALSE' @@ -358,7 +359,7 @@ if [[ $RUNROCOTO == 'TRUE' ]]; then fi deadcycles=`$rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c $lastcycle -s | awk '$2 == "Dead" {print $1}'` if [[ ! -z $deadcycles ]]; then - log_message "CRITICAL" "the following cycles are not dead: $deadcycles" + log_message "CRITICAL" "the following cycles are dead: $deadcycles" fi $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml if [[ $? == "0" ]]; then @@ -398,22 +399,74 @@ if [[ $COMPAIR_BASE == 'TRUE' ]]; then fi check_baseline_dir_basename=`basename $check_baseline_dir` comrot_test_dir_basename=`basename $comrot_test_dir` - log_message "INFO" "running command: diff --brief -Nr --exclude \"*.log*\" --exclude \"*.nc\" $check_baseline_dir_basename $comrot_test_dir_basename >& $diff_file_name" - diff --brief -Nr --exclude "*.log*" --exclude "*.nc" $check_baseline_dir_basename $comrot_test_dir_basename > ${diff_file_name} 2>&1 + log_message "INFO" "running command: diff --brief -Nr --exclude \"*.log*\" --exclude \"*.nc\" --exclude \"*.nc?\" $check_baseline_dir_basename $comrot_test_dir_basename >& $diff_file_name" + diff --brief -Nr --exclude "*.log*" --exclude "*.nc" --exclude "*.nc?" $check_baseline_dir_basename $comrot_test_dir_basename > ${diff_file_name} 2>&1 + + num_different_files=`wc -l < $diff_file_name` + log_message "INFO" "checking if of the $num_different_files differing files which ones are tar and/or compressed files for differences" + rm -f ${diff_file_name}_diff + counter_diffed=0 + counter_regularfiles=0 + counter_compressed=0 + while read line; do + set -- $line; + file1=$2; + file2=$4; + + if ( tar --exclude '*' -tfz $file1 >& /dev/null ) ; then + #log_message "INFO" "$file1 is an compressed tar file" + counter_compressed=$((counter_compressed+1)) + if [[ $( tar -xzf $file1 -O | md5sum ) != $( tar -xzf $file2 -O | md5sum ) ]] ; then + #log_message "INFO" "found $file1 and $file2 gzipped tar files DO differ" + counter_diffed=$((counter_diffed+1)) + echo $line >> ${diff_file_name}_diff + fi + elif ( tar --exclude '*' -tf $file1 >& /dev/null ) ; then + counter_compressed=$((counter_compressed+1)) + #log_message "INFO" "$file1 is an uncompressed tar file" + if [[ $( tar -xf $file1 -O | md5sum ) != $( tar -xf $file2 -O | md5sum ) ]] ; then + #log_message "INFO" "found $file1 and $file2 tar files DO differ" + counter_diffed=$((counter_diffed+1)) + echo $line >> ${diff_file_name}_diff + fi + else + #log_message "INFO" "$file1 is not tar or tar.gz and still then differs" + counter_regularfiles=$((counter_regularfiles+1)) + echo $line >> ${diff_file_name}_diff + fi + + done < $diff_file_name + + log_message "INFO" "out of $num_different_files differing files $counter_compressed where tar or compressed and $counter_diffed of those differed" + + if [[ -f ${diff_file_name}_diff ]]; then + mv ${diff_file_name}_diff ${diff_file_name} + fi log_message "INFO" "comparing NetCDF files ..." - find . -name "*.nc" > netcdf_filelist.txt + find . -type f \( -name "*.nc?" -o -name "*.nc" \) > netcdf_filelist.txt + num_cdf_files=`wc -l < netcdf_filelist.txt` + counter_identical=0 + counter_differed_nccmp=0 + counter_header_identical=0 while IFS=/ read netcdf_file; do comp_base=`basename $netcdf_file` dir_name=`dirname $netcdf_file` just_dir=`echo "$dir_name" | sed 's,^[^/]*/,,'` - #echo "$just_dir/$comp_base $netcdf_file" diff $just_dir/$comp_base $just_dir/$comp_base if [[ $? != 0 ]]; then - $NCCMP -d $just_dir/$comp_base $just_dir/$comp_base >> ${diff_file_name} 2>&1 + NCCMP -d $just_dir/$comp_base $just_dir/$comp_base >> ${diff_file_name} 2>&1 + if [[ $? != 0 ]]; then + counter_not_identicali_nccmp=$((counter_differed_nccmp+1)) + else + counter_header_identical=$((counter_header_identical+1)) + fi + else + counter_identical=$((counter_header_identical+1)) fi done < netcdf_filelist.txt - number_diff=`wc -l $diff_file_name | cut -d" " -f1` + log_message "INFO" "out off $num_cdf_files NetCDF files $counter_identical where completly identical $counter_header_identical where identical but not in the header $counter_differed_nccmp differed in the data" + number_diff=`wc -l < $diff_file_name` log_message "INFO" "completed runing diff for fv3gfs regression test ($regressionID) and found resluts in file: $diff_file_name" log_message "INFO" "out of $total_number_files files, there where $number_diff that differed" rm netcdf_filelist.txt From d3b8ffc560667c22df475f1a7d273afbb77e1c41 Mon Sep 17 00:00:00 2001 From: "Tery.McGuinness" Date: Thu, 16 Nov 2017 22:45:46 +0000 Subject: [PATCH 239/487] moved comrot away from ptmp and made it created in directory from /gpfs/hps3/emc/global/noscrub/Terry.McGuinness/git/CROW/fv3gfs_regression_tests --- tests/regression/fv3gfs_regression.sh | 154 +++++++++++++------------- 1 file changed, 75 insertions(+), 79 deletions(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index 3730776..c30a2ed 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -13,15 +13,23 @@ usage () { exit } +INTERACTIVE='TRUE' +for arg + do + if [[ $arg == "--non-interactive" ]]; then + INTERACTIVE='FALSE' + break + fi +done -# Traps that only allow the above inputs +# Traps that only allow the above inputs specified in the usage if [[ "$#" -gt "4" ]] || [[ $1 == '--help' ]]; then usage fi -if [[ "$#" == "2" ]] || [[ "$#" == "3" ]]; then - if [[ ! -d $1 ]] && [[ ! -d $2 ]]; then +if [[ "$#" -ge "3" ]]; then + if [[ ! -d $1 ]]; then usage fi fi @@ -35,7 +43,6 @@ log_message () { echo -e "LOG : $logtime : $1 : $2" if [[ $1 == "CRITICAL" ]]; then exit -1 - exit -1 fi } @@ -54,6 +61,11 @@ regressionID='baseline' idate='2017073118' edate='2017080106' +fv3gfs_git_branch='master' +# Leave fv3gfs_svn_url blank to use git branch in fv3gfs_git_branch +fv3gfs_svn_url='' +load_rocoto='rocoto/1.2.4' + ICS_dir_cray='/gpfs/hps3/emc/global/noscrub/emc.glopara/ICS' PTMP_cray='/gpfs/hps3/ptmp' ICS_dir_theia='/scratch4/NCEPDEV/global/noscrub/glopara/ICS/FV3GFS' @@ -75,18 +87,15 @@ find_data_dir () { fi fi if [[ $(($ENDTIME - $STARTTIME)) > 20 ]]; then - log_message "CRITICAL" "looking for valid baseline directory put then gave up after a minute" + #log_message "CRITICAL" "looking for valid baseline directory put then gave up after a minute" + exit -1 fi done < <(find $_check_baseline_dir -print0 ) if [[ -z $real_base_dir ]]; then - log_message "CRITICAL" "$_check_baseline_dir is not a directory with a baseline to test in it" + exit -1 fi - #if [[ $real_base_dir != $_check_baseline_dir ]]; then - #log_message "WARNING" "given directory did not have gfs data, but a subsiquent subdirectory was found that did" - #fi _check_baseline_dir=`dirname $file` - #log_message "INFO" "found baseline fv3gfs gfs data found in directory: $_check_baseline_dir" echo $_check_baseline_dir } @@ -103,12 +112,41 @@ fi log_message "INFO" "running regression script on host $HOST" -checkout_dir_basename="${pslot_basename}_sorc_${regressionID}" -pslot="${pslot_basename}_exp_${regressionID}" - -if [[ -d $1 ]]; then +COMPAIR_BASE='FALSE' +JUST_COMPAIR_TWO_DIRS='FALSE' +if [[ -d $1 ]] && [[ -d $2 ]]; then + CHECKOUT='FALSE' + BUILD='FALSE' + CREATE_EXP='FALSE' + RUNROCOTO='FALSE' + check_baseline_dir=`readlink -f $1` + check_baseline_dir_get=$( find_data_dir $check_baseline_dir ) + if [[ -z $check_baseline_dir_get ]]; then + log_message "CRITICAL" "$check_baseline_dir_get is not a directory with a baseline to test in it" + fi + if [[ $check_baseline_dir != $check_baseline_dir_get ]]; then + check_baseline_dir=$check_baseline_dir_get + log_message "WARNING" "given directory did not have gfs data, but a subsequent subdirectory was found that did:\n$check_baseline_dir" + fi + check_baseline_dir_with_this_dir=`readlink -f $2` + check_baseline_dir_with_this_dir_get=$( find_data_dir $check_baseline_dir_with_this_dir ) + if [[ -z $check_baseline_dir_with_this_dir_get ]]; then + log_message "CRITICAL" "$check_baseline_dir_with_this_get is not a directory with a baseline to test in it" + fi + if [[ $check_baseline_dir_with_this_dir_get != $check_baseline_dir_with_this_dir ]]; then + check_baseline_dir_with_this_dir=$check_baseline_dir_with_this_get + log_message "WARNING" "given directory did not have gfs data, but a subsequent subdirectory was found that did:\n$check_baseline_dir_with_this_dir" + fi + log_message "INFO" "simply doing a diff on these two directories:\n $check_baseline_dir \n $check_baseline_dir_with_this_dir" + JUST_COMPAIR_TWO_DIRS='TRUE' + if [[ -z $3 ]]; then + regressionID='compair' + else + regressionID=$3 + fi +elif [[ -d $1 && ! -d $2 ]]; then check_baseline_dir=`readlink -f $1` - if [[ -z $2 ]] && [[ ! -d $2 ]] ; then + if [[ -z $2 ]]; then regressionID='test_run' else if [[ $2 == "--non-interactive" ]]; then @@ -121,27 +159,19 @@ if [[ -d $1 ]]; then fi fi fi - pslot_basename='fv3gfs' - checkout_dir_basename="${pslot_basename}_sorc_${regressionID}" - pslot="${pslot_basename}_exp_${regressionID}" log_message "INFO" "running test run ($regressionID) agaist regression baseline in directory $check_baseline_dir" COMPAIR_BASE='TRUE' -fi - -if [[ $COMPAIR_BASE == 'TRUE' ]]; then - check_baseline_dir_get=$( find_data_dir $check_baseline_dir ) - if [[ $check_baseline_dir != $check_baseline_dir_get ]]; then + check_baseline_dir_get=$( find_data_dir $check_baseline_dir ) + if [[ -z $check_baseline_dir_get ]]; then + log_message "CRITICAL" "$check_baseline_dir_get is not a directory with a baseline to test in it" + fi + if [[ $check_baseline_dir != $check_baseline_dir_get ]]; then check_baseline_dir=$check_baseline_dir_get - log_message "WARNING" "given directory did not have gfs data, but a subsiquent subdirectory was found that did" - log_message "INFO" "found baseline fv3gfs gfs data found in directory: $check_baseline_dir" - fi + log_message "WARNING" "given directory did not have gfs data, but a subsequent subdirectory was found that did:\n$check_baseline_dir" + fi + log_message "INFO" "found baseline fv3gfs gfs data found in directory: $check_baseline_dir" fi -fv3gfs_git_branch='master' -# Leave fv3gfs_svn_url blank to use git branch in fv3gfs_git_branch -fv3gfs_svn_url='' -load_rocoto='rocoto/1.2.4' - if [[ -d /scratch4/NCEPDEV ]]; then system="theia" elif [[ -d /gpfs/hps3 ]]; then @@ -150,36 +180,10 @@ else log_message "CRITICAL" "Unknown machine $system, not supported" fi -JUST_COMPAIR_TWO_DIRS='FALSE' -if [[ -d $1 ]] && [[ -d $2 ]]; then - CHECKOUT='FALSE' - BUILD='FALSE' - CREATE_EXP='FALSE' - RUNROCOTO='FALSE' - check_baseline_dir_with_this_dir=`readlink -f $2` - check_baseline_dir_with_this_dir=$( find_data_dir $check_baseline_dir_with_this_dir ) - log_message "INFO" "simply doing a diff on these two directories:\n $check_baseline_dir \n $check_baseline_dir_with_this_dir" - JUST_COMPAIR_TWO_DIRS='TRUE' - if [[ -z $3 ]]; then - regressionID='compair' - else - regressionID=$3 - fi -fi - -INTERACTIVE='TRUE' -while test $# -gt 0 -do - if [[ $1 == "--non-interactive" ]]; then - INTERACTIVE='FALSE' - break - fi - shift -done - if [[ $INTERACTIVE == "TRUE" ]]; then echo -e "Current Settings are:\n" echo "regressionID = $regressionID" + echo "get branch = $fv3gfs_git_branch" echo "idate = $idate" echo "edate = $edate" echo "CHECKOUT_DIR = $CHECKOUT_DIR" @@ -223,15 +227,6 @@ else PTMP=$PTMP_theia fi -comrot="$PTMP/$USER/fv3gfs_regression_tests" -if [[ -z $comrot ]]; then - log_message "INFO" "createing directory $comrot" - mkdir -p $comrot - if [[ $? == 0 ]]; then - log_message "CRITICAL" "comrot directory base directory did not exsist and could not be crated at: $comrot" - fi -fi - rocotover=`$rocotoruncmd --version` log_message "INFO" "using rocoto version $rocotover" rocotostatcmd=`which rocotostat` @@ -274,19 +269,20 @@ if [[ $CHECKOUT == 'TRUE' ]]; then fi fi +comrot=${CHECKOUT_DIR}/fv3gfs_regression_tests +comrot_test_dir=${comrot}/${pslot} +exp_dir_fullpath=${CHECKOUT_DIR}/${pslot} exp_setup_string="--pslot ${pslot} --icsdir $ICS_dir --configdir ${config_dir} --comrot ${comrot} --idate $idate --edate $edate --expdir ${CHECKOUT_DIR}" -EXP_FULLPATH=${CHECKOUT_DIR}/${pslot} -comrot_test_dir=${comrot}/RUNDIRS/${pslot} if [[ $CREATE_EXP == 'TRUE' ]]; then log_message "INFO" "setting up experiment: ${setup_expt} ${exp_setup_string}" removed='' - if [[ -d $EXP_FULLPATH ]]; then + if [[ -d $exp_dir_fullpath ]]; then removed='it was present but now has been removed' fi - rm -Rf $EXP_FULLPATH - log_message "INFO" "experiment directory is $EXP_FULLPATH $removed" + rm -Rf $exp_dir_fullpath + log_message "INFO" "experiment directory is $exp_dir_fullpath $removed" removed='' if [[ -d $comrot_test_dir ]]; then removed='it was present but now has been removed' @@ -294,9 +290,9 @@ if [[ $CREATE_EXP == 'TRUE' ]]; then rm -Rf $comrot_test_dir log_message "INFO" "comrot directory is $comrot_test_dir $removed" - ${setup_expt} ${exp_setup_string} - log_message "INFO" "setting up workflow: ${setup_workflow} --expdir $EXP_FULLPATH" - ${setup_workflow} --expdir $EXP_FULLPATH + yes | ${setup_expt} ${exp_setup_string} + log_message "INFO" "setting up workflow: ${setup_workflow} --expdir $exp_dir_fullpath" + yes | ${setup_workflow} --expdir $exp_dir_fullpath fi @@ -319,12 +315,12 @@ if [[ $BUILD == 'TRUE' ]]; then fi if [[ $RUNROCOTO == 'TRUE' ]]; then - if [[ ! -d ${EXP_FULLPATH} ]]; then - log_message "CRITICAL" "experiment directory $EXP_FULLPATH not found" + if [[ ! -d ${exp_dir_fullpath} ]]; then + log_message "CRITICAL" "experiment directory $exp_dir_fullpath not found" fi log_message "INFO" "running regression script on host $HOST" - log_message "INTO" "moving to PWD $EXP_FULLPATH to run cycleing in experiment directory" - cd ${EXP_FULLPATH} + log_message "INTO" "moving to PWD $exp_dir_fullpath to run cycleing in experiment directory" + cd ${exp_dir_fullpath} log_message "INFO" "starting to run fv3gfs cycling regression test run using $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml" log_message "INFO" "running $rocotoruncmd from $PWD" From 1c1adaf7502384d87c99385d8ff26f66774ebb51 Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Sat, 25 Nov 2017 08:07:49 +0000 Subject: [PATCH 240/487] minor bug fixes and spelling corrections --- tests/regression/fv3gfs_regression.sh | 41 +++++++++++++++------------ 1 file changed, 23 insertions(+), 18 deletions(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index c30a2ed..bf44d38 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -1,12 +1,12 @@ #!/bin/bash usage () { - echo -e "\033[1mUSAGE:\033[0m\n $0 [[baseline]] [[compair]] [[--non-interactive]]\n" + echo -e "\033[1mUSAGE:\033[0m\n $0 [[baseline]] [[compare]] [[--non-interactive]]\n" echo -e "\tno arguments : creates a baseline with sorc and exp dir in \$PWD named fvgfs_sorc_baseline fv3gfs_exp_basline respectivly" echo -e "\tone argument (string) : creates a baseline with sorc and exp dir in \$PWD named fvgfs_sorc_\${string} fv3gfs_exp_\${string} respectivly\n\n" - echo -e "\tone argument (dir) : creates a test run with sorc and exp dir in \$PWD named fvgfs_sorc_test_run fv3gfs_exp_test_run respectivly \n\t\t\t\t and then compairs the resluts against the comrot found in the directory \${dir}" - echo -e "\ttwo arguments (dir) (str) : creates a test_run with sorc and exp dir in \$PWD named fvgfs_sorc_\${string} fv3gfs_exp_\${srting} respectivly \n\t\t\t\t and then compairs the resluts against the comrot found in the directory \${dir} " - echo -e "\ttwo arguments (dir) (dir) : does a bitwise compair on the gfs files from the first dir to the second\n" + echo -e "\tone argument (dir) : creates a test run with sorc and exp dir in \$PWD named fvgfs_sorc_test_run fv3gfs_exp_test_run respectivly \n\t\t\t\t and then compares the resluts against the comrot found in the directory \${dir}" + echo -e "\ttwo arguments (dir) (str) : creates a test_run with sorc and exp dir in \$PWD named fvgfs_sorc_\${string} fv3gfs_exp_\${srting} respectivly \n\t\t\t\t and then compares the resluts against the comrot found in the directory \${dir} " + echo -e "\ttwo arguments (dir) (dir) : does a bitwise compare on the gfs files from the first dir to the second\n" echo -e "\tthird optional argument is used when acctually running the script so no promps are given, otherwize the script will report on the settings.\n\n" echo -e "\033[1mEXAMPLE:\033[0m\n" echo -e "\tnohup ./fv3gfs_regression.sh fv3gfs_regression_baseline --non-interactive > & fv3gfs_regression_test_run.log &\n" @@ -99,7 +99,7 @@ find_data_dir () { echo $_check_baseline_dir } -COMPAIR_BASE='FALSE' +COMPARE_BASE='FALSE' if [[ ! -d $1 ]] && [[ ! -f $1 ]]; then if [[ -z $1 || $1 == "--non-interactive" ]]; then regressionID='baseline' @@ -112,8 +112,8 @@ fi log_message "INFO" "running regression script on host $HOST" -COMPAIR_BASE='FALSE' -JUST_COMPAIR_TWO_DIRS='FALSE' +COMPARE_BASE='FALSE' +JUST_COMPARE_TWO_DIRS='FALSE' if [[ -d $1 ]] && [[ -d $2 ]]; then CHECKOUT='FALSE' BUILD='FALSE' @@ -138,11 +138,16 @@ if [[ -d $1 ]] && [[ -d $2 ]]; then log_message "WARNING" "given directory did not have gfs data, but a subsequent subdirectory was found that did:\n$check_baseline_dir_with_this_dir" fi log_message "INFO" "simply doing a diff on these two directories:\n $check_baseline_dir \n $check_baseline_dir_with_this_dir" - JUST_COMPAIR_TWO_DIRS='TRUE' + JUST_COMPARE_TWO_DIRS='TRUE' + COMPARE_BASE='TRUE' if [[ -z $3 ]]; then - regressionID='compair' + regressionID='compare' else - regressionID=$3 + if [[ $3 != "--non-interactive" ]]; then + regressionID=$3 + else + regressionID='compare' + fi fi elif [[ -d $1 && ! -d $2 ]]; then check_baseline_dir=`readlink -f $1` @@ -160,7 +165,7 @@ elif [[ -d $1 && ! -d $2 ]]; then fi fi log_message "INFO" "running test run ($regressionID) agaist regression baseline in directory $check_baseline_dir" - COMPAIR_BASE='TRUE' + COMPARE_BASE='TRUE' check_baseline_dir_get=$( find_data_dir $check_baseline_dir ) if [[ -z $check_baseline_dir_get ]]; then log_message "CRITICAL" "$check_baseline_dir_get is not a directory with a baseline to test in it" @@ -190,7 +195,7 @@ if [[ $INTERACTIVE == "TRUE" ]]; then echo "CHECKOUT = $CHECKOUT" echo "BUILD = $BUILD" echo "CREATE_EXP = $CREATE_EXP" - echo "COMPAIR_BASE = $COMPAIR_BASE" + echo "COMPARE_BASE = $COMPARE_BASE" echo -e "RUNROCOTO = $RUNROCOTO\n" while read -n1 -r -p "Are these the correct settings (y/n): " answer do @@ -370,8 +375,8 @@ if [[ $RUNROCOTO == 'TRUE' ]]; then fi -diff_file_name="${CHECKOUT_DIR}/diff_file_list_${regressionID}.txt" -if [[ $COMPAIR_BASE == 'TRUE' ]]; then +if [[ $COMPARE_BASE == 'TRUE' ]]; then + diff_file_name="${CHECKOUT_DIR}/diff_file_list_${regressionID}.txt" total_number_files=`find $check_baseline_dir -type f | wc -l` if [[ $system == "theia" ]]; then module load nccmp @@ -380,10 +385,10 @@ if [[ $COMPAIR_BASE == 'TRUE' ]]; then NCCMP=/gpfs/hps3/emc/nems/noscrub/emc.nemspara/FV3GFS_V0_RELEASE/util/nccmp fi - if [[ $JUST_COMPAIR_TWO_DIRS=='TRUE' ]]; then + if [[ $JUST_COMPARE_TWO_DIRS=='TRUE' ]]; then comrot_test_dir=$check_baseline_dir_with_this_dir fi - log_message "INFO" "doing the diff compair in $check_baseline_dir against $comrot_test_dir" + log_message "INFO" "doing the diff compare in $check_baseline_dir against $comrot_test_dir" if [[ ! -d $check_baseline_dir ]] || [[ ! -d $comrot_test_dir ]]; then log_message "CRITICAL" "One of the target directories does not exist" fi @@ -396,7 +401,7 @@ if [[ $COMPAIR_BASE == 'TRUE' ]]; then check_baseline_dir_basename=`basename $check_baseline_dir` comrot_test_dir_basename=`basename $comrot_test_dir` log_message "INFO" "running command: diff --brief -Nr --exclude \"*.log*\" --exclude \"*.nc\" --exclude \"*.nc?\" $check_baseline_dir_basename $comrot_test_dir_basename >& $diff_file_name" - diff --brief -Nr --exclude "*.log*" --exclude "*.nc" --exclude "*.nc?" $check_baseline_dir_basename $comrot_test_dir_basename > ${diff_file_name} 2>&1 + diff --brief -Nr --exclude "*.log*" --exclude "*.nc" --exclude "*.nc?" $check_baseline_dir_basename $comrot_test_dir_basename > ${diff_file_name} 2>&1 num_different_files=`wc -l < $diff_file_name` log_message "INFO" "checking if of the $num_different_files differing files which ones are tar and/or compressed files for differences" @@ -458,7 +463,7 @@ if [[ $COMPAIR_BASE == 'TRUE' ]]; then counter_header_identical=$((counter_header_identical+1)) fi else - counter_identical=$((counter_header_identical+1)) + counter_identical=$((counter_identical+1)) fi done < netcdf_filelist.txt log_message "INFO" "out off $num_cdf_files NetCDF files $counter_identical where completly identical $counter_header_identical where identical but not in the header $counter_differed_nccmp differed in the data" From a6956d87efcef59787571d05dc3807bda1cccd4c Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Mon, 27 Nov 2017 16:14:19 +0000 Subject: [PATCH 241/487] Few more spelling errors, and missed a $ on variable for nccmp that had not be running yet --- tests/regression/fv3gfs_regression.sh | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index bf44d38..d60beb9 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -4,8 +4,8 @@ usage () { echo -e "\033[1mUSAGE:\033[0m\n $0 [[baseline]] [[compare]] [[--non-interactive]]\n" echo -e "\tno arguments : creates a baseline with sorc and exp dir in \$PWD named fvgfs_sorc_baseline fv3gfs_exp_basline respectivly" echo -e "\tone argument (string) : creates a baseline with sorc and exp dir in \$PWD named fvgfs_sorc_\${string} fv3gfs_exp_\${string} respectivly\n\n" - echo -e "\tone argument (dir) : creates a test run with sorc and exp dir in \$PWD named fvgfs_sorc_test_run fv3gfs_exp_test_run respectivly \n\t\t\t\t and then compares the resluts against the comrot found in the directory \${dir}" - echo -e "\ttwo arguments (dir) (str) : creates a test_run with sorc and exp dir in \$PWD named fvgfs_sorc_\${string} fv3gfs_exp_\${srting} respectivly \n\t\t\t\t and then compares the resluts against the comrot found in the directory \${dir} " + echo -e "\tone argument (dir) : creates a test run with sorc and exp dir in \$PWD named fvgfs_sorc_test_run fv3gfs_exp_test_run respectivly \n\t\t\t\t and then compares the results against the comrot found in the directory \${dir}" + echo -e "\ttwo arguments (dir) (str) : creates a test_run with sorc and exp dir in \$PWD named fvgfs_sorc_\${string} fv3gfs_exp_\${srting} respectivly \n\t\t\t\t and then compares the results against the comrot found in the directory \${dir} " echo -e "\ttwo arguments (dir) (dir) : does a bitwise compare on the gfs files from the first dir to the second\n" echo -e "\tthird optional argument is used when acctually running the script so no promps are given, otherwize the script will report on the settings.\n\n" echo -e "\033[1mEXAMPLE:\033[0m\n" @@ -456,7 +456,7 @@ if [[ $COMPARE_BASE == 'TRUE' ]]; then just_dir=`echo "$dir_name" | sed 's,^[^/]*/,,'` diff $just_dir/$comp_base $just_dir/$comp_base if [[ $? != 0 ]]; then - NCCMP -d $just_dir/$comp_base $just_dir/$comp_base >> ${diff_file_name} 2>&1 + $NCCMP -d $just_dir/$comp_base $just_dir/$comp_base >> ${diff_file_name} 2>&1 if [[ $? != 0 ]]; then counter_not_identicali_nccmp=$((counter_differed_nccmp+1)) else @@ -466,9 +466,9 @@ if [[ $COMPARE_BASE == 'TRUE' ]]; then counter_identical=$((counter_identical+1)) fi done < netcdf_filelist.txt - log_message "INFO" "out off $num_cdf_files NetCDF files $counter_identical where completly identical $counter_header_identical where identical but not in the header $counter_differed_nccmp differed in the data" + log_message "INFO" "out off $num_cdf_files NetCDF files $counter_identical where completely identicali, $counter_header_identical identical data but differed in the header, and $counter_differed_nccmp differed in the data" number_diff=`wc -l < $diff_file_name` - log_message "INFO" "completed runing diff for fv3gfs regression test ($regressionID) and found resluts in file: $diff_file_name" + log_message "INFO" "completed running diff for fv3gfs regression test ($regressionID) and found results in file: $diff_file_name" log_message "INFO" "out of $total_number_files files, there where $number_diff that differed" rm netcdf_filelist.txt fi From 6858c0873bbaac64890055b31bdbb92ec2f36c53 Mon Sep 17 00:00:00 2001 From: "Tery.McGuinness" Date: Wed, 29 Nov 2017 18:45:46 +0000 Subject: [PATCH 242/487] added VRFY2OBS=NO because does not make sense for running a single full cycle, also added VRFYGENESIS=NO because it is not operable --- tests/regression/fv3gfs_regression.sh | 50 +++++++++++++++++++++++++-- 1 file changed, 48 insertions(+), 2 deletions(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index d60beb9..1cb6fcd 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -298,7 +298,10 @@ if [[ $CREATE_EXP == 'TRUE' ]]; then yes | ${setup_expt} ${exp_setup_string} log_message "INFO" "setting up workflow: ${setup_workflow} --expdir $exp_dir_fullpath" yes | ${setup_workflow} --expdir $exp_dir_fullpath - + sed -i 's/^export VRFYGENESIS=.*/export VRFYGENESIS=\"NO\" \# WARNING changed by regression script/' $exp_dir_fullpath/config.vrfy + log_message "WARNING" "modified config.vrfy with VRFYGENESIS=NO because geneses tracker is currently failing" + sed -i 's/^export VRFYG2OBS=.*/export VRFYG2OBS=\"NO\" \# WARNING changed by regression script/' $exp_dir_fullpath/config.vrfy + log_message "WARNING" "modified config.vrfy with VRFYG2OBS=NO because it do not make sense for it to be on for only one cycle" fi if [[ $BUILD == 'TRUE' ]]; then @@ -400,8 +403,43 @@ if [[ $COMPARE_BASE == 'TRUE' ]]; then fi check_baseline_dir_basename=`basename $check_baseline_dir` comrot_test_dir_basename=`basename $comrot_test_dir` + + log_message "INFO" "checking if test case has correct number of files" + + baseline_tempfile=${check_baseline_dir_basename}_files.txt + comrot_tempfile=${comrot_test_dir_basename}_files.txt + cd $check_baseline_dir_basename + rm -f ../$baseline_tempfile + find * -type f > ../$baseline_tempfile + cd ../$comrot_test_dir_basename + rm -f ../$comrot_tempfile + find * -type f > ../$comrot_tempfile + cd .. + diff ${baseline_tempfile} ${comrot_tempfile} > /dev/null 2>&1 + if [[ $? != 0 ]]; then + num_missing_files=0 + while read line; do + ls ${comrot_test_dir_basename}/$line > /dev/null 2>&1 + if [[ $? != 0 ]]; then + echo "file $line is in ${check_baseline_dir_basename} but is missing in ${comrot_test_dir_basename}" >> ${diff_file_name} + num_missing_files=$((num_missing_files+1)) + fi + done < $baseline_tempfile + while read line; do + ls ${check_baseline_dir_basename}/$line > /dev/null 2>&1 + if [[ $? != 0 ]]; then + echo "file $line is in ${comrot_test_dir_basename} but is missing in $check_baseline_dir_basename" >> ${diff_file_name} + num_missing_files=$((num_missing_files+1)) + fi + done < $comrot_tempfile + log_message "INFO" "$num_missing_files files where either missing or where unexpected in the test direcotry." + else + log_message "INFO" "all the files are accounted for are all the names match in the test directory" + fi + rm -f $baseline_tempfile + rm -f $comrot_tempfile log_message "INFO" "running command: diff --brief -Nr --exclude \"*.log*\" --exclude \"*.nc\" --exclude \"*.nc?\" $check_baseline_dir_basename $comrot_test_dir_basename >& $diff_file_name" - diff --brief -Nr --exclude "*.log*" --exclude "*.nc" --exclude "*.nc?" $check_baseline_dir_basename $comrot_test_dir_basename > ${diff_file_name} 2>&1 + diff --brief -Nr --exclude "*.log*" --exclude "*.nc" --exclude "*.nc?" $check_baseline_dir_basename $comrot_test_dir_basename >> ${diff_file_name} 2>&1 num_different_files=`wc -l < $diff_file_name` log_message "INFO" "checking if of the $num_different_files differing files which ones are tar and/or compressed files for differences" @@ -471,4 +509,12 @@ if [[ $COMPARE_BASE == 'TRUE' ]]; then log_message "INFO" "completed running diff for fv3gfs regression test ($regressionID) and found results in file: $diff_file_name" log_message "INFO" "out of $total_number_files files, there where $number_diff that differed" rm netcdf_filelist.txt + + + + fi + +DATE=`date` + +log_message "INFO" "regression tests script completed successfully at $DATE" From 6420c03dbf9af4fa48a10ef5732c4da91cf45f7c Mon Sep 17 00:00:00 2001 From: "Tery.McGuinness" Date: Thu, 30 Nov 2017 21:22:17 +0000 Subject: [PATCH 243/487] comparing NetCDF files was not setup up right -- now fixed, fixed bug when running test and comparing afterwords --- tests/regression/fv3gfs_regression.sh | 112 +++++++++++++++----------- 1 file changed, 64 insertions(+), 48 deletions(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index 1cb6fcd..b60e95a 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -388,12 +388,12 @@ if [[ $COMPARE_BASE == 'TRUE' ]]; then NCCMP=/gpfs/hps3/emc/nems/noscrub/emc.nemspara/FV3GFS_V0_RELEASE/util/nccmp fi - if [[ $JUST_COMPARE_TWO_DIRS=='TRUE' ]]; then + if [[ $JUST_COMPARE_TWO_DIRS == 'TRUE' ]]; then comrot_test_dir=$check_baseline_dir_with_this_dir fi log_message "INFO" "doing the diff compare in $check_baseline_dir against $comrot_test_dir" if [[ ! -d $check_baseline_dir ]] || [[ ! -d $comrot_test_dir ]]; then - log_message "CRITICAL" "One of the target directories does not exist" + log_message "CRITICAL" "one of the target directories does not exist" fi log_message "INFO" "moving to directory $comrot_test_dir to do the compare" if [[ -d $comrot_test_dir ]]; then @@ -403,46 +403,12 @@ if [[ $COMPARE_BASE == 'TRUE' ]]; then fi check_baseline_dir_basename=`basename $check_baseline_dir` comrot_test_dir_basename=`basename $comrot_test_dir` - - log_message "INFO" "checking if test case has correct number of files" - baseline_tempfile=${check_baseline_dir_basename}_files.txt - comrot_tempfile=${comrot_test_dir_basename}_files.txt - cd $check_baseline_dir_basename - rm -f ../$baseline_tempfile - find * -type f > ../$baseline_tempfile - cd ../$comrot_test_dir_basename - rm -f ../$comrot_tempfile - find * -type f > ../$comrot_tempfile - cd .. - diff ${baseline_tempfile} ${comrot_tempfile} > /dev/null 2>&1 - if [[ $? != 0 ]]; then - num_missing_files=0 - while read line; do - ls ${comrot_test_dir_basename}/$line > /dev/null 2>&1 - if [[ $? != 0 ]]; then - echo "file $line is in ${check_baseline_dir_basename} but is missing in ${comrot_test_dir_basename}" >> ${diff_file_name} - num_missing_files=$((num_missing_files+1)) - fi - done < $baseline_tempfile - while read line; do - ls ${check_baseline_dir_basename}/$line > /dev/null 2>&1 - if [[ $? != 0 ]]; then - echo "file $line is in ${comrot_test_dir_basename} but is missing in $check_baseline_dir_basename" >> ${diff_file_name} - num_missing_files=$((num_missing_files+1)) - fi - done < $comrot_tempfile - log_message "INFO" "$num_missing_files files where either missing or where unexpected in the test direcotry." - else - log_message "INFO" "all the files are accounted for are all the names match in the test directory" - fi - rm -f $baseline_tempfile - rm -f $comrot_tempfile log_message "INFO" "running command: diff --brief -Nr --exclude \"*.log*\" --exclude \"*.nc\" --exclude \"*.nc?\" $check_baseline_dir_basename $comrot_test_dir_basename >& $diff_file_name" diff --brief -Nr --exclude "*.log*" --exclude "*.nc" --exclude "*.nc?" $check_baseline_dir_basename $comrot_test_dir_basename >> ${diff_file_name} 2>&1 num_different_files=`wc -l < $diff_file_name` - log_message "INFO" "checking if of the $num_different_files differing files which ones are tar and/or compressed files for differences" + log_message "INFO" "checking of the $num_different_files differing files (not including NetCDF) for which ones are tar and/or compressed files for differences" rm -f ${diff_file_name}_diff counter_diffed=0 counter_regularfiles=0 @@ -458,7 +424,7 @@ if [[ $COMPARE_BASE == 'TRUE' ]]; then if [[ $( tar -xzf $file1 -O | md5sum ) != $( tar -xzf $file2 -O | md5sum ) ]] ; then #log_message "INFO" "found $file1 and $file2 gzipped tar files DO differ" counter_diffed=$((counter_diffed+1)) - echo $line >> ${diff_file_name}_diff + echo "compressed tar $line" >> ${diff_file_name}_diff fi elif ( tar --exclude '*' -tf $file1 >& /dev/null ) ; then counter_compressed=$((counter_compressed+1)) @@ -466,7 +432,7 @@ if [[ $COMPARE_BASE == 'TRUE' ]]; then if [[ $( tar -xf $file1 -O | md5sum ) != $( tar -xf $file2 -O | md5sum ) ]] ; then #log_message "INFO" "found $file1 and $file2 tar files DO differ" counter_diffed=$((counter_diffed+1)) - echo $line >> ${diff_file_name}_diff + echo "tar $line" >> ${diff_file_name}_diff fi else #log_message "INFO" "$file1 is not tar or tar.gz and still then differs" @@ -482,8 +448,43 @@ if [[ $COMPARE_BASE == 'TRUE' ]]; then mv ${diff_file_name}_diff ${diff_file_name} fi + log_message "INFO" "checking if test case has correct number of files" + + baseline_tempfile=${check_baseline_dir_basename}_files.txt + comrot_tempfile=${comrot_test_dir_basename}_files.txt + cd $check_baseline_dir_basename + rm -f ../$baseline_tempfile + find * -type f > ../$baseline_tempfile + cd ../$comrot_test_dir_basename + rm -f ../$comrot_tempfile + find * -type f > ../$comrot_tempfile + cd .. + diff ${baseline_tempfile} ${comrot_tempfile} > /dev/null 2>&1 + if [[ $? != 0 ]]; then + num_missing_files=0 + while read line; do + ls ${comrot_test_dir_basename}/$line > /dev/null 2>&1 + if [[ $? != 0 ]]; then + echo "file $line is in ${check_baseline_dir_basename} but is missing in ${comrot_test_dir_basename}" >> ${diff_file_name} + num_missing_files=$((num_missing_files+1)) + fi + done < $baseline_tempfile + while read line; do + ls ${check_baseline_dir_basename}/$line > /dev/null 2>&1 + if [[ $? != 0 ]]; then + echo "file $line is in ${comrot_test_dir_basename} but is missing in $check_baseline_dir_basename" >> ${diff_file_name} + num_missing_files=$((num_missing_files+1)) + fi + done < $comrot_tempfile + log_message "INFO" "$num_missing_files files where either missing or where unexpected in the test direcotry." + else + log_message "INFO" "all the files are accounted for are all the names match in the test directory" + fi + rm -f $baseline_tempfile + rm -f $comrot_tempfile + log_message "INFO" "comparing NetCDF files ..." - find . -type f \( -name "*.nc?" -o -name "*.nc" \) > netcdf_filelist.txt + find $check_baseline_dir_basename -type f \( -name "*.nc?" -o -name "*.nc" \) > netcdf_filelist.txt num_cdf_files=`wc -l < netcdf_filelist.txt` counter_identical=0 counter_differed_nccmp=0 @@ -492,11 +493,14 @@ if [[ $COMPARE_BASE == 'TRUE' ]]; then comp_base=`basename $netcdf_file` dir_name=`dirname $netcdf_file` just_dir=`echo "$dir_name" | sed 's,^[^/]*/,,'` - diff $just_dir/$comp_base $just_dir/$comp_base + file1=$check_baseline_dir_basename/$just_dir/$comp_base ; file2=$comrot_test_dir_basename/$just_dir/$comp_base + diff $file1 $file2 > /dev/null 2>&1 if [[ $? != 0 ]]; then - $NCCMP -d $just_dir/$comp_base $just_dir/$comp_base >> ${diff_file_name} 2>&1 + # echo "$NCCMP --diff-count=4 --threads=4 --data $file1 $file2" + nccmp_result=$( { $NCCMP --diff-count=4 --threads=4 --data $file1 $file2; } 2>&1) if [[ $? != 0 ]]; then - counter_not_identicali_nccmp=$((counter_differed_nccmp+1)) + counter_differed_nccmp=$((counter_differed_nccmp+1)) + echo "NetCDF file $file1 differs: $nccmp_result" >> $diff_file_name else counter_header_identical=$((counter_header_identical+1)) fi @@ -504,17 +508,29 @@ if [[ $COMPARE_BASE == 'TRUE' ]]; then counter_identical=$((counter_identical+1)) fi done < netcdf_filelist.txt - log_message "INFO" "out off $num_cdf_files NetCDF files $counter_identical where completely identicali, $counter_header_identical identical data but differed in the header, and $counter_differed_nccmp differed in the data" + log_message "INFO" "out off $num_cdf_files NetCDF files $counter_identical where completely identical, $counter_header_identical identical data but differed in the header, and $counter_differed_nccmp differed in the data" number_diff=`wc -l < $diff_file_name` log_message "INFO" "completed running diff for fv3gfs regression test ($regressionID) and found results in file: $diff_file_name" log_message "INFO" "out of $total_number_files files, there where $number_diff that differed" rm netcdf_filelist.txt + if [[ $number_diff > 1000 ]]; then + some="many" + elif [[ $number_diff < 200 ]]; then + some="some" + else + some="several" + fi - - + DATE=`date` + if [[ $number_diff == 0 ]]; then + log_message "INFO" "regression tests script completed successfully on $DATE with no file differences" + exit 0 + else + log_message "INFO" "regression tests script completed successfully on $DATE with $some file differences" + exit 1 + fi fi DATE=`date` - -log_message "INFO" "regression tests script completed successfully at $DATE" +log_message "INFO" "regression tests script completed successfully on $DATE" From e1d1fee912c7ed1b4417479e75207bb3fcf29239 Mon Sep 17 00:00:00 2001 From: "Tery.McGuinness" Date: Thu, 30 Nov 2017 23:00:34 +0000 Subject: [PATCH 244/487] cosmetic typos and removed one repetitive info line, added failsafe test for checking file ordination --- tests/regression/fv3gfs_regression.sh | 12 ++++++++---- 1 file changed, 8 insertions(+), 4 deletions(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index b60e95a..3ca14b6 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -355,7 +355,7 @@ if [[ $RUNROCOTO == 'TRUE' ]]; then if [[ $lastcycle_state == "Done" ]]; then break fi - log_message "INFO" "running: $rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c all" + #log_message "INFO" "running: $rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c all" deadjobs=`$rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c all | awk '$4 == "DEAD" {print $2}'` if [[ ! -z $deadjobs ]]; then deadjobs=`echo $deadjobs | tr '\n' ' '` @@ -367,7 +367,7 @@ if [[ $RUNROCOTO == 'TRUE' ]]; then fi $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml if [[ $? == "0" ]]; then - log_message "INFO" "Successful: $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml" + log_message "INFO" "Successfully ran: $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml" else log_message "WARNING" "FAILED: $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml" fi @@ -476,7 +476,11 @@ if [[ $COMPARE_BASE == 'TRUE' ]]; then num_missing_files=$((num_missing_files+1)) fi done < $comrot_tempfile - log_message "INFO" "$num_missing_files files where either missing or where unexpected in the test direcotry." + if [[ $num_missing_files != 0 ]]; then + log_message "INFO" "$num_missing_files files where either missing or where unexpected in the test direcotry." + else + log_message "INFO" "all the files are accounted for are all the names match in the test directory" + fi else log_message "INFO" "all the files are accounted for are all the names match in the test directory" fi @@ -508,7 +512,7 @@ if [[ $COMPARE_BASE == 'TRUE' ]]; then counter_identical=$((counter_identical+1)) fi done < netcdf_filelist.txt - log_message "INFO" "out off $num_cdf_files NetCDF files $counter_identical where completely identical, $counter_header_identical identical data but differed in the header, and $counter_differed_nccmp differed in the data" + log_message "INFO" "out off $num_cdf_files NetCDF files $counter_identical where completely identical, $counter_header_identical identical data but differed in the header, and $counter_differed_nccmp differed in the data" number_diff=`wc -l < $diff_file_name` log_message "INFO" "completed running diff for fv3gfs regression test ($regressionID) and found results in file: $diff_file_name" log_message "INFO" "out of $total_number_files files, there where $number_diff that differed" From 070dffcb52914af74cc3a04c925d3e2981570743 Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Thu, 7 Dec 2017 13:55:40 +0000 Subject: [PATCH 245/487] fixed typo with get to git --- tests/regression/fv3gfs_regression.sh | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index 3ca14b6..505d1dd 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -188,7 +188,7 @@ fi if [[ $INTERACTIVE == "TRUE" ]]; then echo -e "Current Settings are:\n" echo "regressionID = $regressionID" - echo "get branch = $fv3gfs_git_branch" + echo "git branch = $fv3gfs_git_branch" echo "idate = $idate" echo "edate = $edate" echo "CHECKOUT_DIR = $CHECKOUT_DIR" From 6f26c41ab39080976fda6c945eb15aef6099c810 Mon Sep 17 00:00:00 2001 From: Terry McGuinness Date: Thu, 14 Dec 2017 14:43:44 +0000 Subject: [PATCH 246/487] added script to regression test suite for obtaining warm start files from a given ROTDIR --- tests/regression/get_warmstart_ICs.sh | 62 +++++++++++++++++++++++++++ 1 file changed, 62 insertions(+) create mode 100755 tests/regression/get_warmstart_ICs.sh diff --git a/tests/regression/get_warmstart_ICs.sh b/tests/regression/get_warmstart_ICs.sh new file mode 100755 index 0000000..0c07c57 --- /dev/null +++ b/tests/regression/get_warmstart_ICs.sh @@ -0,0 +1,62 @@ +#!/bin/bash + +module load prod_util + +source_IC_dir=$1 +destination=$2 +cdate=$3 + +CMDCP='ln -s' +if [[ ! -z $4 ]]; then + if [[ $4=="--copy" ]]; then + CMDCP='cp' + fi +fi + +ncdate=`$NDATE 06 $cdate` +fh=${cdate:8} +nh=${ncdate:8} + +sdate=`echo $cdate | cut -c1-8` + +echo +echo "cdate: $cdate" +echo "ncdate: $ncdate" +echo "sdate: $sdate" +echo "fh: $fh" +echo "nh: $nh" +echo "CMDCP: $CMDCP" +echo + +mem_files=`ls -d1 $source_IC_dir/enkf.gdas.$sdate/$fh/mem??? | rev | cut -d"/" -f-1 | rev` + +for mem in $mem_files; do + + echo "mkdir -p $destination/enkf.gdas.$sdate/$fh/$mem/RESTART" + mkdir -p $destination/enkf.gdas.$sdate/$fh/$mem/RESTART + mkdir -p $destination/enkf.gdas.$sdate/$nh/$mem/RESTART + +done + +shopt -s extglob + +for mem in $mem_files; do + + echo "$CMDCP $source_IC_dir/enkf.gdas.$sdate/$fh/$mem/RESTART/!(*.sfcanl_data.tile*) $destination/enkf.gdas.$sdate/$fh/$mem/RESTART" + $CMDCP $source_IC_dir/enkf.gdas.$sdate/$fh/$mem/RESTART/!(*.sfcanl_data.tile*) $destination/enkf.gdas.$sdate/$fh/$mem/RESTART + $CMDCP `ls -1 $source_IC_dir/enkf.gdas.$sdate/$nh/$mem/gdas.t${nh}z.abias* | grep -v _int` $destination/enkf.gdas.$sdate/$fh/$mem + $CMDCP $source_IC_dir/enkf.gdas.$sdate/$nh/$mem/gdas.t${nh}z.radstat $destination/enkf.gdas.$sdate/$fh/$mem + $CMDCP $source_IC_dir/enkf.gdas.$sdate/$nh/$mem/RESTART/*.sfcanl_data.tile* $destination/enkf.gdas.$sdate/$nh/$mem/RESTART + $CMDCP $source_IC_dir/enkf.gdas.$sdate/$nh/$mem/gdas.t${nh}z.atminc.nc $destination/enkf.gdas.$sdate/$nh/$mem + +done + +mkdir -p $destination/gdas.$sdate/$fh/RESTART +mkdir -p $destination/gdas.$sdate/$nh/RESTART + +echo "$CMDCP $source_IC_dir/gdas.$sdate/$fh/RESTART/!(*.sfcanl_data.tile*) $destination/gdas.$sdate/$fh/RESTART" +$CMDCP $source_IC_dir/gdas.$sdate/$fh/RESTART/!(*.sfcanl_data.tile*) $destination/gdas.$sdate/$fh/RESTART +$CMDCP `ls -1 $source_IC_dir/gdas.$sdate/$nh/gdas.t${nh}z.abias* | grep -v _int` $destination/gdas.$sdate/$fh +$CMDCP $source_IC_dir/gdas.$sdate/$nh/gdas.t${nh}z.radstat $destination/gdas.$sdate/$fh +$CMDCP $source_IC_dir/gdas.$sdate/$nh/RESTART/*.sfcanl_data.tile* $destination/gdas.$sdate/$nh/RESTART +$CMDCP $source_IC_dir/gdas.$sdate/$nh/gdas.t${nh}z.atminc.nc $destination/gdas.$sdate/$nh From 5cefc8c35a268b7f8e951114e439ca6a2dfe4793 Mon Sep 17 00:00:00 2001 From: "Tery.McGuinness" Date: Wed, 3 Jan 2018 21:45:06 +0000 Subject: [PATCH 247/487] Added python script to compare two fv3gfs ROTDIRs (differentiates tar and NetCDF files), folded into Bash regression script --- tests/regression/compare_folders.py | 239 ++++++++++++++ tests/regression/fv3gfs_regression.sh | 459 +++++++++++++++----------- 2 files changed, 513 insertions(+), 185 deletions(-) create mode 100755 tests/regression/compare_folders.py diff --git a/tests/regression/compare_folders.py b/tests/regression/compare_folders.py new file mode 100755 index 0000000..2bfcc27 --- /dev/null +++ b/tests/regression/compare_folders.py @@ -0,0 +1,239 @@ +#!/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/python/3.6.1-emc/bin/python3 + +import filecmp +import os,sys + +def compare(folder1, folder2 ): + return _recursive_dircmp(folder1, folder2) + +def _recursive_dircmp(folder1, folder2 ): + + comparison = filecmp.dircmp(folder1, folder2) + data = { + 'left': [r'{}/{}'.format(folder1, i) for i in comparison.left_only], + 'right': [r'{}/{}'.format(folder2, i) for i in comparison.right_only], + 'both': [r'{}/{}'.format(folder1, i) for i in comparison.common_files], + } + + for datalist in data.values(): + datalist.sort() + + if comparison.common_dirs: + for folder in comparison.common_dirs: + sub_folder1 = os.path.join(folder1, folder) + sub_folder2 = os.path.join(folder2, folder) + sub_report = _recursive_dircmp(sub_folder1, sub_folder2) + + for key, value in sub_report.items(): + data[key] += value + + return data + +def tarcmp(tar_file_one, tar_file_two): + + import hashlib + import tarfile + + tar1 = tarfile.open( tar_file_one, mode="r" ) + tar2 = tarfile.open( tar_file_two, mode="r" ) + chunk_size = 100*1024 + + for member1,member2 in list(zip(tar1, tar2)): + if not member1.isfile(): + continue + + store_digests = {} + + f1 = tar1.extractfile(member1) + h1 = hashlib.new('md5') + data1 = f1.read(chunk_size) + f2 = tar2.extractfile(member1) + h2 = hashlib.new('md5') + data2 = f2.read(chunk_size) + + while data1: + h1.update(data1) + data1 = f1.read(chunk_size) + while data2: + h2.update(data2) + data2 = f2.read(chunk_size) + + if h1.hexdigest() != h2.hexdigest(): + return False + + return True + +def tarcmp_verbose(tar_file_one, tar_file_two): + + import hashlib + import tarfile + + comp_tars = [] + files_md5 = {} + comp_tars.append( tar_file_one ) + comp_tars.append( tar_file_two ) + diff_members = [] + + for tar_file in comp_tars: + + tar = tarfile.open( tar_file, mode="r" ) + + chunk_size = 100*1024 + store_digests = {} + files_md5[tar_file] = {} + + for member in tar: + if not member.isfile(): + continue + f = tar.extractfile(member) + h = hashlib.new('md5') + data = f.read(chunk_size) + while data: + h.update(data) + data = f.read(chunk_size) + files_md5[tar_file][member.name] = h.hexdigest() + + if len(files_md5[tar_file_one]) != len(files_md5[tar_file_two]): + return diff_members + + for member in files_md5[tar_file_one]: + if files_md5[tar_file_one][member] != files_md5[tar_file_two][member]: + diff_members.append(member) + return diff_members + + +def netcdfver(filename): +# Returns one of three strings based on the NetCDF version of the +# given file, or returns None if the file is not NetCDF: +# * "CDF1" = NetCDF classic format +# * "CDF2" = NetCDF 64-bit offset format +# * "HDF5" = HDF5 file, and hence possibly a NetCDF4 file. +# * None = Not NetCDF and not HDF5 + import codecs + with open(filename,'rb') as f: + eight=f.read(8) + if len(eight)<4: + return None + four=eight[0:4] + if four==b'CDF\x01': + return "CDF1" + elif four==b'CDF\x02': + return "CDF2" + elif eight==b'\x89\x48\x44\x46\x0d\x0a\x1a\x0a': + return "HDF5" + return None + + +def print_diff_files(dcmp): + + import tarfile + import subprocess + from subprocess import run + + global diff_file + + NCCMP='/gpfs/hps3/emc/nems/noscrub/emc.nemspara/FV3GFS_V0_RELEASE/util/nccmp' + + global cwd; global fixed_dir_experment_name; global verbose + for name in dcmp.diff_files: + if '.log' in name: + continue + file1 = os.path.join(dcmp.left,name); file2 = os.path.join(dcmp.right,name) + file1_shortpath = dcmp.left.replace(cwd,'').replace(fixed_dir_experment_name,'').lstrip('/') + file2_shortpath = dcmp.right.replace(cwd,'').replace(fixed_dir_experment_name,'').lstrip('/') + if '.nc' in name: + net_cdf_type = netcdfver(file1) + if net_cdf_type is not None: + if verbose: + netcdf_diff_output = run([NCCMP, "--threads=4", "--data", file1, file2], stderr=subprocess.PIPE).stderr.decode('utf-8').strip() + else: + netcdf_diff_output = run([NCCMP, "--diff-count=3", "--threads=4", "--data", file1, file2], stderr=subprocess.PIPE).stderr.decode('utf-8').strip() + if len(netcdf_diff_output) == 0: + diff_file.write('NetCDF file %s of type: %s differs only in the header in directories %s and %s\n'%(name,net_cdf_type,file1_shortpath,file2_shortpath)) + else: + diff_file.write( 'NetCDF file %s of type: %s differs %s in directories %s and %s\n'%(name,net_cdf_type,netcdf_diff_output,file1_shortpath,file2_shortpath)) + elif tarfile.is_tarfile(file1): + if verbose: + diff_tar_members = tarcmp_verbose( file1, file2 ) + if len(diff_tar_members) != 0: + for diff_file in diff_tar_members: + diff_file.write('tar member file %s differs in tar file %s from directories %s and %s\n' % (diff_file, name, file1_shortpath, file2_shortpath)) + if not tarcmp( file1, file2 ): + diff_file.write('tar file %s differs in directories %s and %s\n' % (name, file1_shortpath, file2_shortpath)) + else: + diff_file.write('file %s differs in directories %s and %s\n'% (name, file1_shortpath, file2_shortpath)) + diff_file.flush() + + for sub_dcmp in dcmp.subdirs.values(): + print_diff_files(sub_dcmp) + +if __name__ == '__main__': + + import datetime + import time + import logging + import argparse + + logger = logging.getLogger('python'); logger_hdr = 'LOG : ' + logger.setLevel(level=logging.INFO) + ch = logging.StreamHandler() + ch.setLevel(level=logging.INFO) + formatter = logging.Formatter('%(levelname)s : %(name)s : %(asctime)s : %(message)s','%Y-%m-%d %H:%M:%S') + ch.setFormatter(formatter) + logger.addHandler(ch) + + parser = argparse.ArgumentParser() + parser.add_argument("directory1") + parser.add_argument("directory2") + parser.add_argument("-n","--nameID" , help="tag name for compare (used in output filename)") + parser.add_argument("-v","--verbose", help="include names of differing files witin tar files", action="store_true",default=False) + args = parser.parse_args() + + process_time = time.process_time() + elapsed_time = time.process_time() - process_time + + folder1 = os.path.realpath( args.directory1 ) + folder2 = os.path.realpath( args.directory2 ) + verbose = args.verbose + + if args.nameID: + now_date_time = ''; nameID = args.nameID + diff_file_name = 'diff_file_list_%s.lst'%nameID + else: + now_date_time = datetime.datetime.now().strftime('%d-%m-%Y-H%H') + nameID = '' + diff_file_name = 'diff_file_list_%s.lst'%now_date_time + diff_file_number = 0 + while os.path.exists(diff_file_name): + diff_file_number += 1 + diff_file_name = 'diff_file_list_%s%s(%s).lst'%(nameID,now_date_time,str(diff_file_number)) + + for folder in (folder1,folder2): + if not os.path.isdir(folder): + logger.critical(logger_hdr+'directory %s does not exsist'%folder) + sys.exit(-1) + + fixed_dir_experment_name = 'fv3gfs_regression_experments' + cwd = os.getcwd() + + logger.info(logger_hdr+'comparing folders:\n %s\n %s'%(folder1,folder2)) + logger.info(logger_hdr+'checking for matching file counts in directories') + results = compare(folder1, folder2) + left_right = ('left','right') + for each_side in left_right: + if len(results[each_side]) != 0: + if each_side == 'left': + foldera = folder1; folderb = folder2 + else: + folderb = folder1; foldera = folder2 + loggin.info('list of files found in %s and not in %s:'%(os.path.basename(foldera),os.path.basename(folderb))) + for file in results[each_side]: + loggin.info(' %s'%file) + + compare_files = filecmp.dircmp(folder1, folder2) + logger.info(logger_hdr+'checking tar and NetCDF files differences') + diff_file = open( diff_file_name, 'w') + print_diff_files( compare_files ) + elapsed_time = time.process_time() - process_time + logger.ingo(logger_hdr+'comparing fv3gfs output directories completed. Time to process(%.4f seconds)'%elapsed_time) + diff_file.close() diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index 505d1dd..7c19ffa 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -9,7 +9,7 @@ usage () { echo -e "\ttwo arguments (dir) (dir) : does a bitwise compare on the gfs files from the first dir to the second\n" echo -e "\tthird optional argument is used when acctually running the script so no promps are given, otherwize the script will report on the settings.\n\n" echo -e "\033[1mEXAMPLE:\033[0m\n" - echo -e "\tnohup ./fv3gfs_regression.sh fv3gfs_regression_baseline --non-interactive > & fv3gfs_regression_test_run.log &\n" + echo -e "\tnohup ./fv3gfs_regression.sh baseline --non-interactive > & fv3gfs_regression_baseline_run.log &\n" exit } @@ -39,25 +39,33 @@ if [[ -f $1 ]] || [[ -f $2 ]]; then fi log_message () { - logtime=`date` - echo -e "LOG : $logtime : $1 : $2" + logtime=`date +"%F %T"` + echo -e "$1 : bash : $logtime : LOG : $2" if [[ $1 == "CRITICAL" ]]; then exit -1 fi } CHECKOUT_DIR=$PWD +ROCOTO_WAIT_FRQUANCY='5m' + +CHECKOUT=${CHECKOUT:-'TRUE'} +CREATE_EXP=${CREATE_EXP:-'TRUE'} +BUILD=${BUILD:-'TRUE'} +CREATE_EXP=${CREATE_EXP:-'TRUE'} +RUNROCOTO=${RUNROCOTO:-'TRUE'} +JOB_LEVEL_CHECK=${JOB_LEVEL_CHECK:-'FALSE'} +RZDM_RESULTS=${RZDM_RESULTS:-'TRUE'} +PYTHON_FILE_COMPARE=${PYTHON_FILE_COMPARE:-'TRUE'} -CHECKOUT='TRUE' #CHECKOUT='FALSE' -BUILD='TRUE' -#BUILD='FALSE' -CREATE_EXP='TRUE' #CREATE_EXP='FALSE' -RUNROCOTO='TRUE' +#BUILD='FALSE' #RUNROCOTO='FALSE' +#JOB_LEVEL_CHECK='TRUE' +#RZDM_RESULTS='FALSE' +#PYTHON_FILE_COMPARE='FALSE' -regressionID='baseline' idate='2017073118' edate='2017080106' @@ -71,6 +79,10 @@ PTMP_cray='/gpfs/hps3/ptmp' ICS_dir_theia='/scratch4/NCEPDEV/global/noscrub/glopara/ICS/FV3GFS' PTMP_theia='/scratch4/NCEPDEV/stmp4' +# If RZDM is set then the viewer will attempt to post the state of the workflow in html on the rzdm server +RZDM='tmcguinness@emcrzdm.ncep.noaa.gov:/home/www/emc/htdocs/gc_wmb/tmcguinness' +ROCOTOVIEWER='/u/Terry.McGuinness/bin/rocoto_viewer.py' + find_data_dir () { local _check_baseline_dir=$1 @@ -86,10 +98,11 @@ find_data_dir () { break fi fi - if [[ $(($ENDTIME - $STARTTIME)) > 20 ]]; then - #log_message "CRITICAL" "looking for valid baseline directory put then gave up after a minute" + if [[ $(($ENDTIME - $STARTTIME)) > 65 ]]; then + log_message "CRITICAL" "looking for valid baseline directory put then gave up after a minute" exit -1 fi + ENDTIME=$(date +%s) done < <(find $_check_baseline_dir -print0 ) if [[ -z $real_base_dir ]]; then @@ -185,18 +198,25 @@ else log_message "CRITICAL" "Unknown machine $system, not supported" fi +if [[ -z $ROCOTOVIEWER ]]; then + RZDM_RESULTS="FALSE" +fi + +echo -e "Current Settings are:\n" +echo "regressionID = $regressionID" +echo "git branch = $fv3gfs_git_branch" +echo "idate = $idate" +echo "edate = $edate" +echo "CHECKOUT_DIR = $CHECKOUT_DIR" +echo "CHECKOUT = $CHECKOUT" +echo "CREATE_EXP = $CREATE_EXP" +echo "COMPARE_BASE = $COMPARE_BASE" +echo "RZDM_RESULTS = $RZDM_RESULTS" +echo -e "RUNROCOTO = $RUNROCOTO\n" +echo "PYTHON_FILE_COMPARE = $PYTHON_FILE_COMPARE" +echo -e "JOB_LEVEL_CHECK = $JOB_LEVEL_CHECK\n" + if [[ $INTERACTIVE == "TRUE" ]]; then - echo -e "Current Settings are:\n" - echo "regressionID = $regressionID" - echo "git branch = $fv3gfs_git_branch" - echo "idate = $idate" - echo "edate = $edate" - echo "CHECKOUT_DIR = $CHECKOUT_DIR" - echo "CHECKOUT = $CHECKOUT" - echo "BUILD = $BUILD" - echo "CREATE_EXP = $CREATE_EXP" - echo "COMPARE_BASE = $COMPARE_BASE" - echo -e "RUNROCOTO = $RUNROCOTO\n" while read -n1 -r -p "Are these the correct settings (y/n): " answer do if [[ $answer == "n" ]]; then @@ -211,6 +231,8 @@ if [[ $INTERACTIVE == "TRUE" ]]; then done fi +SCRIPT_STARTTIME=$(date +%s) + module load $load_rocoto rocotoruncmd=`which rocotorun` if [[ -z ${rocotoruncmd} ]]; then @@ -248,6 +270,7 @@ setup_expt=${CHECKOUT_DIR}/${checkout_dir_basename}/gfs_workflow.${fv3gfs_ver}/u setup_workflow=${CHECKOUT_DIR}/${checkout_dir_basename}/gfs_workflow.${fv3gfs_ver}/ush/setup_workflow.py config_dir=${CHECKOUT_DIR}/${checkout_dir_basename}/gfs_workflow.${fv3gfs_ver}/config + if [[ $CHECKOUT == 'TRUE' ]]; then cd ${CHECKOUT_DIR} if [[ ! -z ${fv3gfs_svn_url} ]]; then @@ -274,7 +297,7 @@ if [[ $CHECKOUT == 'TRUE' ]]; then fi fi -comrot=${CHECKOUT_DIR}/fv3gfs_regression_tests +comrot=${CHECKOUT_DIR}/fv3gfs_regression_experments comrot_test_dir=${comrot}/${pslot} exp_dir_fullpath=${CHECKOUT_DIR}/${pslot} exp_setup_string="--pslot ${pslot} --icsdir $ICS_dir --configdir ${config_dir} --comrot ${comrot} --idate $idate --edate $edate --expdir ${CHECKOUT_DIR}" @@ -322,6 +345,171 @@ if [[ $BUILD == 'TRUE' ]]; then fi fi +run_file_compare_python () { + + total_number_files=`find $check_baseline_dir -type f | wc -l` + if [[ $JUST_COMPARE_TWO_DIRS == 'TRUE' ]]; then + comrot_test_dir=$check_baseline_dir_with_this_dir + fi + log_message "INFO" "doing the diff compare in $check_baseline_dir against $comrot_test_dir" + if [[ ! -d $check_baseline_dir ]] || [[ ! -d $comrot_test_dir ]]; then + log_message "CRITICAL" "one of the target directories does not exist" + fi + + log_message "INFO" "running: compare_folders.py $check_baseline_dir $comrot_test_dir -n $regressionID" + compare_folders.py $check_baseline_dir $comrot_test_dir -n $regressionID + +} + +run_file_compare () { + + log_message "INFO" "doing job level comparing with job $regressionID" + if [[ $COMPARE_BASE == 'TRUE' ]]; then + PWD_start=$PWD + diff_file_name="${CHECKOUT_DIR}/diff_file_list_${regressionID}.lst" + total_number_files=`find $check_baseline_dir -type f | wc -l` + if [[ $system == "theia" ]]; then + module load nccmp + NCCMP=`which nccmp` + else + NCCMP=/gpfs/hps3/emc/nems/noscrub/emc.nemspara/FV3GFS_V0_RELEASE/util/nccmp + fi + + if [[ $JUST_COMPARE_TWO_DIRS == 'TRUE' ]]; then + comrot_test_dir=$check_baseline_dir_with_this_dir + fi + log_message "INFO" "doing the diff compare in $check_baseline_dir against $comrot_test_dir" + if [[ ! -d $check_baseline_dir ]] || [[ ! -d $comrot_test_dir ]]; then + log_message "CRITICAL" "one of the target directories does not exist" + fi + log_message "INFO" "moving to directory $comrot_test_dir to do the compare" + if [[ -d $comrot_test_dir ]]; then + cd $comrot_test_dir/.. + else + log_message "CRITICAL" "The directory $comrot_test_dir does not exsist" + fi + check_baseline_dir_basename=`basename $check_baseline_dir` + comrot_test_dir_basename=`basename $comrot_test_dir` + + log_message "INFO" "running command: diff --brief -Nr --exclude \"*.log*\" --exclude \"*.nc\" --exclude \"*.nc?\" $check_baseline_dir_basename $comrot_test_dir_basename >& $diff_file_name" + diff --brief -Nr --exclude "*.log*" --exclude "*.nc" --exclude "*.nc?" $check_baseline_dir_basename $comrot_test_dir_basename >> ${diff_file_name} 2>&1 + + num_different_files=`wc -l < $diff_file_name` + log_message "INFO" "checking of the $num_different_files differing files (not including NetCDF) for which ones are tar and/or compressed files for differences" + rm -f ${diff_file_name}_diff + counter_diffed=0 + counter_regularfiles=0 + counter_compressed=0 + while read line; do + set -- $line; + file1=$2; + file2=$4; + + if ( tar --exclude '*' -ztf $file1 ) ; then + #log_message "INFO" "$file1 is an compressed tar file" + counter_compressed=$((counter_compressed+1)) + if [[ $( tar -xzf $file1 -O | md5sum ) != $( tar -xzf $file2 -O | md5sum ) ]] ; then + #log_message "INFO" "found $file1 and $file2 gzipped tar files DO differ" + counter_diffed=$((counter_diffed+1)) + echo "compressed tar $line" >> ${diff_file_name}_diff + fi + elif ( tar --exclude '*' -tf $file1 ) ; then + counter_compressed=$((counter_compressed+1)) + #log_message "INFO" "$file1 is an uncompressed tar file" + if [[ $( tar -xf $file1 -O | md5sum ) != $( tar -xf $file2 -O | md5sum ) ]] ; then + #log_message "INFO" "found $file1 and $file2 tar files DO differ" + counter_diffed=$((counter_diffed+1)) + echo "tar $line" >> ${diff_file_name}_diff + fi + else + #log_message "INFO" "$file1 is not tar or tar.gz and still then differs" + counter_regularfiles=$((counter_regularfiles+1)) + echo $line >> ${diff_file_name}_diff + fi + + done < $diff_file_name + + log_message "INFO" "out of $num_different_files differing files $counter_compressed where tar or compressed and $counter_diffed of those differed" + + if [[ -f ${diff_file_name}_diff ]]; then + mv ${diff_file_name}_diff ${diff_file_name} + fi + + log_message "INFO" "checking if test case has correct number of files" + + baseline_tempfile=${check_baseline_dir_basename}_files.txt + comrot_tempfile=${comrot_test_dir_basename}_files.txt + cd $check_baseline_dir_basename + rm -f ../$baseline_tempfile + find * -type f > ../$baseline_tempfile + cd ../$comrot_test_dir_basename + rm -f ../$comrot_tempfile + find * -type f > ../$comrot_tempfile + cd .. + diff ${baseline_tempfile} ${comrot_tempfile} > /dev/null 2>&1 + if [[ $? != 0 ]]; then + num_missing_files=0 + while read line; do + ls ${comrot_test_dir_basename}/$line > /dev/null 2>&1 + if [[ $? != 0 ]]; then + echo "file $line is in ${check_baseline_dir_basename} but is missing in ${comrot_test_dir_basename}" >> ${diff_file_name} + num_missing_files=$((num_missing_files+1)) + fi + done < $baseline_tempfile + while read line; do + ls ${check_baseline_dir_basename}/$line > /dev/null 2>&1 + if [[ $? != 0 ]]; then + echo "file $line is in ${comrot_test_dir_basename} but is missing in $check_baseline_dir_basename" >> ${diff_file_name} + num_missing_files=$((num_missing_files+1)) + fi + done < $comrot_tempfile + if [[ $num_missing_files != 0 ]]; then + log_message "INFO" "$num_missing_files files where either missing or where unexpected in the test direcotry." + else + log_message "INFO" "all the files are accounted for are all the names match in the test directory" + fi + else + log_message "INFO" "all the files are accounted for are all the names match in the test directory" + fi + rm -f $baseline_tempfile + rm -f $comrot_tempfile + + log_message "INFO" "comparing NetCDF files ..." + find $check_baseline_dir_basename -type f \( -name "*.nc?" -o -name "*.nc" \) > netcdf_filelist.txt + num_cdf_files=`wc -l < netcdf_filelist.txt` + counter_identical=0 + counter_differed_nccmp=0 + counter_header_identical=0 + while IFS=/ read netcdf_file; do + comp_base=`basename $netcdf_file` + dir_name=`dirname $netcdf_file` + just_dir=`echo "$dir_name" | sed 's,^[^/]*/,,'` + file1=$check_baseline_dir_basename/$just_dir/$comp_base ; file2=$comrot_test_dir_basename/$just_dir/$comp_base + diff $file1 $file2 > /dev/null 2>&1 + if [[ $? != 0 ]]; then + nccmp_result=$( { $NCCMP --diff-count=4 --threads=4 --data $file1 $file2; } 2>&1) + if [[ $? != 0 ]]; then + counter_differed_nccmp=$((counter_differed_nccmp+1)) + echo "NetCDF file $file1 differs: $nccmp_result" >> $diff_file_name + else + counter_header_identical=$((counter_header_identical+1)) + fi + else + counter_identical=$((counter_identical+1)) + fi + done < netcdf_filelist.txt + log_message "INFO" "out off $num_cdf_files NetCDF files $counter_identical where completely identical, $counter_header_identical identical data but differed in the header, and $counter_differed_nccmp differed in the data" + number_diff=`wc -l < $diff_file_name` + log_message "INFO" "completed running diff for fv3gfs regression test ($regressionID) and found results in file: $diff_file_name" + log_message "INFO" "out of $total_number_files files, there where $number_diff that differed" + rm netcdf_filelist.txt + + cd $PWD_start + fi +} + + +regressionID_save=$regressionID if [[ $RUNROCOTO == 'TRUE' ]]; then if [[ ! -d ${exp_dir_fullpath} ]]; then log_message "CRITICAL" "experiment directory $exp_dir_fullpath not found" @@ -350,191 +538,92 @@ if [[ $RUNROCOTO == 'TRUE' ]]; then log_message "INFO" "rocotostat determined that the last cycle in test is: $lastcycle" cycling_done="FALSE" + last_succeeded_checked="" + last_succeeded="" while [ $cycling_done == "FALSE" ]; do lastcycle_state=`$rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c $lastcycle -s | tail -1 | awk '{print $2}'` if [[ $lastcycle_state == "Done" ]]; then + log_message "INFO" "last cycle $lastcycle just reported to be DONE by rocotostat .. exiting execution of workflow" break fi #log_message "INFO" "running: $rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c all" deadjobs=`$rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c all | awk '$4 == "DEAD" {print $2}'` if [[ ! -z $deadjobs ]]; then deadjobs=`echo $deadjobs | tr '\n' ' '` - log_message "CRITICAL" "the following jobs are DEAD: $deadjobs" + log_message "CRITICAL" "the following jobs are DEAD: $deadjobs exiting script with error code (-1)" + exit -1 fi deadcycles=`$rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c $lastcycle -s | awk '$2 == "Dead" {print $1}'` if [[ ! -z $deadcycles ]]; then - log_message "CRITICAL" "the following cycles are dead: $deadcycles" + log_message "CRITICAL" "the following cycles are dead: $deadcycles exiting script with error code (-2)" + exit -2 fi $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml if [[ $? == "0" ]]; then + last_succeeded=`$rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c all | awk '$4 == "SUCCEEDED" {print $1"_"$2}' | tail -1` log_message "INFO" "Successfully ran: $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml" + #log_message "INFO" "using job level checking: last succeded task checked: $last_succeeded_checked" + #log_message "INFO" "using job level checking: last succeded task current: $last_succeeded" + if [[ ! -z $last_succeeded ]]; then + if [[ $last_succeeded != $last_succeeded_checked ]]; then + last_succeeded_checked=$last_succeeded + regressionID=$last_succeeded + log_message "INFO" "job $last_succeeded just completed successfully" + if [[ $JOB_LEVEL_CHECK == 'TRUE' ]]; then + if [[ $PYTHON_FILE_COMPARE == 'TRUE' ]]; then + log_message "WARNING" "python file compare set but does not support job level checking (reverting to bash shell version)" + run_file_compare + fi + else + run_file_compare_python + fi + fi + fi else log_message "WARNING" "FAILED: $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml" fi - sleep 5m - done - log_message "INFO" "rocotorun completed successfully" + # Wait here to before running rocotorun again ... + log_message "INFO" "Waiting here for $ROCOTO_WAIT_FRQUANCY ..." + sleep $ROCOTO_WAIT_FRQUANCY + + if [[ ! -z $RZDM ]]; then + viewer_arg_str="-d ${pslot}.db -w ${pslot}.xml --html=$RZDM" + cd ${exp_dir_fullpath} + $ROCOTOVIEWER $viewer_arg_str + if [[ $? == "0" ]]; then + log_message "INFO" "state of workflow posted at $RZDM" + else + log_message "WARNING" "attempt to write stats to the rzdm server failed" + fi + fi + done + log_message "INFO" "rocotorun completed successfully" fi +regressionID=$regressionID_save if [[ $COMPARE_BASE == 'TRUE' ]]; then - diff_file_name="${CHECKOUT_DIR}/diff_file_list_${regressionID}.txt" - total_number_files=`find $check_baseline_dir -type f | wc -l` - if [[ $system == "theia" ]]; then - module load nccmp - NCCMP=`which nccmp` - else - NCCMP=/gpfs/hps3/emc/nems/noscrub/emc.nemspara/FV3GFS_V0_RELEASE/util/nccmp - fi - - if [[ $JUST_COMPARE_TWO_DIRS == 'TRUE' ]]; then - comrot_test_dir=$check_baseline_dir_with_this_dir - fi - log_message "INFO" "doing the diff compare in $check_baseline_dir against $comrot_test_dir" - if [[ ! -d $check_baseline_dir ]] || [[ ! -d $comrot_test_dir ]]; then - log_message "CRITICAL" "one of the target directories does not exist" - fi - log_message "INFO" "moving to directory $comrot_test_dir to do the compare" - if [[ -d $comrot_test_dir ]]; then - cd $comrot_test_dir/.. - else - log_message "CRITICAL" "The directory $comrot_test_dir does not exsist" - fi - check_baseline_dir_basename=`basename $check_baseline_dir` - comrot_test_dir_basename=`basename $comrot_test_dir` - - log_message "INFO" "running command: diff --brief -Nr --exclude \"*.log*\" --exclude \"*.nc\" --exclude \"*.nc?\" $check_baseline_dir_basename $comrot_test_dir_basename >& $diff_file_name" - diff --brief -Nr --exclude "*.log*" --exclude "*.nc" --exclude "*.nc?" $check_baseline_dir_basename $comrot_test_dir_basename >> ${diff_file_name} 2>&1 - - num_different_files=`wc -l < $diff_file_name` - log_message "INFO" "checking of the $num_different_files differing files (not including NetCDF) for which ones are tar and/or compressed files for differences" - rm -f ${diff_file_name}_diff - counter_diffed=0 - counter_regularfiles=0 - counter_compressed=0 - while read line; do - set -- $line; - file1=$2; - file2=$4; - - if ( tar --exclude '*' -tfz $file1 >& /dev/null ) ; then - #log_message "INFO" "$file1 is an compressed tar file" - counter_compressed=$((counter_compressed+1)) - if [[ $( tar -xzf $file1 -O | md5sum ) != $( tar -xzf $file2 -O | md5sum ) ]] ; then - #log_message "INFO" "found $file1 and $file2 gzipped tar files DO differ" - counter_diffed=$((counter_diffed+1)) - echo "compressed tar $line" >> ${diff_file_name}_diff - fi - elif ( tar --exclude '*' -tf $file1 >& /dev/null ) ; then - counter_compressed=$((counter_compressed+1)) - #log_message "INFO" "$file1 is an uncompressed tar file" - if [[ $( tar -xf $file1 -O | md5sum ) != $( tar -xf $file2 -O | md5sum ) ]] ; then - #log_message "INFO" "found $file1 and $file2 tar files DO differ" - counter_diffed=$((counter_diffed+1)) - echo "tar $line" >> ${diff_file_name}_diff - fi - else - #log_message "INFO" "$file1 is not tar or tar.gz and still then differs" - counter_regularfiles=$((counter_regularfiles+1)) - echo $line >> ${diff_file_name}_diff - fi - - done < $diff_file_name - - log_message "INFO" "out of $num_different_files differing files $counter_compressed where tar or compressed and $counter_diffed of those differed" - - if [[ -f ${diff_file_name}_diff ]]; then - mv ${diff_file_name}_diff ${diff_file_name} - fi - - log_message "INFO" "checking if test case has correct number of files" - - baseline_tempfile=${check_baseline_dir_basename}_files.txt - comrot_tempfile=${comrot_test_dir_basename}_files.txt - cd $check_baseline_dir_basename - rm -f ../$baseline_tempfile - find * -type f > ../$baseline_tempfile - cd ../$comrot_test_dir_basename - rm -f ../$comrot_tempfile - find * -type f > ../$comrot_tempfile - cd .. - diff ${baseline_tempfile} ${comrot_tempfile} > /dev/null 2>&1 - if [[ $? != 0 ]]; then - num_missing_files=0 - while read line; do - ls ${comrot_test_dir_basename}/$line > /dev/null 2>&1 - if [[ $? != 0 ]]; then - echo "file $line is in ${check_baseline_dir_basename} but is missing in ${comrot_test_dir_basename}" >> ${diff_file_name} - num_missing_files=$((num_missing_files+1)) - fi - done < $baseline_tempfile - while read line; do - ls ${check_baseline_dir_basename}/$line > /dev/null 2>&1 - if [[ $? != 0 ]]; then - echo "file $line is in ${comrot_test_dir_basename} but is missing in $check_baseline_dir_basename" >> ${diff_file_name} - num_missing_files=$((num_missing_files+1)) - fi - done < $comrot_tempfile - if [[ $num_missing_files != 0 ]]; then - log_message "INFO" "$num_missing_files files where either missing or where unexpected in the test direcotry." - else - log_message "INFO" "all the files are accounted for are all the names match in the test directory" - fi - else - log_message "INFO" "all the files are accounted for are all the names match in the test directory" - fi - rm -f $baseline_tempfile - rm -f $comrot_tempfile - - log_message "INFO" "comparing NetCDF files ..." - find $check_baseline_dir_basename -type f \( -name "*.nc?" -o -name "*.nc" \) > netcdf_filelist.txt - num_cdf_files=`wc -l < netcdf_filelist.txt` - counter_identical=0 - counter_differed_nccmp=0 - counter_header_identical=0 - while IFS=/ read netcdf_file; do - comp_base=`basename $netcdf_file` - dir_name=`dirname $netcdf_file` - just_dir=`echo "$dir_name" | sed 's,^[^/]*/,,'` - file1=$check_baseline_dir_basename/$just_dir/$comp_base ; file2=$comrot_test_dir_basename/$just_dir/$comp_base - diff $file1 $file2 > /dev/null 2>&1 - if [[ $? != 0 ]]; then - # echo "$NCCMP --diff-count=4 --threads=4 --data $file1 $file2" - nccmp_result=$( { $NCCMP --diff-count=4 --threads=4 --data $file1 $file2; } 2>&1) - if [[ $? != 0 ]]; then - counter_differed_nccmp=$((counter_differed_nccmp+1)) - echo "NetCDF file $file1 differs: $nccmp_result" >> $diff_file_name - else - counter_header_identical=$((counter_header_identical+1)) - fi - else - counter_identical=$((counter_identical+1)) - fi - done < netcdf_filelist.txt - log_message "INFO" "out off $num_cdf_files NetCDF files $counter_identical where completely identical, $counter_header_identical identical data but differed in the header, and $counter_differed_nccmp differed in the data" - number_diff=`wc -l < $diff_file_name` - log_message "INFO" "completed running diff for fv3gfs regression test ($regressionID) and found results in file: $diff_file_name" - log_message "INFO" "out of $total_number_files files, there where $number_diff that differed" - rm netcdf_filelist.txt - - if [[ $number_diff > 1000 ]]; then - some="many" - elif [[ $number_diff < 200 ]]; then - some="some" - else - some="several" - fi - - DATE=`date` - if [[ $number_diff == 0 ]]; then - log_message "INFO" "regression tests script completed successfully on $DATE with no file differences" - exit 0 - else - log_message "INFO" "regression tests script completed successfully on $DATE with $some file differences" - exit 1 - fi -fi + if [[ $PYTHON_FILE_COMPARE == 'TRUE' ]]; then + run_file_compare_python + else + run_file_compare + fi +fi DATE=`date` -log_message "INFO" "regression tests script completed successfully on $DATE" +if [[ $number_diff == 0 ]]; then + log_message "INFO" "regression tests script completed successfully on $DATE with no file differences" +else + if (( $number_diff > 500 )); then + some="many" + elif (( $number_diff < 100 )); then + some="some" + else + some="several" + fi + log_message "INFO" "regression tests script completed successfully on $DATE with $some file differences" +fi +SCRIPT_ENDTIME=$(date +%s) +PROCESSTIME=$(($SCRIPT_ENDTIME-$SCRIPT_STARTTIME)) +log_message "INFO" "total process time $PROCESSTIME seconds" From 080e6f8bc04bf0f440e98e7822ef3ec91f52038d Mon Sep 17 00:00:00 2001 From: "Tery.McGuinness" Date: Fri, 5 Jan 2018 23:49:53 +0000 Subject: [PATCH 248/487] enahnced parced argument class in python script and started on job level file capturing --- tests/regression/compare_folders.py | 74 ++++++++++++++++++++------- tests/regression/fv3gfs_regression.sh | 2 +- 2 files changed, 57 insertions(+), 19 deletions(-) diff --git a/tests/regression/compare_folders.py b/tests/regression/compare_folders.py index 2bfcc27..f1db566 100755 --- a/tests/regression/compare_folders.py +++ b/tests/regression/compare_folders.py @@ -1,7 +1,9 @@ #!/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/python/3.6.1-emc/bin/python3 import filecmp +import collections import os,sys +from pathlib import Path def compare(folder1, folder2 ): return _recursive_dircmp(folder1, folder2) @@ -130,11 +132,20 @@ def print_diff_files(dcmp): import subprocess from subprocess import run - global diff_file - NCCMP='/gpfs/hps3/emc/nems/noscrub/emc.nemspara/FV3GFS_V0_RELEASE/util/nccmp' - - global cwd; global fixed_dir_experment_name; global verbose + NCCMP_path = Path(NCCMP) + if not NCCMP_path.is_file(): + try: + NCCMP=run(['which','nccmp'],stdout=subprocess.PIPE).stdout.decode('utf-8').strip() + except subprocess.CalledProcessError: + logger.critical(logger_hdr+'nccmp tool not found') + sys.exit(1) + if len(NCCMP)==0: + logger.critical(logger_hdr+'nccmp tool not found') + sys.exit(1) + + global diff_file; global cwd; global verbose + global fixed_dir_experment_name for name in dcmp.diff_files: if '.log' in name: continue @@ -167,13 +178,31 @@ def print_diff_files(dcmp): for sub_dcmp in dcmp.subdirs.values(): print_diff_files(sub_dcmp) -if __name__ == '__main__': +def capture_files_dir( input_dir ): - import datetime - import time - import logging + #current_file_list = collections.defaultdict(list) + current_file_list = [] + for path, subdirs, files in os.walk(input_dir): + for name in files: + current_file_list.append( os.path.join(path, name) ) + return current_file_list + +def get_args(): import argparse + parser = argparse.ArgumentParser() + parser.add_argument('--cmp_dirs',nargs=2,metavar=('dir1','dir2'),required=True) + parser.add_argument('-n','--nameID',dest="nameID",help='tag name for compare (used in output filename)') + parser.add_argument('-vt','--verbose_tar', help='include names of differing files witin tar files', action='store_true',default=False) + parser.add_argument('-gjf','--get_job_files',nargs=2,metavar=('job','yml_file'),help='capture job level file lists and save in yml_file', required=False) + args = parser.parse_args() + for dirs in args.cmp_dirs: + if not Path(dirs).is_dir(): + logger.critical('directory %s does not exsist'%dirs) + sys.exit(-1) + return args +def get_logger(): + import logging logger = logging.getLogger('python'); logger_hdr = 'LOG : ' logger.setLevel(level=logging.INFO) ch = logging.StreamHandler() @@ -181,20 +210,28 @@ def print_diff_files(dcmp): formatter = logging.Formatter('%(levelname)s : %(name)s : %(asctime)s : %(message)s','%Y-%m-%d %H:%M:%S') ch.setFormatter(formatter) logger.addHandler(ch) + return logger,logger_hdr - parser = argparse.ArgumentParser() - parser.add_argument("directory1") - parser.add_argument("directory2") - parser.add_argument("-n","--nameID" , help="tag name for compare (used in output filename)") - parser.add_argument("-v","--verbose", help="include names of differing files witin tar files", action="store_true",default=False) - args = parser.parse_args() +if __name__ == '__main__': + + import datetime + import time + import yaml + + logger,logger_hdr = get_logger() + args = get_args() process_time = time.process_time() - elapsed_time = time.process_time() - process_time - folder1 = os.path.realpath( args.directory1 ) - folder2 = os.path.realpath( args.directory2 ) - verbose = args.verbose + folder1 = os.path.realpath( args.cmp_dirs[0] ) + folder2 = os.path.realpath( args.cmp_dirs[1] ) + verbose = args.verbose_tar + + if args.get_job_files is not None: + current_file_list = collections.defaultdict(list) + current_file_list[args.get_job_files[0]] = capture_files_dir( folder1 ) + with open(args.get_job_files[1], 'w') as outfile: + yaml.dump(current_file_list, outfile, default_flow_style=False) if args.nameID: now_date_time = ''; nameID = args.nameID @@ -218,6 +255,7 @@ def print_diff_files(dcmp): logger.info(logger_hdr+'comparing folders:\n %s\n %s'%(folder1,folder2)) logger.info(logger_hdr+'checking for matching file counts in directories') + results = compare(folder1, folder2) left_right = ('left','right') for each_side in left_right: diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index 7c19ffa..eba9ac3 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -357,7 +357,7 @@ run_file_compare_python () { fi log_message "INFO" "running: compare_folders.py $check_baseline_dir $comrot_test_dir -n $regressionID" - compare_folders.py $check_baseline_dir $comrot_test_dir -n $regressionID + compare_folders.py --cmp_dirs $check_baseline_dir $comrot_test_dir -n $regressionID } From bf58de6abb3683d656c50d7660d5cd1238bb4c24 Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Mon, 8 Jan 2018 20:37:30 +0000 Subject: [PATCH 249/487] separated vrfy_templates for gfs/gdas --- model/fv3gfs/exp/actions/vrfy.yaml | 5 ++-- model/fv3gfs/exp/validation/vrfy.yaml | 39 ++++++++++++++++++--------- 2 files changed, 29 insertions(+), 15 deletions(-) diff --git a/model/fv3gfs/exp/actions/vrfy.yaml b/model/fv3gfs/exp/actions/vrfy.yaml index 07795f5..63eafbf 100644 --- a/model/fv3gfs/exp/actions/vrfy.yaml +++ b/model/fv3gfs/exp/actions/vrfy.yaml @@ -17,10 +17,10 @@ vrfy_base: &vrfy_base !Action VRFYG2OBS: NO VRFYGENESIS: NO VRFYGMPK: NO - VRFYMINMON: NO + VRFYMINMON: YES VRFYOZN: NO VRFYPRCP: YES - VRFYRAD: NO + VRFYRAD: YES VRFYTRAK: NO VSDB_STEP1: YES VSDB_STEP2: NO @@ -32,6 +32,7 @@ vrfy_base: &vrfy_base !Action vrfy_gfs_action: &vrfy_gfs_action !Action <<: *vrfy_base + Template: [ *vrfy_template_gfs ] CDUMP: gfs CASE: !calc doc.fv3_gfs_settings.CASE Inherit: !Inherit diff --git a/model/fv3gfs/exp/validation/vrfy.yaml b/model/fv3gfs/exp/validation/vrfy.yaml index 15b2751..ad32a1e 100644 --- a/model/fv3gfs/exp/validation/vrfy.yaml +++ b/model/fv3gfs/exp/validation/vrfy.yaml @@ -182,6 +182,19 @@ VRFY_CDUMP_GFS_VARS: &VRFY_CDUMP_GFS_VARS !Template type: string default: "pgbq" +vrfy_template_gfs: &vrfy_template_gfs !Template + VRFY_CDUMP_GFS: + type: bool + default: !FirstTrue + - when: !calc ( VSDB_STEP1 or VRFYPRCP or VRFYG2OBS ) + do: YES + - otherwise: NO + if_present: !FirstTrue + - when: !calc ( VSDB_STEP1 or VRFYPRCP or VRFYG2OBS ) + do: *VRFY_CDUMP_GFS_VARS + message: "VRFY_CDUMP_GFS_VARS loaded!" + - otherwise: null + vrfy_template: &vrfy_template !Template # verifying dump VDUMP: @@ -229,7 +242,7 @@ vrfy_template: &vrfy_template !Template - when: !calc VRFYMINMON do: *MIN_VARS - otherwise: null - message: !expand "WARNING: Minimization monitoring is not enabled on {doc.platform.name}!" + message: !expand "WARNING: Minimization monitoring is turned off!" VRFYRAD: description: Radiance data assimilation monitoring @@ -295,17 +308,17 @@ vrfy_template: &vrfy_template !Template do: "/dev/null" - otherwise: !error "Do not know subfits file (PREPQFITSH) for {doc.platform.name}" - VRFY_CDUMP_GFS: - type: bool - default: !FirstTrue - - when: !calc ( CDUMP=="gfs" and ( VSDB_STEP1 or VRFYPRCP or VRFYG2OBS ) ) - do: YES - - otherwise: NO - if_present: !FirstTrue - - when: !calc ( CDUMP=="gfs" and ( VSDB_STEP1 or VRFYPRCP or VRFYG2OBS ) ) - do: *VRFY_CDUMP_GFS_VARS - message: VRFY_CDUMP_GFS_VARS - - otherwise: null +# VRFY_CDUMP_GFS: +# type: bool +# default: !FirstTrue +# - when: !calc ( CDUMP=="gfs" and ( VSDB_STEP1 or VRFYPRCP or VRFYG2OBS ) ) +# do: YES +# - otherwise: NO +# if_present: !FirstTrue +# - when: !calc ( CDUMP=="gfs" and ( VSDB_STEP1 or VRFYPRCP or VRFYG2OBS ) ) +# do: *VRFY_CDUMP_GFS_VARS +# message: "VRFY_CDUMP_GFS_VARS unavailable!" +# - otherwise: null NO_VRFYMINSH: type: bool @@ -337,4 +350,4 @@ vrfy_template: &vrfy_template !Template - when: !calc ( VRFYRAD and CDUMP==CDFNL ) do: *RAD_VARS - otherwise: null - message: !expand "WARNING: Radiance monitoring is not enabled on {doc.platform.name}!" + message: !expand "WARNING: Radiance monitoring is turned off!" From b9576e2f9e52788d14762b6a47f8e9785573c492 Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Mon, 8 Jan 2018 20:41:29 +0000 Subject: [PATCH 250/487] continue --- model/fv3gfs/exp/validation/vrfy.yaml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/model/fv3gfs/exp/validation/vrfy.yaml b/model/fv3gfs/exp/validation/vrfy.yaml index ad32a1e..805bb4c 100644 --- a/model/fv3gfs/exp/validation/vrfy.yaml +++ b/model/fv3gfs/exp/validation/vrfy.yaml @@ -182,7 +182,7 @@ VRFY_CDUMP_GFS_VARS: &VRFY_CDUMP_GFS_VARS !Template type: string default: "pgbq" -vrfy_template_gfs: &vrfy_template_gfs !Template +vrfy_template_gfs: &vrfy_template_gfs !Template # for "CDUMP = gfs" VRFY_CDUMP_GFS: type: bool default: !FirstTrue From 9f490d73a53aeac4a2617983bb2e7b24e5b52ed8 Mon Sep 17 00:00:00 2001 From: "Tery.McGuinness" Date: Wed, 10 Jan 2018 22:01:32 +0000 Subject: [PATCH 251/487] Almost done with "discoverable" job level regression testing --- tests/regression/compare_folders.py | 64 ++++++++++++++++++++------- tests/regression/fv3gfs_regression.sh | 4 +- 2 files changed, 51 insertions(+), 17 deletions(-) diff --git a/tests/regression/compare_folders.py b/tests/regression/compare_folders.py index f1db566..e56b214 100755 --- a/tests/regression/compare_folders.py +++ b/tests/regression/compare_folders.py @@ -189,16 +189,19 @@ def capture_files_dir( input_dir ): def get_args(): import argparse + import json parser = argparse.ArgumentParser() - parser.add_argument('--cmp_dirs',nargs=2,metavar=('dir1','dir2'),required=True) + #group = parser.add_mutually_exclusive_group(required=True) + parser.add_argument('--cmp_dirs',nargs=2,metavar=('dir1','dir2'),help='compare COMROT foloders') + parser.add_argument('--cmp_jobs',nargs=3,metavar=('job_name','ROTDIR','file_list.yml'),help='compare files at the job level (uses file_list.yml to track)') parser.add_argument('-n','--nameID',dest="nameID",help='tag name for compare (used in output filename)') parser.add_argument('-vt','--verbose_tar', help='include names of differing files witin tar files', action='store_true',default=False) - parser.add_argument('-gjf','--get_job_files',nargs=2,metavar=('job','yml_file'),help='capture job level file lists and save in yml_file', required=False) args = parser.parse_args() - for dirs in args.cmp_dirs: - if not Path(dirs).is_dir(): - logger.critical('directory %s does not exsist'%dirs) - sys.exit(-1) + if args.cmp_dirs is not None: + for dirs in args.cmp_dirs: + if not Path(dirs).is_dir(): + logger.critical('directory %s does not exsist'%dirs) + sys.exit(-1) return args def get_logger(): @@ -223,15 +226,46 @@ def get_logger(): process_time = time.process_time() - folder1 = os.path.realpath( args.cmp_dirs[0] ) - folder2 = os.path.realpath( args.cmp_dirs[1] ) verbose = args.verbose_tar + file_dic_list = collections.defaultdict(list) + + if args.cmp_jobs is not None: + + job_name = args.cmp_jobs[0] + ROTDIR = args.cmp_jobs[1] + ROTDIR_Path = Path( args.cmp_jobs[1] ) + if not ROTDIR_Path.is_dir(): + logger.critical(logger_hdr+'ROTDIR %s is not a directory') + sys.exit(-1) + yaml_files_filename = os.path.realpath( args.cmp_jobs[2] ) + logger.info(logger_hdr+'determining job level files for job %s in file %s'%(job_name, os.path.basename(yaml_files_filename))) + file_list_current = capture_files_dir( ROTDIR ) + yaml_files_filename_Path = Path(yaml_files_filename) + if yaml_files_filename_Path.is_file(): + yaml_files_fptr = open( yaml_files_filename ) + file_dic_list = yaml.load( yaml_files_fptr ) + yaml_files_fptr.close() + + if 'prior_ROTDIR' in file_dic_list: + result = [] + for file in file_list_current: + if file not in file_dic_list['prior_ROTDIR']: + result.append(file) + file_dic_list[job_name] = result + else: + file_dic_list[job_name] = file_list_current + + file_dic_list['prior_ROTDIR'] = file_list_current + logger.info(logger_hdr+'write out file %s'%yaml_files_filename ) + with open(yaml_files_filename, 'w') as outfile: + yaml.dump(file_dic_list, outfile, default_flow_style=False) + + if args.cmp_dirs is None: + logger.info( logger_hdr+'compare_folders script is being used to capture job level files only and is quitting') + sys.exit(0) - if args.get_job_files is not None: - current_file_list = collections.defaultdict(list) - current_file_list[args.get_job_files[0]] = capture_files_dir( folder1 ) - with open(args.get_job_files[1], 'w') as outfile: - yaml.dump(current_file_list, outfile, default_flow_style=False) + folder1 = os.path.realpath( args.cmp_dirs[0] ) + folder2 = os.path.realpath( args.cmp_dirs[1] ) if args.nameID: now_date_time = ''; nameID = args.nameID @@ -264,9 +298,9 @@ def get_logger(): foldera = folder1; folderb = folder2 else: folderb = folder1; foldera = folder2 - loggin.info('list of files found in %s and not in %s:'%(os.path.basename(foldera),os.path.basename(folderb))) + logger.info('list of files found in %s and not in %s:'%(os.path.basename(foldera),os.path.basename(folderb))) for file in results[each_side]: - loggin.info(' %s'%file) + logger.info(' %s'%file) compare_files = filecmp.dircmp(folder1, folder2) logger.info(logger_hdr+'checking tar and NetCDF files differences') diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index eba9ac3..b8ae75c 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -55,7 +55,7 @@ BUILD=${BUILD:-'TRUE'} CREATE_EXP=${CREATE_EXP:-'TRUE'} RUNROCOTO=${RUNROCOTO:-'TRUE'} JOB_LEVEL_CHECK=${JOB_LEVEL_CHECK:-'FALSE'} -RZDM_RESULTS=${RZDM_RESULTS:-'TRUE'} +RZDM_RESULTS=${RZDM_RESULTS:-'FALSE'} PYTHON_FILE_COMPARE=${PYTHON_FILE_COMPARE:-'TRUE'} #CHECKOUT='FALSE' @@ -63,7 +63,7 @@ PYTHON_FILE_COMPARE=${PYTHON_FILE_COMPARE:-'TRUE'} #BUILD='FALSE' #RUNROCOTO='FALSE' #JOB_LEVEL_CHECK='TRUE' -#RZDM_RESULTS='FALSE' +#RZDM_RESULTS='TRUE' #PYTHON_FILE_COMPARE='FALSE' idate='2017073118' From a6c09c17194eab415369bb59f2e7bf16261eb4b7 Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Wed, 24 Jan 2018 04:49:48 +0000 Subject: [PATCH 252/487] Add logic to generate batch cards. Half-way through adding logic to make ecflow suites. --- crow/config/tasks.py | 6 +- crow/metascheduler/ecflow.py | 162 +++++++++++++++++++++++++++ crow/sysenv/jobs.py | 7 +- crow/sysenv/nodes.py | 13 +++ crow/sysenv/schedulers/LSFAlps.py | 78 ++++++++++++- crow/sysenv/schedulers/MoabTorque.py | 79 ++++++++++++- crow/tools.py | 25 +++++ 7 files changed, 362 insertions(+), 8 deletions(-) create mode 100644 crow/metascheduler/ecflow.py diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 01c7623..3b0a852 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -138,8 +138,9 @@ def child_iter(self): yield val def walk_task_tree(self): - """!Iterates over the entire tree of descendants below this SuiteView, - yielding a SuiteView of each.""" + """!Iterates over the entire tree of descendants below this + SuiteView in a depth-first manner, yielding a SuiteView of + each.""" for val in self.child_iter(): yield val if isinstance(val,SuiteView): @@ -150,6 +151,7 @@ def __contains__(self,key): return key in self.viewed def is_task(self): return isinstance(self.viewed,Task) + def is_family(self): return isinstance(self.viewed,Family) def is_input_slot(self): return isinstance(self.viewed,InputSlot) def is_output_slot(self): return isinstance(self.viewed,OutputSlot) diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py new file mode 100644 index 0000000..5404282 --- /dev/null +++ b/crow/metascheduler/ecflow.py @@ -0,0 +1,162 @@ +from io import StringIO +from crow.metascheduler.simplify import simplify +from crow.config import SuiteView, Suite, Depend, LogicalDependency, \ + AndDependency, OrDependency, NotDependency, \ + StateDependency, Dependable, Taskable, Task, \ + Family, Cycle, RUNNING, COMPLETED, FAILED, \ + TRUE_DEPENDENCY, FALSE_DEPENDENCY, SuitePath, \ + CycleExistsDependency +__all__=['to_ecflow','ToEcflow'] + +f'This module requires python 3.6 or newer.' + +ECFLOW_STATE_MAP={ COMPLETED:'complete', + RUNNING:'active', + FAILED:'aborted' } + +def relative_path(start,dest): + """Used to generate relative paths for ecflow. Removes common + path components and adds ".." components to go up one or more + families, to re-express dest in a path relative to start.""" + if not start: + raise ValueError('relative_path start path must be non-empty') + if not dest: + raise ValueError('relative_path destination path must be non-empty') + i=0 # Index of first element that differs between start and dest lists + while iself.end: return False + if when Date: Fri, 26 Jan 2018 21:02:26 +0000 Subject: [PATCH 253/487] added verbose logging output and fixed some typo bugs --- tests/regression/compare_folders.py | 138 ++++++++++++++++++---------- 1 file changed, 90 insertions(+), 48 deletions(-) diff --git a/tests/regression/compare_folders.py b/tests/regression/compare_folders.py index e56b214..0d16f44 100755 --- a/tests/regression/compare_folders.py +++ b/tests/regression/compare_folders.py @@ -5,6 +5,25 @@ import os,sys from pathlib import Path +def get_args(): + import argparse + import json + parser = argparse.ArgumentParser() + #group = parser.add_mutually_exclusive_group(required=True) + parser.add_argument('--cmp_dirs',nargs=2,metavar=('ROTDIR_baseline','ROTDIR_testrun'),help='compare COMROT foloders') + parser.add_argument('--cmp_dirs_joblevel', nargs=1, metavar=('file_list.yml'), help='use stored job level file list when comparing ROTDIRs') + parser.add_argument('--cmp_jobs',nargs=3,metavar=('job_name','ROTDIR','file_list.yml'),help='compare files at the job level (uses file_list.yml to track)') + parser.add_argument('-n','--nameID',dest="nameID",help='tag name for compare (used in output filename)') + parser.add_argument('-vt','--verbose_tar', help='include names of differing files witin tar files', action='store_true',default=False) + args = parser.parse_args() + if args.cmp_dirs is not None: + for dirs in args.cmp_dirs: + if not Path(dirs).is_dir(): + logger.critical('directory %s does not exsist'%dirs) + sys.exit(-1) + return args + + def compare(folder1, folder2 ): return _recursive_dircmp(folder1, folder2) @@ -132,26 +151,27 @@ def print_diff_files(dcmp): import subprocess from subprocess import run - NCCMP='/gpfs/hps3/emc/nems/noscrub/emc.nemspara/FV3GFS_V0_RELEASE/util/nccmp' - NCCMP_path = Path(NCCMP) - if not NCCMP_path.is_file(): - try: - NCCMP=run(['which','nccmp'],stdout=subprocess.PIPE).stdout.decode('utf-8').strip() - except subprocess.CalledProcessError: - logger.critical(logger_hdr+'nccmp tool not found') - sys.exit(1) - if len(NCCMP)==0: - logger.critical(logger_hdr+'nccmp tool not found') - sys.exit(1) - global diff_file; global cwd; global verbose global fixed_dir_experment_name + if len(dcmp.common_dirs) != 0: + logger.info(logger_hdr+'checking directories: %s'%' '.join(dcmp.common_dirs)) + if len( dcmp.diff_files ) == 0 and len(dcmp.common_files) != 0: + logger.info(logger_hdr+'out of %d common files no differences found'%len(dcmp.common_files)) + file1_shortpath = '/'+dcmp.left.replace(cwd,'').replace(fixed_dir_experment_name,'').lstrip('/') + if verbose: + logger.info(logger_hdr+'checked in directory %s'%(file1_shortpath)) + if len( dcmp.diff_files) != 0 and verbose: + number_netcdf_files = len([s for s in dcmp.diff_files if '.nc' in s]) + logger.info(logger_hdr+'checking %d differing files of which %d are NetCDF and some may be tar files'%(len(dcmp.diff_files),number_netcdf_files)) + num_netcdf_differing_files = 0 + num_netcdf_differing_files_onlyheader = 0 + num_tar_differing_files = 0 + num_identified_tar_files = 0 + num_differing_files = 0 for name in dcmp.diff_files: - if '.log' in name: - continue file1 = os.path.join(dcmp.left,name); file2 = os.path.join(dcmp.right,name) - file1_shortpath = dcmp.left.replace(cwd,'').replace(fixed_dir_experment_name,'').lstrip('/') - file2_shortpath = dcmp.right.replace(cwd,'').replace(fixed_dir_experment_name,'').lstrip('/') + file1_shortpath = '/'+dcmp.left.replace(cwd,'').replace(fixed_dir_experment_name,'').lstrip('/') + file2_shortpath = '/'+dcmp.right.replace(cwd,'').replace(fixed_dir_experment_name,'').lstrip('/') if '.nc' in name: net_cdf_type = netcdfver(file1) if net_cdf_type is not None: @@ -161,22 +181,41 @@ def print_diff_files(dcmp): netcdf_diff_output = run([NCCMP, "--diff-count=3", "--threads=4", "--data", file1, file2], stderr=subprocess.PIPE).stderr.decode('utf-8').strip() if len(netcdf_diff_output) == 0: diff_file.write('NetCDF file %s of type: %s differs only in the header in directories %s and %s\n'%(name,net_cdf_type,file1_shortpath,file2_shortpath)) + num_netcdf_differing_files_onlyheader += 1 else: diff_file.write( 'NetCDF file %s of type: %s differs %s in directories %s and %s\n'%(name,net_cdf_type,netcdf_diff_output,file1_shortpath,file2_shortpath)) + num_netcdf_differing_files += 1 elif tarfile.is_tarfile(file1): + num_identified_tar_files += 1 if verbose: diff_tar_members = tarcmp_verbose( file1, file2 ) if len(diff_tar_members) != 0: - for diff_file in diff_tar_members: - diff_file.write('tar member file %s differs in tar file %s from directories %s and %s\n' % (diff_file, name, file1_shortpath, file2_shortpath)) + for tar_file in diff_tar_members: + diff_file.write('tar member file %s differs in tar file %s from directories %s and %s\n' % (tar_file, name, file1_shortpath, file2_shortpath)) if not tarcmp( file1, file2 ): diff_file.write('tar file %s differs in directories %s and %s\n' % (name, file1_shortpath, file2_shortpath)) + num_tar_differing_files += 1 else: diff_file.write('file %s differs in directories %s and %s\n'% (name, file1_shortpath, file2_shortpath)) + num_differing_files += 1 diff_file.flush() + if num_netcdf_differing_files != 0: + logger.info(logger_hdr+'%d NetCDF files differed'%num_netcdf_differing_files) + if num_tar_differing_files != 0: + logger.info(logger_hdr+'%d tar files differed'%num_tar_differing_files) + if num_differing_files != 0: + logger.info(logger_hdr+'%d files differed that was not NetCDF nor tar files'%num_differing_files) + if verbose: + if num_netcdf_differing_files == 0 and num_tar_differing_files == 0 and num_differing_files == 0 and len(dcmp.diff_files) != 0: + if num_identified_tar_files == len(dcmp.diff_files): + logger.info(logger_hdr+'all of the %d potentially differeing files where acctually non-differing tar files'%len(dcmp.diff_files)) + elif len(dcmp.diff_files) == num_netcdf_differing_files_onlyheader: + logger.info(logger_hdr+'all of the %d potentially differeing files where acctually non-differing NetCDF files (only headers differed)'%len(dcmp.diff_files)) + else: + logger.info(logger_hdr+'of the %d potentially differeing %d NetCDF differed %d tar files differedl, and %d differed that where not NetCDF or tar'%(len(dcmp.diff_files),num_netcdf_differing_files,num_tar_differing_files,num_differing_files)) for sub_dcmp in dcmp.subdirs.values(): - print_diff_files(sub_dcmp) + print_diff_files(sub_dcmp) def capture_files_dir( input_dir ): @@ -187,22 +226,7 @@ def capture_files_dir( input_dir ): current_file_list.append( os.path.join(path, name) ) return current_file_list -def get_args(): - import argparse - import json - parser = argparse.ArgumentParser() - #group = parser.add_mutually_exclusive_group(required=True) - parser.add_argument('--cmp_dirs',nargs=2,metavar=('dir1','dir2'),help='compare COMROT foloders') - parser.add_argument('--cmp_jobs',nargs=3,metavar=('job_name','ROTDIR','file_list.yml'),help='compare files at the job level (uses file_list.yml to track)') - parser.add_argument('-n','--nameID',dest="nameID",help='tag name for compare (used in output filename)') - parser.add_argument('-vt','--verbose_tar', help='include names of differing files witin tar files', action='store_true',default=False) - args = parser.parse_args() - if args.cmp_dirs is not None: - for dirs in args.cmp_dirs: - if not Path(dirs).is_dir(): - logger.critical('directory %s does not exsist'%dirs) - sys.exit(-1) - return args + def get_logger(): import logging @@ -221,6 +245,20 @@ def get_logger(): import time import yaml + fixed_dir_experment_name = 'fv3gfs_regression_experments' + + NCCMP='/gpfs/hps3/emc/nems/noscrub/emc.nemspara/FV3GFS_V0_RELEASE/util/nccmp' + NCCMP_path = Path(NCCMP) + if not NCCMP_path.is_file(): + try: + NCCMP=run(['which','nccmp'],stdout=subprocess.PIPE).stdout.decode('utf-8').strip() + except subprocess.CalledProcessError: + logger.critical(logger_hdr+'nccmp tool not found') + sys.exit(1) + if len(NCCMP)==0: + logger.critical(logger_hdr+'nccmp tool not found') + sys.exit(1) + logger,logger_hdr = get_logger() args = get_args() @@ -284,7 +322,6 @@ def get_logger(): logger.critical(logger_hdr+'directory %s does not exsist'%folder) sys.exit(-1) - fixed_dir_experment_name = 'fv3gfs_regression_experments' cwd = os.getcwd() logger.info(logger_hdr+'comparing folders:\n %s\n %s'%(folder1,folder2)) @@ -293,19 +330,24 @@ def get_logger(): results = compare(folder1, folder2) left_right = ('left','right') for each_side in left_right: - if len(results[each_side]) != 0: - if each_side == 'left': - foldera = folder1; folderb = folder2 - else: - folderb = folder1; foldera = folder2 - logger.info('list of files found in %s and not in %s:'%(os.path.basename(foldera),os.path.basename(folderb))) - for file in results[each_side]: - logger.info(' %s'%file) - - compare_files = filecmp.dircmp(folder1, folder2) - logger.info(logger_hdr+'checking tar and NetCDF files differences') + if each_side == 'left': + foldera = folder1 + folderb = folder2 + else: + folderb = folder1 + foldera = folder2 + num_missmatched_files = len(results[each_side]) + if num_missmatched_files != 0: + if verbose: + diff_file.write('%d files found in %s that are not in %s:'%(num_missmatched_files,os.path.basename(foldera),os.path.basename(folderb))) + for file in results[each_side]: + diff_file.write(' %s'%file) + logger.info('%d files found in %s that are not in %s:'%(num_missmatched_files,os.path.basename(foldera),os.path.basename(folderb))) + logger.info(logger_hdr+'checking for file differences...') + egnore_file_list = ['*.log','INPUT','RESTART','logs'] + compare_files = filecmp.dircmp(folder1, folder2, egnore_file_list) diff_file = open( diff_file_name, 'w') print_diff_files( compare_files ) elapsed_time = time.process_time() - process_time - logger.ingo(logger_hdr+'comparing fv3gfs output directories completed. Time to process(%.4f seconds)'%elapsed_time) + logger.info(logger_hdr+'comparing fv3gfs output directories completed. Time to process(%.4f seconds)'%elapsed_time) diff_file.close() From 6720aab9cb3b28bdcb13007e2b9bf3f8b4790aa0 Mon Sep 17 00:00:00 2001 From: "Tery.McGuinness" Date: Fri, 26 Jan 2018 21:13:13 +0000 Subject: [PATCH 254/487] added updated shell for snapshot (temp) --- .../regression/fv3gfs_regression_snapshot.sh | 639 ++++++++++++++++++ 1 file changed, 639 insertions(+) create mode 100755 tests/regression/fv3gfs_regression_snapshot.sh diff --git a/tests/regression/fv3gfs_regression_snapshot.sh b/tests/regression/fv3gfs_regression_snapshot.sh new file mode 100755 index 0000000..07c00e4 --- /dev/null +++ b/tests/regression/fv3gfs_regression_snapshot.sh @@ -0,0 +1,639 @@ +#!/bin/bash + +usage () { + echo -e "\033[1mUSAGE:\033[0m\n $0 [[baseline]] [[compare]] [[--non-interactive]]\n" + echo -e "\tno arguments : creates a baseline with sorc and exp dir in \$PWD named fvgfs_sorc_baseline fv3gfs_exp_basline respectivly" + echo -e "\tone argument (string) : creates a baseline with sorc and exp dir in \$PWD named fvgfs_sorc_\${string} fv3gfs_exp_\${string} respectivly\n\n" + echo -e "\tone argument (dir) : creates a test run with sorc and exp dir in \$PWD named fvgfs_sorc_test_run fv3gfs_exp_test_run respectivly \n\t\t\t\t and then compares the results against the comrot found in the directory \${dir}" + echo -e "\ttwo arguments (dir) (str) : creates a test_run with sorc and exp dir in \$PWD named fvgfs_sorc_\${string} fv3gfs_exp_\${srting} respectivly \n\t\t\t\t and then compares the results against the comrot found in the directory \${dir} " + echo -e "\ttwo arguments (dir) (dir) : does a bitwise compare on the gfs files from the first dir to the second\n" + echo -e "\tthird optional argument is used when acctually running the script so no promps are given, otherwize the script will report on the settings.\n\n" + echo -e "\033[1mEXAMPLE:\033[0m\n" + echo -e "\tnohup ./fv3gfs_regression.sh baseline --non-interactive > & fv3gfs_regression_baseline_run.log &\n" + exit +} + +INTERACTIVE='TRUE' +for arg + do + if [[ $arg == "--non-interactive" ]]; then + INTERACTIVE='FALSE' + break + fi +done + +# Traps that only allow the above inputs specified in the usage + +if [[ "$#" -gt "4" ]] || [[ $1 == '--help' ]]; then + usage +fi + +if [[ "$#" -ge "3" ]]; then + if [[ ! -d $1 ]]; then + usage + fi +fi + +if [[ -f $1 ]] || [[ -f $2 ]]; then + usage +fi + +log_message () { + logtime=`date +"%F %T"` + echo -e "$1 : bash : $logtime : LOG : $2" + if [[ $1 == "CRITICAL" ]]; then + exit -1 + fi +} + +CHECKOUT_DIR=$PWD +ROCOTO_WAIT_FRQUANCY='5m' + +CHECKOUT=${CHECKOUT:-'TRUE'} +CREATE_EXP=${CREATE_EXP:-'TRUE'} +BUILD=${BUILD:-'TRUE'} +CREATE_EXP=${CREATE_EXP:-'TRUE'} +RUNROCOTO=${RUNROCOTO:-'TRUE'} +JOB_LEVEL_CHECK=${JOB_LEVEL_CHECK:-'FALSE'} +RZDM_RESULTS=${RZDM_RESULTS:-'FALSE'} +PYTHON_FILE_COMPARE=${PYTHON_FILE_COMPARE:-'TRUE'} + +CHECKOUT='FALSE' +CREATE_EXP='FALSE' +BUILD='FALSE' +#RUNROCOTO='FALSE' +#JOB_LEVEL_CHECK='TRUE' +#RZDM_RESULTS='TRUE' +#PYTHON_FILE_COMPARE='FALSE' + +idate='2017073118' +edate='2017080100' + +fv3gfs_git_branch='master' +# Leave fv3gfs_svn_url blank to use git branch in fv3gfs_git_branch +fv3gfs_svn_url='' +load_rocoto='rocoto/1.2.4' + +ICS_dir_cray='/gpfs/hps3/emc/global/noscrub/emc.glopara/ICS' +PTMP_cray='/gpfs/hps3/ptmp' +ICS_dir_theia='/scratch4/NCEPDEV/global/noscrub/glopara/ICS/FV3GFS' +PTMP_theia='/scratch4/NCEPDEV/stmp4' + +# If RZDM is set then the viewer will attempt to post the state of the workflow in html on the rzdm server +RZDM='tmcguinness@emcrzdm.ncep.noaa.gov:/home/www/emc/htdocs/gc_wmb/tmcguinness' +ROCOTOVIEWER='/u/Terry.McGuinness/bin/rocoto_viewer.py' + +find_data_dir () { + + local _check_baseline_dir=$1 + + STARTTIME=$(date +%s) + while IFS= read -r -d '' file + do + gfsfile=`basename $file | cut -f 1 -d"."` + if [[ $gfsfile == "enkf" ]]; then + check_real_base_dir=`dirname $file` + if ls $check_real_base_dir/gdas.* 1> /dev/null 2>&1; then + real_base_dir=$check_real_base_dir + break + fi + fi + if [[ $(($ENDTIME - $STARTTIME)) > 65 ]]; then + log_message "CRITICAL" "looking for valid baseline directory put then gave up after a minute" + exit -1 + fi + ENDTIME=$(date +%s) + done < <(find $_check_baseline_dir -print0 ) + + if [[ -z $real_base_dir ]]; then + exit -1 + fi + _check_baseline_dir=`dirname $file` + echo $_check_baseline_dir +} + +COMPARE_BASE='FALSE' +if [[ ! -d $1 ]] && [[ ! -f $1 ]]; then + if [[ -z $1 || $1 == "--non-interactive" ]]; then + regressionID='baseline' + log_message "INFO" "No arguments given assuming to make new baseline with default ID: $regressionID" + else + regressionID=$1 + log_message "INFO" "only the baseline will be created with ID: $regressionID" + fi +fi + +log_message "INFO" "running regression script on host $HOST" + +COMPARE_BASE='FALSE' +JUST_COMPARE_TWO_DIRS='FALSE' +if [[ -d $1 ]] && [[ -d $2 ]]; then + CHECKOUT='FALSE' + BUILD='FALSE' + CREATE_EXP='FALSE' + RUNROCOTO='FALSE' + check_baseline_dir=`readlink -f $1` + check_baseline_dir_get=$( find_data_dir $check_baseline_dir ) + if [[ -z $check_baseline_dir_get ]]; then + log_message "CRITICAL" "$check_baseline_dir_get is not a directory with a baseline to test in it" + fi + if [[ $check_baseline_dir != $check_baseline_dir_get ]]; then + check_baseline_dir=$check_baseline_dir_get + log_message "WARNING" "given directory did not have gfs data, but a subsequent subdirectory was found that did:\n$check_baseline_dir" + fi + check_baseline_dir_with_this_dir=`readlink -f $2` + check_baseline_dir_with_this_dir_get=$( find_data_dir $check_baseline_dir_with_this_dir ) + if [[ -z $check_baseline_dir_with_this_dir_get ]]; then + log_message "CRITICAL" "$check_baseline_dir_with_this_get is not a directory with a baseline to test in it" + fi + if [[ $check_baseline_dir_with_this_dir_get != $check_baseline_dir_with_this_dir ]]; then + check_baseline_dir_with_this_dir=$check_baseline_dir_with_this_get + log_message "WARNING" "given directory did not have gfs data, but a subsequent subdirectory was found that did:\n$check_baseline_dir_with_this_dir" + fi + log_message "INFO" "simply doing a diff on these two directories:\n $check_baseline_dir \n $check_baseline_dir_with_this_dir" + JUST_COMPARE_TWO_DIRS='TRUE' + COMPARE_BASE='TRUE' + if [[ -z $3 ]]; then + regressionID='compare' + else + if [[ $3 != "--non-interactive" ]]; then + regressionID=$3 + else + regressionID='compare' + fi + fi +elif [[ -d $1 && ! -d $2 ]]; then + check_baseline_dir=`readlink -f $1` + if [[ -z $2 ]]; then + regressionID='test_run' + else + if [[ $2 == "--non-interactive" ]]; then + regressionID='test_run' + else + if [[ `echo $2 | cut -c1-2` == "--" ]]; then + log_message "CRITICAL" "an errounous option was given ($2), --non-interactive is the only allowable option" + else + regressionID=$2 + fi + fi + fi + log_message "INFO" "running test run ($regressionID) agaist regression baseline in directory $check_baseline_dir" + COMPARE_BASE='TRUE' + check_baseline_dir_get=$( find_data_dir $check_baseline_dir ) + if [[ -z $check_baseline_dir_get ]]; then + log_message "CRITICAL" "$check_baseline_dir_get is not a directory with a baseline to test in it" + fi + if [[ $check_baseline_dir != $check_baseline_dir_get ]]; then + check_baseline_dir=$check_baseline_dir_get + log_message "WARNING" "given directory did not have gfs data, but a subsequent subdirectory was found that did:\n$check_baseline_dir" + fi + log_message "INFO" "found baseline fv3gfs gfs data found in directory: $check_baseline_dir" +fi + +if [[ -d /scratch4/NCEPDEV ]]; then + system="theia" +elif [[ -d /gpfs/hps3 ]]; then + system="cray" +else + log_message "CRITICAL" "Unknown machine $system, not supported" +fi + +if [[ -z $ROCOTOVIEWER ]]; then + RZDM_RESULTS="FALSE" +fi + +echo -e "Current Settings are:\n" +echo "regressionID = $regressionID" +echo "git branch = $fv3gfs_git_branch" +echo "idate = $idate" +echo "edate = $edate" +echo "CHECKOUT_DIR = $CHECKOUT_DIR" +echo "CHECKOUT = $CHECKOUT" +echo "CREATE_EXP = $CREATE_EXP" +echo "COMPARE_BASE = $COMPARE_BASE" +echo "RZDM_RESULTS = $RZDM_RESULTS" +echo -e "RUNROCOTO = $RUNROCOTO\n" +echo "PYTHON_FILE_COMPARE = $PYTHON_FILE_COMPARE" +echo -e "JOB_LEVEL_CHECK = $JOB_LEVEL_CHECK\n" + +if [[ $INTERACTIVE == "TRUE" ]]; then + while read -n1 -r -p "Are these the correct settings (y/n): " answer + do + if [[ $answer == "n" ]]; then + echo -e "\n" + exit + fi + if [[ $answer == "y" ]]; then + echo -e "\n" + break + fi + echo "" + done +fi + +SCRIPT_STARTTIME=$(date +%s) + +module load $load_rocoto +rocotoruncmd=`which rocotorun` +if [[ -z ${rocotoruncmd} ]]; then + log_message "CRITICAL" "module load for rocoto ($load_rocoto) on system failed" +fi + +# system dependent +#----------------- + +if [[ $system != "cray" ]] && [[ $system != 'theia' ]]; then + log_message "CRITICAL" "system setting: $system is not set correctly (only options are cray or theia)" +fi + +if [[ $system == "cray" ]]; then + ICS_dir=$ICS_dir_cray + PTMP=$PTMP_cray +else + ICS_dir=$ICS_dir_theia + PTMP=$PTMP_theia +fi + +rocotover=`$rocotoruncmd --version` +log_message "INFO" "using rocoto version $rocotover" +rocotostatcmd=`which rocotostat` + +fv3gfs_ver='v15.0.0' +num_expected_exec='29' + +pslot_basename='fv3gfs' +checkout_dir_basename="${pslot_basename}_sorc_${regressionID}" +pslot="${pslot_basename}_exp_${regressionID}" + +ROCOTO_XML="${pslot}_joblevel.xml" +ROCOTO_DB="${pslot}_joblevel.db" +COMP_ROTDIRS_PYTHON="/gpfs/hps3/emc/global/noscrub/Terry.McGuinness/REGRESSION_TESTS/compare_folders.py" + +username=`echo ${USER} | tr '[:upper:]' '[:lower:]'` +#setup_expt=${CHECKOUT_DIR}/${checkout_dir_basename}/gfs_workflow.${fv3gfs_ver}/ush/setup_expt.py +setup_expt=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_20180113/master_20180113/gfs_workflow.v15.0.0/ush/setup_expt.py +#setup_workflow=${CHECKOUT_DIR}/${checkout_dir_basename}/gfs_workflow.${fv3gfs_ver}/ush/setup_workflow.py +setup_workflow=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_20180113/master_20180113/gfs_workflow.v15.0.0/ush/setup_workflow.py +#config_dir=${CHECKOUT_DIR}/${checkout_dir_basename}/gfs_workflow.${fv3gfs_ver}/config +config_dir=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_20180113/master_20180113/gfs_workflow.v15.0.0/config + + +if [[ $CHECKOUT == 'TRUE' ]]; then + cd ${CHECKOUT_DIR} + if [[ ! -z ${fv3gfs_svn_url} ]]; then + + if [[ -d ${checkout_dir_basename} ]]; then + rm -Rf ${checkout_dir_basename} + fi + log_message "INFO" "checking out fv3gfs from svn $fv3gfs_svn_url" + svn co $fv3gfs_svn_url ${checkout_dir_basename} + + else + + log_message "INFO" "cloning fvgfs from git with branch $fv3gfs_git_branch" + log_message "INFO" "git clone ssh://${username}@vlab.ncep.noaa.gov:29418/fv3gfs ${checkout_dir_basename}" + git clone ssh://${username}@vlab.ncep.noaa.gov:29418/fv3gfs ${checkout_dir_basename} + + if [[ ! -z "${fv3gfs_git_branch}// }" ]]; then + cd ${checkout_dir_basename} + git checkout remotes/origin/${fv3gfs_git_branch} -b ${fv3gfs_git_branch} + git rev-parse HEAD | xargs git show --stat + cd ${CHECKOUT_DIR} + fi + + fi +fi + +comrot=${CHECKOUT_DIR}/fv3gfs_regression_experments +comrot_test_dir=${comrot}/${pslot} +exp_dir_fullpath=${CHECKOUT_DIR}/${pslot} +#exp_setup_string="--pslot ${pslot} --icsdir $ICS_dir --configdir ${config_dir} --comrot ${comrot} --idate $idate --edate $edate --expdir ${CHECKOUT_DIR}" +exp_setup_string="--pslot ${pslot} --icsdir $ICS_dir --configdir ${config_dir} --comrot ${comrot} --idate $idate --edate $edate --expdir ${CHECKOUT_DIR} --resdet 96 --resens 96 --nens 20 --gfs_cyc 4" + +if [[ $CREATE_EXP == 'TRUE' ]]; then + + log_message "INFO" "setting up experiment: ${setup_expt} ${exp_setup_string}" + removed='' + if [[ -d $exp_dir_fullpath ]]; then + removed='it was present but now has been removed' + fi + rm -Rf $exp_dir_fullpath + log_message "INFO" "experiment directory is $exp_dir_fullpath $removed" + removed='' + if [[ -d $comrot_test_dir ]]; then + removed='it was present but now has been removed' + fi + rm -Rf $comrot_test_dir + log_message "INFO" "comrot directory is $comrot_test_dir $removed" + + yes | ${setup_expt} ${exp_setup_string} + log_message "INFO" "setting up workflow: ${setup_workflow} --expdir $exp_dir_fullpath" + yes | ${setup_workflow} --expdir $exp_dir_fullpath + sed -i 's/^export VRFYGENESIS=.*/export VRFYGENESIS=\"NO\" \# WARNING changed by regression script/' $exp_dir_fullpath/config.vrfy + log_message "WARNING" "modified config.vrfy with VRFYGENESIS=NO because geneses tracker is currently failing" + sed -i 's/^export VRFYG2OBS=.*/export VRFYG2OBS=\"NO\" \# WARNING changed by regression script/' $exp_dir_fullpath/config.vrfy + log_message "WARNING" "modified config.vrfy with VRFYG2OBS=NO because it do not make sense for it to be on for only one cycle" +fi + +if [[ $BUILD == 'TRUE' ]]; then + cd ${checkout_dir_basename}/global_shared.${fv3gfs_ver}/sorc + + log_message "INFO" "running checkout script: $PWD/checkout.sh $username" + ./checkout.sh $username + log_message "INFO" "running build script: $PWD/build_all.sh $system" + ./build_all.sh ${system} + num_shared_exec=`ls -1 ../exec | wc -l` + if [[ $num_shared_exec != $num_expected_exec ]]; then + log_message "WARNING" "number of executables in shared exec: $num_shared_exec was found and was expecting $num_expected_exec" + filepath='../exe' + fullpath=`echo $(cd $(dirname $filepath ) ; pwd ) /$(basename $filepath )` + log_message "WARNING" "check the executables found in: $fullpath" + else + log_message "INFO" "number of executables in shared exec: $num_shared_exec" + fi +fi + +run_file_compare_python () { + + total_number_files=`find $check_baseline_dir -type f | wc -l` + if [[ $JUST_COMPARE_TWO_DIRS == 'TRUE' ]]; then + comrot_test_dir=$check_baseline_dir_with_this_dir + fi + log_message "INFO" "doing the diff compare in $check_baseline_dir against $comrot_test_dir" + if [[ ! -d $check_baseline_dir ]] || [[ ! -d $comrot_test_dir ]]; then + log_message "CRITICAL" "one of the target directories does not exist" + fi + + log_message "INFO" "running: compare_folders.py $check_baseline_dir $comrot_test_dir -n $regressionID" + $COMP_ROTDIRS_PYTHON --cmp_dirs $check_baseline_dir $comrot_test_dir -n $regressionID + +} + +run_file_compare () { + + log_message "INFO" "doing job level comparing with job $regressionID" + if [[ $COMPARE_BASE == 'TRUE' ]]; then + PWD_start=$PWD + diff_file_name="${CHECKOUT_DIR}/diff_file_list_${regressionID}.lst" + total_number_files=`find $check_baseline_dir -type f | wc -l` + if [[ $system == "theia" ]]; then + module load nccmp + NCCMP=`which nccmp` + else + NCCMP=/gpfs/hps3/emc/nems/noscrub/emc.nemspara/FV3GFS_V0_RELEASE/util/nccmp + fi + + if [[ $JUST_COMPARE_TWO_DIRS == 'TRUE' ]]; then + comrot_test_dir=$check_baseline_dir_with_this_dir + fi + log_message "INFO" "doing the diff compare in $check_baseline_dir against $comrot_test_dir" + if [[ ! -d $check_baseline_dir ]] || [[ ! -d $comrot_test_dir ]]; then + log_message "CRITICAL" "one of the target directories does not exist" + fi + log_message "INFO" "moving to directory $comrot_test_dir to do the compare" + if [[ -d $comrot_test_dir ]]; then + cd $comrot_test_dir/.. + else + log_message "CRITICAL" "The directory $comrot_test_dir does not exsist" + fi + check_baseline_dir_basename=`basename $check_baseline_dir` + comrot_test_dir_basename=`basename $comrot_test_dir` + + log_message "INFO" "running command: diff --brief -Nr --exclude \"*.log*\" --exclude \"*.nc\" --exclude \"*.nc?\" $check_baseline_dir_basename $comrot_test_dir_basename >& $diff_file_name" + diff --brief -Nr --exclude "*.log*" --exclude "*.nc" --exclude "*.nc?" $check_baseline_dir_basename $comrot_test_dir_basename >> ${diff_file_name} 2>&1 + + num_different_files=`wc -l < $diff_file_name` + log_message "INFO" "checking of the $num_different_files differing files (not including NetCDF) for which ones are tar and/or compressed files for differences" + rm -f ${diff_file_name}_diff + counter_diffed=0 + counter_regularfiles=0 + counter_compressed=0 + while read line; do + set -- $line; + file1=$2; + file2=$4; + + if ( tar --exclude '*' -ztf $file1 ) ; then + #log_message "INFO" "$file1 is an compressed tar file" + counter_compressed=$((counter_compressed+1)) + if [[ $( tar -xzf $file1 -O | md5sum ) != $( tar -xzf $file2 -O | md5sum ) ]] ; then + #log_message "INFO" "found $file1 and $file2 gzipped tar files DO differ" + counter_diffed=$((counter_diffed+1)) + echo "compressed tar $line" >> ${diff_file_name}_diff + fi + elif ( tar --exclude '*' -tf $file1 ) ; then + counter_compressed=$((counter_compressed+1)) + #log_message "INFO" "$file1 is an uncompressed tar file" + if [[ $( tar -xf $file1 -O | md5sum ) != $( tar -xf $file2 -O | md5sum ) ]] ; then + #log_message "INFO" "found $file1 and $file2 tar files DO differ" + counter_diffed=$((counter_diffed+1)) + echo "tar $line" >> ${diff_file_name}_diff + fi + else + #log_message "INFO" "$file1 is not tar or tar.gz and still then differs" + counter_regularfiles=$((counter_regularfiles+1)) + echo $line >> ${diff_file_name}_diff + fi + + done < $diff_file_name + + log_message "INFO" "out of $num_different_files differing files $counter_compressed where tar or compressed and $counter_diffed of those differed" + + if [[ -f ${diff_file_name}_diff ]]; then + mv ${diff_file_name}_diff ${diff_file_name} + fi + + log_message "INFO" "checking if test case has correct number of files" + + baseline_tempfile=${check_baseline_dir_basename}_files.txt + comrot_tempfile=${comrot_test_dir_basename}_files.txt + cd $check_baseline_dir_basename + rm -f ../$baseline_tempfile + find * -type f > ../$baseline_tempfile + cd ../$comrot_test_dir_basename + rm -f ../$comrot_tempfile + find * -type f > ../$comrot_tempfile + cd .. + diff ${baseline_tempfile} ${comrot_tempfile} > /dev/null 2>&1 + if [[ $? != 0 ]]; then + num_missing_files=0 + while read line; do + ls ${comrot_test_dir_basename}/$line > /dev/null 2>&1 + if [[ $? != 0 ]]; then + echo "file $line is in ${check_baseline_dir_basename} but is missing in ${comrot_test_dir_basename}" >> ${diff_file_name} + num_missing_files=$((num_missing_files+1)) + fi + done < $baseline_tempfile + while read line; do + ls ${check_baseline_dir_basename}/$line > /dev/null 2>&1 + if [[ $? != 0 ]]; then + echo "file $line is in ${comrot_test_dir_basename} but is missing in $check_baseline_dir_basename" >> ${diff_file_name} + num_missing_files=$((num_missing_files+1)) + fi + done < $comrot_tempfile + if [[ $num_missing_files != 0 ]]; then + log_message "INFO" "$num_missing_files files where either missing or where unexpected in the test direcotry." + else + log_message "INFO" "all the files are accounted for are all the names match in the test directory" + fi + else + log_message "INFO" "all the files are accounted for are all the names match in the test directory" + fi + rm -f $baseline_tempfile + rm -f $comrot_tempfile + + log_message "INFO" "comparing NetCDF files ..." + find $check_baseline_dir_basename -type f \( -name "*.nc?" -o -name "*.nc" \) > netcdf_filelist.txt + num_cdf_files=`wc -l < netcdf_filelist.txt` + counter_identical=0 + counter_differed_nccmp=0 + counter_header_identical=0 + while IFS=/ read netcdf_file; do + comp_base=`basename $netcdf_file` + dir_name=`dirname $netcdf_file` + just_dir=`echo "$dir_name" | sed 's,^[^/]*/,,'` + file1=$check_baseline_dir_basename/$just_dir/$comp_base ; file2=$comrot_test_dir_basename/$just_dir/$comp_base + diff $file1 $file2 > /dev/null 2>&1 + if [[ $? != 0 ]]; then + nccmp_result=$( { $NCCMP --diff-count=4 --threads=4 --data $file1 $file2; } 2>&1) + if [[ $? != 0 ]]; then + counter_differed_nccmp=$((counter_differed_nccmp+1)) + echo "NetCDF file $file1 differs: $nccmp_result" >> $diff_file_name + else + counter_header_identical=$((counter_header_identical+1)) + fi + else + counter_identical=$((counter_identical+1)) + fi + done < netcdf_filelist.txt + log_message "INFO" "out off $num_cdf_files NetCDF files $counter_identical where completely identical, $counter_header_identical identical data but differed in the header, and $counter_differed_nccmp differed in the data" + number_diff=`wc -l < $diff_file_name` + log_message "INFO" "completed running diff for fv3gfs regression test ($regressionID) and found results in file: $diff_file_name" + log_message "INFO" "out of $total_number_files files, there where $number_diff that differed" + rm netcdf_filelist.txt + + cd $PWD_start + fi +} + + +regressionID_save=$regressionID +if [[ $RUNROCOTO == 'TRUE' ]]; then + if [[ ! -d ${exp_dir_fullpath} ]]; then + log_message "CRITICAL" "experiment directory $exp_dir_fullpath not found" + fi + log_message "INFO" "running regression script on host $HOST" + log_message "INTO" "moving to PWD $exp_dir_fullpath to run cycleing in experiment directory" + cd ${exp_dir_fullpath} + + log_message "INFO" "starting to run fv3gfs cycling regression test run using $rocotoruncmd -d $ROCOTO_DB -w $ROCOTO_XML" + log_message "INFO" "running $rocotoruncmd from $PWD" + + $rocotoruncmd -d $ROCOTO_DB -w $ROCOTO_XML + if [[ $? != 0 ]]; then + log_message "CRITICAL" "rocotorun failed on first attempt" + fi + if [[ -d $ROCOTO_DB ]]; then + log_message "CRITICAL" "rocotorun failed to create database file" + fi + log_message "INFO" "rocotorun successfully ran initial rocoorun to to create database file: $ROCOTO_DB" + + log_message "INFO" "running: $rocotostatcmd -d $ROCOTO_DB -w $ROCOTO_XML -s -c all | tail -1 | awk '{print \$1}'" + lastcycle=`$rocotostatcmd -d $ROCOTO_DB -w $ROCOTO_XML -s -c all | tail -1 | awk '{print $1}'` + if [[ $? != 0 ]]; then + log_message "CRITICAL" "rocotostat failed when determining last cycle in test run" + fi + log_message "INFO" "rocotostat determined that the last cycle in test is: $lastcycle" + + cycling_done="FALSE" + last_succeeded_checked="" + last_succeeded="" + while [ $cycling_done == "FALSE" ]; do + lastcycle_state=`$rocotostatcmd -d $ROCOTO_DB -w $ROCOTO_XML -c $lastcycle -s | tail -1 | awk '{print $2}'` + if [[ $lastcycle_state == "Done" ]]; then + log_message "INFO" "last cycle $lastcycle just reported to be DONE by rocotostat .. exiting execution of workflow" + break + fi + #log_message "INFO" "running: $rocotostatcmd -d $ROCOTO_DB -w $ROCOTO_XML -c all" + deadjobs=`$rocotostatcmd -d $ROCOTO_DB -w $ROCOTO_XML -c all | awk '$4 == "DEAD" {print $2}'` + if [[ ! -z $deadjobs ]]; then + deadjobs=`echo $deadjobs | tr '\n' ' '` + log_message "CRITICAL" "the following jobs are DEAD: $deadjobs exiting script with error code (-1)" + exit -1 + fi + deadcycles=`$rocotostatcmd -d $ROCOTO_DB -w $ROCOTO_XML -c $lastcycle -s | awk '$2 == "Dead" {print $1}'` + if [[ ! -z $deadcycles ]]; then + log_message "CRITICAL" "the following cycles are dead: $deadcycles exiting script with error code (-2)" + exit -2 + fi + $rocotoruncmd -d $ROCOTO_DB -w $ROCOTO_XML + if [[ $? == "0" ]]; then + last_succeeded=`$rocotostatcmd -d $ROCOTO_DB -w $ROCOTO_XML -c all | awk '$4 == "SUCCEEDED" {print $1"_"$2}' | tail -1` + log_message "INFO" "Successfully ran: $rocotoruncmd -d $ROCOTO_DB -w $ROCOTO_XML" + #log_message "INFO" "using job level checking: last succeded task checked: $last_succeeded_checked" + #log_message "INFO" "using job level checking: last succeded task current: $last_succeeded" + if [[ ! -z $last_succeeded ]]; then + if [[ $last_succeeded != $last_succeeded_checked ]]; then + last_succeeded_checked=$last_succeeded + regressionID=$last_succeeded + log_message "INFO" "job $last_succeeded just completed successfully" + if [[ $JOB_LEVEL_CHECK == 'TRUE' ]]; then + if [[ $PYTHON_FILE_COMPARE == 'TRUE' ]]; then + log_message "WARNING" "python file compare set but does not support job level checking (reverting to bash shell version)" + run_file_compare + fi + else + run_file_compare_python + fi + fi + fi + else + log_message "WARNING" "FAILED: $rocotoruncmd -d $ROCOTO_DB -w $ROCOTO_XML" + fi + + # Wait here to before running rocotorun again ... + log_message "INFO" "Waiting here for $ROCOTO_WAIT_FRQUANCY ..." + sleep $ROCOTO_WAIT_FRQUANCY + + if [[ $RZDM_RESULTS == 'TRUE' ]]; then + if [[ ! -z $RZDM ]]; then + viewer_arg_str="-d $ROCOTO_DB -w $ROCOTO_XML --html=$RZDM" + cd ${exp_dir_fullpath} + $ROCOTOVIEWER $viewer_arg_str + if [[ $? == "0" ]]; then + log_message "INFO" "state of workflow posted at $RZDM" + else + log_message "WARNING" "attempt to write stats to the rzdm server failed" + fi + fi + fi + + done + log_message "INFO" "rocotorun completed successfully" +fi + +regressionID=$regressionID_save +if [[ $COMPARE_BASE == 'TRUE' ]]; then + if [[ $PYTHON_FILE_COMPARE == 'TRUE' ]]; then + run_file_compare_python + else + run_file_compare + fi +fi + +DATE=`date` +if [[ $number_diff == 0 ]]; then + log_message "INFO" "regression tests script completed successfully on $DATE with no file differences" +else + if (( $number_diff > 500 )); then + some="many" + elif (( $number_diff < 100 )); then + some="some" + else + some="several" + fi + log_message "INFO" "regression tests script completed successfully on $DATE with $some file differences" +fi +SCRIPT_ENDTIME=$(date +%s) +PROCESSTIME=$(($SCRIPT_ENDTIME-$SCRIPT_STARTTIME)) +log_message "INFO" "total process time $PROCESSTIME seconds" From f1acf88cd6860eb8be9db9559271ed1d5cc26e32 Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Tue, 30 Jan 2018 01:08:01 +0000 Subject: [PATCH 255/487] Add support for generating an ecflow suite definition and ecf files. Example is in examples/ecflow.py --- crow/config/eval_tools.py | 22 ++- crow/config/tasks.py | 21 ++- crow/metascheduler/__init__.py | 3 +- crow/metascheduler/ecflow.py | 226 +++++++++++++++++++++--------- crow/sysenv/schedulers/LSFAlps.py | 2 +- crow/tools.py | 9 ++ examples/ecflow/ecftest.py | 21 +++ examples/ecflow/ecftest.yaml | 87 ++++++++++++ 8 files changed, 316 insertions(+), 75 deletions(-) create mode 100755 examples/ecflow/ecftest.py create mode 100644 examples/ecflow/ecftest.yaml diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index c29035e..9d1b7c7 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -168,6 +168,9 @@ def _invalidate_cache(self,key=None): self.__cache[key]=self.__child[key] def _raw_child(self): return self.__child def _has_raw(self,key): return key in self.__child + def _iter_raw(self): + for v in self.__child.itervalues(): + yield v def _set_globals(self,g): self.__globals=g def _get_globals(self): return self.__globals def _raw_cache(self): return self.__cache @@ -306,6 +309,9 @@ def __len__(self): return len(self.__child) def _get_globals(self): return self.__globals def _set_globals(self,g): self.__globals=g def _get_locals(self): return self.__locals + def _iter_raw(self): + for v in self.__child: + yield v def _raw_child(self): return self.__child def _raw(self,i): """!Returns the value at index i without calling eval() on it""" @@ -382,11 +388,23 @@ def _result(self,globals,locals): raise EvalMissingCalc('"!Eval" block lacks a "result: !calc"') return self.result - -def invalidate_cache(obj,key=None): +def _invalidate_cache_one_obj(obj,key=None): if hasattr(obj,'_invalidate_cache'): obj._invalidate_cache(key) +def _recursively_invalidate_cache(obj,memo): + if id(obj) in memo: return + memo.add(id(obj)) + _invalidate_cache_one_obj(obj) + if '_iter_raw' in obj: + for r in obj._iter_raw(): + _recursively_invalidate_cache(r,memo) + +def invalidate_cache(obj,key=None,recurse=False): + _invalidate_cache_one_obj(obj,key) + if recurse: + if key is not None: obj=obj[key] + _recursively_invalidate_cache(obj,set()) def evaluate_one(obj,key,val,memo): if hasattr(val,'_is_immediate'): diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 3b0a852..96e0487 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -83,6 +83,7 @@ def __init__(self,suite,viewed,path,parent): self.viewed.up=parent self.path=SuitePath(path) self.parent=parent + self._is_suite_view=True self.__cache={} if isinstance(self.viewed,Slot): locals=multidict(self.parent,self.viewed) @@ -90,6 +91,7 @@ def __init__(self,suite,viewed,path,parent): for k,v in self.viewed._raw_child().items(): if hasattr(v,'_as_dependency'): continue self.viewed[k]=from_config(k,v,globals,locals,self.viewed._path) + assert(isinstance(viewed,Cycle) or self.viewed.task_path_var != parent.task_path_var) def _globals(self): return self.viewed._globals() @@ -134,8 +136,13 @@ def child_iter(self): if var=='up': continue if hasattr(rawval,'_as_dependency'): continue val=self[var] - if isinstance(val,SuiteView): - yield val + #print(f'Yield {type(val).__name__} for child {var}') + try: + if hasattr(val,'_is_suite_view'): + yield val + except RecursionError as re: + print(f'isinstance({type(val).__name__} {val!r},SuiteView): {re}') + raise def walk_task_tree(self): """!Iterates over the entire tree of descendants below this @@ -143,7 +150,7 @@ def walk_task_tree(self): each.""" for val in self.child_iter(): yield val - if isinstance(val,SuiteView): + if hasattr(val,'_is_suite_view'): for t in val.walk_task_tree(): yield t @@ -159,7 +166,7 @@ def at(self,dt): dt=to_timedelta(dt) cls=type(self) ret=cls(self.suite,self.viewed, - [self.path[0]+dt]+self.path[1:],self) + [self.path[0]+dt]+self.path[1:],self.parent) return ret def __getattr__(self,key): @@ -173,7 +180,7 @@ def __getitem__(self,key): if key not in self.viewed: raise KeyError(key) val=self.viewed[key] - if isinstance(val,SuiteView): + if hasattr(val,'_is_suite_view'): return val elif type(val) in SUITE_CLASS_MAP: val=self.__wrap(key,val) @@ -340,12 +347,14 @@ def _as_dependency(self,globals,locals,path): result=as_dependency(result,path) return result except(SyntaxError,TypeError,KeyError,NameError,IndexError,AttributeError) as ke: + if 'up' in locals: + print(f'{locals["task_path_var"]} up => {locals["up"]["task_path_var"]}') raise DependError(f'!Depend {self}: {ke}') def as_dependency(obj,path=MISSING,state=COMPLETED): """!Converts the containing object to a State. Action objects are compared to the "complete" state.""" - if isinstance(obj,SuiteView) and not isinstance(obj,SlotView): + if hasattr(obj,'_is_suite_view') and not isinstance(obj,SlotView): return StateDependency(obj,state) if isinstance(obj,LogicalDependency): return obj raise TypeError( diff --git a/crow/metascheduler/__init__.py b/crow/metascheduler/__init__.py index b738263..609320d 100644 --- a/crow/metascheduler/__init__.py +++ b/crow/metascheduler/__init__.py @@ -1,3 +1,4 @@ from .rocoto import to_rocoto +from .ecflow import to_ecflow -__all__=[ 'to_rocoto' ] +__all__=[ 'to_rocoto', 'to_ecflow' ] diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py index 5404282..0cd0866 100644 --- a/crow/metascheduler/ecflow.py +++ b/crow/metascheduler/ecflow.py @@ -1,11 +1,17 @@ +import collections, datetime + from io import StringIO + +import crow.tools +from copy import copy +from crow.tools import to_timedelta, typecheck from crow.metascheduler.simplify import simplify from crow.config import SuiteView, Suite, Depend, LogicalDependency, \ AndDependency, OrDependency, NotDependency, \ StateDependency, Dependable, Taskable, Task, \ Family, Cycle, RUNNING, COMPLETED, FAILED, \ TRUE_DEPENDENCY, FALSE_DEPENDENCY, SuitePath, \ - CycleExistsDependency + CycleExistsDependency, invalidate_cache __all__=['to_ecflow','ToEcflow'] f'This module requires python 3.6 or newer.' @@ -30,8 +36,11 @@ def relative_path(start,dest): return f'./{dest[-1]}' if i==0: # No commonality. Use absolute path. - return '/'+'/'.join(dest) - return '../'*max(0,len(start)-i-1) + '/'.join(dest[i:]) + return '/' + '/'.join(dest) + if len(start)-i-1>0: + return '../'*(len(start)-i-1) + '/'.join(dest[i:]) + else: + return './'+'/'.join(dest[i:]) def undate_path(relative_time,format,suite_path): """!In dependencies within crow.config, the task paths have a @@ -39,11 +48,12 @@ def undate_path(relative_time,format,suite_path): dependency. This creates a new path, replacing the timedelta with a time string. The format is sent to datetime.strftime.""" if suite_path and hasattr(suite_path[0],'total_seconds'): - return [(suite_path[0]+relative_time).strftime(format)] + \ - suite_path[1:] + when=relative_time+suite_path[0] + return [when.strftime(format)] + suite_path[1:] return suite_path def remove_cyc_exist(task,dep,clock): + typecheck('dep',dep,LogicalDependency) if isinstance(dep,CycleExistsDependency): if dep.dt in clock: return TRUE_DEPENDENCY @@ -55,42 +65,38 @@ def remove_cyc_exist(task,dep,clock): return NotDependency(remove_cyc_exist(task,dep.depend,clock)) return dep -def convert_state_dep(sio,task,dep,clock,time_format,negate): +def convert_state_dep(fd,task,dep,clock,time_format,negate): + typecheck('clock',clock,crow.tools.Clock) task_path=undate_path(clock.now,time_format,task.path) dep_path=undate_path(clock.now,time_format,dep.view.path) rel_path=relative_path(task_path,dep_path) - if len(rel_path)==1: - path='./'+rel_path[0] - else: - path=rel_path.join('/') state=ECFLOW_STATE_MAP[dep.state] - sio.write(f'{path} {"!=" if negate else "=="} {state}') + fd.write(f'{rel_path} {"!=" if negate else "=="} {state}') -def convert_dep(sio,task,dep,clock,time_format): +def _convert_dep(fd,task,dep,clock,time_format): first=True if isinstance(dep,OrDependency): for subdep in dep: if not first: - sio.write(' or ') + fd.write(' or ') first=False - convert_dep(sio,task,subdep,clock,time_format) + convert_dep(fd,task,subdep,clock,time_format) elif isinstance(dep,AndDependency): for subdep in dep: if not first: - sio.write(' and ') + fd.write(' and ') first=False - convert_dep(sio,task,subdep,clock,time_format) + convert_dep(fd,task,subdep,clock,time_format) elif isinstance(dep,NotDependency): - sio.write('not ') + fd.write('not ') if isinstance(dep.depend,StateDependency): - convert_state_dep(sio,task,dep.depend,clock,time_format,True) + convert_state_dep(fd,task,dep.depend,clock,time_format,True) else: - convert_dep(sio,task,dep.depend) + convert_dep(fd,task,dep.depend) elif isinstance(dep,StateDependency): - convert_state_dep(sio,task,dep.depend,clock,time_format,False) - + convert_state_dep(fd,task,dep,clock,time_format,False) -def dep_to_ecflow(task,dep,clock): +def dep_to_ecflow(fd,task,dep,clock,time_format): # Walk the tree, removing CycleExistsDependency objects: dep=remove_cyc_exist(task,dep,clock) @@ -98,11 +104,7 @@ def dep_to_ecflow(task,dep,clock): # remove the true/false dependencies added by remove_cyc_exist. dep=simplify(dep) - sio=StringIO() - _convert_dep(sio,task,dep) - ret=sio.getvalue() - sio.close() - return ret + _convert_dep(fd,task,dep,clock,time_format) class ToEcflow(object): def __init__(self,suite): @@ -111,52 +113,146 @@ def __init__(self,suite): 'not a '+type(suite).__name__) try: - suite_path=suite.ecFlow.suite_path scheduler=suite.ecFlow.scheduler - parallelism=suite.ecFlow.parallelism - def_cycles=suite.ecFlow.def_cycles + clock=copy(suite.Clock) except(AttributeError,IndexError,TypeError,ValueError) as e: raise ValueError( - 'A Suite must define an ecFlow section containing: ' - 'parallelism, scheduler, def_cycles, and suite_path') + 'A Suite must define an ecFlow section containing ' + 'scheduler, and suite_name; and the suite must have a Clock') + + update_globals={ 'sched':scheduler, 'to_ecflow':self, 'clock':clock } + + if 'parallelism' in suite.ecFlow: + update_globals['parallelism']=suite.ecFlow.parallelism self.suite=suite - self.suite.update_globals(sched=scheduler,to_ecflow=self, - runner=parallelism) + self.suite.update_globals(**update_globals) self.settings=self.suite.ecFlow self.indent=self.settings.get('indent',' ') self.sched=scheduler + self.clock=None + + #################################################################### + + # ecflow suite definition generation + + def _add_ecflow_def_meat(self,fd,node,indent): + ecflow_def_more=node.get('ecflow_def','') + if ecflow_def_more: + for line in str(node.get('ecflow_def','')).splitlines(): + fd.write(f'{indent}{line.rstrip()}\n') + if 'Trigger' in node: + typecheck(node.task_path_var+'.Trigger',node.Trigger, + LogicalDependency,'!Depend') + fd.write(f'{indent}trigger ') + ecdep=dep_to_ecflow( + fd,node,node.Trigger, + self.suite.Clock,self.suite.ecFlow.suite_name) + fd.write('\n') + if 'Complete' in node: + typecheck(node.task_path_var+'.Complete',node.Complete, + LogicalDependency,'!Depend') + fd.write(f'{indent}complete ') + ecdep=dep_to_ecflow( + fd,node,node.Complete, + self.suite.Clock,self.suite.ecFlow.suite_name) + fd.write('\n') + if 'Time' in node: + typecheck(node.task_path_var+'.Time',node.Time, + datetime.timedelta,'!timedelta') + dt=to_timedelta(node.Time) + when=self.suite.Clock.now+dt + #ecdate=when.strftime('%d.%m.%Y') + ectime=when.strftime('%H:%M:%S') + fd.write(f'{indent}time {ectime}\n') + #fd.write(f'{indent}date {ecdate}\n{indent}time {ectime}\n') + + def _make_task_def(self,fd,task): + indent=max(0,len(task.path)-1)*self.indent + fd.write(f'{indent}task {task.path[-1]}\n') + self._add_ecflow_def_meat(fd,task,indent+self.indent) + fd.write(f'{indent}end task\n') - def _add_ecflow_def_meat(self,sio,task_or_family,indent): - if 'ecflow_def' not in task_or_family: - raise KeyError( - f'{task_or_family.task_path_var}: In an ecFlow suite ' - 'definition, all tasks and families must have an "ecflow_' - 'def" key whose value evaluates to the ecflow suite ' - 'definition entry for that task or family.') - for line in str(task_or_family.ecflow_def).splitlines(): - sio.write(f'{indent}{line.rstrip()}\n') - - def _make_task_def(self,sio,task): - indent=max(0,len(family.path)-2)*self.indent - sio.write(f'{indent}task {task.path[-1]}\n') - self._add_ecflow_def_meat(sio,task,indent+self.indent) - sio.write(f'{indent}end task\n') - - def _make_family_def(self,sio,family): - indent=max(0,len(family.path)-2)*self.indent - sio.write(f'{indent}family {family.path[-1]}\n') - self._add_ecflow_def_meat(sio,family,indent+self.indent) - for t in self.suite: - if t.is_task(): self._make_task_def(sio,t) - elif t.is_family(): self._make_family_def(sio,t) - sio.write(f'{indent}end family\n') + def _make_family_def(self,fd,family): + indent=max(0,len(family.path)-1)*self.indent + fd.write(f'{indent}family {family.path[-1]}\n') + self._add_ecflow_def_meat(fd,family,indent+self.indent) + for item in family.child_iter(): + if item.is_task(): + self._make_task_def(fd,item) + elif item.is_family(): + self._make_family_def(fd,item) + fd.write(f'{indent}end family\n') - def make_suite_def(self): - sio=StringIO() + def _make_suite_def_for_one_cycle(self,fd): + fd.write(f'suite {self.suite_name}\n') + if 'ecflow_def' in self.suite: + for line in self.suite.ecflow_def.splitlines(): + fd.write(f'{self.indent}{line.rstrip()}\n') + for item in self.suite.child_iter(): + if item.is_task(): + self._make_task_def(fd,item) + elif item.is_family(): + self._make_family_def(fd,item) + fd.write('end suite\n') + + #################################################################### + + # ecf file generation + + def _make_task_ecf_files(self,ecf_files,ecf_file_set, + ecf_file_path,task): + ecf_file_set=task.get('ecf_file_set',ecf_file_set) + ecf_file_path=ecf_file_path+[task.path[-1]] + path_string='/'.join(ecf_file_path) + print(f'task@{task.task_path_var} ecf file set {ecf_file_set} file {path_string}') + if path_string in ecf_files[ecf_file_set]: + return # This ecf file is already generated + ecf_files[ecf_file_set][path_string]=task.ecf_file + + def _make_family_ecf_files(self,ecf_files,ecf_file_set, + ecf_file_path,family): + ecf_file_set=family.get('ecf_file_set',ecf_file_set) + ecf_file_path=ecf_file_path+[family.path[-1]] + print(f'family@{family.task_path_var} ecf file set {ecf_file_set} file {ecf_file_path}') + for t in family.child_iter(): + if t.is_task(): + self._make_task_ecf_files( + ecf_files,ecf_file_set,ecf_file_path,t) + elif t.is_family(): + self._make_family_ecf_files( + ecf_files,ecf_file_set,ecf_file_path,t) + + def _make_ecf_files_for_one_cycle(self,ecf_files): + ecf_file_set=self.settings.get('ecf_file_set','ecf_files') for t in self.suite.child_iter(): - if t.is_task(): self._make_task_def(sio,t) - elif t.is_family(): self._make_family_def(sio,t) - ret=sio.getvalue() - sio.close() - return ret + if t.is_task(): + self._make_task_ecf_files(ecf_files,ecf_file_set,list(),t) + elif t.is_family(): + self._make_family_ecf_files(ecf_files,ecf_file_set,list(),t) + return ecf_files + + #################################################################### + + def to_ecflow(self): + suite_def_files=dict() + ecf_files=collections.defaultdict(dict) + for clock in self.suite.Clock.iternow(): + invalidate_cache(self.suite,recurse=True) + # Figure our where we are making the suite definition file: + filename=clock.now.strftime(self.suite.ecFlow.suite_def_filename) + if filename in suite_def_files: + # We already processed a cycle whose suite definition + # is the same as this one's. Skip. + continue + self.suite_name=clock.now.strftime(self.suite.ecFlow.suite_name) + with StringIO() as sio: + self._make_suite_def_for_one_cycle(sio) + suite_def_files[filename]=sio.getvalue() + self._make_ecf_files_for_one_cycle(ecf_files) + del self.suite + return suite_def_files,ecf_files + +def to_ecflow(suite): + typecheck('suite',suite,Suite) + return ToEcflow(suite).to_ecflow() diff --git a/crow/sysenv/schedulers/LSFAlps.py b/crow/sysenv/schedulers/LSFAlps.py index 13dd126..2ce208c 100644 --- a/crow/sysenv/schedulers/LSFAlps.py +++ b/crow/sysenv/schedulers/LSFAlps.py @@ -31,7 +31,7 @@ def batch_accounting(self,spec): if 'queue' in spec: sio.write(f'#BSUB -q {spec["queue"]!s}\n') if 'project' in spec: - sio.write(f'#PBS -P {spec["project"]!s}\n') + sio.write(f'#BSUB -P {spec["project"]!s}\n') if 'account' in spec: sio.write(f'#BSUB -P {spec["account"]!s}\n') ret=sio.getvalue() diff --git a/crow/tools.py b/crow/tools.py index ec5a6b2..04757d5 100644 --- a/crow/tools.py +++ b/crow/tools.py @@ -239,6 +239,15 @@ def getnow(self): return self.__now now=property(getnow,setnow,None,'Current time on this clock.') + def iternow(self): + """!Sents the current time (self.now) to the start time, and + iterates it over each possible time, yielding this object.""" + now=self.start + while now<=self.end: + self.now=now + yield self + now+=self.step + def next(self,mul=1): return self.__now+self.step*mul diff --git a/examples/ecflow/ecftest.py b/examples/ecflow/ecftest.py new file mode 100755 index 0000000..6235b27 --- /dev/null +++ b/examples/ecflow/ecftest.py @@ -0,0 +1,21 @@ +#! /usr/bin/env python3 +f'This script requires Python 3.6 or newer.' + +from crow.metascheduler import to_ecflow +from crow.config import from_file, Suite + +conf=from_file('ecftest.yaml') +suite=Suite(conf.suite) +print(f'Parent of suite.family2 is {suite.family2.up} = {suite.family2.up.path}') +suite_defs, ecf_files = to_ecflow(suite) + +for defname in suite_defs: + print(f'=== contents of suite def {defname}\n{suite_defs[defname]}') + +for setname in ecf_files: + print(f'ecf file set {setname}:\n') + for filename in ecf_files[setname]: + print(f' file {filename}') + for line in ecf_files[setname][filename].splitlines(): + print(f' {line.rstrip()}') + diff --git a/examples/ecflow/ecftest.yaml b/examples/ecflow/ecftest.yaml new file mode 100644 index 0000000..0228112 --- /dev/null +++ b/examples/ecflow/ecftest.yaml @@ -0,0 +1,87 @@ +some_resources: !JobRequest + - exe: placeholder # madatory, ignored, argument + mpi_ranks: 48 + OMP_NUM_THREADS: 2 + +scheduler_settings: + name: LSFAlps + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + +scheduler: !calc | + tools.get_scheduler(doc.scheduler_settings.name, + doc.scheduler_settings) + +accounting: + queue: debug + project: GFS-T2O + +ecf_file_template: &ecf_file_template !expand | + #! /bin/sh + {sched.batch_resources(doc.some_resources)} + {sched.batch_accounting(doc.accounting)} + %include head.h + cd /somewhere + $JOBgfs/{J_JOB} + %include tail.h + +suite: !Cycle + Clock: !Clock + start: 2018-01-01T00:00:00 + end: 2018-01-01T18:00:00 + step: !timedelta "6:00:00" + + ecFlow: + suite_def_filename: "prod%H.def" + suite_name: "prod%H" + scheduler: !calc doc.scheduler + + ecflow_def: !expand | + repeat day 1 + edit ECF_TRIES '1' + edit ECF_SOME_OTHER_VAR 'abcd' + + family1: !Family + ecflow_def: | + edit SOMEVAR '1' + edit ANOTHERVAR '2' + Trigger: !Depend "up.family2.at('-6:00:00').task21" + Time: !timedelta +3:00:00 + + task11: !Task + ecf_file: *ecf_file_template + J_JOB: JGFS_TASK11 + + task12: !Task + ecf_file: *ecf_file_template + J_JOB: JGFS_TASK12 + Trigger: !Depend task11 + + family2: !Family + Time: !timedelta +4:20:00 + Trigger: !Depend family1.task11 + + task21: !Task + ecf_file: *ecf_file_template + Trigger: !Depend up.family1.task12 + J_JOB: JGFS_TASK21 + + family3: !Family + task3: !Task + ecf_file: *ecf_file_template + Trigger: !Depend up.task21 + J_JOB: JGFS_TASK3 + + task22: !Task + Time: !timedelta +5:00:00 + Trigger: !Depend task21 + ecf_file: *ecf_file_template + J_JOB: JGFS_TASK22 + + task23: !Task + Time: !timedelta +6:30:00 + Complete: !Depend task22 + Trigger: !Depend task21 + ecf_file: *ecf_file_template + J_JOB: JGFS_TASK23 From a160f363431acbfd3207393b7d6b7f986fd6f42a Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Wed, 31 Jan 2018 17:19:52 +0000 Subject: [PATCH 256/487] Add events and event dependencies. Events are of type DataEvent or ShellEvent so they can be converted to Rocoto. Presently, the rocoto generator cannot use them. However, the ecflow generator does know how to use them. --- crow/config/__init__.py | 7 ++--- crow/config/from_yaml.py | 14 +++++++--- crow/config/tasks.py | 51 ++++++++++++++++++++++++++++------- crow/config/to_yaml.py | 2 ++ crow/metascheduler/ecflow.py | 26 +++++++++++++++--- examples/ecflow/ecftest.yaml | 52 +++++++++++++++++++++++++++++++----- 6 files changed, 126 insertions(+), 26 deletions(-) diff --git a/crow/config/__init__.py b/crow/config/__init__.py index 1112e99..78f7fa2 100644 --- a/crow/config/__init__.py +++ b/crow/config/__init__.py @@ -9,7 +9,8 @@ OrDependency, NotDependency, StateDependency, Dependable, \ Taskable, Task, Family, Cycle, LogicalDependency, SuiteView, \ RUNNING, COMPLETED, FAILED, TRUE_DEPENDENCY, FALSE_DEPENDENCY, \ - CycleExistsDependency, InputSlot, OutputSlot + CycleExistsDependency, InputSlot, OutputSlot, EventDependency, \ + Event, DataEvent, ShellEvent from .to_yaml import to_yaml from .eval_tools import invalidate_cache from .eval_tools import evaluate_immediates as _evaluate_immediates @@ -18,8 +19,8 @@ __all__=["from_string","from_file","to_py", 'Action', 'Platform', 'Template', 'TaskStateAnd', 'TaskStateOr', 'TaskStateNot', 'TaskStateIs', 'Taskable', 'Task', 'Family', 'CycleAt', 'CycleTime', 'Cycle', - 'Trigger', 'Depend', 'Timespec', 'SuitePath', - 'CycleExistsDependency', 'validate'] + 'Trigger', 'Depend', 'Timespec', 'SuitePath', 'ShellEvent', 'Event', + 'DataEvent', 'CycleExistsDependency', 'validate', 'EventDependency' ] def to_py(obj): return obj._to_py() if hasattr(obj,'_to_py') else obj diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index 6b46ec1..7e94f84 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -40,6 +40,8 @@ class InheritYAML(list): yaml_tag=u'!Inherit' class ClockYAML(dict): yaml_tag=u'!Clock' class EvalYAML(dict): pass class ShellCommandYAML(dict): pass +class DataEventYAML(dict): pass +class ShellEventYAML(dict): pass class TaskYAML(OrderedDict): pass class FamilyYAML(OrderedDict): pass class CycleYAML(OrderedDict): pass @@ -51,13 +53,15 @@ class JobResourceSpecMakerYAML(list): pass # Mapping from YAML representation class to a pair: # * internal representation class # * python core class for intermediate conversion -TYPE_MAP={ PlatformYAML: [ Platform, dict, None ], +TYPE_MAP={ PlatformYAML: [ Platform, dict, None ], TemplateYAML: [ Template, OrderedDict, None ], - ActionYAML: [ Action, dict, None ], + ActionYAML: [ Action, dict, None ], ShellCommandYAML: [ ShellCommand, OrderedDict, None ], TaskYAML: [ Task, OrderedDict, None ], CycleYAML: [ Cycle, OrderedDict, None ], - FamilyYAML: [ Family, OrderedDict, None ] + FamilyYAML: [ Family, OrderedDict, None ], + DataEventYAML: [ DataEvent, dict, None ], + ShellEventYAML: [ ShellEvent, dict, None ] } def type_for(t,path): @@ -122,6 +126,8 @@ def constructor(loader,node): yaml.add_constructor(key,constructor) add_yaml_mapping(u'!ShellCommand',ShellCommandYAML) +add_yaml_mapping(u'!DataEvent',DataEventYAML) +add_yaml_mapping(u'!ShellEvent',ShellEventYAML) ######################################################################## @@ -176,6 +182,8 @@ def constructor(loader,node): CycleYAML: Cycle, TemplateYAML: Template, TaskYAML: Task, + DataEventYAML: DataEvent, + ShellEventYAML: ShellEvent, FamilyYAML: Family, ClockYAML:ClockMaker, OutputSlotYAML: OutputSlot, diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 96e0487..0b629fa 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -26,7 +26,12 @@ 'Family', 'Cycle', 'RUNNING', 'COMPLETED', 'FAILED', 'TRUE_DEPENDENCY', 'FALSE_DEPENDENCY', 'SuitePath', 'CycleExistsDependency', 'FamilyView', 'TaskView', - 'CycleView', 'Slot', 'InputSlot', 'OutputSlot', 'Message' ] + 'CycleView', 'Slot', 'InputSlot', 'OutputSlot', 'Message', + 'Event', 'DataEvent', 'ShellEvent', 'EventDependency' ] + +class Event(dict_eval): pass +class DataEvent(Event): pass +class ShellEvent(Event): pass class StateConstant(object): def __init__(self,name): @@ -161,6 +166,9 @@ def is_task(self): return isinstance(self.viewed,Task) def is_family(self): return isinstance(self.viewed,Family) def is_input_slot(self): return isinstance(self.viewed,InputSlot) def is_output_slot(self): return isinstance(self.viewed,OutputSlot) + def is_shell_event(self): return isinstance(self.viewed,ShellEvent) + def is_data_event(self): return isinstance(self.viewed,DataEvent) + def is_event(self): return isinstance(self.viewed,Event) def at(self,dt): dt=to_timedelta(dt) @@ -224,6 +232,8 @@ def is_failed(self): def is_completed(self): return StateDependency(self,COMPLETED) +class EventView(SuiteView): pass + class SlotView(SuiteView): def __init__(self,suite,viewed,path,parent,search=MISSING): super().__init__(suite,copy(viewed),path,parent) @@ -354,12 +364,17 @@ def _as_dependency(self,globals,locals,path): def as_dependency(obj,path=MISSING,state=COMPLETED): """!Converts the containing object to a State. Action objects are compared to the "complete" state.""" - if hasattr(obj,'_is_suite_view') and not isinstance(obj,SlotView): - return StateDependency(obj,state) - if isinstance(obj,LogicalDependency): return obj + if isinstance(obj,EventView): + return EventDependency(obj) + elif isinstance(obj,SlotView): + raise TypeError(f'Dependencies are not connected to the dataflow ' + 'subsystem yet. Use Event dependencies instead.') + elif isinstance(obj,SuiteView): + return StateDependency(obj,state) + elif isinstance(obj,LogicalDependency): + return obj raise TypeError( f'{type(obj).__name__} is not a valid type for a dependency') - return NotImplemented class LogicalDependency(object): def __invert__(self): return NotDependency(self) @@ -386,7 +401,7 @@ def __init__(self,*args): if not args: raise ValueError('Tried to create an empty AndDependency') self.depends=list(args) for dep in self.depends: - typecheck('Dependencies',dep,LogicalDependency) + typecheck(f'Dependencies',dep,LogicalDependency) def __len__(self): return len(self.depends) def __str__(self): return '( '+' & '.join([str(r) for r in self])+' )' def __repr__(self): return f'AndDependency({repr(self.depends)})' @@ -494,6 +509,25 @@ def __eq__(self,other): and other.state==self.state \ and other.view.path==self.view.path +class EventDependency(LogicalDependency): + def __init__(self,event): + typecheck('event',event,EventView) + self.event=event + @property + def path(self): return self.event.path + def is_task(self): return self.event.is_task() + def __hash__(self): return hash(self.event.path) + def copy_dependencies(self): return EventDependency(self.event) + def add_time(self,dt): + self.event=copy(self.event) + self.event.path[0]+=dt + def __repr__(self): + return f'/{"/".join([str(s) for s in self.event.path])}'\ + f'= {self.state}' + def __eq__(self,other): + return isinstance(other,EventDependency) \ + and other.event.path==self.event.path + class TrueDependency(LogicalDependency): def __and__(self,other): return other def __or__(self,other): return self @@ -584,7 +618,6 @@ def __for_index(self,i,varname,key): the_copy=Family(self._raw_child()) the_copy[varname]=key - - -SUITE_CLASS_MAP={ Task:TaskView, Family: FamilyView, +SUITE_CLASS_MAP={ Task:TaskView, Family: FamilyView, Event: EventView, + DataEvent: EventView, ShellEvent: EventView, OutputSlot: OutputSlotView, InputSlot:InputSlotView } diff --git a/crow/config/to_yaml.py b/crow/config/to_yaml.py index 3f96795..a5e593f 100644 --- a/crow/config/to_yaml.py +++ b/crow/config/to_yaml.py @@ -115,6 +115,8 @@ def representer(dumper,data): return rep yaml.add_representer(cls,representer) +add_yaml_taskable(u'!DataEvent',DataEvent) +add_yaml_taskable(u'!ShellEvent',ShellEvent) add_yaml_taskable(u'!Task',Task) add_yaml_taskable(u'!Family',Family) add_yaml_taskable(u'!Cycle',Cycle) diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py index 0cd0866..91f4425 100644 --- a/crow/metascheduler/ecflow.py +++ b/crow/metascheduler/ecflow.py @@ -11,7 +11,7 @@ StateDependency, Dependable, Taskable, Task, \ Family, Cycle, RUNNING, COMPLETED, FAILED, \ TRUE_DEPENDENCY, FALSE_DEPENDENCY, SuitePath, \ - CycleExistsDependency, invalidate_cache + CycleExistsDependency, invalidate_cache, EventDependency __all__=['to_ecflow','ToEcflow'] f'This module requires python 3.6 or newer.' @@ -59,7 +59,7 @@ def remove_cyc_exist(task,dep,clock): return TRUE_DEPENDENCY return FALSE_DEPENDENCY if isinstance(dep,AndDependency) or isinstance(dep,OrDependency): - return type(dep)( [ + return type(dep)( *[ remove_cyc_exist(task,d,clock) for d in dep ]) if isinstance(dep,NotDependency): return NotDependency(remove_cyc_exist(task,dep.depend,clock)) @@ -73,6 +73,13 @@ def convert_state_dep(fd,task,dep,clock,time_format,negate): state=ECFLOW_STATE_MAP[dep.state] fd.write(f'{rel_path} {"!=" if negate else "=="} {state}') +def convert_event_dep(fd,task,dep_path,event_name,clock,time_format,negate): + typecheck('clock',clock,crow.tools.Clock) + task_path=undate_path(clock.now,time_format,task.path) + dep_path=undate_path(clock.now,time_format,dep_path) + rel_path=relative_path(task_path,dep_path) + fd.write(f'{rel_path}:{event_name} is {"clear" if negate else "set"}') + def _convert_dep(fd,task,dep,clock,time_format): first=True if isinstance(dep,OrDependency): @@ -80,21 +87,27 @@ def _convert_dep(fd,task,dep,clock,time_format): if not first: fd.write(' or ') first=False - convert_dep(fd,task,subdep,clock,time_format) + _convert_dep(fd,task,subdep,clock,time_format) elif isinstance(dep,AndDependency): for subdep in dep: if not first: fd.write(' and ') first=False - convert_dep(fd,task,subdep,clock,time_format) + _convert_dep(fd,task,subdep,clock,time_format) elif isinstance(dep,NotDependency): fd.write('not ') if isinstance(dep.depend,StateDependency): convert_state_dep(fd,task,dep.depend,clock,time_format,True) + elif isinstance(dep.depend,EventDependency): + convert_event_dep(fd,task,dep.event.path[:-1], + dep.event.path[-1],clock,time_format,True) else: convert_dep(fd,task,dep.depend) elif isinstance(dep,StateDependency): convert_state_dep(fd,task,dep,clock,time_format,False) + elif isinstance(dep,EventDependency): + convert_event_dep(fd,task,dep.event.path[:-1], + dep.event.path[-1],clock,time_format,False) def dep_to_ecflow(fd,task,dep,clock,time_format): # Walk the tree, removing CycleExistsDependency objects: @@ -170,6 +183,11 @@ def _add_ecflow_def_meat(self,fd,node,indent): def _make_task_def(self,fd,task): indent=max(0,len(task.path)-1)*self.indent fd.write(f'{indent}task {task.path[-1]}\n') + event_number=1 + for item in task.child_iter(): + if item.is_event(): + fd.write(f'{indent} event {event_number} {item.path[-1]}\n') + event_number+=1 self._add_ecflow_def_meat(fd,task,indent+self.indent) fd.write(f'{indent}end task\n') diff --git a/examples/ecflow/ecftest.yaml b/examples/ecflow/ecftest.yaml index 0228112..d0d1dc3 100644 --- a/examples/ecflow/ecftest.yaml +++ b/examples/ecflow/ecftest.yaml @@ -1,7 +1,26 @@ -some_resources: !JobRequest - - exe: placeholder # madatory, ignored, argument - mpi_ranks: 48 +sample_mpi_omp: &sample_mpi_omp !JobRequest + - exe: placeholder + mpi_ranks: 24 + OMP_NUM_THREADS: 2 + +sample_mpi_mpmd: &sample_mpi_mpmd !JobRequest + - exe: placeholder1 + mpi_ranks: 12 OMP_NUM_THREADS: 2 + - exe: placeholder2 + mpi_ranks: 48 + OMP_NUM_THREADS: 1 + +sample_openmp: &sample_openmp !JobRequest + - exe: placeholder + OMP_NUM_THREADS: 16 + +sample_mpi: &sample_mpi !JobRequest + - exe: placeholder + mpi_ranks: 48 + +sample_serial: &sample_serial !JobRequest + - exe: placeholder scheduler_settings: name: LSFAlps @@ -19,7 +38,7 @@ accounting: ecf_file_template: &ecf_file_template !expand | #! /bin/sh - {sched.batch_resources(doc.some_resources)} + {sched.batch_resources(resources)} {sched.batch_accounting(doc.accounting)} %include head.h cd /somewhere @@ -42,46 +61,65 @@ suite: !Cycle edit ECF_TRIES '1' edit ECF_SOME_OTHER_VAR 'abcd' + task0: !Task + Time: !timedelta +2:00:00 + resources: *sample_serial + J_JOB: JGFS_TASK0 + ecf_file: *ecf_file_template + family1: !Family ecflow_def: | edit SOMEVAR '1' edit ANOTHERVAR '2' - Trigger: !Depend "up.family2.at('-6:00:00').task21" + Trigger: !Depend "up.family2.at('-6:00:00').task21 & task0" Time: !timedelta +3:00:00 task11: !Task ecf_file: *ecf_file_template J_JOB: JGFS_TASK11 + resources: *sample_serial + + # NOTE: in the below !DataEvent maps, the file= is required, but + # is just a placeholder for Rocoto support. It is not used at + # all for ecflow support. + + some_event: !DataEvent {file="/dev/null"} + another_event: !ShellEvent {command="/bin/true"} task12: !Task ecf_file: *ecf_file_template + resources: *sample_mpi J_JOB: JGFS_TASK12 - Trigger: !Depend task11 + Trigger: !Depend task11.some_event family2: !Family Time: !timedelta +4:20:00 - Trigger: !Depend family1.task11 + Trigger: !Depend family1.task11.another_event task21: !Task ecf_file: *ecf_file_template Trigger: !Depend up.family1.task12 + resources: *sample_mpi_mpmd J_JOB: JGFS_TASK21 family3: !Family task3: !Task ecf_file: *ecf_file_template + resources: *sample_openmp Trigger: !Depend up.task21 J_JOB: JGFS_TASK3 task22: !Task Time: !timedelta +5:00:00 Trigger: !Depend task21 + resources: *sample_mpi_omp ecf_file: *ecf_file_template J_JOB: JGFS_TASK22 task23: !Task Time: !timedelta +6:30:00 Complete: !Depend task22 + resources: *sample_serial Trigger: !Depend task21 ecf_file: *ecf_file_template J_JOB: JGFS_TASK23 From d181ea2391ea84d5afba45f4cce32bc9fe02a601 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 31 Jan 2018 20:15:47 +0000 Subject: [PATCH 257/487] Various tweaks to ecflow generation. --- crow/sysenv/schedulers/LSFAlps.py | 11 +++++++---- examples/ecflow/ecftest.py | 20 +++++++++++++++++--- examples/ecflow/ecftest.yaml | 10 +++++++--- 3 files changed, 31 insertions(+), 10 deletions(-) diff --git a/crow/sysenv/schedulers/LSFAlps.py b/crow/sysenv/schedulers/LSFAlps.py index 2ce208c..ef6cd74 100644 --- a/crow/sysenv/schedulers/LSFAlps.py +++ b/crow/sysenv/schedulers/LSFAlps.py @@ -85,10 +85,13 @@ def batch_resources(self,spec): nodes_ranks=self.nodes.to_nodes_ppn(spec) requested_nodes=sum([ n for n,p in nodes_ranks ]) sio.write('#BSUB -extsched CRAYLINUX[]\n') - sio.write("#BSUB -R '1*{select[craylinux && !vnode]} + ") - sio.write('%d'%requested_nodes) - sio.write("*{select[craylinux && vnode]span[") - sio.write(f"ptile={nodesize}] cu[type=cabinet]}}'") + if self.settings.get('use_export_nodes',True): + sio.write(f'export NODES={requested_nodes}') + else: + sio.write("#BSUB -R '1*{select[craylinux && !vnode]} + ") + sio.write('%d'%requested_nodes) + sio.write("*{select[craylinux && vnode]span[") + sio.write(f"ptile={nodesize}] cu[type=cabinet]}}'") ret=sio.getvalue() sio.close() diff --git a/examples/ecflow/ecftest.py b/examples/ecflow/ecftest.py index 6235b27..1eec87b 100755 --- a/examples/ecflow/ecftest.py +++ b/examples/ecflow/ecftest.py @@ -1,6 +1,7 @@ #! /usr/bin/env python3 f'This script requires Python 3.6 or newer.' +import os from crow.metascheduler import to_ecflow from crow.config import from_file, Suite @@ -10,12 +11,25 @@ suite_defs, ecf_files = to_ecflow(suite) for defname in suite_defs: - print(f'=== contents of suite def {defname}\n{suite_defs[defname]}') + #print(f'=== contents of suite def {defname}\n{suite_defs[defname]}') + filename=defname + print(filename) + dirname=os.path.dirname(filename) + if dirname and not os.path.exists(dirname): + os.makedirs(os.path.dirname(filename)) + with open(filename,'wt') as fd: + fd.write(suite_defs[defname]) for setname in ecf_files: print(f'ecf file set {setname}:\n') for filename in ecf_files[setname]: print(f' file {filename}') - for line in ecf_files[setname][filename].splitlines(): - print(f' {line.rstrip()}') + dirname=os.path.dirname(filename) + if dirname and not os.path.exists(dirname): + os.makedirs(os.path.dirname(filename)) + with open(filename,'wt') as fd: + fd.write(ecf_files[setname][filename]) + + #for line in ecf_files[setname][filename].splitlines(): + #print(f' {line.rstrip()}') diff --git a/examples/ecflow/ecftest.yaml b/examples/ecflow/ecftest.yaml index d0d1dc3..d8b8fcf 100644 --- a/examples/ecflow/ecftest.yaml +++ b/examples/ecflow/ecftest.yaml @@ -38,11 +38,12 @@ accounting: ecf_file_template: &ecf_file_template !expand | #! /bin/sh - {sched.batch_resources(resources)} {sched.batch_accounting(doc.accounting)} + {sched.batch_resources(resources)} %include head.h - cd /somewhere - $JOBgfs/{J_JOB} + ... other ecf file things ... + ${{JOBgfs}}/{J_JOB} + ... other ecf file things ... %include tail.h suite: !Cycle @@ -75,6 +76,9 @@ suite: !Cycle Time: !timedelta +3:00:00 task11: !Task + ecflow_def: | + edit WHATEVER '333' + event manually_written_event ecf_file: *ecf_file_template J_JOB: JGFS_TASK11 resources: *sample_serial From 33579bb96b1f3e037dbac5c2306aa93a9fa4c543 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 31 Jan 2018 20:17:06 +0000 Subject: [PATCH 258/487] Staged output of ecftest.py and ecftest.yaml --- examples/ecflow/family1/task11.ecf | 12 +++++++ examples/ecflow/family1/task12.ecf | 12 +++++++ examples/ecflow/family2/family3/task3.ecf | 12 +++++++ examples/ecflow/family2/task21.ecf | 12 +++++++ examples/ecflow/family2/task22.ecf | 12 +++++++ examples/ecflow/family2/task23.ecf | 12 +++++++ examples/ecflow/prod00.def | 44 +++++++++++++++++++++++ examples/ecflow/prod06.def | 44 +++++++++++++++++++++++ examples/ecflow/prod12.def | 44 +++++++++++++++++++++++ examples/ecflow/prod18.def | 44 +++++++++++++++++++++++ examples/ecflow/task0.ecf | 12 +++++++ 11 files changed, 260 insertions(+) create mode 100644 examples/ecflow/family1/task11.ecf create mode 100644 examples/ecflow/family1/task12.ecf create mode 100644 examples/ecflow/family2/family3/task3.ecf create mode 100644 examples/ecflow/family2/task21.ecf create mode 100644 examples/ecflow/family2/task22.ecf create mode 100644 examples/ecflow/family2/task23.ecf create mode 100644 examples/ecflow/prod00.def create mode 100644 examples/ecflow/prod06.def create mode 100644 examples/ecflow/prod12.def create mode 100644 examples/ecflow/prod18.def create mode 100644 examples/ecflow/task0.ecf diff --git a/examples/ecflow/family1/task11.ecf b/examples/ecflow/family1/task11.ecf new file mode 100644 index 0000000..3ec8a02 --- /dev/null +++ b/examples/ecflow/family1/task11.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q debug +#BSUB -P GFS-T2O + +#BSUB -R rusage[mem=2000] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include head.h +... other ecf file things ... +${JOBgfs}/JGFS_TASK11 +... other ecf file things ... +%include tail.h diff --git a/examples/ecflow/family1/task12.ecf b/examples/ecflow/family1/task12.ecf new file mode 100644 index 0000000..27921d9 --- /dev/null +++ b/examples/ecflow/family1/task12.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q debug +#BSUB -P GFS-T2O + +#BSUB -R rusage[mem=2000] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include head.h +... other ecf file things ... +${JOBgfs}/JGFS_TASK12 +... other ecf file things ... +%include tail.h diff --git a/examples/ecflow/family2/family3/task3.ecf b/examples/ecflow/family2/family3/task3.ecf new file mode 100644 index 0000000..3fe677e --- /dev/null +++ b/examples/ecflow/family2/family3/task3.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q debug +#BSUB -P GFS-T2O + +#BSUB -R rusage[mem=2000] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include head.h +... other ecf file things ... +${JOBgfs}/JGFS_TASK3 +... other ecf file things ... +%include tail.h diff --git a/examples/ecflow/family2/task21.ecf b/examples/ecflow/family2/task21.ecf new file mode 100644 index 0000000..d6a34b2 --- /dev/null +++ b/examples/ecflow/family2/task21.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q debug +#BSUB -P GFS-T2O + +#BSUB -R rusage[mem=2000] +#BSUB -extsched CRAYLINUX[] +export NODES=3 +%include head.h +... other ecf file things ... +${JOBgfs}/JGFS_TASK21 +... other ecf file things ... +%include tail.h diff --git a/examples/ecflow/family2/task22.ecf b/examples/ecflow/family2/task22.ecf new file mode 100644 index 0000000..bc850c9 --- /dev/null +++ b/examples/ecflow/family2/task22.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q debug +#BSUB -P GFS-T2O + +#BSUB -R rusage[mem=2000] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include head.h +... other ecf file things ... +${JOBgfs}/JGFS_TASK22 +... other ecf file things ... +%include tail.h diff --git a/examples/ecflow/family2/task23.ecf b/examples/ecflow/family2/task23.ecf new file mode 100644 index 0000000..4b5492b --- /dev/null +++ b/examples/ecflow/family2/task23.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q debug +#BSUB -P GFS-T2O + +#BSUB -R rusage[mem=2000] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include head.h +... other ecf file things ... +${JOBgfs}/JGFS_TASK23 +... other ecf file things ... +%include tail.h diff --git a/examples/ecflow/prod00.def b/examples/ecflow/prod00.def new file mode 100644 index 0000000..4557d1e --- /dev/null +++ b/examples/ecflow/prod00.def @@ -0,0 +1,44 @@ +suite prod00 + repeat day 1 + edit ECF_TRIES '1' + edit ECF_SOME_OTHER_VAR 'abcd' + task task0 + time 02:00:00 + end task + family family1 + edit SOMEVAR '1' + edit ANOTHERVAR '2' + trigger /prod18/family2/task21 == complete and ./task0 == complete + time 03:00:00 + task task11 + event 1 some_event + event 2 another_event + edit WHATEVER '333' + event manually_written_event + end task + task task12 + trigger ./task11:some_event is set + end task + end family + family family2 + trigger ./family1/task11:another_event is set + time 04:20:00 + task task21 + trigger ../family1/task12 == complete + end task + family family3 + task task3 + trigger ../task21 == complete + end task + end family + task task22 + trigger ./task21 == complete + time 05:00:00 + end task + task task23 + trigger ./task21 == complete + complete ./task22 == complete + time 06:30:00 + end task + end family +end suite diff --git a/examples/ecflow/prod06.def b/examples/ecflow/prod06.def new file mode 100644 index 0000000..2a718fa --- /dev/null +++ b/examples/ecflow/prod06.def @@ -0,0 +1,44 @@ +suite prod06 + repeat day 1 + edit ECF_TRIES '1' + edit ECF_SOME_OTHER_VAR 'abcd' + task task0 + time 08:00:00 + end task + family family1 + edit SOMEVAR '1' + edit ANOTHERVAR '2' + trigger /prod00/family2/task21 == complete and ./task0 == complete + time 09:00:00 + task task11 + event 1 some_event + event 2 another_event + edit WHATEVER '333' + event manually_written_event + end task + task task12 + trigger ./task11:some_event is set + end task + end family + family family2 + trigger ./family1/task11:another_event is set + time 10:20:00 + task task21 + trigger ../family1/task12 == complete + end task + family family3 + task task3 + trigger ../task21 == complete + end task + end family + task task22 + trigger ./task21 == complete + time 11:00:00 + end task + task task23 + trigger ./task21 == complete + complete ./task22 == complete + time 12:30:00 + end task + end family +end suite diff --git a/examples/ecflow/prod12.def b/examples/ecflow/prod12.def new file mode 100644 index 0000000..ec8c861 --- /dev/null +++ b/examples/ecflow/prod12.def @@ -0,0 +1,44 @@ +suite prod12 + repeat day 1 + edit ECF_TRIES '1' + edit ECF_SOME_OTHER_VAR 'abcd' + task task0 + time 14:00:00 + end task + family family1 + edit SOMEVAR '1' + edit ANOTHERVAR '2' + trigger /prod06/family2/task21 == complete and ./task0 == complete + time 15:00:00 + task task11 + event 1 some_event + event 2 another_event + edit WHATEVER '333' + event manually_written_event + end task + task task12 + trigger ./task11:some_event is set + end task + end family + family family2 + trigger ./family1/task11:another_event is set + time 16:20:00 + task task21 + trigger ../family1/task12 == complete + end task + family family3 + task task3 + trigger ../task21 == complete + end task + end family + task task22 + trigger ./task21 == complete + time 17:00:00 + end task + task task23 + trigger ./task21 == complete + complete ./task22 == complete + time 18:30:00 + end task + end family +end suite diff --git a/examples/ecflow/prod18.def b/examples/ecflow/prod18.def new file mode 100644 index 0000000..aef8e8d --- /dev/null +++ b/examples/ecflow/prod18.def @@ -0,0 +1,44 @@ +suite prod18 + repeat day 1 + edit ECF_TRIES '1' + edit ECF_SOME_OTHER_VAR 'abcd' + task task0 + time 20:00:00 + end task + family family1 + edit SOMEVAR '1' + edit ANOTHERVAR '2' + trigger /prod12/family2/task21 == complete and ./task0 == complete + time 21:00:00 + task task11 + event 1 some_event + event 2 another_event + edit WHATEVER '333' + event manually_written_event + end task + task task12 + trigger ./task11:some_event is set + end task + end family + family family2 + trigger ./family1/task11:another_event is set + time 22:20:00 + task task21 + trigger ../family1/task12 == complete + end task + family family3 + task task3 + trigger ../task21 == complete + end task + end family + task task22 + trigger ./task21 == complete + time 23:00:00 + end task + task task23 + trigger ./task21 == complete + complete ./task22 == complete + time 00:30:00 + end task + end family +end suite diff --git a/examples/ecflow/task0.ecf b/examples/ecflow/task0.ecf new file mode 100644 index 0000000..e4631b2 --- /dev/null +++ b/examples/ecflow/task0.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q debug +#BSUB -P GFS-T2O + +#BSUB -R rusage[mem=2000] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include head.h +... other ecf file things ... +${JOBgfs}/JGFS_TASK0 +... other ecf file things ... +%include tail.h From 191e7a213f7ae0fd44af9f2af49964de728ea833 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 31 Jan 2018 20:50:05 +0000 Subject: [PATCH 259/487] fixes to ecflow suite yaml --- crow/metascheduler/ecflow.py | 82 ++++++++++++++--------- examples/ecflow/ecftest.py | 2 +- examples/ecflow/ecftest.yaml | 13 ++-- examples/ecflow/family1/task11.ecf | 9 ++- examples/ecflow/family1/task12.ecf | 9 ++- examples/ecflow/family2/family3/task3.ecf | 9 ++- examples/ecflow/family2/task21.ecf | 9 ++- examples/ecflow/family2/task22.ecf | 9 ++- examples/ecflow/family2/task23.ecf | 9 ++- examples/ecflow/prod00.def | 37 +++++----- examples/ecflow/prod06.def | 38 ++++++----- examples/ecflow/prod12.def | 39 ++++++----- examples/ecflow/prod18.def | 40 ++++++----- examples/ecflow/task0.ecf | 9 ++- 14 files changed, 171 insertions(+), 143 deletions(-) diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py index 91f4425..05caee2 100644 --- a/crow/metascheduler/ecflow.py +++ b/crow/metascheduler/ecflow.py @@ -1,4 +1,5 @@ import collections, datetime +from collections import OrderedDict from io import StringIO @@ -42,17 +43,20 @@ def relative_path(start,dest): else: return './'+'/'.join(dest[i:]) -def undate_path(relative_time,format,suite_path): +def undate_path(relative_time,format,suite_path,undated): """!In dependencies within crow.config, the task paths have a timedelta at element 0 to indicate the relative time of the dependency. This creates a new path, replacing the timedelta with a time string. The format is sent to datetime.strftime.""" + assert(isinstance(undated,OrderedDict)) if suite_path and hasattr(suite_path[0],'total_seconds'): when=relative_time+suite_path[0] - return [when.strftime(format)] + suite_path[1:] - return suite_path + result=[when.strftime(format)] + suite_path[1:] + return result,True + return suite_path,False -def remove_cyc_exist(task,dep,clock): +def remove_cyc_exist(task,dep,clock,undated): + assert(isinstance(undated,OrderedDict)) typecheck('dep',dep,LogicalDependency) if isinstance(dep,CycleExistsDependency): if dep.dt in clock: @@ -60,64 +64,74 @@ def remove_cyc_exist(task,dep,clock): return FALSE_DEPENDENCY if isinstance(dep,AndDependency) or isinstance(dep,OrDependency): return type(dep)( *[ - remove_cyc_exist(task,d,clock) for d in dep ]) + remove_cyc_exist(task,d,clock,undated) for d in dep ]) if isinstance(dep,NotDependency): - return NotDependency(remove_cyc_exist(task,dep.depend,clock)) + return NotDependency(remove_cyc_exist(task,dep.depend,clock,undated)) return dep -def convert_state_dep(fd,task,dep,clock,time_format,negate): +def convert_state_dep(fd,task,dep,clock,time_format,negate,undated): + assert(isinstance(undated,OrderedDict)) typecheck('clock',clock,crow.tools.Clock) - task_path=undate_path(clock.now,time_format,task.path) - dep_path=undate_path(clock.now,time_format,dep.view.path) + task_path,did_undated=undate_path(clock.now,time_format,task.path,undated) + dep_path,did_undated=undate_path(clock.now,time_format,dep.view.path,undated) rel_path=relative_path(task_path,dep_path) + if did_undated and rel_path[0]=='/': + undated[rel_path]=1 state=ECFLOW_STATE_MAP[dep.state] fd.write(f'{rel_path} {"!=" if negate else "=="} {state}') -def convert_event_dep(fd,task,dep_path,event_name,clock,time_format,negate): +def convert_event_dep(fd,task,dep_path,event_name,clock,time_format,negate,undated): + assert(isinstance(undated,OrderedDict)) typecheck('clock',clock,crow.tools.Clock) - task_path=undate_path(clock.now,time_format,task.path) - dep_path=undate_path(clock.now,time_format,dep_path) + task_path,did_undated=undate_path(clock.now,time_format,task.path,undated) + dep_path,did_undated=undate_path(clock.now,time_format,dep_path,undated) rel_path=relative_path(task_path,dep_path) - fd.write(f'{rel_path}:{event_name} is {"clear" if negate else "set"}') + if did_undated and rel_path[0]=='/': + undated[rel_path]=1 + fd.write(f'{rel_path}:{event_name}{" is clear" if negate else ""}') -def _convert_dep(fd,task,dep,clock,time_format): +def _convert_dep(fd,task,dep,clock,time_format,undated): + assert(isinstance(undated,OrderedDict)) first=True if isinstance(dep,OrDependency): for subdep in dep: if not first: fd.write(' or ') first=False - _convert_dep(fd,task,subdep,clock,time_format) + _convert_dep(fd,task,subdep,clock,time_format,undated) elif isinstance(dep,AndDependency): for subdep in dep: if not first: fd.write(' and ') first=False - _convert_dep(fd,task,subdep,clock,time_format) + _convert_dep(fd,task,subdep,clock,time_format,undated) elif isinstance(dep,NotDependency): fd.write('not ') if isinstance(dep.depend,StateDependency): - convert_state_dep(fd,task,dep.depend,clock,time_format,True) + convert_state_dep(fd,task,dep.depend,clock,time_format,True, + undated) elif isinstance(dep.depend,EventDependency): convert_event_dep(fd,task,dep.event.path[:-1], - dep.event.path[-1],clock,time_format,True) + dep.event.path[-1],clock,time_format,True, + undated) else: - convert_dep(fd,task,dep.depend) + _convert_dep(fd,task,dep.depend,undated) elif isinstance(dep,StateDependency): - convert_state_dep(fd,task,dep,clock,time_format,False) + convert_state_dep(fd,task,dep,clock,time_format,False,undated) elif isinstance(dep,EventDependency): convert_event_dep(fd,task,dep.event.path[:-1], - dep.event.path[-1],clock,time_format,False) + dep.event.path[-1],clock,time_format,False,undated) -def dep_to_ecflow(fd,task,dep,clock,time_format): +def dep_to_ecflow(fd,task,dep,clock,time_format,undated): + assert(isinstance(undated,OrderedDict)) # Walk the tree, removing CycleExistsDependency objects: - dep=remove_cyc_exist(task,dep,clock) + dep=remove_cyc_exist(task,dep,clock,undated) # Apply boolean algebra simplification algorithms. This will # remove the true/false dependencies added by remove_cyc_exist. dep=simplify(dep) - _convert_dep(fd,task,dep,clock,time_format) + _convert_dep(fd,task,dep,clock,time_format,undated) class ToEcflow(object): def __init__(self,suite): @@ -144,6 +158,7 @@ def __init__(self,suite): self.indent=self.settings.get('indent',' ') self.sched=scheduler self.clock=None + self.undated=OrderedDict() #################################################################### @@ -160,7 +175,7 @@ def _add_ecflow_def_meat(self,fd,node,indent): fd.write(f'{indent}trigger ') ecdep=dep_to_ecflow( fd,node,node.Trigger, - self.suite.Clock,self.suite.ecFlow.suite_name) + self.suite.Clock,self.suite.ecFlow.suite_name,self.undated) fd.write('\n') if 'Complete' in node: typecheck(node.task_path_var+'.Complete',node.Complete, @@ -168,7 +183,7 @@ def _add_ecflow_def_meat(self,fd,node,indent): fd.write(f'{indent}complete ') ecdep=dep_to_ecflow( fd,node,node.Complete, - self.suite.Clock,self.suite.ecFlow.suite_name) + self.suite.Clock,self.suite.ecFlow.suite_name,self.undated) fd.write('\n') if 'Time' in node: typecheck(node.task_path_var+'.Time',node.Time, @@ -176,7 +191,7 @@ def _add_ecflow_def_meat(self,fd,node,indent): dt=to_timedelta(node.Time) when=self.suite.Clock.now+dt #ecdate=when.strftime('%d.%m.%Y') - ectime=when.strftime('%H:%M:%S') + ectime=when.strftime('%H:%M') fd.write(f'{indent}time {ectime}\n') #fd.write(f'{indent}date {ecdate}\n{indent}time {ectime}\n') @@ -189,7 +204,7 @@ def _make_task_def(self,fd,task): fd.write(f'{indent} event {event_number} {item.path[-1]}\n') event_number+=1 self._add_ecflow_def_meat(fd,task,indent+self.indent) - fd.write(f'{indent}end task\n') + fd.write(f'{indent}endtask\n') def _make_family_def(self,fd,family): indent=max(0,len(family.path)-1)*self.indent @@ -200,7 +215,7 @@ def _make_family_def(self,fd,family): self._make_task_def(fd,item) elif item.is_family(): self._make_family_def(fd,item) - fd.write(f'{indent}end family\n') + fd.write(f'{indent}endfamily\n') def _make_suite_def_for_one_cycle(self,fd): fd.write(f'suite {self.suite_name}\n') @@ -212,7 +227,11 @@ def _make_suite_def_for_one_cycle(self,fd): self._make_task_def(fd,item) elif item.is_family(): self._make_family_def(fd,item) - fd.write('end suite\n') + fd.write('endsuite\n') + + def _make_externs(self,fd): + for d in self.undated.keys(): + fd.write(f'extern {d}\n') #################################################################### @@ -267,6 +286,9 @@ def to_ecflow(self): with StringIO() as sio: self._make_suite_def_for_one_cycle(sio) suite_def_files[filename]=sio.getvalue() + with StringIO() as sio: + self._make_externs(sio) + suite_def_files[filename]=sio.getvalue()+suite_def_files[filename] self._make_ecf_files_for_one_cycle(ecf_files) del self.suite return suite_def_files,ecf_files diff --git a/examples/ecflow/ecftest.py b/examples/ecflow/ecftest.py index 1eec87b..139ea54 100755 --- a/examples/ecflow/ecftest.py +++ b/examples/ecflow/ecftest.py @@ -27,7 +27,7 @@ dirname=os.path.dirname(filename) if dirname and not os.path.exists(dirname): os.makedirs(os.path.dirname(filename)) - with open(filename,'wt') as fd: + with open(filename+".ecf",'wt') as fd: fd.write(ecf_files[setname][filename]) #for line in ecf_files[setname][filename].splitlines(): diff --git a/examples/ecflow/ecftest.yaml b/examples/ecflow/ecftest.yaml index d8b8fcf..2f1b856 100644 --- a/examples/ecflow/ecftest.yaml +++ b/examples/ecflow/ecftest.yaml @@ -2,11 +2,13 @@ sample_mpi_omp: &sample_mpi_omp !JobRequest - exe: placeholder mpi_ranks: 24 OMP_NUM_THREADS: 2 + walltime: 00:05:00 sample_mpi_mpmd: &sample_mpi_mpmd !JobRequest - exe: placeholder1 mpi_ranks: 12 OMP_NUM_THREADS: 2 + walltime: 00:05:00 - exe: placeholder2 mpi_ranks: 48 OMP_NUM_THREADS: 1 @@ -14,13 +16,16 @@ sample_mpi_mpmd: &sample_mpi_mpmd !JobRequest sample_openmp: &sample_openmp !JobRequest - exe: placeholder OMP_NUM_THREADS: 16 + walltime: 00:05:00 sample_mpi: &sample_mpi !JobRequest - exe: placeholder mpi_ranks: 48 + walltime: 00:05:00 sample_serial: &sample_serial !JobRequest - exe: placeholder + walltime: 00:05:00 scheduler_settings: name: LSFAlps @@ -40,11 +45,9 @@ ecf_file_template: &ecf_file_template !expand | #! /bin/sh {sched.batch_accounting(doc.accounting)} {sched.batch_resources(resources)} - %include head.h - ... other ecf file things ... - ${{JOBgfs}}/{J_JOB} - ... other ecf file things ... - %include tail.h + %include + echo ${{JOBgfs}}/{J_JOB} + %include suite: !Cycle Clock: !Clock diff --git a/examples/ecflow/family1/task11.ecf b/examples/ecflow/family1/task11.ecf index 3ec8a02..5f90659 100644 --- a/examples/ecflow/family1/task11.ecf +++ b/examples/ecflow/family1/task11.ecf @@ -2,11 +2,10 @@ #BSUB -q debug #BSUB -P GFS-T2O +#BSUB -W walltime=0:05 #BSUB -R rusage[mem=2000] #BSUB -extsched CRAYLINUX[] export NODES=1 -%include head.h -... other ecf file things ... -${JOBgfs}/JGFS_TASK11 -... other ecf file things ... -%include tail.h +%include +echo ${JOBgfs}/JGFS_TASK11 +%include diff --git a/examples/ecflow/family1/task12.ecf b/examples/ecflow/family1/task12.ecf index 27921d9..f85654d 100644 --- a/examples/ecflow/family1/task12.ecf +++ b/examples/ecflow/family1/task12.ecf @@ -2,11 +2,10 @@ #BSUB -q debug #BSUB -P GFS-T2O +#BSUB -W walltime=0:05 #BSUB -R rusage[mem=2000] #BSUB -extsched CRAYLINUX[] export NODES=2 -%include head.h -... other ecf file things ... -${JOBgfs}/JGFS_TASK12 -... other ecf file things ... -%include tail.h +%include +echo ${JOBgfs}/JGFS_TASK12 +%include diff --git a/examples/ecflow/family2/family3/task3.ecf b/examples/ecflow/family2/family3/task3.ecf index 3fe677e..efa5c4e 100644 --- a/examples/ecflow/family2/family3/task3.ecf +++ b/examples/ecflow/family2/family3/task3.ecf @@ -2,11 +2,10 @@ #BSUB -q debug #BSUB -P GFS-T2O +#BSUB -W walltime=0:05 #BSUB -R rusage[mem=2000] #BSUB -extsched CRAYLINUX[] export NODES=1 -%include head.h -... other ecf file things ... -${JOBgfs}/JGFS_TASK3 -... other ecf file things ... -%include tail.h +%include +echo ${JOBgfs}/JGFS_TASK3 +%include diff --git a/examples/ecflow/family2/task21.ecf b/examples/ecflow/family2/task21.ecf index d6a34b2..e8064de 100644 --- a/examples/ecflow/family2/task21.ecf +++ b/examples/ecflow/family2/task21.ecf @@ -2,11 +2,10 @@ #BSUB -q debug #BSUB -P GFS-T2O +#BSUB -W walltime=0:05 #BSUB -R rusage[mem=2000] #BSUB -extsched CRAYLINUX[] export NODES=3 -%include head.h -... other ecf file things ... -${JOBgfs}/JGFS_TASK21 -... other ecf file things ... -%include tail.h +%include +echo ${JOBgfs}/JGFS_TASK21 +%include diff --git a/examples/ecflow/family2/task22.ecf b/examples/ecflow/family2/task22.ecf index bc850c9..451f332 100644 --- a/examples/ecflow/family2/task22.ecf +++ b/examples/ecflow/family2/task22.ecf @@ -2,11 +2,10 @@ #BSUB -q debug #BSUB -P GFS-T2O +#BSUB -W walltime=0:05 #BSUB -R rusage[mem=2000] #BSUB -extsched CRAYLINUX[] export NODES=2 -%include head.h -... other ecf file things ... -${JOBgfs}/JGFS_TASK22 -... other ecf file things ... -%include tail.h +%include +echo ${JOBgfs}/JGFS_TASK22 +%include diff --git a/examples/ecflow/family2/task23.ecf b/examples/ecflow/family2/task23.ecf index 4b5492b..c9673f2 100644 --- a/examples/ecflow/family2/task23.ecf +++ b/examples/ecflow/family2/task23.ecf @@ -2,11 +2,10 @@ #BSUB -q debug #BSUB -P GFS-T2O +#BSUB -W walltime=0:05 #BSUB -R rusage[mem=2000] #BSUB -extsched CRAYLINUX[] export NODES=1 -%include head.h -... other ecf file things ... -${JOBgfs}/JGFS_TASK23 -... other ecf file things ... -%include tail.h +%include +echo ${JOBgfs}/JGFS_TASK23 +%include diff --git a/examples/ecflow/prod00.def b/examples/ecflow/prod00.def index 4557d1e..af77cd7 100644 --- a/examples/ecflow/prod00.def +++ b/examples/ecflow/prod00.def @@ -1,44 +1,45 @@ +extern /prod18/family2/task21 suite prod00 repeat day 1 edit ECF_TRIES '1' edit ECF_SOME_OTHER_VAR 'abcd' task task0 - time 02:00:00 - end task + time 02:00 + endtask family family1 edit SOMEVAR '1' edit ANOTHERVAR '2' trigger /prod18/family2/task21 == complete and ./task0 == complete - time 03:00:00 + time 03:00 task task11 event 1 some_event event 2 another_event edit WHATEVER '333' event manually_written_event - end task + endtask task task12 - trigger ./task11:some_event is set - end task - end family + trigger ./task11:some_event + endtask + endfamily family family2 - trigger ./family1/task11:another_event is set - time 04:20:00 + trigger ./family1/task11:another_event + time 04:20 task task21 trigger ../family1/task12 == complete - end task + endtask family family3 task task3 trigger ../task21 == complete - end task - end family + endtask + endfamily task task22 trigger ./task21 == complete - time 05:00:00 - end task + time 05:00 + endtask task task23 trigger ./task21 == complete complete ./task22 == complete - time 06:30:00 - end task - end family -end suite + time 06:30 + endtask + endfamily +endsuite diff --git a/examples/ecflow/prod06.def b/examples/ecflow/prod06.def index 2a718fa..8c2a1bb 100644 --- a/examples/ecflow/prod06.def +++ b/examples/ecflow/prod06.def @@ -1,44 +1,46 @@ +extern /prod18/family2/task21 +extern /prod00/family2/task21 suite prod06 repeat day 1 edit ECF_TRIES '1' edit ECF_SOME_OTHER_VAR 'abcd' task task0 - time 08:00:00 - end task + time 08:00 + endtask family family1 edit SOMEVAR '1' edit ANOTHERVAR '2' trigger /prod00/family2/task21 == complete and ./task0 == complete - time 09:00:00 + time 09:00 task task11 event 1 some_event event 2 another_event edit WHATEVER '333' event manually_written_event - end task + endtask task task12 - trigger ./task11:some_event is set - end task - end family + trigger ./task11:some_event + endtask + endfamily family family2 - trigger ./family1/task11:another_event is set - time 10:20:00 + trigger ./family1/task11:another_event + time 10:20 task task21 trigger ../family1/task12 == complete - end task + endtask family family3 task task3 trigger ../task21 == complete - end task - end family + endtask + endfamily task task22 trigger ./task21 == complete - time 11:00:00 - end task + time 11:00 + endtask task task23 trigger ./task21 == complete complete ./task22 == complete - time 12:30:00 - end task - end family -end suite + time 12:30 + endtask + endfamily +endsuite diff --git a/examples/ecflow/prod12.def b/examples/ecflow/prod12.def index ec8c861..b5fb278 100644 --- a/examples/ecflow/prod12.def +++ b/examples/ecflow/prod12.def @@ -1,44 +1,47 @@ +extern /prod18/family2/task21 +extern /prod00/family2/task21 +extern /prod06/family2/task21 suite prod12 repeat day 1 edit ECF_TRIES '1' edit ECF_SOME_OTHER_VAR 'abcd' task task0 - time 14:00:00 - end task + time 14:00 + endtask family family1 edit SOMEVAR '1' edit ANOTHERVAR '2' trigger /prod06/family2/task21 == complete and ./task0 == complete - time 15:00:00 + time 15:00 task task11 event 1 some_event event 2 another_event edit WHATEVER '333' event manually_written_event - end task + endtask task task12 - trigger ./task11:some_event is set - end task - end family + trigger ./task11:some_event + endtask + endfamily family family2 - trigger ./family1/task11:another_event is set - time 16:20:00 + trigger ./family1/task11:another_event + time 16:20 task task21 trigger ../family1/task12 == complete - end task + endtask family family3 task task3 trigger ../task21 == complete - end task - end family + endtask + endfamily task task22 trigger ./task21 == complete - time 17:00:00 - end task + time 17:00 + endtask task task23 trigger ./task21 == complete complete ./task22 == complete - time 18:30:00 - end task - end family -end suite + time 18:30 + endtask + endfamily +endsuite diff --git a/examples/ecflow/prod18.def b/examples/ecflow/prod18.def index aef8e8d..03ab14e 100644 --- a/examples/ecflow/prod18.def +++ b/examples/ecflow/prod18.def @@ -1,44 +1,48 @@ +extern /prod18/family2/task21 +extern /prod00/family2/task21 +extern /prod06/family2/task21 +extern /prod12/family2/task21 suite prod18 repeat day 1 edit ECF_TRIES '1' edit ECF_SOME_OTHER_VAR 'abcd' task task0 - time 20:00:00 - end task + time 20:00 + endtask family family1 edit SOMEVAR '1' edit ANOTHERVAR '2' trigger /prod12/family2/task21 == complete and ./task0 == complete - time 21:00:00 + time 21:00 task task11 event 1 some_event event 2 another_event edit WHATEVER '333' event manually_written_event - end task + endtask task task12 - trigger ./task11:some_event is set - end task - end family + trigger ./task11:some_event + endtask + endfamily family family2 - trigger ./family1/task11:another_event is set - time 22:20:00 + trigger ./family1/task11:another_event + time 22:20 task task21 trigger ../family1/task12 == complete - end task + endtask family family3 task task3 trigger ../task21 == complete - end task - end family + endtask + endfamily task task22 trigger ./task21 == complete - time 23:00:00 - end task + time 23:00 + endtask task task23 trigger ./task21 == complete complete ./task22 == complete - time 00:30:00 - end task - end family -end suite + time 00:30 + endtask + endfamily +endsuite diff --git a/examples/ecflow/task0.ecf b/examples/ecflow/task0.ecf index e4631b2..348e895 100644 --- a/examples/ecflow/task0.ecf +++ b/examples/ecflow/task0.ecf @@ -2,11 +2,10 @@ #BSUB -q debug #BSUB -P GFS-T2O +#BSUB -W walltime=0:05 #BSUB -R rusage[mem=2000] #BSUB -extsched CRAYLINUX[] export NODES=1 -%include head.h -... other ecf file things ... -${JOBgfs}/JGFS_TASK0 -... other ecf file things ... -%include tail.h +%include +echo ${JOBgfs}/JGFS_TASK0 +%include From 55e621e4d77f938dea065ac11eb8e93ba5d01c83 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 31 Jan 2018 20:54:17 +0000 Subject: [PATCH 260/487] yet more fixes to ecflow yaml --- crow/sysenv/schedulers/LSFAlps.py | 2 +- examples/ecflow/ecftest.yaml | 3 +-- examples/ecflow/family1/task11.ecf | 3 +-- examples/ecflow/family1/task12.ecf | 3 +-- examples/ecflow/family2/family3/task3.ecf | 3 +-- examples/ecflow/family2/task21.ecf | 3 +-- examples/ecflow/family2/task22.ecf | 3 +-- examples/ecflow/family2/task23.ecf | 3 +-- examples/ecflow/task0.ecf | 3 +-- 9 files changed, 9 insertions(+), 17 deletions(-) diff --git a/crow/sysenv/schedulers/LSFAlps.py b/crow/sysenv/schedulers/LSFAlps.py index ef6cd74..1442126 100644 --- a/crow/sysenv/schedulers/LSFAlps.py +++ b/crow/sysenv/schedulers/LSFAlps.py @@ -51,7 +51,7 @@ def batch_resources(self,spec): hours=int(dt//3600) minutes=int((dt%3600)//60) seconds=int(math.floor(dt%60)) - sio.write(f'#BSUB -W walltime={hours}:{minutes:02d}\n') + sio.write(f'#BSUB -W {hours}:{minutes:02d}\n') if spec[0].get('memory',''): memory=spec[0]['memory'] diff --git a/examples/ecflow/ecftest.yaml b/examples/ecflow/ecftest.yaml index 2f1b856..763ac78 100644 --- a/examples/ecflow/ecftest.yaml +++ b/examples/ecflow/ecftest.yaml @@ -43,8 +43,7 @@ accounting: ecf_file_template: &ecf_file_template !expand | #! /bin/sh - {sched.batch_accounting(doc.accounting)} - {sched.batch_resources(resources)} + {sched.batch_accounting(doc.accounting)}{sched.batch_resources(resources)} %include echo ${{JOBgfs}}/{J_JOB} %include diff --git a/examples/ecflow/family1/task11.ecf b/examples/ecflow/family1/task11.ecf index 5f90659..be48aea 100644 --- a/examples/ecflow/family1/task11.ecf +++ b/examples/ecflow/family1/task11.ecf @@ -1,8 +1,7 @@ #! /bin/sh #BSUB -q debug #BSUB -P GFS-T2O - -#BSUB -W walltime=0:05 +#BSUB -W 0:05 #BSUB -R rusage[mem=2000] #BSUB -extsched CRAYLINUX[] export NODES=1 diff --git a/examples/ecflow/family1/task12.ecf b/examples/ecflow/family1/task12.ecf index f85654d..3eac980 100644 --- a/examples/ecflow/family1/task12.ecf +++ b/examples/ecflow/family1/task12.ecf @@ -1,8 +1,7 @@ #! /bin/sh #BSUB -q debug #BSUB -P GFS-T2O - -#BSUB -W walltime=0:05 +#BSUB -W 0:05 #BSUB -R rusage[mem=2000] #BSUB -extsched CRAYLINUX[] export NODES=2 diff --git a/examples/ecflow/family2/family3/task3.ecf b/examples/ecflow/family2/family3/task3.ecf index efa5c4e..59ad94c 100644 --- a/examples/ecflow/family2/family3/task3.ecf +++ b/examples/ecflow/family2/family3/task3.ecf @@ -1,8 +1,7 @@ #! /bin/sh #BSUB -q debug #BSUB -P GFS-T2O - -#BSUB -W walltime=0:05 +#BSUB -W 0:05 #BSUB -R rusage[mem=2000] #BSUB -extsched CRAYLINUX[] export NODES=1 diff --git a/examples/ecflow/family2/task21.ecf b/examples/ecflow/family2/task21.ecf index e8064de..dbe6c7a 100644 --- a/examples/ecflow/family2/task21.ecf +++ b/examples/ecflow/family2/task21.ecf @@ -1,8 +1,7 @@ #! /bin/sh #BSUB -q debug #BSUB -P GFS-T2O - -#BSUB -W walltime=0:05 +#BSUB -W 0:05 #BSUB -R rusage[mem=2000] #BSUB -extsched CRAYLINUX[] export NODES=3 diff --git a/examples/ecflow/family2/task22.ecf b/examples/ecflow/family2/task22.ecf index 451f332..8bbb44a 100644 --- a/examples/ecflow/family2/task22.ecf +++ b/examples/ecflow/family2/task22.ecf @@ -1,8 +1,7 @@ #! /bin/sh #BSUB -q debug #BSUB -P GFS-T2O - -#BSUB -W walltime=0:05 +#BSUB -W 0:05 #BSUB -R rusage[mem=2000] #BSUB -extsched CRAYLINUX[] export NODES=2 diff --git a/examples/ecflow/family2/task23.ecf b/examples/ecflow/family2/task23.ecf index c9673f2..65bdf72 100644 --- a/examples/ecflow/family2/task23.ecf +++ b/examples/ecflow/family2/task23.ecf @@ -1,8 +1,7 @@ #! /bin/sh #BSUB -q debug #BSUB -P GFS-T2O - -#BSUB -W walltime=0:05 +#BSUB -W 0:05 #BSUB -R rusage[mem=2000] #BSUB -extsched CRAYLINUX[] export NODES=1 diff --git a/examples/ecflow/task0.ecf b/examples/ecflow/task0.ecf index 348e895..4d2d312 100644 --- a/examples/ecflow/task0.ecf +++ b/examples/ecflow/task0.ecf @@ -1,8 +1,7 @@ #! /bin/sh #BSUB -q debug #BSUB -P GFS-T2O - -#BSUB -W walltime=0:05 +#BSUB -W 0:05 #BSUB -R rusage[mem=2000] #BSUB -extsched CRAYLINUX[] export NODES=1 From 84c8c9f2b26f1d13d7363cc5200f09a2fd50767d Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 31 Jan 2018 21:13:24 +0000 Subject: [PATCH 261/487] yet more fixes to ecflow suite definition --- crow/sysenv/schedulers/LSFAlps.py | 15 ++++++++++----- crow/sysenv/schedulers/MoabTorque.py | 11 ++++++++--- examples/ecflow/ecftest.yaml | 9 ++++++++- examples/ecflow/family1/task11.ecf | 1 + examples/ecflow/family1/task12.ecf | 1 + examples/ecflow/family2/family3/task3.ecf | 1 + examples/ecflow/family2/task21.ecf | 1 + examples/ecflow/family2/task22.ecf | 1 + examples/ecflow/family2/task23.ecf | 1 + examples/ecflow/task0.ecf | 1 + 10 files changed, 33 insertions(+), 9 deletions(-) diff --git a/crow/sysenv/schedulers/LSFAlps.py b/crow/sysenv/schedulers/LSFAlps.py index 1442126..b02ceac 100644 --- a/crow/sysenv/schedulers/LSFAlps.py +++ b/crow/sysenv/schedulers/LSFAlps.py @@ -15,8 +15,9 @@ class Scheduler(BaseScheduler): - def __init__(self,settings): + def __init__(self,settings,**kwargs): self.settings=dict(settings) + self.settings.update(kwargs) self.nodes=GenericNodeSpec(settings) self.rocoto_name='lsf' self.indent_text=str(settings.get('indent_text',' ')) @@ -25,7 +26,9 @@ def __init__(self,settings): # Generation of batch cards - def batch_accounting(self,spec): + def batch_accounting(self,spec,**kwargs): + if kwargs: + spec=dict(spec,**kwargs) space=self.indent_text sio=StringIO() if 'queue' in spec: @@ -34,11 +37,15 @@ def batch_accounting(self,spec): sio.write(f'#BSUB -P {spec["project"]!s}\n') if 'account' in spec: sio.write(f'#BSUB -P {spec["account"]!s}\n') + if 'jobname' in spec: + sio.write(f'#BSUB -J {spec["jobname"]!s}\n') ret=sio.getvalue() sio.close() return ret - def batch_resources(self,spec): + def batch_resources(self,spec,**kwargs): + if kwargs: + spec=dict(spec,**kwargs) space=self.indent_text sio=StringIO() if not isinstance(spec,JobResourceSpec): @@ -68,8 +75,6 @@ def batch_resources(self,spec): sio.write('#BSUB -o {spec[0]["stdout"]}\n') if spec[0].get('stderr',''): sio.write('#BSUB -e {spec[0]["stderr"]}\n') - if spec[0].get('jobname'): - sio.write('#BSUB -N {spec[0]["jobname"]}\n') # -------------------------------------------------------------- # With LSF+ALPS on WCOSS Cray, to my knowledge, you can only diff --git a/crow/sysenv/schedulers/MoabTorque.py b/crow/sysenv/schedulers/MoabTorque.py index 776c4ea..6d0e248 100644 --- a/crow/sysenv/schedulers/MoabTorque.py +++ b/crow/sysenv/schedulers/MoabTorque.py @@ -14,8 +14,9 @@ class Scheduler(BaseScheduler): - def __init__(self,settings): + def __init__(self,settings,**kwargs): self.settings=dict(settings) + self.settings.update(kwargs) self.nodes=GenericNodeSpec(settings) self.rocoto_name='MoabTorque' self.indent_text=str(settings.get('indent_text',' ')) @@ -24,7 +25,9 @@ def __init__(self,settings): # Batch card generation - def batch_accounting(self,spec): + def batch_accounting(self,spec,**kwargs): + if kwargs: + spec=dict(spec,**kwargs) space=self.indent_text sio=StringIO() if 'queue' in spec: @@ -39,7 +42,9 @@ def batch_accounting(self,spec): sio.close() return ret - def batch_resources(self,spec): + def batch_resources(self,spec,**kwargs): + if kwargs: + spec=dict(spec,**kwargs) space=self.indent_text sio=StringIO() if not isinstance(spec,JobResourceSpec): diff --git a/examples/ecflow/ecftest.yaml b/examples/ecflow/ecftest.yaml index 763ac78..6e44115 100644 --- a/examples/ecflow/ecftest.yaml +++ b/examples/ecflow/ecftest.yaml @@ -43,7 +43,7 @@ accounting: ecf_file_template: &ecf_file_template !expand | #! /bin/sh - {sched.batch_accounting(doc.accounting)}{sched.batch_resources(resources)} + {sched.batch_accounting(doc.accounting,jobname=jobname)}{sched.batch_resources(resources)} %include echo ${{JOBgfs}}/{J_JOB} %include @@ -69,6 +69,7 @@ suite: !Cycle resources: *sample_serial J_JOB: JGFS_TASK0 ecf_file: *ecf_file_template + jobname: !calc task_path_var family1: !Family ecflow_def: | @@ -84,6 +85,7 @@ suite: !Cycle ecf_file: *ecf_file_template J_JOB: JGFS_TASK11 resources: *sample_serial + jobname: !calc task_path_var # NOTE: in the below !DataEvent maps, the file= is required, but # is just a placeholder for Rocoto support. It is not used at @@ -97,6 +99,7 @@ suite: !Cycle resources: *sample_mpi J_JOB: JGFS_TASK12 Trigger: !Depend task11.some_event + jobname: !calc task_path_var family2: !Family Time: !timedelta +4:20:00 @@ -107,6 +110,7 @@ suite: !Cycle Trigger: !Depend up.family1.task12 resources: *sample_mpi_mpmd J_JOB: JGFS_TASK21 + jobname: !calc task_path_var family3: !Family task3: !Task @@ -114,6 +118,7 @@ suite: !Cycle resources: *sample_openmp Trigger: !Depend up.task21 J_JOB: JGFS_TASK3 + jobname: !calc task_path_var task22: !Task Time: !timedelta +5:00:00 @@ -121,6 +126,7 @@ suite: !Cycle resources: *sample_mpi_omp ecf_file: *ecf_file_template J_JOB: JGFS_TASK22 + jobname: !calc task_path_var task23: !Task Time: !timedelta +6:30:00 @@ -129,3 +135,4 @@ suite: !Cycle Trigger: !Depend task21 ecf_file: *ecf_file_template J_JOB: JGFS_TASK23 + jobname: !calc task_path_var diff --git a/examples/ecflow/family1/task11.ecf b/examples/ecflow/family1/task11.ecf index be48aea..23910f8 100644 --- a/examples/ecflow/family1/task11.ecf +++ b/examples/ecflow/family1/task11.ecf @@ -1,6 +1,7 @@ #! /bin/sh #BSUB -q debug #BSUB -P GFS-T2O +#BSUB -J family1.task11 #BSUB -W 0:05 #BSUB -R rusage[mem=2000] #BSUB -extsched CRAYLINUX[] diff --git a/examples/ecflow/family1/task12.ecf b/examples/ecflow/family1/task12.ecf index 3eac980..265d36b 100644 --- a/examples/ecflow/family1/task12.ecf +++ b/examples/ecflow/family1/task12.ecf @@ -1,6 +1,7 @@ #! /bin/sh #BSUB -q debug #BSUB -P GFS-T2O +#BSUB -J family1.task12 #BSUB -W 0:05 #BSUB -R rusage[mem=2000] #BSUB -extsched CRAYLINUX[] diff --git a/examples/ecflow/family2/family3/task3.ecf b/examples/ecflow/family2/family3/task3.ecf index 59ad94c..9d7a4f3 100644 --- a/examples/ecflow/family2/family3/task3.ecf +++ b/examples/ecflow/family2/family3/task3.ecf @@ -1,6 +1,7 @@ #! /bin/sh #BSUB -q debug #BSUB -P GFS-T2O +#BSUB -J family2.family3.task3 #BSUB -W 0:05 #BSUB -R rusage[mem=2000] #BSUB -extsched CRAYLINUX[] diff --git a/examples/ecflow/family2/task21.ecf b/examples/ecflow/family2/task21.ecf index dbe6c7a..ea76678 100644 --- a/examples/ecflow/family2/task21.ecf +++ b/examples/ecflow/family2/task21.ecf @@ -1,6 +1,7 @@ #! /bin/sh #BSUB -q debug #BSUB -P GFS-T2O +#BSUB -J family2.task21 #BSUB -W 0:05 #BSUB -R rusage[mem=2000] #BSUB -extsched CRAYLINUX[] diff --git a/examples/ecflow/family2/task22.ecf b/examples/ecflow/family2/task22.ecf index 8bbb44a..4f5079b 100644 --- a/examples/ecflow/family2/task22.ecf +++ b/examples/ecflow/family2/task22.ecf @@ -1,6 +1,7 @@ #! /bin/sh #BSUB -q debug #BSUB -P GFS-T2O +#BSUB -J family2.task22 #BSUB -W 0:05 #BSUB -R rusage[mem=2000] #BSUB -extsched CRAYLINUX[] diff --git a/examples/ecflow/family2/task23.ecf b/examples/ecflow/family2/task23.ecf index 65bdf72..b58a3f4 100644 --- a/examples/ecflow/family2/task23.ecf +++ b/examples/ecflow/family2/task23.ecf @@ -1,6 +1,7 @@ #! /bin/sh #BSUB -q debug #BSUB -P GFS-T2O +#BSUB -J family2.task23 #BSUB -W 0:05 #BSUB -R rusage[mem=2000] #BSUB -extsched CRAYLINUX[] diff --git a/examples/ecflow/task0.ecf b/examples/ecflow/task0.ecf index 4d2d312..e5895ec 100644 --- a/examples/ecflow/task0.ecf +++ b/examples/ecflow/task0.ecf @@ -1,6 +1,7 @@ #! /bin/sh #BSUB -q debug #BSUB -P GFS-T2O +#BSUB -J task0 #BSUB -W 0:05 #BSUB -R rusage[mem=2000] #BSUB -extsched CRAYLINUX[] From f887490a69177877f80f81dc85a7eb2fade7f834 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 31 Jan 2018 21:23:55 +0000 Subject: [PATCH 262/487] yet more fixes to ecflow stuff --- crow/sysenv/schedulers/LSFAlps.py | 7 +++++++ examples/ecflow/ecftest.yaml | 15 +++++++++++---- examples/ecflow/family1/task11.ecf | 3 ++- examples/ecflow/family1/task12.ecf | 3 ++- examples/ecflow/family2/family3/task3.ecf | 3 ++- examples/ecflow/family2/task21.ecf | 3 ++- examples/ecflow/family2/task22.ecf | 3 ++- examples/ecflow/family2/task23.ecf | 3 ++- examples/ecflow/prod00.def | 1 + examples/ecflow/prod06.def | 1 + examples/ecflow/prod12.def | 1 + examples/ecflow/prod18.def | 1 + examples/ecflow/task0.ecf | 3 ++- 13 files changed, 36 insertions(+), 11 deletions(-) diff --git a/crow/sysenv/schedulers/LSFAlps.py b/crow/sysenv/schedulers/LSFAlps.py index b02ceac..85c472d 100644 --- a/crow/sysenv/schedulers/LSFAlps.py +++ b/crow/sysenv/schedulers/LSFAlps.py @@ -39,6 +39,13 @@ def batch_accounting(self,spec,**kwargs): sio.write(f'#BSUB -P {spec["account"]!s}\n') if 'jobname' in spec: sio.write(f'#BSUB -J {spec["jobname"]!s}\n') + if 'outerr' in spec: + sio.write(f'#BSUB -o {spec["outerr"]}\n') + else: + if 'stdout' in spec: + sio.write('#BSUB -o {spec["stdout"]}\n') + if 'stderr' in spec: + sio.write('#BSUB -e {spec["stderr"]}\n') ret=sio.getvalue() sio.close() return ret diff --git a/examples/ecflow/ecftest.yaml b/examples/ecflow/ecftest.yaml index 6e44115..9d958d8 100644 --- a/examples/ecflow/ecftest.yaml +++ b/examples/ecflow/ecftest.yaml @@ -38,12 +38,13 @@ scheduler: !calc | doc.scheduler_settings) accounting: - queue: debug + queue: '%QUEUE%' project: GFS-T2O ecf_file_template: &ecf_file_template !expand | #! /bin/sh - {sched.batch_accounting(doc.accounting,jobname=jobname)}{sched.batch_resources(resources)} + {sched.batch_accounting(doc.accounting,jobname=jobname,outerr=logfile) + }{sched.batch_resources(resources)} %include echo ${{JOBgfs}}/{J_JOB} %include @@ -63,6 +64,7 @@ suite: !Cycle repeat day 1 edit ECF_TRIES '1' edit ECF_SOME_OTHER_VAR 'abcd' + edit QUEUE 'debug' task0: !Task Time: !timedelta +2:00:00 @@ -70,6 +72,7 @@ suite: !Cycle J_JOB: JGFS_TASK0 ecf_file: *ecf_file_template jobname: !calc task_path_var + logfile: !expand '/ptmp/%U/{jobname}' family1: !Family ecflow_def: | @@ -86,6 +89,7 @@ suite: !Cycle J_JOB: JGFS_TASK11 resources: *sample_serial jobname: !calc task_path_var + logfile: !expand '/ptmp/%U/{jobname}' # NOTE: in the below !DataEvent maps, the file= is required, but # is just a placeholder for Rocoto support. It is not used at @@ -100,6 +104,7 @@ suite: !Cycle J_JOB: JGFS_TASK12 Trigger: !Depend task11.some_event jobname: !calc task_path_var + logfile: !expand '/ptmp/%U/{jobname}' family2: !Family Time: !timedelta +4:20:00 @@ -111,6 +116,7 @@ suite: !Cycle resources: *sample_mpi_mpmd J_JOB: JGFS_TASK21 jobname: !calc task_path_var + logfile: !expand '/ptmp/%U/{jobname}' family3: !Family task3: !Task @@ -119,7 +125,7 @@ suite: !Cycle Trigger: !Depend up.task21 J_JOB: JGFS_TASK3 jobname: !calc task_path_var - + logfile: !expand '/ptmp/%U/{jobname}' task22: !Task Time: !timedelta +5:00:00 Trigger: !Depend task21 @@ -127,7 +133,7 @@ suite: !Cycle ecf_file: *ecf_file_template J_JOB: JGFS_TASK22 jobname: !calc task_path_var - + logfile: !expand '/ptmp/%U/{jobname}' task23: !Task Time: !timedelta +6:30:00 Complete: !Depend task22 @@ -136,3 +142,4 @@ suite: !Cycle ecf_file: *ecf_file_template J_JOB: JGFS_TASK23 jobname: !calc task_path_var + logfile: !expand '/ptmp/%U/{jobname}' diff --git a/examples/ecflow/family1/task11.ecf b/examples/ecflow/family1/task11.ecf index 23910f8..d3b6d91 100644 --- a/examples/ecflow/family1/task11.ecf +++ b/examples/ecflow/family1/task11.ecf @@ -1,7 +1,8 @@ #! /bin/sh -#BSUB -q debug +#BSUB -q %QUEUE% #BSUB -P GFS-T2O #BSUB -J family1.task11 +#BSUB -o /ptmp/%U/family1.task11 #BSUB -W 0:05 #BSUB -R rusage[mem=2000] #BSUB -extsched CRAYLINUX[] diff --git a/examples/ecflow/family1/task12.ecf b/examples/ecflow/family1/task12.ecf index 265d36b..8a35633 100644 --- a/examples/ecflow/family1/task12.ecf +++ b/examples/ecflow/family1/task12.ecf @@ -1,7 +1,8 @@ #! /bin/sh -#BSUB -q debug +#BSUB -q %QUEUE% #BSUB -P GFS-T2O #BSUB -J family1.task12 +#BSUB -o /ptmp/%U/family1.task12 #BSUB -W 0:05 #BSUB -R rusage[mem=2000] #BSUB -extsched CRAYLINUX[] diff --git a/examples/ecflow/family2/family3/task3.ecf b/examples/ecflow/family2/family3/task3.ecf index 9d7a4f3..bbae3b2 100644 --- a/examples/ecflow/family2/family3/task3.ecf +++ b/examples/ecflow/family2/family3/task3.ecf @@ -1,7 +1,8 @@ #! /bin/sh -#BSUB -q debug +#BSUB -q %QUEUE% #BSUB -P GFS-T2O #BSUB -J family2.family3.task3 +#BSUB -o /ptmp/%U/family2.family3.task3 #BSUB -W 0:05 #BSUB -R rusage[mem=2000] #BSUB -extsched CRAYLINUX[] diff --git a/examples/ecflow/family2/task21.ecf b/examples/ecflow/family2/task21.ecf index ea76678..bbca6d5 100644 --- a/examples/ecflow/family2/task21.ecf +++ b/examples/ecflow/family2/task21.ecf @@ -1,7 +1,8 @@ #! /bin/sh -#BSUB -q debug +#BSUB -q %QUEUE% #BSUB -P GFS-T2O #BSUB -J family2.task21 +#BSUB -o /ptmp/%U/family2.task21 #BSUB -W 0:05 #BSUB -R rusage[mem=2000] #BSUB -extsched CRAYLINUX[] diff --git a/examples/ecflow/family2/task22.ecf b/examples/ecflow/family2/task22.ecf index 4f5079b..79cbedc 100644 --- a/examples/ecflow/family2/task22.ecf +++ b/examples/ecflow/family2/task22.ecf @@ -1,7 +1,8 @@ #! /bin/sh -#BSUB -q debug +#BSUB -q %QUEUE% #BSUB -P GFS-T2O #BSUB -J family2.task22 +#BSUB -o /ptmp/%U/family2.task22 #BSUB -W 0:05 #BSUB -R rusage[mem=2000] #BSUB -extsched CRAYLINUX[] diff --git a/examples/ecflow/family2/task23.ecf b/examples/ecflow/family2/task23.ecf index b58a3f4..b325504 100644 --- a/examples/ecflow/family2/task23.ecf +++ b/examples/ecflow/family2/task23.ecf @@ -1,7 +1,8 @@ #! /bin/sh -#BSUB -q debug +#BSUB -q %QUEUE% #BSUB -P GFS-T2O #BSUB -J family2.task23 +#BSUB -o /ptmp/%U/family2.task23 #BSUB -W 0:05 #BSUB -R rusage[mem=2000] #BSUB -extsched CRAYLINUX[] diff --git a/examples/ecflow/prod00.def b/examples/ecflow/prod00.def index af77cd7..a1776fb 100644 --- a/examples/ecflow/prod00.def +++ b/examples/ecflow/prod00.def @@ -3,6 +3,7 @@ suite prod00 repeat day 1 edit ECF_TRIES '1' edit ECF_SOME_OTHER_VAR 'abcd' + edit QUEUE 'debug' task task0 time 02:00 endtask diff --git a/examples/ecflow/prod06.def b/examples/ecflow/prod06.def index 8c2a1bb..35922c4 100644 --- a/examples/ecflow/prod06.def +++ b/examples/ecflow/prod06.def @@ -4,6 +4,7 @@ suite prod06 repeat day 1 edit ECF_TRIES '1' edit ECF_SOME_OTHER_VAR 'abcd' + edit QUEUE 'debug' task task0 time 08:00 endtask diff --git a/examples/ecflow/prod12.def b/examples/ecflow/prod12.def index b5fb278..b615504 100644 --- a/examples/ecflow/prod12.def +++ b/examples/ecflow/prod12.def @@ -5,6 +5,7 @@ suite prod12 repeat day 1 edit ECF_TRIES '1' edit ECF_SOME_OTHER_VAR 'abcd' + edit QUEUE 'debug' task task0 time 14:00 endtask diff --git a/examples/ecflow/prod18.def b/examples/ecflow/prod18.def index 03ab14e..08bbf3a 100644 --- a/examples/ecflow/prod18.def +++ b/examples/ecflow/prod18.def @@ -6,6 +6,7 @@ suite prod18 repeat day 1 edit ECF_TRIES '1' edit ECF_SOME_OTHER_VAR 'abcd' + edit QUEUE 'debug' task task0 time 20:00 endtask diff --git a/examples/ecflow/task0.ecf b/examples/ecflow/task0.ecf index e5895ec..c99fec3 100644 --- a/examples/ecflow/task0.ecf +++ b/examples/ecflow/task0.ecf @@ -1,7 +1,8 @@ #! /bin/sh -#BSUB -q debug +#BSUB -q %QUEUE% #BSUB -P GFS-T2O #BSUB -J task0 +#BSUB -o /ptmp/%U/task0 #BSUB -W 0:05 #BSUB -R rusage[mem=2000] #BSUB -extsched CRAYLINUX[] From 10156a4d21fd70d73a1da9499771ed21e828bf97 Mon Sep 17 00:00:00 2001 From: wx20st Date: Thu, 1 Feb 2018 21:57:48 +0000 Subject: [PATCH 263/487] remove debug prints --- crow/config/tasks.py | 10 ++-------- crow/metascheduler/ecflow.py | 2 -- examples/ecflow/ecftest.py | 1 - 3 files changed, 2 insertions(+), 11 deletions(-) diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 0b629fa..dc477c5 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -142,12 +142,8 @@ def child_iter(self): if hasattr(rawval,'_as_dependency'): continue val=self[var] #print(f'Yield {type(val).__name__} for child {var}') - try: - if hasattr(val,'_is_suite_view'): - yield val - except RecursionError as re: - print(f'isinstance({type(val).__name__} {val!r},SuiteView): {re}') - raise + if hasattr(val,'_is_suite_view'): + yield val def walk_task_tree(self): """!Iterates over the entire tree of descendants below this @@ -357,8 +353,6 @@ def _as_dependency(self,globals,locals,path): result=as_dependency(result,path) return result except(SyntaxError,TypeError,KeyError,NameError,IndexError,AttributeError) as ke: - if 'up' in locals: - print(f'{locals["task_path_var"]} up => {locals["up"]["task_path_var"]}') raise DependError(f'!Depend {self}: {ke}') def as_dependency(obj,path=MISSING,state=COMPLETED): diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py index 05caee2..8f30d8e 100644 --- a/crow/metascheduler/ecflow.py +++ b/crow/metascheduler/ecflow.py @@ -242,7 +242,6 @@ def _make_task_ecf_files(self,ecf_files,ecf_file_set, ecf_file_set=task.get('ecf_file_set',ecf_file_set) ecf_file_path=ecf_file_path+[task.path[-1]] path_string='/'.join(ecf_file_path) - print(f'task@{task.task_path_var} ecf file set {ecf_file_set} file {path_string}') if path_string in ecf_files[ecf_file_set]: return # This ecf file is already generated ecf_files[ecf_file_set][path_string]=task.ecf_file @@ -251,7 +250,6 @@ def _make_family_ecf_files(self,ecf_files,ecf_file_set, ecf_file_path,family): ecf_file_set=family.get('ecf_file_set',ecf_file_set) ecf_file_path=ecf_file_path+[family.path[-1]] - print(f'family@{family.task_path_var} ecf file set {ecf_file_set} file {ecf_file_path}') for t in family.child_iter(): if t.is_task(): self._make_task_ecf_files( diff --git a/examples/ecflow/ecftest.py b/examples/ecflow/ecftest.py index 139ea54..8368357 100755 --- a/examples/ecflow/ecftest.py +++ b/examples/ecflow/ecftest.py @@ -7,7 +7,6 @@ conf=from_file('ecftest.yaml') suite=Suite(conf.suite) -print(f'Parent of suite.family2 is {suite.family2.up} = {suite.family2.up.path}') suite_defs, ecf_files = to_ecflow(suite) for defname in suite_defs: From 032d0fc0e531f862a4882568c528e6eb2f7ac987 Mon Sep 17 00:00:00 2001 From: wx20st Date: Thu, 1 Feb 2018 21:59:01 +0000 Subject: [PATCH 264/487] Modify ecftest.yaml so it will work without having to manually trigger each job. This removes the time directives and has the task11 call ecflow_client to set the events. You still have to manually execute the contents of family1 for one cycle to start the workflow. --- examples/ecflow/ecftest.yaml | 19 +++++++++++++------ examples/ecflow/family1/task11.ecf | 2 ++ examples/ecflow/prod00.def | 5 ----- examples/ecflow/prod06.def | 5 ----- examples/ecflow/prod12.def | 5 ----- examples/ecflow/prod18.def | 5 ----- 6 files changed, 15 insertions(+), 26 deletions(-) diff --git a/examples/ecflow/ecftest.yaml b/examples/ecflow/ecftest.yaml index 6e44115..207c81e 100644 --- a/examples/ecflow/ecftest.yaml +++ b/examples/ecflow/ecftest.yaml @@ -65,7 +65,7 @@ suite: !Cycle edit ECF_SOME_OTHER_VAR 'abcd' task0: !Task - Time: !timedelta +2:00:00 + # Time: !timedelta +2:00:00 resources: *sample_serial J_JOB: JGFS_TASK0 ecf_file: *ecf_file_template @@ -76,13 +76,20 @@ suite: !Cycle edit SOMEVAR '1' edit ANOTHERVAR '2' Trigger: !Depend "up.family2.at('-6:00:00').task21 & task0" - Time: !timedelta +3:00:00 + # Time: !timedelta +3:00:00 task11: !Task ecflow_def: | edit WHATEVER '333' event manually_written_event - ecf_file: *ecf_file_template + ecf_file: !expand | + #! /bin/sh + {sched.batch_accounting(doc.accounting,jobname=jobname)}{sched.batch_resources(resources)} + %include + echo ${{JOBgfs}}/{J_JOB} + ecflow_client --event=some_event + ecflow_client --event=another_event + %include J_JOB: JGFS_TASK11 resources: *sample_serial jobname: !calc task_path_var @@ -102,7 +109,7 @@ suite: !Cycle jobname: !calc task_path_var family2: !Family - Time: !timedelta +4:20:00 + # Time: !timedelta +4:20:00 Trigger: !Depend family1.task11.another_event task21: !Task @@ -121,7 +128,7 @@ suite: !Cycle jobname: !calc task_path_var task22: !Task - Time: !timedelta +5:00:00 + # Time: !timedelta +5:00:00 Trigger: !Depend task21 resources: *sample_mpi_omp ecf_file: *ecf_file_template @@ -129,7 +136,7 @@ suite: !Cycle jobname: !calc task_path_var task23: !Task - Time: !timedelta +6:30:00 + # Time: !timedelta +6:30:00 Complete: !Depend task22 resources: *sample_serial Trigger: !Depend task21 diff --git a/examples/ecflow/family1/task11.ecf b/examples/ecflow/family1/task11.ecf index 23910f8..6aee708 100644 --- a/examples/ecflow/family1/task11.ecf +++ b/examples/ecflow/family1/task11.ecf @@ -8,4 +8,6 @@ export NODES=1 %include echo ${JOBgfs}/JGFS_TASK11 +ecflow_client --event=some_event +ecflow_client --event=another_event %include diff --git a/examples/ecflow/prod00.def b/examples/ecflow/prod00.def index af77cd7..203e64e 100644 --- a/examples/ecflow/prod00.def +++ b/examples/ecflow/prod00.def @@ -4,13 +4,11 @@ suite prod00 edit ECF_TRIES '1' edit ECF_SOME_OTHER_VAR 'abcd' task task0 - time 02:00 endtask family family1 edit SOMEVAR '1' edit ANOTHERVAR '2' trigger /prod18/family2/task21 == complete and ./task0 == complete - time 03:00 task task11 event 1 some_event event 2 another_event @@ -23,7 +21,6 @@ suite prod00 endfamily family family2 trigger ./family1/task11:another_event - time 04:20 task task21 trigger ../family1/task12 == complete endtask @@ -34,12 +31,10 @@ suite prod00 endfamily task task22 trigger ./task21 == complete - time 05:00 endtask task task23 trigger ./task21 == complete complete ./task22 == complete - time 06:30 endtask endfamily endsuite diff --git a/examples/ecflow/prod06.def b/examples/ecflow/prod06.def index 8c2a1bb..2caa3bd 100644 --- a/examples/ecflow/prod06.def +++ b/examples/ecflow/prod06.def @@ -5,13 +5,11 @@ suite prod06 edit ECF_TRIES '1' edit ECF_SOME_OTHER_VAR 'abcd' task task0 - time 08:00 endtask family family1 edit SOMEVAR '1' edit ANOTHERVAR '2' trigger /prod00/family2/task21 == complete and ./task0 == complete - time 09:00 task task11 event 1 some_event event 2 another_event @@ -24,7 +22,6 @@ suite prod06 endfamily family family2 trigger ./family1/task11:another_event - time 10:20 task task21 trigger ../family1/task12 == complete endtask @@ -35,12 +32,10 @@ suite prod06 endfamily task task22 trigger ./task21 == complete - time 11:00 endtask task task23 trigger ./task21 == complete complete ./task22 == complete - time 12:30 endtask endfamily endsuite diff --git a/examples/ecflow/prod12.def b/examples/ecflow/prod12.def index b5fb278..67fc5cc 100644 --- a/examples/ecflow/prod12.def +++ b/examples/ecflow/prod12.def @@ -6,13 +6,11 @@ suite prod12 edit ECF_TRIES '1' edit ECF_SOME_OTHER_VAR 'abcd' task task0 - time 14:00 endtask family family1 edit SOMEVAR '1' edit ANOTHERVAR '2' trigger /prod06/family2/task21 == complete and ./task0 == complete - time 15:00 task task11 event 1 some_event event 2 another_event @@ -25,7 +23,6 @@ suite prod12 endfamily family family2 trigger ./family1/task11:another_event - time 16:20 task task21 trigger ../family1/task12 == complete endtask @@ -36,12 +33,10 @@ suite prod12 endfamily task task22 trigger ./task21 == complete - time 17:00 endtask task task23 trigger ./task21 == complete complete ./task22 == complete - time 18:30 endtask endfamily endsuite diff --git a/examples/ecflow/prod18.def b/examples/ecflow/prod18.def index 03ab14e..a462f18 100644 --- a/examples/ecflow/prod18.def +++ b/examples/ecflow/prod18.def @@ -7,13 +7,11 @@ suite prod18 edit ECF_TRIES '1' edit ECF_SOME_OTHER_VAR 'abcd' task task0 - time 20:00 endtask family family1 edit SOMEVAR '1' edit ANOTHERVAR '2' trigger /prod12/family2/task21 == complete and ./task0 == complete - time 21:00 task task11 event 1 some_event event 2 another_event @@ -26,7 +24,6 @@ suite prod18 endfamily family family2 trigger ./family1/task11:another_event - time 22:20 task task21 trigger ../family1/task12 == complete endtask @@ -37,12 +34,10 @@ suite prod18 endfamily task task22 trigger ./task21 == complete - time 23:00 endtask task task23 trigger ./task21 == complete complete ./task22 == complete - time 00:30 endtask endfamily endsuite From 0ce03097caf6bc47baac0e4569dfba2e08bbdda3 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 2 Feb 2018 18:28:48 +0000 Subject: [PATCH 265/487] add a directory with the cycled workflow --- model/ecflow_fv3gfs/doit.py | 34 +++ model/ecflow_fv3gfs/suite_def.yaml | 427 +++++++++++++++++++++++++++++ 2 files changed, 461 insertions(+) create mode 100755 model/ecflow_fv3gfs/doit.py create mode 100644 model/ecflow_fv3gfs/suite_def.yaml diff --git a/model/ecflow_fv3gfs/doit.py b/model/ecflow_fv3gfs/doit.py new file mode 100755 index 0000000..3bda412 --- /dev/null +++ b/model/ecflow_fv3gfs/doit.py @@ -0,0 +1,34 @@ +#! /usr/bin/env python3 +f'This script requires Python 3.6 or newer.' + +import os +from crow.metascheduler import to_ecflow +from crow.config import from_file, Suite + +conf=from_file('suite_def.yaml') +suite=Suite(conf.suite) +suite_defs, ecf_files = to_ecflow(suite) + +for defname in suite_defs: + #print(f'=== contents of suite def {defname}\n{suite_defs[defname]}') + filename=defname + print(filename) + dirname=os.path.dirname(filename) + if dirname and not os.path.exists(dirname): + os.makedirs(os.path.dirname(filename)) + with open(filename,'wt') as fd: + fd.write(suite_defs[defname]) + +for setname in ecf_files: + print(f'ecf file set {setname}:\n') + for filename in ecf_files[setname]: + print(f' file {filename}') + dirname=os.path.dirname(filename) + if dirname and not os.path.exists(dirname): + os.makedirs(os.path.dirname(filename)) + with open(filename+".ecf",'wt') as fd: + fd.write(ecf_files[setname][filename]) + + #for line in ecf_files[setname][filename].splitlines(): + #print(f' {line.rstrip()}') + diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml new file mode 100644 index 0000000..8edd0aa --- /dev/null +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -0,0 +1,427 @@ +cycled_workflow: !Cycle + Rocoto: *Rocoto + + Clock: *clock + + gdas: !Family + prep: !Task + Perform: *prep_gdas_action + Rocoto: *task_template + Complete: !Depend ~ suite.has_cycle('-6:00:00') + Trigger: !Depend up.gdas.post.at('-6:00:00') + + enkf: !Family + eobs: !Task + Perform: *eobs_action + Rocoto: *task_template + Complete: !Depend ~ suite.has_cycle('-6:00:00') + Trigger: !Depend ( up.prep & epos.at('-6:00:00') ) + + eomg: !Family + Trigger: !Depend eobs + Complete: !Depend ~ suite.has_cycle('-6:00:00') + grp1: !Task + Perform: + <<: *eomg_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 1 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + + grp2: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==20 + do: !Task + Perform: + <<: *eomg_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 2 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp3: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==30 + do: !Task + Perform: + <<: *eomg_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 3 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp4: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==40 + do: !Task + Perform: + <<: *eomg_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 4 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp5: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==50 + do: !Task + Perform: + <<: *eomg_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 5 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp6: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==60 + do: !Task + Perform: + <<: *eomg_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 6 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp7: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==70 + do: !Task + Perform: + <<: *eomg_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 7 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp8: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==80 + do: !Task + Perform: + <<: *eomg_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 8 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + eupd: !Task + Perform: *eupd_action + Rocoto: *task_template + Complete: !Depend ~ suite.has_cycle('-6:00:00') + Trigger: !Depend eomg + + ecen: !Task + Perform: *ecen_action + Rocoto: *task_template + Complete: !Depend ~ suite.has_cycle('-6:00:00') + Trigger: !Depend ( eupd & up.anal ) + +# efcs: !TaskArray +# Trigger: !Depend ecen +# Indices: +# GROUP_NUMBER_INDEX: [ 1, 2, 3, 4, 5, 6, 7, 8 ] +# OTHER_INDEX: [ a, b, c, d ] +# Names: +# grp: !expand grp{indices.GROUP_NUMBER_INDEX:%d}_{indices.OTHER_INDEX} +# other: !expand other{indices.OTHER_INDEX}_{indices.GROUP_NUMBER_INDEX} +# Contents: +# other: !Task +# ... +# grp: !Task +# Perform: +# <<: *efcs_action +# NMEM_ENKF: *NMEM_ENKF +# NMEM_ENKF_GRP_EFMN: *NMEM_ENKF_GRP_EFMN +# GROUP_NUMBER: !calc indices.GROUP_NUMBER_INDEX # Convert to ENSGRP %02d +# task_template: *task_template +# ens_more: *ens_task_template +# Rocoto: !expand "{task_template}{ens_more}" + + efcs: !Family + Trigger: !Depend ( ecen | ~ suite.has_cycle('-6:00:00') ) + grp1: !Task + Perform: + <<: *efcs_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN + GROUP_NUMBER: 1 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *efcs_task_template + Rocoto: !expand "{task_template}{ens_more}" + + grp2: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==20 + do: !Task + Perform: + <<: *efcs_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN + GROUP_NUMBER: 2 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *efcs_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp3: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==30 + do: !Task + Perform: + <<: *efcs_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN + GROUP_NUMBER: 3 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *efcs_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp4: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==40 + do: !Task + Perform: + <<: *efcs_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN + GROUP_NUMBER: 4 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *efcs_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp5: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==50 + do: !Task + Perform: + <<: *efcs_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN + GROUP_NUMBER: 5 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *efcs_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp6: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==60 + do: !Task + Perform: + <<: *efcs_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN + GROUP_NUMBER: 6 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *efcs_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp7: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==70 + do: !Task + Perform: + <<: *efcs_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN + GROUP_NUMBER: 7 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *efcs_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp8: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==80 + do: !Task + Perform: + <<: *efcs_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN + GROUP_NUMBER: 8 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *efcs_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + epos: !Task + Perform: *epos_action + Rocoto: *task_template + Trigger: !Depend efcs + + anal: !Task + Perform: *anal_gdas_action + Rocoto: *task_template + Complete: !Depend ~ suite.has_cycle('-6:00:00') + Trigger: !Depend ( prep & enkf.epos.at('-6:00:00') ) + + fcst: !Task + Perform: *fcst_gdas_action + Rocoto: *task_template + Trigger: !Depend ( anal | ~ suite.has_cycle('-6:00:00') ) + + post: !Task + Perform: *post_gdas_action + Rocoto: *task_template + Trigger: !Depend fcst + + vrfy: !Task + Perform: *vrfy_gdas_action + Rocoto: *task_template + Trigger: !Depend post + + gfs: !Family + Complete: !Depend ~ suite.has_cycle('-6:00:00') + prep: !Task + Perform: *prep_gfs_action + Rocoto: *task_template + Trigger: !Depend up.gdas.post.at('-6:00:00') + + anal: !Task + Perform: *anal_gfs_action + Rocoto: *task_template + Trigger: !Depend ( prep & up.gdas.enkf.epos.at('-6:00:00') ) + + fcst: !Task + Perform: *fcst_gfs_action + Rocoto: *task_template + Trigger: !Depend anal + + post: !Task + Perform: *post_gfs_action + Rocoto: *task_template + Trigger: !Depend fcst + + vrfy: !Task + Perform: *vrfy_gfs_action + Rocoto: *task_template + Trigger: !Depend post + + archive: !Family + gdasarch: !Task + Perform: + <<: *arch_gdas_action + CDUMP: gdas + Rocoto: *task_template + Trigger: !Depend up.gdas.vrfy + + gfsarch: !Task + Perform: + <<: *arch_gfs_action + CDUMP: gfs + Rocoto: *task_template + Complete: !Depend ~ suite.has_cycle('-6:00:00') + Trigger: !Depend up.gfs.vrfy + + earc: !Family + Trigger: !Depend up.gdas.enkf.epos + grp1: !Task + Perform: + <<: *earc_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 1 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + grp2: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==20 + do: !Task + Perform: + <<: *earc_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 2 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + grp3: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==30 + do: !Task + Perform: + <<: *earc_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 3 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + grp4: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==40 + do: !Task + Perform: + <<: *earc_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 4 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + grp5: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==50 + do: !Task + Perform: + <<: *earc_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 5 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + grp6: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==60 + do: !Task + Perform: + <<: *earc_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 6 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + grp7: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==70 + do: !Task + Perform: + <<: *earc_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 7 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + grp8: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==80 + do: !Task + Perform: + <<: *earc_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 8 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + final: !Task + Perform: *final_action + Rocoto: *task_template From 8c61e4577cc1882566c0656f7cd080ffed048cac Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 2 Feb 2018 18:48:39 +0000 Subject: [PATCH 266/487] suite definition for november version of fv3 gfs --- model/ecflow_fv3gfs/archive/earc/grp1.ecf | 12 + model/ecflow_fv3gfs/archive/earc/grp8.ecf | 12 + model/ecflow_fv3gfs/archive/gdasarch.ecf | 12 + model/ecflow_fv3gfs/archive/gfsarch.ecf | 12 + model/ecflow_fv3gfs/final.ecf | 12 + model/ecflow_fv3gfs/gdas/anal.ecf | 12 + model/ecflow_fv3gfs/gdas/enkf/ecen.ecf | 12 + model/ecflow_fv3gfs/gdas/enkf/efcs/grp1.ecf | 12 + model/ecflow_fv3gfs/gdas/enkf/efcs/grp8.ecf | 12 + model/ecflow_fv3gfs/gdas/enkf/eobs.ecf | 12 + model/ecflow_fv3gfs/gdas/enkf/eomg/grp1.ecf | 12 + model/ecflow_fv3gfs/gdas/enkf/eomg/grp8.ecf | 12 + model/ecflow_fv3gfs/gdas/enkf/epos.ecf | 12 + model/ecflow_fv3gfs/gdas/enkf/eupd.ecf | 12 + model/ecflow_fv3gfs/gdas/fcst.ecf | 12 + model/ecflow_fv3gfs/gdas/post.ecf | 12 + model/ecflow_fv3gfs/gdas/prep.ecf | 12 + model/ecflow_fv3gfs/gdas/vrfy.ecf | 12 + model/ecflow_fv3gfs/gfs/anal.ecf | 12 + model/ecflow_fv3gfs/gfs/fcst.ecf | 12 + model/ecflow_fv3gfs/gfs/post.ecf | 12 + model/ecflow_fv3gfs/gfs/prep.ecf | 12 + model/ecflow_fv3gfs/gfs/vrfy.ecf | 12 + model/ecflow_fv3gfs/prod00.def | 91 ++++ model/ecflow_fv3gfs/prod06.def | 93 ++++ model/ecflow_fv3gfs/prod12.def | 95 +++++ model/ecflow_fv3gfs/prod18.def | 97 +++++ model/ecflow_fv3gfs/suite_def.yaml | 451 +++++++++----------- 28 files changed, 846 insertions(+), 257 deletions(-) create mode 100644 model/ecflow_fv3gfs/archive/earc/grp1.ecf create mode 100644 model/ecflow_fv3gfs/archive/earc/grp8.ecf create mode 100644 model/ecflow_fv3gfs/archive/gdasarch.ecf create mode 100644 model/ecflow_fv3gfs/archive/gfsarch.ecf create mode 100644 model/ecflow_fv3gfs/final.ecf create mode 100644 model/ecflow_fv3gfs/gdas/anal.ecf create mode 100644 model/ecflow_fv3gfs/gdas/enkf/ecen.ecf create mode 100644 model/ecflow_fv3gfs/gdas/enkf/efcs/grp1.ecf create mode 100644 model/ecflow_fv3gfs/gdas/enkf/efcs/grp8.ecf create mode 100644 model/ecflow_fv3gfs/gdas/enkf/eobs.ecf create mode 100644 model/ecflow_fv3gfs/gdas/enkf/eomg/grp1.ecf create mode 100644 model/ecflow_fv3gfs/gdas/enkf/eomg/grp8.ecf create mode 100644 model/ecflow_fv3gfs/gdas/enkf/epos.ecf create mode 100644 model/ecflow_fv3gfs/gdas/enkf/eupd.ecf create mode 100644 model/ecflow_fv3gfs/gdas/fcst.ecf create mode 100644 model/ecflow_fv3gfs/gdas/post.ecf create mode 100644 model/ecflow_fv3gfs/gdas/prep.ecf create mode 100644 model/ecflow_fv3gfs/gdas/vrfy.ecf create mode 100644 model/ecflow_fv3gfs/gfs/anal.ecf create mode 100644 model/ecflow_fv3gfs/gfs/fcst.ecf create mode 100644 model/ecflow_fv3gfs/gfs/post.ecf create mode 100644 model/ecflow_fv3gfs/gfs/prep.ecf create mode 100644 model/ecflow_fv3gfs/gfs/vrfy.ecf create mode 100644 model/ecflow_fv3gfs/prod00.def create mode 100644 model/ecflow_fv3gfs/prod06.def create mode 100644 model/ecflow_fv3gfs/prod12.def create mode 100644 model/ecflow_fv3gfs/prod18.def diff --git a/model/ecflow_fv3gfs/archive/earc/grp1.ecf b/model/ecflow_fv3gfs/archive/earc/grp1.ecf new file mode 100644 index 0000000..d9704b9 --- /dev/null +++ b/model/ecflow_fv3gfs/archive/earc/grp1.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J archive.earc.grp1 +#BSUB -o %LOG%archive.earc.grp1.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=2000] +#BSUB -n 0 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/archive/earc/grp8.ecf b/model/ecflow_fv3gfs/archive/earc/grp8.ecf new file mode 100644 index 0000000..dc9d1b5 --- /dev/null +++ b/model/ecflow_fv3gfs/archive/earc/grp8.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J archive.earc.grp8 +#BSUB -o %LOG%archive.earc.grp8.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=2000] +#BSUB -n 0 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/archive/gdasarch.ecf b/model/ecflow_fv3gfs/archive/gdasarch.ecf new file mode 100644 index 0000000..9c31d2e --- /dev/null +++ b/model/ecflow_fv3gfs/archive/gdasarch.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J archive.gdasarch +#BSUB -o %LOG%archive.gdasarch.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=2000] +#BSUB -n 0 + +%include +echo ${JOBgfs}/gdasarch +%include diff --git a/model/ecflow_fv3gfs/archive/gfsarch.ecf b/model/ecflow_fv3gfs/archive/gfsarch.ecf new file mode 100644 index 0000000..c85e400 --- /dev/null +++ b/model/ecflow_fv3gfs/archive/gfsarch.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J archive.gfsarch +#BSUB -o %LOG%archive.gfsarch.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=2000] +#BSUB -n 0 + +%include +echo ${JOBgfs}/gfsarch +%include diff --git a/model/ecflow_fv3gfs/final.ecf b/model/ecflow_fv3gfs/final.ecf new file mode 100644 index 0000000..59f969f --- /dev/null +++ b/model/ecflow_fv3gfs/final.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J final +#BSUB -o %LOG%final.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=2000] +#BSUB -n 0 + +%include +echo ${JOBgfs}/final +%include diff --git a/model/ecflow_fv3gfs/gdas/anal.ecf b/model/ecflow_fv3gfs/gdas/anal.ecf new file mode 100644 index 0000000..a399d7d --- /dev/null +++ b/model/ecflow_fv3gfs/gdas/anal.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J gdas.anal +#BSUB -o %LOG%gdas.anal.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=2000] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/anal +%include diff --git a/model/ecflow_fv3gfs/gdas/enkf/ecen.ecf b/model/ecflow_fv3gfs/gdas/enkf/ecen.ecf new file mode 100644 index 0000000..300203c --- /dev/null +++ b/model/ecflow_fv3gfs/gdas/enkf/ecen.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J gdas.enkf.ecen +#BSUB -o %LOG%gdas.enkf.ecen.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=2000] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/ecen +%include diff --git a/model/ecflow_fv3gfs/gdas/enkf/efcs/grp1.ecf b/model/ecflow_fv3gfs/gdas/enkf/efcs/grp1.ecf new file mode 100644 index 0000000..cca4d74 --- /dev/null +++ b/model/ecflow_fv3gfs/gdas/enkf/efcs/grp1.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J gdas.enkf.efcs.grp1 +#BSUB -o %LOG%gdas.enkf.efcs.grp1.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=2000] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/gdas/enkf/efcs/grp8.ecf b/model/ecflow_fv3gfs/gdas/enkf/efcs/grp8.ecf new file mode 100644 index 0000000..2b1578a --- /dev/null +++ b/model/ecflow_fv3gfs/gdas/enkf/efcs/grp8.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J gdas.enkf.efcs.grp8 +#BSUB -o %LOG%gdas.enkf.efcs.grp8.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=2000] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/gdas/enkf/eobs.ecf b/model/ecflow_fv3gfs/gdas/enkf/eobs.ecf new file mode 100644 index 0000000..e60a4e9 --- /dev/null +++ b/model/ecflow_fv3gfs/gdas/enkf/eobs.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J gdas.enkf.eobs +#BSUB -o %LOG%gdas.enkf.eobs.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=2000] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eobs +%include diff --git a/model/ecflow_fv3gfs/gdas/enkf/eomg/grp1.ecf b/model/ecflow_fv3gfs/gdas/enkf/eomg/grp1.ecf new file mode 100644 index 0000000..a97bfe0 --- /dev/null +++ b/model/ecflow_fv3gfs/gdas/enkf/eomg/grp1.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J gdas.enkf.eomg.grp1 +#BSUB -o %LOG%gdas.enkf.eomg.grp1.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=2000] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/gdas/enkf/eomg/grp8.ecf b/model/ecflow_fv3gfs/gdas/enkf/eomg/grp8.ecf new file mode 100644 index 0000000..89f67fe --- /dev/null +++ b/model/ecflow_fv3gfs/gdas/enkf/eomg/grp8.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J gdas.enkf.eomg.grp8 +#BSUB -o %LOG%gdas.enkf.eomg.grp8.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=2000] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/gdas/enkf/epos.ecf b/model/ecflow_fv3gfs/gdas/enkf/epos.ecf new file mode 100644 index 0000000..866a2ca --- /dev/null +++ b/model/ecflow_fv3gfs/gdas/enkf/epos.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J gdas.enkf.epos +#BSUB -o %LOG%gdas.enkf.epos.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=2000] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/epos +%include diff --git a/model/ecflow_fv3gfs/gdas/enkf/eupd.ecf b/model/ecflow_fv3gfs/gdas/enkf/eupd.ecf new file mode 100644 index 0000000..7070aeb --- /dev/null +++ b/model/ecflow_fv3gfs/gdas/enkf/eupd.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J gdas.enkf.eupd +#BSUB -o %LOG%gdas.enkf.eupd.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=2000] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eupd +%include diff --git a/model/ecflow_fv3gfs/gdas/fcst.ecf b/model/ecflow_fv3gfs/gdas/fcst.ecf new file mode 100644 index 0000000..261d250 --- /dev/null +++ b/model/ecflow_fv3gfs/gdas/fcst.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J gdas.fcst +#BSUB -o %LOG%gdas.fcst.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=2000] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/fcst +%include diff --git a/model/ecflow_fv3gfs/gdas/post.ecf b/model/ecflow_fv3gfs/gdas/post.ecf new file mode 100644 index 0000000..24610e2 --- /dev/null +++ b/model/ecflow_fv3gfs/gdas/post.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J gdas.post +#BSUB -o %LOG%gdas.post.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=2000] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/post +%include diff --git a/model/ecflow_fv3gfs/gdas/prep.ecf b/model/ecflow_fv3gfs/gdas/prep.ecf new file mode 100644 index 0000000..70c55d9 --- /dev/null +++ b/model/ecflow_fv3gfs/gdas/prep.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J gdas.prep +#BSUB -o %LOG%gdas.prep.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=2000] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/prep +%include diff --git a/model/ecflow_fv3gfs/gdas/vrfy.ecf b/model/ecflow_fv3gfs/gdas/vrfy.ecf new file mode 100644 index 0000000..a48afcc --- /dev/null +++ b/model/ecflow_fv3gfs/gdas/vrfy.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J gdas.vrfy +#BSUB -o %LOG%gdas.vrfy.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=2000] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/vrfy +%include diff --git a/model/ecflow_fv3gfs/gfs/anal.ecf b/model/ecflow_fv3gfs/gfs/anal.ecf new file mode 100644 index 0000000..c90cd69 --- /dev/null +++ b/model/ecflow_fv3gfs/gfs/anal.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J gfs.anal +#BSUB -o %LOG%gfs.anal.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=2000] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/anal +%include diff --git a/model/ecflow_fv3gfs/gfs/fcst.ecf b/model/ecflow_fv3gfs/gfs/fcst.ecf new file mode 100644 index 0000000..3184d08 --- /dev/null +++ b/model/ecflow_fv3gfs/gfs/fcst.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J gfs.fcst +#BSUB -o %LOG%gfs.fcst.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=2000] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/fcst +%include diff --git a/model/ecflow_fv3gfs/gfs/post.ecf b/model/ecflow_fv3gfs/gfs/post.ecf new file mode 100644 index 0000000..8306a67 --- /dev/null +++ b/model/ecflow_fv3gfs/gfs/post.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J gfs.post +#BSUB -o %LOG%gfs.post.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=2000] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/post +%include diff --git a/model/ecflow_fv3gfs/gfs/prep.ecf b/model/ecflow_fv3gfs/gfs/prep.ecf new file mode 100644 index 0000000..df0623e --- /dev/null +++ b/model/ecflow_fv3gfs/gfs/prep.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J gfs.prep +#BSUB -o %LOG%gfs.prep.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=2000] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/prep +%include diff --git a/model/ecflow_fv3gfs/gfs/vrfy.ecf b/model/ecflow_fv3gfs/gfs/vrfy.ecf new file mode 100644 index 0000000..fd1468e --- /dev/null +++ b/model/ecflow_fv3gfs/gfs/vrfy.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J gfs.vrfy +#BSUB -o %LOG%gfs.vrfy.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=2000] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/vrfy +%include diff --git a/model/ecflow_fv3gfs/prod00.def b/model/ecflow_fv3gfs/prod00.def new file mode 100644 index 0000000..fd5a3f9 --- /dev/null +++ b/model/ecflow_fv3gfs/prod00.def @@ -0,0 +1,91 @@ +extern /prod18/gdas/post +extern /prod18/gdas/enkf/epos +suite prod00 + family gdas + task prep + trigger /prod18/gdas/post == complete + complete + endtask + family enkf + task eobs + trigger ../prep == complete and /prod18/gdas/enkf/epos == complete + complete + endtask + family eomg + trigger ./eobs == complete + complete + task grp1 + endtask + task grp8 + endtask + endfamily + task eupd + trigger ./eomg == complete + complete + endtask + task ecen + trigger ./eupd == complete and ../anal == complete + complete + endtask + family efcs + trigger ./ecen == complete + task grp1 + endtask + task grp8 + endtask + endfamily + task epos + trigger ./efcs == complete + endtask + endfamily + task anal + trigger ./prep == complete and /prod18/gdas/enkf/epos == complete + complete + endtask + task fcst + trigger ./anal == complete + endtask + task post + trigger ./fcst == complete + endtask + task vrfy + trigger ./post == complete + endtask + endfamily + family gfs + complete + task prep + trigger /prod18/gdas/post == complete + endtask + task anal + trigger ./prep == complete and /prod18/gdas/enkf/epos == complete + endtask + task fcst + trigger ./anal == complete + endtask + task post + trigger ./fcst == complete + endtask + task vrfy + trigger ./post == complete + endtask + endfamily + family archive + task gdasarch + trigger ../gdas/vrfy == complete + endtask + task gfsarch + trigger ../gfs/vrfy == complete + complete + endtask + family earc + trigger ../gdas/enkf/epos == complete + task grp1 + endtask + task grp8 + endtask + endfamily + endfamily + task final + endtask +endsuite diff --git a/model/ecflow_fv3gfs/prod06.def b/model/ecflow_fv3gfs/prod06.def new file mode 100644 index 0000000..5add088 --- /dev/null +++ b/model/ecflow_fv3gfs/prod06.def @@ -0,0 +1,93 @@ +extern /prod18/gdas/post +extern /prod18/gdas/enkf/epos +extern /prod00/gdas/post +extern /prod00/gdas/enkf/epos +suite prod06 + family gdas + task prep + trigger /prod00/gdas/post == complete + complete + endtask + family enkf + task eobs + trigger ../prep == complete and /prod00/gdas/enkf/epos == complete + complete + endtask + family eomg + trigger ./eobs == complete + complete + task grp1 + endtask + task grp8 + endtask + endfamily + task eupd + trigger ./eomg == complete + complete + endtask + task ecen + trigger ./eupd == complete and ../anal == complete + complete + endtask + family efcs + trigger ./ecen == complete + task grp1 + endtask + task grp8 + endtask + endfamily + task epos + trigger ./efcs == complete + endtask + endfamily + task anal + trigger ./prep == complete and /prod00/gdas/enkf/epos == complete + complete + endtask + task fcst + trigger ./anal == complete + endtask + task post + trigger ./fcst == complete + endtask + task vrfy + trigger ./post == complete + endtask + endfamily + family gfs + complete + task prep + trigger /prod00/gdas/post == complete + endtask + task anal + trigger ./prep == complete and /prod00/gdas/enkf/epos == complete + endtask + task fcst + trigger ./anal == complete + endtask + task post + trigger ./fcst == complete + endtask + task vrfy + trigger ./post == complete + endtask + endfamily + family archive + task gdasarch + trigger ../gdas/vrfy == complete + endtask + task gfsarch + trigger ../gfs/vrfy == complete + complete + endtask + family earc + trigger ../gdas/enkf/epos == complete + task grp1 + endtask + task grp8 + endtask + endfamily + endfamily + task final + endtask +endsuite diff --git a/model/ecflow_fv3gfs/prod12.def b/model/ecflow_fv3gfs/prod12.def new file mode 100644 index 0000000..f389334 --- /dev/null +++ b/model/ecflow_fv3gfs/prod12.def @@ -0,0 +1,95 @@ +extern /prod18/gdas/post +extern /prod18/gdas/enkf/epos +extern /prod00/gdas/post +extern /prod00/gdas/enkf/epos +extern /prod06/gdas/post +extern /prod06/gdas/enkf/epos +suite prod12 + family gdas + task prep + trigger /prod06/gdas/post == complete + complete + endtask + family enkf + task eobs + trigger ../prep == complete and /prod06/gdas/enkf/epos == complete + complete + endtask + family eomg + trigger ./eobs == complete + complete + task grp1 + endtask + task grp8 + endtask + endfamily + task eupd + trigger ./eomg == complete + complete + endtask + task ecen + trigger ./eupd == complete and ../anal == complete + complete + endtask + family efcs + trigger ./ecen == complete + task grp1 + endtask + task grp8 + endtask + endfamily + task epos + trigger ./efcs == complete + endtask + endfamily + task anal + trigger ./prep == complete and /prod06/gdas/enkf/epos == complete + complete + endtask + task fcst + trigger ./anal == complete + endtask + task post + trigger ./fcst == complete + endtask + task vrfy + trigger ./post == complete + endtask + endfamily + family gfs + complete + task prep + trigger /prod06/gdas/post == complete + endtask + task anal + trigger ./prep == complete and /prod06/gdas/enkf/epos == complete + endtask + task fcst + trigger ./anal == complete + endtask + task post + trigger ./fcst == complete + endtask + task vrfy + trigger ./post == complete + endtask + endfamily + family archive + task gdasarch + trigger ../gdas/vrfy == complete + endtask + task gfsarch + trigger ../gfs/vrfy == complete + complete + endtask + family earc + trigger ../gdas/enkf/epos == complete + task grp1 + endtask + task grp8 + endtask + endfamily + endfamily + task final + endtask +endsuite diff --git a/model/ecflow_fv3gfs/prod18.def b/model/ecflow_fv3gfs/prod18.def new file mode 100644 index 0000000..661b325 --- /dev/null +++ b/model/ecflow_fv3gfs/prod18.def @@ -0,0 +1,97 @@ +extern /prod18/gdas/post +extern /prod18/gdas/enkf/epos +extern /prod00/gdas/post +extern /prod00/gdas/enkf/epos +extern /prod06/gdas/post +extern /prod06/gdas/enkf/epos +extern /prod12/gdas/post +extern /prod12/gdas/enkf/epos +suite prod18 + family gdas + task prep + trigger /prod12/gdas/post == complete + complete + endtask + family enkf + task eobs + trigger ../prep == complete and /prod12/gdas/enkf/epos == complete + complete + endtask + family eomg + trigger ./eobs == complete + complete + task grp1 + endtask + task grp8 + endtask + endfamily + task eupd + trigger ./eomg == complete + complete + endtask + task ecen + trigger ./eupd == complete and ../anal == complete + complete + endtask + family efcs + trigger ./ecen == complete + task grp1 + endtask + task grp8 + endtask + endfamily + task epos + trigger ./efcs == complete + endtask + endfamily + task anal + trigger ./prep == complete and /prod12/gdas/enkf/epos == complete + complete + endtask + task fcst + trigger ./anal == complete + endtask + task post + trigger ./fcst == complete + endtask + task vrfy + trigger ./post == complete + endtask + endfamily + family gfs + complete + task prep + trigger /prod12/gdas/post == complete + endtask + task anal + trigger ./prep == complete and /prod12/gdas/enkf/epos == complete + endtask + task fcst + trigger ./anal == complete + endtask + task post + trigger ./fcst == complete + endtask + task vrfy + trigger ./post == complete + endtask + endfamily + family archive + task gdasarch + trigger ../gdas/vrfy == complete + endtask + task gfsarch + trigger ../gfs/vrfy == complete + complete + endtask + family earc + trigger ../gdas/enkf/epos == complete + task grp1 + endtask + task grp8 + endtask + endfamily + endfamily + task final + endtask +endsuite diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index 8edd0aa..be1477e 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -1,137 +1,143 @@ -cycled_workflow: !Cycle - Rocoto: *Rocoto - - Clock: *clock +scheduler_settings: + name: LSFAlps + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + +scheduler: !calc | + tools.get_scheduler(doc.scheduler_settings.name, + doc.scheduler_settings) + +sample_mpi_omp: &sample_mpi_omp !JobRequest + - exe: placeholder + mpi_ranks: 24 + OMP_NUM_THREADS: 2 + walltime: 00:05:00 + +sample_shared_serial: &sample_shared_serial !JobRequest + - exe: placeholder + walltime: 00:05:00 + exclusive: false + +settings: + NMEM_ENKF: 80 + +accounting: + queue: '%QUEUE%' + project: GFS-T2O + +ecf_file_template: &ecf_file_template !expand | + #! /bin/sh + {sched.batch_accounting(doc.accounting,jobname=task_path_var,outerr="%LOG%"+task_path_var+".log") + }{sched.batch_resources(resources)} + %include + echo ${{JOBgfs}}/{J_JOB} + %include + +suite: !Cycle + Clock: !Clock + start: 2018-01-01T00:00:00 + end: 2018-01-01T18:00:00 + step: !timedelta "6:00:00" + + ecFlow: + suite_def_filename: "prod%H.def" + suite_name: "prod%H" + scheduler: !calc doc.scheduler gdas: !Family prep: !Task - Perform: *prep_gdas_action - Rocoto: *task_template Complete: !Depend ~ suite.has_cycle('-6:00:00') Trigger: !Depend up.gdas.post.at('-6:00:00') + ecf_file: *ecf_file_template + resources: *sample_mpi_omp + J_JOB: prep enkf: !Family eobs: !Task - Perform: *eobs_action - Rocoto: *task_template Complete: !Depend ~ suite.has_cycle('-6:00:00') Trigger: !Depend ( up.prep & epos.at('-6:00:00') ) + ecf_file: *ecf_file_template + resources: *sample_mpi_omp + J_JOB: eobs eomg: !Family Trigger: !Depend eobs Complete: !Depend ~ suite.has_cycle('-6:00:00') grp1: !Task - Perform: - <<: *eomg_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 1 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" + ecf_file: *ecf_file_template + resources: *sample_mpi_omp + J_JOB: eomg grp2: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==20 + - when: !calc doc.settings.NMEM_ENKF==20 do: !Task - Perform: - <<: *eomg_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 2 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" + ecf_file: *ecf_file_template + resources: *sample_mpi_omp + J_JOB: eomg - otherwise: null grp3: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==30 + - when: !calc doc.settings.NMEM_ENKF==30 do: !Task - Perform: - <<: *eomg_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 3 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" + ecf_file: *ecf_file_template + resources: *sample_mpi_omp + J_JOB: eomg - otherwise: null grp4: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==40 + - when: !calc doc.settings.NMEM_ENKF==40 do: !Task - Perform: - <<: *eomg_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 4 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" + ecf_file: *ecf_file_template + resources: *sample_mpi_omp + J_JOB: eomg - otherwise: null grp5: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==50 + - when: !calc doc.settings.NMEM_ENKF==50 do: !Task - Perform: - <<: *eomg_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 5 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" + ecf_file: *ecf_file_template + resources: *sample_mpi_omp + J_JOB: eomg - otherwise: null grp6: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==60 + - when: !calc doc.settings.NMEM_ENKF==60 do: !Task - Perform: - <<: *eomg_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 6 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" + ecf_file: *ecf_file_template + resources: *sample_mpi_omp + J_JOB: eomg - otherwise: null grp7: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==70 + - when: !calc doc.settings.NMEM_ENKF==70 do: !Task - Perform: - <<: *eomg_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 7 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" + ecf_file: *ecf_file_template + resources: *sample_mpi_omp + J_JOB: eomg - otherwise: null grp8: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==80 + - when: !calc doc.settings.NMEM_ENKF==80 do: !Task - Perform: - <<: *eomg_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 8 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" + ecf_file: *ecf_file_template + resources: *sample_mpi_omp + J_JOB: eomg - otherwise: null eupd: !Task - Perform: *eupd_action - Rocoto: *task_template Complete: !Depend ~ suite.has_cycle('-6:00:00') Trigger: !Depend eomg + ecf_file: *ecf_file_template + resources: *sample_mpi_omp + J_JOB: eupd ecen: !Task - Perform: *ecen_action - Rocoto: *task_template Complete: !Depend ~ suite.has_cycle('-6:00:00') Trigger: !Depend ( eupd & up.anal ) + ecf_file: *ecf_file_template + resources: *sample_mpi_omp + J_JOB: ecen # efcs: !TaskArray # Trigger: !Depend ecen @@ -156,272 +162,203 @@ cycled_workflow: !Cycle efcs: !Family Trigger: !Depend ( ecen | ~ suite.has_cycle('-6:00:00') ) - grp1: !Task - Perform: - <<: *efcs_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN - GROUP_NUMBER: 1 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *efcs_task_template - Rocoto: !expand "{task_template}{ens_more}" + grp1: !Task + ecf_file: *ecf_file_template + resources: *sample_mpi_omp + J_JOB: efcs + grp2: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==20 + - when: !calc doc.settings.NMEM_ENKF==20 do: !Task - Perform: - <<: *efcs_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN - GROUP_NUMBER: 2 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *efcs_task_template - Rocoto: !expand "{task_template}{ens_more}" + ecf_file: *ecf_file_template + resources: *sample_mpi_omp + J_JOB: efcs - otherwise: null grp3: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==30 + - when: !calc doc.settings.NMEM_ENKF==30 do: !Task - Perform: - <<: *efcs_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN - GROUP_NUMBER: 3 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *efcs_task_template - Rocoto: !expand "{task_template}{ens_more}" + ecf_file: *ecf_file_template + resources: *sample_mpi_omp + J_JOB: efcs - otherwise: null grp4: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==40 + - when: !calc doc.settings.NMEM_ENKF==40 do: !Task - Perform: - <<: *efcs_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN - GROUP_NUMBER: 4 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *efcs_task_template - Rocoto: !expand "{task_template}{ens_more}" + ecf_file: *ecf_file_template + resources: *sample_mpi_omp + J_JOB: efcs - otherwise: null grp5: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==50 + - when: !calc doc.settings.NMEM_ENKF==50 do: !Task - Perform: - <<: *efcs_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN - GROUP_NUMBER: 5 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *efcs_task_template - Rocoto: !expand "{task_template}{ens_more}" + ecf_file: *ecf_file_template + J_JOB: efcs + resources: *sample_mpi_omp - otherwise: null grp6: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==60 + - when: !calc doc.settings.NMEM_ENKF==60 do: !Task - Perform: - <<: *efcs_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN - GROUP_NUMBER: 6 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *efcs_task_template - Rocoto: !expand "{task_template}{ens_more}" + ecf_file: *ecf_file_template + J_JOB: efcs + resources: *sample_mpi_omp - otherwise: null grp7: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==70 + - when: !calc doc.settings.NMEM_ENKF==70 do: !Task - Perform: - <<: *efcs_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN - GROUP_NUMBER: 7 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *efcs_task_template - Rocoto: !expand "{task_template}{ens_more}" + ecf_file: *ecf_file_template + J_JOB: efcs + resources: *sample_mpi_omp - otherwise: null grp8: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==80 + - when: !calc doc.settings.NMEM_ENKF==80 do: !Task - Perform: - <<: *efcs_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN - GROUP_NUMBER: 8 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *efcs_task_template - Rocoto: !expand "{task_template}{ens_more}" + ecf_file: *ecf_file_template + resources: *sample_mpi_omp + J_JOB: efcs - otherwise: null epos: !Task - Perform: *epos_action - Rocoto: *task_template Trigger: !Depend efcs + ecf_file: *ecf_file_template + resources: *sample_mpi_omp + J_JOB: epos anal: !Task - Perform: *anal_gdas_action - Rocoto: *task_template Complete: !Depend ~ suite.has_cycle('-6:00:00') Trigger: !Depend ( prep & enkf.epos.at('-6:00:00') ) + ecf_file: *ecf_file_template + resources: *sample_mpi_omp + J_JOB: anal fcst: !Task - Perform: *fcst_gdas_action - Rocoto: *task_template Trigger: !Depend ( anal | ~ suite.has_cycle('-6:00:00') ) + ecf_file: *ecf_file_template + resources: *sample_mpi_omp + J_JOB: fcst post: !Task - Perform: *post_gdas_action - Rocoto: *task_template Trigger: !Depend fcst + ecf_file: *ecf_file_template + resources: *sample_mpi_omp + J_JOB: post vrfy: !Task - Perform: *vrfy_gdas_action - Rocoto: *task_template Trigger: !Depend post + ecf_file: *ecf_file_template + resources: *sample_mpi_omp + J_JOB: vrfy gfs: !Family Complete: !Depend ~ suite.has_cycle('-6:00:00') prep: !Task - Perform: *prep_gfs_action - Rocoto: *task_template Trigger: !Depend up.gdas.post.at('-6:00:00') - + ecf_file: *ecf_file_template + resources: *sample_mpi_omp + J_JOB: prep + anal: !Task - Perform: *anal_gfs_action - Rocoto: *task_template Trigger: !Depend ( prep & up.gdas.enkf.epos.at('-6:00:00') ) + ecf_file: *ecf_file_template + resources: *sample_mpi_omp + J_JOB: anal fcst: !Task - Perform: *fcst_gfs_action - Rocoto: *task_template Trigger: !Depend anal + ecf_file: *ecf_file_template + resources: *sample_mpi_omp + J_JOB: fcst post: !Task - Perform: *post_gfs_action - Rocoto: *task_template Trigger: !Depend fcst + ecf_file: *ecf_file_template + resources: *sample_mpi_omp + J_JOB: post vrfy: !Task - Perform: *vrfy_gfs_action - Rocoto: *task_template Trigger: !Depend post + ecf_file: *ecf_file_template + resources: *sample_mpi_omp + J_JOB: vrfy archive: !Family gdasarch: !Task - Perform: - <<: *arch_gdas_action - CDUMP: gdas - Rocoto: *task_template Trigger: !Depend up.gdas.vrfy + ecf_file: *ecf_file_template + resources: *sample_shared_serial + J_JOB: gdasarch gfsarch: !Task - Perform: - <<: *arch_gfs_action - CDUMP: gfs - Rocoto: *task_template Complete: !Depend ~ suite.has_cycle('-6:00:00') Trigger: !Depend up.gfs.vrfy + ecf_file: *ecf_file_template + resources: *sample_shared_serial + J_JOB: gfsarch earc: !Family Trigger: !Depend up.gdas.enkf.epos grp1: !Task - Perform: - <<: *earc_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 1 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" + ecf_file: *ecf_file_template + resources: *sample_shared_serial + J_JOB: earc grp2: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==20 + - when: !calc doc.settings.NMEM_ENKF==20 do: !Task - Perform: - <<: *earc_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 2 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" + ecf_file: *ecf_file_template + resources: *sample_shared_serial + J_JOB: earc - otherwise: null grp3: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==30 + - when: !calc doc.settings.NMEM_ENKF==30 do: !Task - Perform: - <<: *earc_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 3 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" + ecf_file: *ecf_file_template + J_JOB: earc + resources: *sample_shared_serial - otherwise: null grp4: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==40 + - when: !calc doc.settings.NMEM_ENKF==40 do: !Task - Perform: - <<: *earc_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 4 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" + ecf_file: *ecf_file_template + J_JOB: earc + resources: *sample_shared_serial - otherwise: null grp5: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==50 + - when: !calc doc.settings.NMEM_ENKF==50 do: !Task - Perform: - <<: *earc_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 5 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" + ecf_file: *ecf_file_template + J_JOB: earc + resources: *sample_shared_serial - otherwise: null grp6: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==60 + - when: !calc doc.settings.NMEM_ENKF==60 do: !Task - Perform: - <<: *earc_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 6 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" + ecf_file: *ecf_file_template + J_JOB: earc + resources: *sample_shared_serial - otherwise: null grp7: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==70 + - when: !calc doc.settings.NMEM_ENKF==70 do: !Task - Perform: - <<: *earc_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 7 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" + ecf_file: *ecf_file_template + J_JOB: earc + resources: *sample_shared_serial - otherwise: null grp8: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==80 + - when: !calc doc.settings.NMEM_ENKF==80 do: !Task - Perform: - <<: *earc_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 8 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" + ecf_file: *ecf_file_template + J_JOB: earc + resources: *sample_shared_serial - otherwise: null final: !Task - Perform: *final_action - Rocoto: *task_template + ecf_file: *ecf_file_template + resources: *sample_shared_serial + J_JOB: final + From 25628e6c12787c17396bea790558bd80cd055046 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 2 Feb 2018 18:49:07 +0000 Subject: [PATCH 267/487] python bug fixes needed for latest commit --- crow/sysenv/schedulers/LSFAlps.py | 28 ++++++++++++++++------------ crow/tools.py | 2 +- 2 files changed, 17 insertions(+), 13 deletions(-) diff --git a/crow/sysenv/schedulers/LSFAlps.py b/crow/sysenv/schedulers/LSFAlps.py index 85c472d..b982a46 100644 --- a/crow/sysenv/schedulers/LSFAlps.py +++ b/crow/sysenv/schedulers/LSFAlps.py @@ -57,7 +57,7 @@ def batch_resources(self,spec,**kwargs): sio=StringIO() if not isinstance(spec,JobResourceSpec): spec=JobResourceSpec(spec) - + result='' if spec[0].get('walltime',''): dt=tools.to_timedelta(spec[0]['walltime']) @@ -92,18 +92,22 @@ def batch_resources(self,spec,**kwargs): nodesize=max([ self.nodes.node_size(r) for r in spec ]) - if not spec.is_pure_serial() and not spec.is_pure_openmp(): - # This is an MPI program. - nodes_ranks=self.nodes.to_nodes_ppn(spec) - requested_nodes=sum([ n for n,p in nodes_ranks ]) - sio.write('#BSUB -extsched CRAYLINUX[]\n') - if self.settings.get('use_export_nodes',True): - sio.write(f'export NODES={requested_nodes}') + if spec[0].is_exclusive() is False: + # Shared program. This requires a different batch card syntax + sio.write(f'#BSUB -n {spec.total_ranks()}\n') else: - sio.write("#BSUB -R '1*{select[craylinux && !vnode]} + ") - sio.write('%d'%requested_nodes) - sio.write("*{select[craylinux && vnode]span[") - sio.write(f"ptile={nodesize}] cu[type=cabinet]}}'") + if not spec.is_pure_serial() and not spec.is_pure_openmp(): + # This is an MPI program. + nodes_ranks=self.nodes.to_nodes_ppn(spec) + requested_nodes=sum([ n for n,p in nodes_ranks ]) + sio.write('#BSUB -extsched CRAYLINUX[]\n') + if self.settings.get('use_export_nodes',True): + sio.write(f'export NODES={requested_nodes}') + else: + sio.write("#BSUB -R '1*{select[craylinux && !vnode]} + ") + sio.write('%d'%requested_nodes) + sio.write("*{select[craylinux && vnode]span[") + sio.write(f"ptile={nodesize}] cu[type=cabinet]}}'") ret=sio.getvalue() sio.close() diff --git a/crow/tools.py b/crow/tools.py index 04757d5..f7a4f65 100644 --- a/crow/tools.py +++ b/crow/tools.py @@ -204,7 +204,7 @@ def __init__(self,start,step,end=None,now=None): def __contains__(self,when): if isinstance(when,datetime.timedelta): - return not dt%self.step + return not when%self.step elif isinstance(when,datetime.datetime): if self.end and when>self.end: return False if when Date: Fri, 2 Feb 2018 18:59:20 +0000 Subject: [PATCH 268/487] fix bugs in suite definition and move to subdirectories --- model/ecflow_fv3gfs/{ => defs}/prod00.def | 8 -------- model/ecflow_fv3gfs/{ => defs}/prod06.def | 8 -------- model/ecflow_fv3gfs/{ => defs}/prod12.def | 8 -------- model/ecflow_fv3gfs/{ => defs}/prod18.def | 8 -------- .../{ => scripts}/archive/earc/grp1.ecf | 0 .../{ => scripts}/archive/earc/grp8.ecf | 0 .../ecflow_fv3gfs/{ => scripts}/archive/gdasarch.ecf | 0 .../ecflow_fv3gfs/{ => scripts}/archive/gfsarch.ecf | 0 model/ecflow_fv3gfs/scripts/final.ecf | 12 ++++++++++++ model/ecflow_fv3gfs/{ => scripts}/gdas/anal.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/gdas/enkf/ecen.ecf | 0 .../{ => scripts}/gdas/enkf/efcs/grp1.ecf | 0 .../{ => scripts}/gdas/enkf/efcs/grp8.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/gdas/enkf/eobs.ecf | 0 .../{ => scripts}/gdas/enkf/eomg/grp1.ecf | 0 .../{ => scripts}/gdas/enkf/eomg/grp8.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/gdas/enkf/epos.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/gdas/enkf/eupd.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/gdas/fcst.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/gdas/post.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/gdas/prep.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/gdas/vrfy.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/gfs/anal.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/gfs/fcst.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/gfs/post.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/gfs/prep.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/gfs/vrfy.ecf | 0 27 files changed, 12 insertions(+), 32 deletions(-) rename model/ecflow_fv3gfs/{ => defs}/prod00.def (92%) rename model/ecflow_fv3gfs/{ => defs}/prod06.def (93%) rename model/ecflow_fv3gfs/{ => defs}/prod12.def (93%) rename model/ecflow_fv3gfs/{ => defs}/prod18.def (93%) rename model/ecflow_fv3gfs/{ => scripts}/archive/earc/grp1.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/archive/earc/grp8.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/archive/gdasarch.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/archive/gfsarch.ecf (100%) create mode 100644 model/ecflow_fv3gfs/scripts/final.ecf rename model/ecflow_fv3gfs/{ => scripts}/gdas/anal.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/gdas/enkf/ecen.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/gdas/enkf/efcs/grp1.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/gdas/enkf/efcs/grp8.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/gdas/enkf/eobs.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/gdas/enkf/eomg/grp1.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/gdas/enkf/eomg/grp8.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/gdas/enkf/epos.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/gdas/enkf/eupd.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/gdas/fcst.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/gdas/post.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/gdas/prep.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/gdas/vrfy.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/gfs/anal.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/gfs/fcst.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/gfs/post.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/gfs/prep.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/gfs/vrfy.ecf (100%) diff --git a/model/ecflow_fv3gfs/prod00.def b/model/ecflow_fv3gfs/defs/prod00.def similarity index 92% rename from model/ecflow_fv3gfs/prod00.def rename to model/ecflow_fv3gfs/defs/prod00.def index fd5a3f9..395e8a6 100644 --- a/model/ecflow_fv3gfs/prod00.def +++ b/model/ecflow_fv3gfs/defs/prod00.def @@ -4,16 +4,13 @@ suite prod00 family gdas task prep trigger /prod18/gdas/post == complete - complete endtask family enkf task eobs trigger ../prep == complete and /prod18/gdas/enkf/epos == complete - complete endtask family eomg trigger ./eobs == complete - complete task grp1 endtask task grp8 @@ -21,11 +18,9 @@ suite prod00 endfamily task eupd trigger ./eomg == complete - complete endtask task ecen trigger ./eupd == complete and ../anal == complete - complete endtask family efcs trigger ./ecen == complete @@ -40,7 +35,6 @@ suite prod00 endfamily task anal trigger ./prep == complete and /prod18/gdas/enkf/epos == complete - complete endtask task fcst trigger ./anal == complete @@ -53,7 +47,6 @@ suite prod00 endtask endfamily family gfs - complete task prep trigger /prod18/gdas/post == complete endtask @@ -76,7 +69,6 @@ suite prod00 endtask task gfsarch trigger ../gfs/vrfy == complete - complete endtask family earc trigger ../gdas/enkf/epos == complete diff --git a/model/ecflow_fv3gfs/prod06.def b/model/ecflow_fv3gfs/defs/prod06.def similarity index 93% rename from model/ecflow_fv3gfs/prod06.def rename to model/ecflow_fv3gfs/defs/prod06.def index 5add088..6e7867a 100644 --- a/model/ecflow_fv3gfs/prod06.def +++ b/model/ecflow_fv3gfs/defs/prod06.def @@ -6,16 +6,13 @@ suite prod06 family gdas task prep trigger /prod00/gdas/post == complete - complete endtask family enkf task eobs trigger ../prep == complete and /prod00/gdas/enkf/epos == complete - complete endtask family eomg trigger ./eobs == complete - complete task grp1 endtask task grp8 @@ -23,11 +20,9 @@ suite prod06 endfamily task eupd trigger ./eomg == complete - complete endtask task ecen trigger ./eupd == complete and ../anal == complete - complete endtask family efcs trigger ./ecen == complete @@ -42,7 +37,6 @@ suite prod06 endfamily task anal trigger ./prep == complete and /prod00/gdas/enkf/epos == complete - complete endtask task fcst trigger ./anal == complete @@ -55,7 +49,6 @@ suite prod06 endtask endfamily family gfs - complete task prep trigger /prod00/gdas/post == complete endtask @@ -78,7 +71,6 @@ suite prod06 endtask task gfsarch trigger ../gfs/vrfy == complete - complete endtask family earc trigger ../gdas/enkf/epos == complete diff --git a/model/ecflow_fv3gfs/prod12.def b/model/ecflow_fv3gfs/defs/prod12.def similarity index 93% rename from model/ecflow_fv3gfs/prod12.def rename to model/ecflow_fv3gfs/defs/prod12.def index f389334..330f264 100644 --- a/model/ecflow_fv3gfs/prod12.def +++ b/model/ecflow_fv3gfs/defs/prod12.def @@ -8,16 +8,13 @@ suite prod12 family gdas task prep trigger /prod06/gdas/post == complete - complete endtask family enkf task eobs trigger ../prep == complete and /prod06/gdas/enkf/epos == complete - complete endtask family eomg trigger ./eobs == complete - complete task grp1 endtask task grp8 @@ -25,11 +22,9 @@ suite prod12 endfamily task eupd trigger ./eomg == complete - complete endtask task ecen trigger ./eupd == complete and ../anal == complete - complete endtask family efcs trigger ./ecen == complete @@ -44,7 +39,6 @@ suite prod12 endfamily task anal trigger ./prep == complete and /prod06/gdas/enkf/epos == complete - complete endtask task fcst trigger ./anal == complete @@ -57,7 +51,6 @@ suite prod12 endtask endfamily family gfs - complete task prep trigger /prod06/gdas/post == complete endtask @@ -80,7 +73,6 @@ suite prod12 endtask task gfsarch trigger ../gfs/vrfy == complete - complete endtask family earc trigger ../gdas/enkf/epos == complete diff --git a/model/ecflow_fv3gfs/prod18.def b/model/ecflow_fv3gfs/defs/prod18.def similarity index 93% rename from model/ecflow_fv3gfs/prod18.def rename to model/ecflow_fv3gfs/defs/prod18.def index 661b325..ccf705e 100644 --- a/model/ecflow_fv3gfs/prod18.def +++ b/model/ecflow_fv3gfs/defs/prod18.def @@ -10,16 +10,13 @@ suite prod18 family gdas task prep trigger /prod12/gdas/post == complete - complete endtask family enkf task eobs trigger ../prep == complete and /prod12/gdas/enkf/epos == complete - complete endtask family eomg trigger ./eobs == complete - complete task grp1 endtask task grp8 @@ -27,11 +24,9 @@ suite prod18 endfamily task eupd trigger ./eomg == complete - complete endtask task ecen trigger ./eupd == complete and ../anal == complete - complete endtask family efcs trigger ./ecen == complete @@ -46,7 +41,6 @@ suite prod18 endfamily task anal trigger ./prep == complete and /prod12/gdas/enkf/epos == complete - complete endtask task fcst trigger ./anal == complete @@ -59,7 +53,6 @@ suite prod18 endtask endfamily family gfs - complete task prep trigger /prod12/gdas/post == complete endtask @@ -82,7 +75,6 @@ suite prod18 endtask task gfsarch trigger ../gfs/vrfy == complete - complete endtask family earc trigger ../gdas/enkf/epos == complete diff --git a/model/ecflow_fv3gfs/archive/earc/grp1.ecf b/model/ecflow_fv3gfs/scripts/archive/earc/grp1.ecf similarity index 100% rename from model/ecflow_fv3gfs/archive/earc/grp1.ecf rename to model/ecflow_fv3gfs/scripts/archive/earc/grp1.ecf diff --git a/model/ecflow_fv3gfs/archive/earc/grp8.ecf b/model/ecflow_fv3gfs/scripts/archive/earc/grp8.ecf similarity index 100% rename from model/ecflow_fv3gfs/archive/earc/grp8.ecf rename to model/ecflow_fv3gfs/scripts/archive/earc/grp8.ecf diff --git a/model/ecflow_fv3gfs/archive/gdasarch.ecf b/model/ecflow_fv3gfs/scripts/archive/gdasarch.ecf similarity index 100% rename from model/ecflow_fv3gfs/archive/gdasarch.ecf rename to model/ecflow_fv3gfs/scripts/archive/gdasarch.ecf diff --git a/model/ecflow_fv3gfs/archive/gfsarch.ecf b/model/ecflow_fv3gfs/scripts/archive/gfsarch.ecf similarity index 100% rename from model/ecflow_fv3gfs/archive/gfsarch.ecf rename to model/ecflow_fv3gfs/scripts/archive/gfsarch.ecf diff --git a/model/ecflow_fv3gfs/scripts/final.ecf b/model/ecflow_fv3gfs/scripts/final.ecf new file mode 100644 index 0000000..59f969f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/final.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J final +#BSUB -o %LOG%final.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=2000] +#BSUB -n 0 + +%include +echo ${JOBgfs}/final +%include diff --git a/model/ecflow_fv3gfs/gdas/anal.ecf b/model/ecflow_fv3gfs/scripts/gdas/anal.ecf similarity index 100% rename from model/ecflow_fv3gfs/gdas/anal.ecf rename to model/ecflow_fv3gfs/scripts/gdas/anal.ecf diff --git a/model/ecflow_fv3gfs/gdas/enkf/ecen.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/ecen.ecf similarity index 100% rename from model/ecflow_fv3gfs/gdas/enkf/ecen.ecf rename to model/ecflow_fv3gfs/scripts/gdas/enkf/ecen.ecf diff --git a/model/ecflow_fv3gfs/gdas/enkf/efcs/grp1.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp1.ecf similarity index 100% rename from model/ecflow_fv3gfs/gdas/enkf/efcs/grp1.ecf rename to model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp1.ecf diff --git a/model/ecflow_fv3gfs/gdas/enkf/efcs/grp8.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp8.ecf similarity index 100% rename from model/ecflow_fv3gfs/gdas/enkf/efcs/grp8.ecf rename to model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp8.ecf diff --git a/model/ecflow_fv3gfs/gdas/enkf/eobs.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/eobs.ecf similarity index 100% rename from model/ecflow_fv3gfs/gdas/enkf/eobs.ecf rename to model/ecflow_fv3gfs/scripts/gdas/enkf/eobs.ecf diff --git a/model/ecflow_fv3gfs/gdas/enkf/eomg/grp1.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp1.ecf similarity index 100% rename from model/ecflow_fv3gfs/gdas/enkf/eomg/grp1.ecf rename to model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp1.ecf diff --git a/model/ecflow_fv3gfs/gdas/enkf/eomg/grp8.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp8.ecf similarity index 100% rename from model/ecflow_fv3gfs/gdas/enkf/eomg/grp8.ecf rename to model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp8.ecf diff --git a/model/ecflow_fv3gfs/gdas/enkf/epos.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/epos.ecf similarity index 100% rename from model/ecflow_fv3gfs/gdas/enkf/epos.ecf rename to model/ecflow_fv3gfs/scripts/gdas/enkf/epos.ecf diff --git a/model/ecflow_fv3gfs/gdas/enkf/eupd.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/eupd.ecf similarity index 100% rename from model/ecflow_fv3gfs/gdas/enkf/eupd.ecf rename to model/ecflow_fv3gfs/scripts/gdas/enkf/eupd.ecf diff --git a/model/ecflow_fv3gfs/gdas/fcst.ecf b/model/ecflow_fv3gfs/scripts/gdas/fcst.ecf similarity index 100% rename from model/ecflow_fv3gfs/gdas/fcst.ecf rename to model/ecflow_fv3gfs/scripts/gdas/fcst.ecf diff --git a/model/ecflow_fv3gfs/gdas/post.ecf b/model/ecflow_fv3gfs/scripts/gdas/post.ecf similarity index 100% rename from model/ecflow_fv3gfs/gdas/post.ecf rename to model/ecflow_fv3gfs/scripts/gdas/post.ecf diff --git a/model/ecflow_fv3gfs/gdas/prep.ecf b/model/ecflow_fv3gfs/scripts/gdas/prep.ecf similarity index 100% rename from model/ecflow_fv3gfs/gdas/prep.ecf rename to model/ecflow_fv3gfs/scripts/gdas/prep.ecf diff --git a/model/ecflow_fv3gfs/gdas/vrfy.ecf b/model/ecflow_fv3gfs/scripts/gdas/vrfy.ecf similarity index 100% rename from model/ecflow_fv3gfs/gdas/vrfy.ecf rename to model/ecflow_fv3gfs/scripts/gdas/vrfy.ecf diff --git a/model/ecflow_fv3gfs/gfs/anal.ecf b/model/ecflow_fv3gfs/scripts/gfs/anal.ecf similarity index 100% rename from model/ecflow_fv3gfs/gfs/anal.ecf rename to model/ecflow_fv3gfs/scripts/gfs/anal.ecf diff --git a/model/ecflow_fv3gfs/gfs/fcst.ecf b/model/ecflow_fv3gfs/scripts/gfs/fcst.ecf similarity index 100% rename from model/ecflow_fv3gfs/gfs/fcst.ecf rename to model/ecflow_fv3gfs/scripts/gfs/fcst.ecf diff --git a/model/ecflow_fv3gfs/gfs/post.ecf b/model/ecflow_fv3gfs/scripts/gfs/post.ecf similarity index 100% rename from model/ecflow_fv3gfs/gfs/post.ecf rename to model/ecflow_fv3gfs/scripts/gfs/post.ecf diff --git a/model/ecflow_fv3gfs/gfs/prep.ecf b/model/ecflow_fv3gfs/scripts/gfs/prep.ecf similarity index 100% rename from model/ecflow_fv3gfs/gfs/prep.ecf rename to model/ecflow_fv3gfs/scripts/gfs/prep.ecf diff --git a/model/ecflow_fv3gfs/gfs/vrfy.ecf b/model/ecflow_fv3gfs/scripts/gfs/vrfy.ecf similarity index 100% rename from model/ecflow_fv3gfs/gfs/vrfy.ecf rename to model/ecflow_fv3gfs/scripts/gfs/vrfy.ecf From 0dd9fe0e414834a16d1c286f6a304b1b3597f905 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 2 Feb 2018 18:59:45 +0000 Subject: [PATCH 269/487] missing from prior commit --- model/ecflow_fv3gfs/doit.py | 20 +++++++++++--------- model/ecflow_fv3gfs/final.ecf | 12 ------------ model/ecflow_fv3gfs/suite_def.yaml | 12 ++---------- 3 files changed, 13 insertions(+), 31 deletions(-) delete mode 100644 model/ecflow_fv3gfs/final.ecf diff --git a/model/ecflow_fv3gfs/doit.py b/model/ecflow_fv3gfs/doit.py index 3bda412..ba0b1b6 100755 --- a/model/ecflow_fv3gfs/doit.py +++ b/model/ecflow_fv3gfs/doit.py @@ -9,9 +9,15 @@ suite=Suite(conf.suite) suite_defs, ecf_files = to_ecflow(suite) +def make_parent_dir(filename): + dirname=os.path.dirname(filename) + if dirname and not os.path.exists(dirname): + os.makedirs(os.path.dirname(filename)) + for defname in suite_defs: #print(f'=== contents of suite def {defname}\n{suite_defs[defname]}') - filename=defname + filename=os.path.join('defs',defname) + make_parent_dir(filename) print(filename) dirname=os.path.dirname(filename) if dirname and not os.path.exists(dirname): @@ -22,13 +28,9 @@ for setname in ecf_files: print(f'ecf file set {setname}:\n') for filename in ecf_files[setname]: - print(f' file {filename}') - dirname=os.path.dirname(filename) - if dirname and not os.path.exists(dirname): - os.makedirs(os.path.dirname(filename)) - with open(filename+".ecf",'wt') as fd: + full_fn=os.path.join('scripts',filename)+'.ecf' + print(f' file {full_fn}') + make_parent_dir(full_fn) + with open(full_fn,'wt') as fd: fd.write(ecf_files[setname][filename]) - - #for line in ecf_files[setname][filename].splitlines(): - #print(f' {line.rstrip()}') diff --git a/model/ecflow_fv3gfs/final.ecf b/model/ecflow_fv3gfs/final.ecf deleted file mode 100644 index 59f969f..0000000 --- a/model/ecflow_fv3gfs/final.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P GFS-T2O -#BSUB -J final -#BSUB -o %LOG%final.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=2000] -#BSUB -n 0 - -%include -echo ${JOBgfs}/final -%include diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index be1477e..7cb2de1 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -47,7 +47,6 @@ suite: !Cycle gdas: !Family prep: !Task - Complete: !Depend ~ suite.has_cycle('-6:00:00') Trigger: !Depend up.gdas.post.at('-6:00:00') ecf_file: *ecf_file_template resources: *sample_mpi_omp @@ -55,7 +54,6 @@ suite: !Cycle enkf: !Family eobs: !Task - Complete: !Depend ~ suite.has_cycle('-6:00:00') Trigger: !Depend ( up.prep & epos.at('-6:00:00') ) ecf_file: *ecf_file_template resources: *sample_mpi_omp @@ -63,7 +61,6 @@ suite: !Cycle eomg: !Family Trigger: !Depend eobs - Complete: !Depend ~ suite.has_cycle('-6:00:00') grp1: !Task ecf_file: *ecf_file_template resources: *sample_mpi_omp @@ -126,14 +123,12 @@ suite: !Cycle - otherwise: null eupd: !Task - Complete: !Depend ~ suite.has_cycle('-6:00:00') Trigger: !Depend eomg ecf_file: *ecf_file_template resources: *sample_mpi_omp J_JOB: eupd ecen: !Task - Complete: !Depend ~ suite.has_cycle('-6:00:00') Trigger: !Depend ( eupd & up.anal ) ecf_file: *ecf_file_template resources: *sample_mpi_omp @@ -161,7 +156,7 @@ suite: !Cycle # Rocoto: !expand "{task_template}{ens_more}" efcs: !Family - Trigger: !Depend ( ecen | ~ suite.has_cycle('-6:00:00') ) + Trigger: !Depend ecen grp1: !Task ecf_file: *ecf_file_template resources: *sample_mpi_omp @@ -231,14 +226,13 @@ suite: !Cycle J_JOB: epos anal: !Task - Complete: !Depend ~ suite.has_cycle('-6:00:00') Trigger: !Depend ( prep & enkf.epos.at('-6:00:00') ) ecf_file: *ecf_file_template resources: *sample_mpi_omp J_JOB: anal fcst: !Task - Trigger: !Depend ( anal | ~ suite.has_cycle('-6:00:00') ) + Trigger: !Depend anal ecf_file: *ecf_file_template resources: *sample_mpi_omp J_JOB: fcst @@ -256,7 +250,6 @@ suite: !Cycle J_JOB: vrfy gfs: !Family - Complete: !Depend ~ suite.has_cycle('-6:00:00') prep: !Task Trigger: !Depend up.gdas.post.at('-6:00:00') ecf_file: *ecf_file_template @@ -295,7 +288,6 @@ suite: !Cycle J_JOB: gdasarch gfsarch: !Task - Complete: !Depend ~ suite.has_cycle('-6:00:00') Trigger: !Depend up.gfs.vrfy ecf_file: *ecf_file_template resources: *sample_shared_serial From b6ce8f99053b1b50c71fe3e0f984219a3676173d Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 2 Feb 2018 19:04:46 +0000 Subject: [PATCH 270/487] add edits --- model/ecflow_fv3gfs/defs/prod00.def | 5 +++++ model/ecflow_fv3gfs/defs/prod06.def | 5 +++++ model/ecflow_fv3gfs/defs/prod12.def | 5 +++++ model/ecflow_fv3gfs/defs/prod18.def | 5 +++++ model/ecflow_fv3gfs/scripts/archive/earc/grp1.ecf | 2 +- model/ecflow_fv3gfs/scripts/archive/earc/grp8.ecf | 2 +- model/ecflow_fv3gfs/scripts/archive/gdasarch.ecf | 2 +- model/ecflow_fv3gfs/scripts/archive/gfsarch.ecf | 2 +- model/ecflow_fv3gfs/scripts/final.ecf | 2 +- model/ecflow_fv3gfs/scripts/gdas/anal.ecf | 2 +- model/ecflow_fv3gfs/scripts/gdas/enkf/ecen.ecf | 2 +- model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp1.ecf | 2 +- model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp8.ecf | 2 +- model/ecflow_fv3gfs/scripts/gdas/enkf/eobs.ecf | 2 +- model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp1.ecf | 2 +- model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp8.ecf | 2 +- model/ecflow_fv3gfs/scripts/gdas/enkf/epos.ecf | 2 +- model/ecflow_fv3gfs/scripts/gdas/enkf/eupd.ecf | 2 +- model/ecflow_fv3gfs/scripts/gdas/fcst.ecf | 2 +- model/ecflow_fv3gfs/scripts/gdas/post.ecf | 2 +- model/ecflow_fv3gfs/scripts/gdas/prep.ecf | 2 +- model/ecflow_fv3gfs/scripts/gdas/vrfy.ecf | 2 +- model/ecflow_fv3gfs/scripts/gfs/anal.ecf | 2 +- model/ecflow_fv3gfs/scripts/gfs/fcst.ecf | 2 +- model/ecflow_fv3gfs/scripts/gfs/post.ecf | 2 +- model/ecflow_fv3gfs/scripts/gfs/prep.ecf | 2 +- model/ecflow_fv3gfs/scripts/gfs/vrfy.ecf | 2 +- model/ecflow_fv3gfs/suite_def.yaml | 10 +++++++++- 28 files changed, 52 insertions(+), 24 deletions(-) diff --git a/model/ecflow_fv3gfs/defs/prod00.def b/model/ecflow_fv3gfs/defs/prod00.def index 395e8a6..2928c34 100644 --- a/model/ecflow_fv3gfs/defs/prod00.def +++ b/model/ecflow_fv3gfs/defs/prod00.def @@ -1,6 +1,11 @@ extern /prod18/gdas/post extern /prod18/gdas/enkf/epos suite prod00 + repeat day 1 + edit ECF_TRIES '1' + edit QUEUE 'debug' + edit MACHINE 'xc40-dev' + edit PROJECT 'GFS-T2O' family gdas task prep trigger /prod18/gdas/post == complete diff --git a/model/ecflow_fv3gfs/defs/prod06.def b/model/ecflow_fv3gfs/defs/prod06.def index 6e7867a..d59423e 100644 --- a/model/ecflow_fv3gfs/defs/prod06.def +++ b/model/ecflow_fv3gfs/defs/prod06.def @@ -3,6 +3,11 @@ extern /prod18/gdas/enkf/epos extern /prod00/gdas/post extern /prod00/gdas/enkf/epos suite prod06 + repeat day 1 + edit ECF_TRIES '1' + edit QUEUE 'debug' + edit MACHINE 'xc40-dev' + edit PROJECT 'GFS-T2O' family gdas task prep trigger /prod00/gdas/post == complete diff --git a/model/ecflow_fv3gfs/defs/prod12.def b/model/ecflow_fv3gfs/defs/prod12.def index 330f264..fbf2ce1 100644 --- a/model/ecflow_fv3gfs/defs/prod12.def +++ b/model/ecflow_fv3gfs/defs/prod12.def @@ -5,6 +5,11 @@ extern /prod00/gdas/enkf/epos extern /prod06/gdas/post extern /prod06/gdas/enkf/epos suite prod12 + repeat day 1 + edit ECF_TRIES '1' + edit QUEUE 'debug' + edit MACHINE 'xc40-dev' + edit PROJECT 'GFS-T2O' family gdas task prep trigger /prod06/gdas/post == complete diff --git a/model/ecflow_fv3gfs/defs/prod18.def b/model/ecflow_fv3gfs/defs/prod18.def index ccf705e..bbab919 100644 --- a/model/ecflow_fv3gfs/defs/prod18.def +++ b/model/ecflow_fv3gfs/defs/prod18.def @@ -7,6 +7,11 @@ extern /prod06/gdas/enkf/epos extern /prod12/gdas/post extern /prod12/gdas/enkf/epos suite prod18 + repeat day 1 + edit ECF_TRIES '1' + edit QUEUE 'debug' + edit MACHINE 'xc40-dev' + edit PROJECT 'GFS-T2O' family gdas task prep trigger /prod12/gdas/post == complete diff --git a/model/ecflow_fv3gfs/scripts/archive/earc/grp1.ecf b/model/ecflow_fv3gfs/scripts/archive/earc/grp1.ecf index d9704b9..62c63a5 100644 --- a/model/ecflow_fv3gfs/scripts/archive/earc/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/archive/earc/grp1.ecf @@ -1,6 +1,6 @@ #! /bin/sh #BSUB -q %QUEUE% -#BSUB -P GFS-T2O +#BSUB -P %PROJECT% #BSUB -J archive.earc.grp1 #BSUB -o %LOG%archive.earc.grp1.log #BSUB -W 0:05 diff --git a/model/ecflow_fv3gfs/scripts/archive/earc/grp8.ecf b/model/ecflow_fv3gfs/scripts/archive/earc/grp8.ecf index dc9d1b5..f749497 100644 --- a/model/ecflow_fv3gfs/scripts/archive/earc/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/archive/earc/grp8.ecf @@ -1,6 +1,6 @@ #! /bin/sh #BSUB -q %QUEUE% -#BSUB -P GFS-T2O +#BSUB -P %PROJECT% #BSUB -J archive.earc.grp8 #BSUB -o %LOG%archive.earc.grp8.log #BSUB -W 0:05 diff --git a/model/ecflow_fv3gfs/scripts/archive/gdasarch.ecf b/model/ecflow_fv3gfs/scripts/archive/gdasarch.ecf index 9c31d2e..85ac0e9 100644 --- a/model/ecflow_fv3gfs/scripts/archive/gdasarch.ecf +++ b/model/ecflow_fv3gfs/scripts/archive/gdasarch.ecf @@ -1,6 +1,6 @@ #! /bin/sh #BSUB -q %QUEUE% -#BSUB -P GFS-T2O +#BSUB -P %PROJECT% #BSUB -J archive.gdasarch #BSUB -o %LOG%archive.gdasarch.log #BSUB -W 0:05 diff --git a/model/ecflow_fv3gfs/scripts/archive/gfsarch.ecf b/model/ecflow_fv3gfs/scripts/archive/gfsarch.ecf index c85e400..6c0e4de 100644 --- a/model/ecflow_fv3gfs/scripts/archive/gfsarch.ecf +++ b/model/ecflow_fv3gfs/scripts/archive/gfsarch.ecf @@ -1,6 +1,6 @@ #! /bin/sh #BSUB -q %QUEUE% -#BSUB -P GFS-T2O +#BSUB -P %PROJECT% #BSUB -J archive.gfsarch #BSUB -o %LOG%archive.gfsarch.log #BSUB -W 0:05 diff --git a/model/ecflow_fv3gfs/scripts/final.ecf b/model/ecflow_fv3gfs/scripts/final.ecf index 59f969f..60f421d 100644 --- a/model/ecflow_fv3gfs/scripts/final.ecf +++ b/model/ecflow_fv3gfs/scripts/final.ecf @@ -1,6 +1,6 @@ #! /bin/sh #BSUB -q %QUEUE% -#BSUB -P GFS-T2O +#BSUB -P %PROJECT% #BSUB -J final #BSUB -o %LOG%final.log #BSUB -W 0:05 diff --git a/model/ecflow_fv3gfs/scripts/gdas/anal.ecf b/model/ecflow_fv3gfs/scripts/gdas/anal.ecf index a399d7d..350a7a2 100644 --- a/model/ecflow_fv3gfs/scripts/gdas/anal.ecf +++ b/model/ecflow_fv3gfs/scripts/gdas/anal.ecf @@ -1,6 +1,6 @@ #! /bin/sh #BSUB -q %QUEUE% -#BSUB -P GFS-T2O +#BSUB -P %PROJECT% #BSUB -J gdas.anal #BSUB -o %LOG%gdas.anal.log #BSUB -W 0:05 diff --git a/model/ecflow_fv3gfs/scripts/gdas/enkf/ecen.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/ecen.ecf index 300203c..25b07b4 100644 --- a/model/ecflow_fv3gfs/scripts/gdas/enkf/ecen.ecf +++ b/model/ecflow_fv3gfs/scripts/gdas/enkf/ecen.ecf @@ -1,6 +1,6 @@ #! /bin/sh #BSUB -q %QUEUE% -#BSUB -P GFS-T2O +#BSUB -P %PROJECT% #BSUB -J gdas.enkf.ecen #BSUB -o %LOG%gdas.enkf.ecen.log #BSUB -W 0:05 diff --git a/model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp1.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp1.ecf index cca4d74..e9e70aa 100644 --- a/model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp1.ecf @@ -1,6 +1,6 @@ #! /bin/sh #BSUB -q %QUEUE% -#BSUB -P GFS-T2O +#BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp1 #BSUB -o %LOG%gdas.enkf.efcs.grp1.log #BSUB -W 0:05 diff --git a/model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp8.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp8.ecf index 2b1578a..0725cd0 100644 --- a/model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp8.ecf @@ -1,6 +1,6 @@ #! /bin/sh #BSUB -q %QUEUE% -#BSUB -P GFS-T2O +#BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp8 #BSUB -o %LOG%gdas.enkf.efcs.grp8.log #BSUB -W 0:05 diff --git a/model/ecflow_fv3gfs/scripts/gdas/enkf/eobs.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/eobs.ecf index e60a4e9..640e229 100644 --- a/model/ecflow_fv3gfs/scripts/gdas/enkf/eobs.ecf +++ b/model/ecflow_fv3gfs/scripts/gdas/enkf/eobs.ecf @@ -1,6 +1,6 @@ #! /bin/sh #BSUB -q %QUEUE% -#BSUB -P GFS-T2O +#BSUB -P %PROJECT% #BSUB -J gdas.enkf.eobs #BSUB -o %LOG%gdas.enkf.eobs.log #BSUB -W 0:05 diff --git a/model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp1.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp1.ecf index a97bfe0..b014a2f 100644 --- a/model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp1.ecf @@ -1,6 +1,6 @@ #! /bin/sh #BSUB -q %QUEUE% -#BSUB -P GFS-T2O +#BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp1 #BSUB -o %LOG%gdas.enkf.eomg.grp1.log #BSUB -W 0:05 diff --git a/model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp8.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp8.ecf index 89f67fe..6afd510 100644 --- a/model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp8.ecf @@ -1,6 +1,6 @@ #! /bin/sh #BSUB -q %QUEUE% -#BSUB -P GFS-T2O +#BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp8 #BSUB -o %LOG%gdas.enkf.eomg.grp8.log #BSUB -W 0:05 diff --git a/model/ecflow_fv3gfs/scripts/gdas/enkf/epos.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/epos.ecf index 866a2ca..e556311 100644 --- a/model/ecflow_fv3gfs/scripts/gdas/enkf/epos.ecf +++ b/model/ecflow_fv3gfs/scripts/gdas/enkf/epos.ecf @@ -1,6 +1,6 @@ #! /bin/sh #BSUB -q %QUEUE% -#BSUB -P GFS-T2O +#BSUB -P %PROJECT% #BSUB -J gdas.enkf.epos #BSUB -o %LOG%gdas.enkf.epos.log #BSUB -W 0:05 diff --git a/model/ecflow_fv3gfs/scripts/gdas/enkf/eupd.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/eupd.ecf index 7070aeb..ee8cdf5 100644 --- a/model/ecflow_fv3gfs/scripts/gdas/enkf/eupd.ecf +++ b/model/ecflow_fv3gfs/scripts/gdas/enkf/eupd.ecf @@ -1,6 +1,6 @@ #! /bin/sh #BSUB -q %QUEUE% -#BSUB -P GFS-T2O +#BSUB -P %PROJECT% #BSUB -J gdas.enkf.eupd #BSUB -o %LOG%gdas.enkf.eupd.log #BSUB -W 0:05 diff --git a/model/ecflow_fv3gfs/scripts/gdas/fcst.ecf b/model/ecflow_fv3gfs/scripts/gdas/fcst.ecf index 261d250..843e43f 100644 --- a/model/ecflow_fv3gfs/scripts/gdas/fcst.ecf +++ b/model/ecflow_fv3gfs/scripts/gdas/fcst.ecf @@ -1,6 +1,6 @@ #! /bin/sh #BSUB -q %QUEUE% -#BSUB -P GFS-T2O +#BSUB -P %PROJECT% #BSUB -J gdas.fcst #BSUB -o %LOG%gdas.fcst.log #BSUB -W 0:05 diff --git a/model/ecflow_fv3gfs/scripts/gdas/post.ecf b/model/ecflow_fv3gfs/scripts/gdas/post.ecf index 24610e2..2c39d9c 100644 --- a/model/ecflow_fv3gfs/scripts/gdas/post.ecf +++ b/model/ecflow_fv3gfs/scripts/gdas/post.ecf @@ -1,6 +1,6 @@ #! /bin/sh #BSUB -q %QUEUE% -#BSUB -P GFS-T2O +#BSUB -P %PROJECT% #BSUB -J gdas.post #BSUB -o %LOG%gdas.post.log #BSUB -W 0:05 diff --git a/model/ecflow_fv3gfs/scripts/gdas/prep.ecf b/model/ecflow_fv3gfs/scripts/gdas/prep.ecf index 70c55d9..30939e3 100644 --- a/model/ecflow_fv3gfs/scripts/gdas/prep.ecf +++ b/model/ecflow_fv3gfs/scripts/gdas/prep.ecf @@ -1,6 +1,6 @@ #! /bin/sh #BSUB -q %QUEUE% -#BSUB -P GFS-T2O +#BSUB -P %PROJECT% #BSUB -J gdas.prep #BSUB -o %LOG%gdas.prep.log #BSUB -W 0:05 diff --git a/model/ecflow_fv3gfs/scripts/gdas/vrfy.ecf b/model/ecflow_fv3gfs/scripts/gdas/vrfy.ecf index a48afcc..d423116 100644 --- a/model/ecflow_fv3gfs/scripts/gdas/vrfy.ecf +++ b/model/ecflow_fv3gfs/scripts/gdas/vrfy.ecf @@ -1,6 +1,6 @@ #! /bin/sh #BSUB -q %QUEUE% -#BSUB -P GFS-T2O +#BSUB -P %PROJECT% #BSUB -J gdas.vrfy #BSUB -o %LOG%gdas.vrfy.log #BSUB -W 0:05 diff --git a/model/ecflow_fv3gfs/scripts/gfs/anal.ecf b/model/ecflow_fv3gfs/scripts/gfs/anal.ecf index c90cd69..540f22b 100644 --- a/model/ecflow_fv3gfs/scripts/gfs/anal.ecf +++ b/model/ecflow_fv3gfs/scripts/gfs/anal.ecf @@ -1,6 +1,6 @@ #! /bin/sh #BSUB -q %QUEUE% -#BSUB -P GFS-T2O +#BSUB -P %PROJECT% #BSUB -J gfs.anal #BSUB -o %LOG%gfs.anal.log #BSUB -W 0:05 diff --git a/model/ecflow_fv3gfs/scripts/gfs/fcst.ecf b/model/ecflow_fv3gfs/scripts/gfs/fcst.ecf index 3184d08..4cbd62f 100644 --- a/model/ecflow_fv3gfs/scripts/gfs/fcst.ecf +++ b/model/ecflow_fv3gfs/scripts/gfs/fcst.ecf @@ -1,6 +1,6 @@ #! /bin/sh #BSUB -q %QUEUE% -#BSUB -P GFS-T2O +#BSUB -P %PROJECT% #BSUB -J gfs.fcst #BSUB -o %LOG%gfs.fcst.log #BSUB -W 0:05 diff --git a/model/ecflow_fv3gfs/scripts/gfs/post.ecf b/model/ecflow_fv3gfs/scripts/gfs/post.ecf index 8306a67..5f9a524 100644 --- a/model/ecflow_fv3gfs/scripts/gfs/post.ecf +++ b/model/ecflow_fv3gfs/scripts/gfs/post.ecf @@ -1,6 +1,6 @@ #! /bin/sh #BSUB -q %QUEUE% -#BSUB -P GFS-T2O +#BSUB -P %PROJECT% #BSUB -J gfs.post #BSUB -o %LOG%gfs.post.log #BSUB -W 0:05 diff --git a/model/ecflow_fv3gfs/scripts/gfs/prep.ecf b/model/ecflow_fv3gfs/scripts/gfs/prep.ecf index df0623e..9e99166 100644 --- a/model/ecflow_fv3gfs/scripts/gfs/prep.ecf +++ b/model/ecflow_fv3gfs/scripts/gfs/prep.ecf @@ -1,6 +1,6 @@ #! /bin/sh #BSUB -q %QUEUE% -#BSUB -P GFS-T2O +#BSUB -P %PROJECT% #BSUB -J gfs.prep #BSUB -o %LOG%gfs.prep.log #BSUB -W 0:05 diff --git a/model/ecflow_fv3gfs/scripts/gfs/vrfy.ecf b/model/ecflow_fv3gfs/scripts/gfs/vrfy.ecf index fd1468e..af70ace 100644 --- a/model/ecflow_fv3gfs/scripts/gfs/vrfy.ecf +++ b/model/ecflow_fv3gfs/scripts/gfs/vrfy.ecf @@ -1,6 +1,6 @@ #! /bin/sh #BSUB -q %QUEUE% -#BSUB -P GFS-T2O +#BSUB -P %PROJECT% #BSUB -J gfs.vrfy #BSUB -o %LOG%gfs.vrfy.log #BSUB -W 0:05 diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index 7cb2de1..bc20433 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -24,7 +24,7 @@ settings: accounting: queue: '%QUEUE%' - project: GFS-T2O + project: '%PROJECT%' ecf_file_template: &ecf_file_template !expand | #! /bin/sh @@ -45,6 +45,14 @@ suite: !Cycle suite_name: "prod%H" scheduler: !calc doc.scheduler + + ecflow_def: !expand | + repeat day 1 + edit ECF_TRIES '1' + edit QUEUE 'debug' + edit MACHINE 'xc40-dev' + edit PROJECT 'GFS-T2O' + gdas: !Family prep: !Task Trigger: !Depend up.gdas.post.at('-6:00:00') From a0b5cd2c5314bd46cd169aca8864ec832861eba4 Mon Sep 17 00:00:00 2001 From: Bin Liu Date: Fri, 2 Feb 2018 19:30:07 +0000 Subject: [PATCH 271/487] Update the suite_def.yaml. --- model/ecflow_fv3gfs/defs/prod00.def | 10 +++++++++- model/ecflow_fv3gfs/defs/prod06.def | 10 +++++++++- model/ecflow_fv3gfs/defs/prod12.def | 10 +++++++++- model/ecflow_fv3gfs/defs/prod18.def | 10 +++++++++- model/ecflow_fv3gfs/suite_def.yaml | 11 ++++++++++- 5 files changed, 46 insertions(+), 5 deletions(-) diff --git a/model/ecflow_fv3gfs/defs/prod00.def b/model/ecflow_fv3gfs/defs/prod00.def index 2928c34..f730c78 100644 --- a/model/ecflow_fv3gfs/defs/prod00.def +++ b/model/ecflow_fv3gfs/defs/prod00.def @@ -5,7 +5,15 @@ suite prod00 edit ECF_TRIES '1' edit QUEUE 'debug' edit MACHINE 'xc40-dev' - edit PROJECT 'GFS-T2O' + edit PROJECT 'HUR-T2O' + edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/' + edit PROJENVIR 'HUR-T2O' + edit EMCPEN 'ecfgfsfv3' + edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' + edit QUEUESERV 'dev_transfer' + edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/%EMCPEN%' + edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts//output' + edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts//ecf.log' family gdas task prep trigger /prod18/gdas/post == complete diff --git a/model/ecflow_fv3gfs/defs/prod06.def b/model/ecflow_fv3gfs/defs/prod06.def index d59423e..64bcb5e 100644 --- a/model/ecflow_fv3gfs/defs/prod06.def +++ b/model/ecflow_fv3gfs/defs/prod06.def @@ -7,7 +7,15 @@ suite prod06 edit ECF_TRIES '1' edit QUEUE 'debug' edit MACHINE 'xc40-dev' - edit PROJECT 'GFS-T2O' + edit PROJECT 'HUR-T2O' + edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/' + edit PROJENVIR 'HUR-T2O' + edit EMCPEN 'ecfgfsfv3' + edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' + edit QUEUESERV 'dev_transfer' + edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/%EMCPEN%' + edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts//output' + edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts//ecf.log' family gdas task prep trigger /prod00/gdas/post == complete diff --git a/model/ecflow_fv3gfs/defs/prod12.def b/model/ecflow_fv3gfs/defs/prod12.def index fbf2ce1..d14132e 100644 --- a/model/ecflow_fv3gfs/defs/prod12.def +++ b/model/ecflow_fv3gfs/defs/prod12.def @@ -9,7 +9,15 @@ suite prod12 edit ECF_TRIES '1' edit QUEUE 'debug' edit MACHINE 'xc40-dev' - edit PROJECT 'GFS-T2O' + edit PROJECT 'HUR-T2O' + edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/' + edit PROJENVIR 'HUR-T2O' + edit EMCPEN 'ecfgfsfv3' + edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' + edit QUEUESERV 'dev_transfer' + edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/%EMCPEN%' + edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts//output' + edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts//ecf.log' family gdas task prep trigger /prod06/gdas/post == complete diff --git a/model/ecflow_fv3gfs/defs/prod18.def b/model/ecflow_fv3gfs/defs/prod18.def index bbab919..94a98f9 100644 --- a/model/ecflow_fv3gfs/defs/prod18.def +++ b/model/ecflow_fv3gfs/defs/prod18.def @@ -11,7 +11,15 @@ suite prod18 edit ECF_TRIES '1' edit QUEUE 'debug' edit MACHINE 'xc40-dev' - edit PROJECT 'GFS-T2O' + edit PROJECT 'HUR-T2O' + edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/' + edit PROJENVIR 'HUR-T2O' + edit EMCPEN 'ecfgfsfv3' + edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' + edit QUEUESERV 'dev_transfer' + edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/%EMCPEN%' + edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts//output' + edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts//ecf.log' family gdas task prep trigger /prod12/gdas/post == complete diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index bc20433..8cc87a8 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -21,6 +21,7 @@ sample_shared_serial: &sample_shared_serial !JobRequest settings: NMEM_ENKF: 80 + ECF_HOME: '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/' accounting: queue: '%QUEUE%' @@ -51,7 +52,15 @@ suite: !Cycle edit ECF_TRIES '1' edit QUEUE 'debug' edit MACHINE 'xc40-dev' - edit PROJECT 'GFS-T2O' + edit PROJECT 'HUR-T2O' + edit ECF_HOME '{doc.settings.ECF_HOME}' + edit PROJENVIR 'HUR-T2O' + edit EMCPEN 'ecfgfsfv3' + edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' + edit QUEUESERV 'dev_transfer' + edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/%EMCPEN%' + edit ECF_OUT '{doc.settings.ECF_HOME}/output' + edit ECF_LOG '{doc.settings.ECF_HOME}/ecf.log' gdas: !Family prep: !Task From 09b3132eb03cf6cf1ea9421af695f266a0d3bca4 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 2 Feb 2018 19:32:11 +0000 Subject: [PATCH 272/487] split into resources.yaml and suite_def.yaml --- examples/ecflow/ecftest.yaml | 7 ++++++- model/ecflow_fv3gfs/doit.py | 2 +- model/ecflow_fv3gfs/resources.yaml | 13 +++++++++++++ model/ecflow_fv3gfs/scripts/archive/earc/grp1.ecf | 2 +- model/ecflow_fv3gfs/scripts/archive/earc/grp8.ecf | 2 +- model/ecflow_fv3gfs/scripts/archive/gdasarch.ecf | 2 +- model/ecflow_fv3gfs/scripts/archive/gfsarch.ecf | 2 +- model/ecflow_fv3gfs/scripts/final.ecf | 2 +- model/ecflow_fv3gfs/scripts/gdas/anal.ecf | 2 +- model/ecflow_fv3gfs/scripts/gdas/enkf/ecen.ecf | 2 +- model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp1.ecf | 2 +- model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp8.ecf | 2 +- model/ecflow_fv3gfs/scripts/gdas/enkf/eobs.ecf | 2 +- model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp1.ecf | 2 +- model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp8.ecf | 2 +- model/ecflow_fv3gfs/scripts/gdas/enkf/epos.ecf | 2 +- model/ecflow_fv3gfs/scripts/gdas/enkf/eupd.ecf | 2 +- model/ecflow_fv3gfs/scripts/gdas/fcst.ecf | 2 +- model/ecflow_fv3gfs/scripts/gdas/post.ecf | 2 +- model/ecflow_fv3gfs/scripts/gdas/prep.ecf | 2 +- model/ecflow_fv3gfs/scripts/gdas/vrfy.ecf | 2 +- model/ecflow_fv3gfs/scripts/gfs/anal.ecf | 2 +- model/ecflow_fv3gfs/scripts/gfs/fcst.ecf | 2 +- model/ecflow_fv3gfs/scripts/gfs/post.ecf | 2 +- model/ecflow_fv3gfs/scripts/gfs/prep.ecf | 2 +- model/ecflow_fv3gfs/scripts/gfs/vrfy.ecf | 2 +- model/ecflow_fv3gfs/suite_def.yaml | 11 ----------- 27 files changed, 43 insertions(+), 36 deletions(-) create mode 100644 model/ecflow_fv3gfs/resources.yaml diff --git a/examples/ecflow/ecftest.yaml b/examples/ecflow/ecftest.yaml index 107771c..e380779 100644 --- a/examples/ecflow/ecftest.yaml +++ b/examples/ecflow/ecftest.yaml @@ -27,6 +27,11 @@ sample_serial: &sample_serial !JobRequest - exe: placeholder walltime: 00:05:00 +sample_shared_serial: &sample_serial !JobRequest + - exe: placeholder + walltime: 00:05:00 + exclusive: false + scheduler_settings: name: LSFAlps physical_cores_per_node: 24 @@ -68,7 +73,7 @@ suite: !Cycle task0: !Task # Time: !timedelta +2:00:00 - resources: *sample_serial + resources: *sample_shared_serial J_JOB: JGFS_TASK0 ecf_file: *ecf_file_template jobname: !calc task_path_var diff --git a/model/ecflow_fv3gfs/doit.py b/model/ecflow_fv3gfs/doit.py index ba0b1b6..dcc94de 100755 --- a/model/ecflow_fv3gfs/doit.py +++ b/model/ecflow_fv3gfs/doit.py @@ -5,7 +5,7 @@ from crow.metascheduler import to_ecflow from crow.config import from_file, Suite -conf=from_file('suite_def.yaml') +conf=from_file('resources.yaml', 'suite_def.yaml') suite=Suite(conf.suite) suite_defs, ecf_files = to_ecflow(suite) diff --git a/model/ecflow_fv3gfs/resources.yaml b/model/ecflow_fv3gfs/resources.yaml new file mode 100644 index 0000000..b1fa7a2 --- /dev/null +++ b/model/ecflow_fv3gfs/resources.yaml @@ -0,0 +1,13 @@ + +sample_mpi_omp: &sample_mpi_omp !JobRequest + - exe: placeholder + mpi_ranks: 24 + OMP_NUM_THREADS: 2 + walltime: 00:05:00 + memory: 500M + +sample_shared_serial: &sample_shared_serial !JobRequest + - exe: placeholder + walltime: 00:05:00 + exclusive: false + memory: 3G diff --git a/model/ecflow_fv3gfs/scripts/archive/earc/grp1.ecf b/model/ecflow_fv3gfs/scripts/archive/earc/grp1.ecf index 62c63a5..a2eaca4 100644 --- a/model/ecflow_fv3gfs/scripts/archive/earc/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/archive/earc/grp1.ecf @@ -4,7 +4,7 @@ #BSUB -J archive.earc.grp1 #BSUB -o %LOG%archive.earc.grp1.log #BSUB -W 0:05 -#BSUB -R rusage[mem=2000] +#BSUB -R rusage[mem=3072] #BSUB -n 0 %include diff --git a/model/ecflow_fv3gfs/scripts/archive/earc/grp8.ecf b/model/ecflow_fv3gfs/scripts/archive/earc/grp8.ecf index f749497..2aa3682 100644 --- a/model/ecflow_fv3gfs/scripts/archive/earc/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/archive/earc/grp8.ecf @@ -4,7 +4,7 @@ #BSUB -J archive.earc.grp8 #BSUB -o %LOG%archive.earc.grp8.log #BSUB -W 0:05 -#BSUB -R rusage[mem=2000] +#BSUB -R rusage[mem=3072] #BSUB -n 0 %include diff --git a/model/ecflow_fv3gfs/scripts/archive/gdasarch.ecf b/model/ecflow_fv3gfs/scripts/archive/gdasarch.ecf index 85ac0e9..6c8b2ec 100644 --- a/model/ecflow_fv3gfs/scripts/archive/gdasarch.ecf +++ b/model/ecflow_fv3gfs/scripts/archive/gdasarch.ecf @@ -4,7 +4,7 @@ #BSUB -J archive.gdasarch #BSUB -o %LOG%archive.gdasarch.log #BSUB -W 0:05 -#BSUB -R rusage[mem=2000] +#BSUB -R rusage[mem=3072] #BSUB -n 0 %include diff --git a/model/ecflow_fv3gfs/scripts/archive/gfsarch.ecf b/model/ecflow_fv3gfs/scripts/archive/gfsarch.ecf index 6c0e4de..6d553ca 100644 --- a/model/ecflow_fv3gfs/scripts/archive/gfsarch.ecf +++ b/model/ecflow_fv3gfs/scripts/archive/gfsarch.ecf @@ -4,7 +4,7 @@ #BSUB -J archive.gfsarch #BSUB -o %LOG%archive.gfsarch.log #BSUB -W 0:05 -#BSUB -R rusage[mem=2000] +#BSUB -R rusage[mem=3072] #BSUB -n 0 %include diff --git a/model/ecflow_fv3gfs/scripts/final.ecf b/model/ecflow_fv3gfs/scripts/final.ecf index 60f421d..2cf36a7 100644 --- a/model/ecflow_fv3gfs/scripts/final.ecf +++ b/model/ecflow_fv3gfs/scripts/final.ecf @@ -4,7 +4,7 @@ #BSUB -J final #BSUB -o %LOG%final.log #BSUB -W 0:05 -#BSUB -R rusage[mem=2000] +#BSUB -R rusage[mem=3072] #BSUB -n 0 %include diff --git a/model/ecflow_fv3gfs/scripts/gdas/anal.ecf b/model/ecflow_fv3gfs/scripts/gdas/anal.ecf index 350a7a2..bc215ac 100644 --- a/model/ecflow_fv3gfs/scripts/gdas/anal.ecf +++ b/model/ecflow_fv3gfs/scripts/gdas/anal.ecf @@ -4,7 +4,7 @@ #BSUB -J gdas.anal #BSUB -o %LOG%gdas.anal.log #BSUB -W 0:05 -#BSUB -R rusage[mem=2000] +#BSUB -R rusage[mem=500] #BSUB -extsched CRAYLINUX[] export NODES=2 %include diff --git a/model/ecflow_fv3gfs/scripts/gdas/enkf/ecen.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/ecen.ecf index 25b07b4..274f084 100644 --- a/model/ecflow_fv3gfs/scripts/gdas/enkf/ecen.ecf +++ b/model/ecflow_fv3gfs/scripts/gdas/enkf/ecen.ecf @@ -4,7 +4,7 @@ #BSUB -J gdas.enkf.ecen #BSUB -o %LOG%gdas.enkf.ecen.log #BSUB -W 0:05 -#BSUB -R rusage[mem=2000] +#BSUB -R rusage[mem=500] #BSUB -extsched CRAYLINUX[] export NODES=2 %include diff --git a/model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp1.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp1.ecf index e9e70aa..25fbbb1 100644 --- a/model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp1.ecf @@ -4,7 +4,7 @@ #BSUB -J gdas.enkf.efcs.grp1 #BSUB -o %LOG%gdas.enkf.efcs.grp1.log #BSUB -W 0:05 -#BSUB -R rusage[mem=2000] +#BSUB -R rusage[mem=500] #BSUB -extsched CRAYLINUX[] export NODES=2 %include diff --git a/model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp8.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp8.ecf index 0725cd0..6caa36a 100644 --- a/model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp8.ecf @@ -4,7 +4,7 @@ #BSUB -J gdas.enkf.efcs.grp8 #BSUB -o %LOG%gdas.enkf.efcs.grp8.log #BSUB -W 0:05 -#BSUB -R rusage[mem=2000] +#BSUB -R rusage[mem=500] #BSUB -extsched CRAYLINUX[] export NODES=2 %include diff --git a/model/ecflow_fv3gfs/scripts/gdas/enkf/eobs.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/eobs.ecf index 640e229..bb324ee 100644 --- a/model/ecflow_fv3gfs/scripts/gdas/enkf/eobs.ecf +++ b/model/ecflow_fv3gfs/scripts/gdas/enkf/eobs.ecf @@ -4,7 +4,7 @@ #BSUB -J gdas.enkf.eobs #BSUB -o %LOG%gdas.enkf.eobs.log #BSUB -W 0:05 -#BSUB -R rusage[mem=2000] +#BSUB -R rusage[mem=500] #BSUB -extsched CRAYLINUX[] export NODES=2 %include diff --git a/model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp1.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp1.ecf index b014a2f..3bcd2a2 100644 --- a/model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp1.ecf @@ -4,7 +4,7 @@ #BSUB -J gdas.enkf.eomg.grp1 #BSUB -o %LOG%gdas.enkf.eomg.grp1.log #BSUB -W 0:05 -#BSUB -R rusage[mem=2000] +#BSUB -R rusage[mem=500] #BSUB -extsched CRAYLINUX[] export NODES=2 %include diff --git a/model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp8.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp8.ecf index 6afd510..3f057c0 100644 --- a/model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp8.ecf @@ -4,7 +4,7 @@ #BSUB -J gdas.enkf.eomg.grp8 #BSUB -o %LOG%gdas.enkf.eomg.grp8.log #BSUB -W 0:05 -#BSUB -R rusage[mem=2000] +#BSUB -R rusage[mem=500] #BSUB -extsched CRAYLINUX[] export NODES=2 %include diff --git a/model/ecflow_fv3gfs/scripts/gdas/enkf/epos.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/epos.ecf index e556311..b15927f 100644 --- a/model/ecflow_fv3gfs/scripts/gdas/enkf/epos.ecf +++ b/model/ecflow_fv3gfs/scripts/gdas/enkf/epos.ecf @@ -4,7 +4,7 @@ #BSUB -J gdas.enkf.epos #BSUB -o %LOG%gdas.enkf.epos.log #BSUB -W 0:05 -#BSUB -R rusage[mem=2000] +#BSUB -R rusage[mem=500] #BSUB -extsched CRAYLINUX[] export NODES=2 %include diff --git a/model/ecflow_fv3gfs/scripts/gdas/enkf/eupd.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/eupd.ecf index ee8cdf5..f060c95 100644 --- a/model/ecflow_fv3gfs/scripts/gdas/enkf/eupd.ecf +++ b/model/ecflow_fv3gfs/scripts/gdas/enkf/eupd.ecf @@ -4,7 +4,7 @@ #BSUB -J gdas.enkf.eupd #BSUB -o %LOG%gdas.enkf.eupd.log #BSUB -W 0:05 -#BSUB -R rusage[mem=2000] +#BSUB -R rusage[mem=500] #BSUB -extsched CRAYLINUX[] export NODES=2 %include diff --git a/model/ecflow_fv3gfs/scripts/gdas/fcst.ecf b/model/ecflow_fv3gfs/scripts/gdas/fcst.ecf index 843e43f..4ccd2f8 100644 --- a/model/ecflow_fv3gfs/scripts/gdas/fcst.ecf +++ b/model/ecflow_fv3gfs/scripts/gdas/fcst.ecf @@ -4,7 +4,7 @@ #BSUB -J gdas.fcst #BSUB -o %LOG%gdas.fcst.log #BSUB -W 0:05 -#BSUB -R rusage[mem=2000] +#BSUB -R rusage[mem=500] #BSUB -extsched CRAYLINUX[] export NODES=2 %include diff --git a/model/ecflow_fv3gfs/scripts/gdas/post.ecf b/model/ecflow_fv3gfs/scripts/gdas/post.ecf index 2c39d9c..84c0565 100644 --- a/model/ecflow_fv3gfs/scripts/gdas/post.ecf +++ b/model/ecflow_fv3gfs/scripts/gdas/post.ecf @@ -4,7 +4,7 @@ #BSUB -J gdas.post #BSUB -o %LOG%gdas.post.log #BSUB -W 0:05 -#BSUB -R rusage[mem=2000] +#BSUB -R rusage[mem=500] #BSUB -extsched CRAYLINUX[] export NODES=2 %include diff --git a/model/ecflow_fv3gfs/scripts/gdas/prep.ecf b/model/ecflow_fv3gfs/scripts/gdas/prep.ecf index 30939e3..972c606 100644 --- a/model/ecflow_fv3gfs/scripts/gdas/prep.ecf +++ b/model/ecflow_fv3gfs/scripts/gdas/prep.ecf @@ -4,7 +4,7 @@ #BSUB -J gdas.prep #BSUB -o %LOG%gdas.prep.log #BSUB -W 0:05 -#BSUB -R rusage[mem=2000] +#BSUB -R rusage[mem=500] #BSUB -extsched CRAYLINUX[] export NODES=2 %include diff --git a/model/ecflow_fv3gfs/scripts/gdas/vrfy.ecf b/model/ecflow_fv3gfs/scripts/gdas/vrfy.ecf index d423116..e85b243 100644 --- a/model/ecflow_fv3gfs/scripts/gdas/vrfy.ecf +++ b/model/ecflow_fv3gfs/scripts/gdas/vrfy.ecf @@ -4,7 +4,7 @@ #BSUB -J gdas.vrfy #BSUB -o %LOG%gdas.vrfy.log #BSUB -W 0:05 -#BSUB -R rusage[mem=2000] +#BSUB -R rusage[mem=500] #BSUB -extsched CRAYLINUX[] export NODES=2 %include diff --git a/model/ecflow_fv3gfs/scripts/gfs/anal.ecf b/model/ecflow_fv3gfs/scripts/gfs/anal.ecf index 540f22b..1093f11 100644 --- a/model/ecflow_fv3gfs/scripts/gfs/anal.ecf +++ b/model/ecflow_fv3gfs/scripts/gfs/anal.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.anal #BSUB -o %LOG%gfs.anal.log #BSUB -W 0:05 -#BSUB -R rusage[mem=2000] +#BSUB -R rusage[mem=500] #BSUB -extsched CRAYLINUX[] export NODES=2 %include diff --git a/model/ecflow_fv3gfs/scripts/gfs/fcst.ecf b/model/ecflow_fv3gfs/scripts/gfs/fcst.ecf index 4cbd62f..1796678 100644 --- a/model/ecflow_fv3gfs/scripts/gfs/fcst.ecf +++ b/model/ecflow_fv3gfs/scripts/gfs/fcst.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.fcst #BSUB -o %LOG%gfs.fcst.log #BSUB -W 0:05 -#BSUB -R rusage[mem=2000] +#BSUB -R rusage[mem=500] #BSUB -extsched CRAYLINUX[] export NODES=2 %include diff --git a/model/ecflow_fv3gfs/scripts/gfs/post.ecf b/model/ecflow_fv3gfs/scripts/gfs/post.ecf index 5f9a524..6fa723a 100644 --- a/model/ecflow_fv3gfs/scripts/gfs/post.ecf +++ b/model/ecflow_fv3gfs/scripts/gfs/post.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.post #BSUB -o %LOG%gfs.post.log #BSUB -W 0:05 -#BSUB -R rusage[mem=2000] +#BSUB -R rusage[mem=500] #BSUB -extsched CRAYLINUX[] export NODES=2 %include diff --git a/model/ecflow_fv3gfs/scripts/gfs/prep.ecf b/model/ecflow_fv3gfs/scripts/gfs/prep.ecf index 9e99166..1c21d4e 100644 --- a/model/ecflow_fv3gfs/scripts/gfs/prep.ecf +++ b/model/ecflow_fv3gfs/scripts/gfs/prep.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.prep #BSUB -o %LOG%gfs.prep.log #BSUB -W 0:05 -#BSUB -R rusage[mem=2000] +#BSUB -R rusage[mem=500] #BSUB -extsched CRAYLINUX[] export NODES=2 %include diff --git a/model/ecflow_fv3gfs/scripts/gfs/vrfy.ecf b/model/ecflow_fv3gfs/scripts/gfs/vrfy.ecf index af70ace..81dd427 100644 --- a/model/ecflow_fv3gfs/scripts/gfs/vrfy.ecf +++ b/model/ecflow_fv3gfs/scripts/gfs/vrfy.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.vrfy #BSUB -o %LOG%gfs.vrfy.log #BSUB -W 0:05 -#BSUB -R rusage[mem=2000] +#BSUB -R rusage[mem=500] #BSUB -extsched CRAYLINUX[] export NODES=2 %include diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index 8cc87a8..d5b4290 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -8,17 +8,6 @@ scheduler: !calc | tools.get_scheduler(doc.scheduler_settings.name, doc.scheduler_settings) -sample_mpi_omp: &sample_mpi_omp !JobRequest - - exe: placeholder - mpi_ranks: 24 - OMP_NUM_THREADS: 2 - walltime: 00:05:00 - -sample_shared_serial: &sample_shared_serial !JobRequest - - exe: placeholder - walltime: 00:05:00 - exclusive: false - settings: NMEM_ENKF: 80 ECF_HOME: '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/' From e85465f13840413e64adc2f11c57014a2117c99d Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 2 Feb 2018 19:45:29 +0000 Subject: [PATCH 273/487] new directory structure for ecflow files --- model/ecflow_fv3gfs/doit.py | 23 ++++++++++--------- .../prod00/archive/earc/grp1.ecf | 12 ++++++++++ .../prod00/archive/earc/grp8.ecf | 12 ++++++++++ .../ecflow_fv3gfs/prod00/archive/gdasarch.ecf | 12 ++++++++++ .../ecflow_fv3gfs/prod00/archive/gfsarch.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod00/final.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod00/gdas/anal.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod00/gdas/enkf/ecen.ecf | 12 ++++++++++ .../prod00/gdas/enkf/efcs/grp1.ecf | 12 ++++++++++ .../prod00/gdas/enkf/efcs/grp8.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod00/gdas/enkf/eobs.ecf | 12 ++++++++++ .../prod00/gdas/enkf/eomg/grp1.ecf | 12 ++++++++++ .../prod00/gdas/enkf/eomg/grp8.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod00/gdas/enkf/epos.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod00/gdas/enkf/eupd.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod00/gdas/fcst.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod00/gdas/post.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod00/gdas/prep.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod00/gdas/vrfy.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod00/gfs/anal.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod00/gfs/fcst.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod00/gfs/post.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod00/gfs/prep.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod00/gfs/vrfy.ecf | 12 ++++++++++ .../prod06/archive/earc/grp1.ecf | 12 ++++++++++ .../prod06/archive/earc/grp8.ecf | 12 ++++++++++ .../ecflow_fv3gfs/prod06/archive/gdasarch.ecf | 12 ++++++++++ .../ecflow_fv3gfs/prod06/archive/gfsarch.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod06/final.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod06/gdas/anal.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod06/gdas/enkf/ecen.ecf | 12 ++++++++++ .../prod06/gdas/enkf/efcs/grp1.ecf | 12 ++++++++++ .../prod06/gdas/enkf/efcs/grp8.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod06/gdas/enkf/eobs.ecf | 12 ++++++++++ .../prod06/gdas/enkf/eomg/grp1.ecf | 12 ++++++++++ .../prod06/gdas/enkf/eomg/grp8.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod06/gdas/enkf/epos.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod06/gdas/enkf/eupd.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod06/gdas/fcst.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod06/gdas/post.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod06/gdas/prep.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod06/gdas/vrfy.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod06/gfs/anal.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod06/gfs/fcst.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod06/gfs/post.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod06/gfs/prep.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod06/gfs/vrfy.ecf | 12 ++++++++++ .../prod12/archive/earc/grp1.ecf | 12 ++++++++++ .../prod12/archive/earc/grp8.ecf | 12 ++++++++++ .../ecflow_fv3gfs/prod12/archive/gdasarch.ecf | 12 ++++++++++ .../ecflow_fv3gfs/prod12/archive/gfsarch.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod12/final.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod12/gdas/anal.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod12/gdas/enkf/ecen.ecf | 12 ++++++++++ .../prod12/gdas/enkf/efcs/grp1.ecf | 12 ++++++++++ .../prod12/gdas/enkf/efcs/grp8.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod12/gdas/enkf/eobs.ecf | 12 ++++++++++ .../prod12/gdas/enkf/eomg/grp1.ecf | 12 ++++++++++ .../prod12/gdas/enkf/eomg/grp8.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod12/gdas/enkf/epos.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod12/gdas/enkf/eupd.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod12/gdas/fcst.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod12/gdas/post.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod12/gdas/prep.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod12/gdas/vrfy.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod12/gfs/anal.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod12/gfs/fcst.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod12/gfs/post.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod12/gfs/prep.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod12/gfs/vrfy.ecf | 12 ++++++++++ .../prod18/archive/earc/grp1.ecf | 12 ++++++++++ .../prod18/archive/earc/grp8.ecf | 12 ++++++++++ .../ecflow_fv3gfs/prod18/archive/gdasarch.ecf | 12 ++++++++++ .../ecflow_fv3gfs/prod18/archive/gfsarch.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod18/final.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod18/gdas/anal.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod18/gdas/enkf/ecen.ecf | 12 ++++++++++ .../prod18/gdas/enkf/efcs/grp1.ecf | 12 ++++++++++ .../prod18/gdas/enkf/efcs/grp8.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod18/gdas/enkf/eobs.ecf | 12 ++++++++++ .../prod18/gdas/enkf/eomg/grp1.ecf | 12 ++++++++++ .../prod18/gdas/enkf/eomg/grp8.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod18/gdas/enkf/epos.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod18/gdas/enkf/eupd.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod18/gdas/fcst.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod18/gdas/post.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod18/gdas/prep.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod18/gdas/vrfy.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod18/gfs/anal.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod18/gfs/fcst.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod18/gfs/post.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod18/gfs/prep.ecf | 12 ++++++++++ model/ecflow_fv3gfs/prod18/gfs/vrfy.ecf | 12 ++++++++++ 93 files changed, 1116 insertions(+), 11 deletions(-) create mode 100644 model/ecflow_fv3gfs/prod00/archive/earc/grp1.ecf create mode 100644 model/ecflow_fv3gfs/prod00/archive/earc/grp8.ecf create mode 100644 model/ecflow_fv3gfs/prod00/archive/gdasarch.ecf create mode 100644 model/ecflow_fv3gfs/prod00/archive/gfsarch.ecf create mode 100644 model/ecflow_fv3gfs/prod00/final.ecf create mode 100644 model/ecflow_fv3gfs/prod00/gdas/anal.ecf create mode 100644 model/ecflow_fv3gfs/prod00/gdas/enkf/ecen.ecf create mode 100644 model/ecflow_fv3gfs/prod00/gdas/enkf/efcs/grp1.ecf create mode 100644 model/ecflow_fv3gfs/prod00/gdas/enkf/efcs/grp8.ecf create mode 100644 model/ecflow_fv3gfs/prod00/gdas/enkf/eobs.ecf create mode 100644 model/ecflow_fv3gfs/prod00/gdas/enkf/eomg/grp1.ecf create mode 100644 model/ecflow_fv3gfs/prod00/gdas/enkf/eomg/grp8.ecf create mode 100644 model/ecflow_fv3gfs/prod00/gdas/enkf/epos.ecf create mode 100644 model/ecflow_fv3gfs/prod00/gdas/enkf/eupd.ecf create mode 100644 model/ecflow_fv3gfs/prod00/gdas/fcst.ecf create mode 100644 model/ecflow_fv3gfs/prod00/gdas/post.ecf create mode 100644 model/ecflow_fv3gfs/prod00/gdas/prep.ecf create mode 100644 model/ecflow_fv3gfs/prod00/gdas/vrfy.ecf create mode 100644 model/ecflow_fv3gfs/prod00/gfs/anal.ecf create mode 100644 model/ecflow_fv3gfs/prod00/gfs/fcst.ecf create mode 100644 model/ecflow_fv3gfs/prod00/gfs/post.ecf create mode 100644 model/ecflow_fv3gfs/prod00/gfs/prep.ecf create mode 100644 model/ecflow_fv3gfs/prod00/gfs/vrfy.ecf create mode 100644 model/ecflow_fv3gfs/prod06/archive/earc/grp1.ecf create mode 100644 model/ecflow_fv3gfs/prod06/archive/earc/grp8.ecf create mode 100644 model/ecflow_fv3gfs/prod06/archive/gdasarch.ecf create mode 100644 model/ecflow_fv3gfs/prod06/archive/gfsarch.ecf create mode 100644 model/ecflow_fv3gfs/prod06/final.ecf create mode 100644 model/ecflow_fv3gfs/prod06/gdas/anal.ecf create mode 100644 model/ecflow_fv3gfs/prod06/gdas/enkf/ecen.ecf create mode 100644 model/ecflow_fv3gfs/prod06/gdas/enkf/efcs/grp1.ecf create mode 100644 model/ecflow_fv3gfs/prod06/gdas/enkf/efcs/grp8.ecf create mode 100644 model/ecflow_fv3gfs/prod06/gdas/enkf/eobs.ecf create mode 100644 model/ecflow_fv3gfs/prod06/gdas/enkf/eomg/grp1.ecf create mode 100644 model/ecflow_fv3gfs/prod06/gdas/enkf/eomg/grp8.ecf create mode 100644 model/ecflow_fv3gfs/prod06/gdas/enkf/epos.ecf create mode 100644 model/ecflow_fv3gfs/prod06/gdas/enkf/eupd.ecf create mode 100644 model/ecflow_fv3gfs/prod06/gdas/fcst.ecf create mode 100644 model/ecflow_fv3gfs/prod06/gdas/post.ecf create mode 100644 model/ecflow_fv3gfs/prod06/gdas/prep.ecf create mode 100644 model/ecflow_fv3gfs/prod06/gdas/vrfy.ecf create mode 100644 model/ecflow_fv3gfs/prod06/gfs/anal.ecf create mode 100644 model/ecflow_fv3gfs/prod06/gfs/fcst.ecf create mode 100644 model/ecflow_fv3gfs/prod06/gfs/post.ecf create mode 100644 model/ecflow_fv3gfs/prod06/gfs/prep.ecf create mode 100644 model/ecflow_fv3gfs/prod06/gfs/vrfy.ecf create mode 100644 model/ecflow_fv3gfs/prod12/archive/earc/grp1.ecf create mode 100644 model/ecflow_fv3gfs/prod12/archive/earc/grp8.ecf create mode 100644 model/ecflow_fv3gfs/prod12/archive/gdasarch.ecf create mode 100644 model/ecflow_fv3gfs/prod12/archive/gfsarch.ecf create mode 100644 model/ecflow_fv3gfs/prod12/final.ecf create mode 100644 model/ecflow_fv3gfs/prod12/gdas/anal.ecf create mode 100644 model/ecflow_fv3gfs/prod12/gdas/enkf/ecen.ecf create mode 100644 model/ecflow_fv3gfs/prod12/gdas/enkf/efcs/grp1.ecf create mode 100644 model/ecflow_fv3gfs/prod12/gdas/enkf/efcs/grp8.ecf create mode 100644 model/ecflow_fv3gfs/prod12/gdas/enkf/eobs.ecf create mode 100644 model/ecflow_fv3gfs/prod12/gdas/enkf/eomg/grp1.ecf create mode 100644 model/ecflow_fv3gfs/prod12/gdas/enkf/eomg/grp8.ecf create mode 100644 model/ecflow_fv3gfs/prod12/gdas/enkf/epos.ecf create mode 100644 model/ecflow_fv3gfs/prod12/gdas/enkf/eupd.ecf create mode 100644 model/ecflow_fv3gfs/prod12/gdas/fcst.ecf create mode 100644 model/ecflow_fv3gfs/prod12/gdas/post.ecf create mode 100644 model/ecflow_fv3gfs/prod12/gdas/prep.ecf create mode 100644 model/ecflow_fv3gfs/prod12/gdas/vrfy.ecf create mode 100644 model/ecflow_fv3gfs/prod12/gfs/anal.ecf create mode 100644 model/ecflow_fv3gfs/prod12/gfs/fcst.ecf create mode 100644 model/ecflow_fv3gfs/prod12/gfs/post.ecf create mode 100644 model/ecflow_fv3gfs/prod12/gfs/prep.ecf create mode 100644 model/ecflow_fv3gfs/prod12/gfs/vrfy.ecf create mode 100644 model/ecflow_fv3gfs/prod18/archive/earc/grp1.ecf create mode 100644 model/ecflow_fv3gfs/prod18/archive/earc/grp8.ecf create mode 100644 model/ecflow_fv3gfs/prod18/archive/gdasarch.ecf create mode 100644 model/ecflow_fv3gfs/prod18/archive/gfsarch.ecf create mode 100644 model/ecflow_fv3gfs/prod18/final.ecf create mode 100644 model/ecflow_fv3gfs/prod18/gdas/anal.ecf create mode 100644 model/ecflow_fv3gfs/prod18/gdas/enkf/ecen.ecf create mode 100644 model/ecflow_fv3gfs/prod18/gdas/enkf/efcs/grp1.ecf create mode 100644 model/ecflow_fv3gfs/prod18/gdas/enkf/efcs/grp8.ecf create mode 100644 model/ecflow_fv3gfs/prod18/gdas/enkf/eobs.ecf create mode 100644 model/ecflow_fv3gfs/prod18/gdas/enkf/eomg/grp1.ecf create mode 100644 model/ecflow_fv3gfs/prod18/gdas/enkf/eomg/grp8.ecf create mode 100644 model/ecflow_fv3gfs/prod18/gdas/enkf/epos.ecf create mode 100644 model/ecflow_fv3gfs/prod18/gdas/enkf/eupd.ecf create mode 100644 model/ecflow_fv3gfs/prod18/gdas/fcst.ecf create mode 100644 model/ecflow_fv3gfs/prod18/gdas/post.ecf create mode 100644 model/ecflow_fv3gfs/prod18/gdas/prep.ecf create mode 100644 model/ecflow_fv3gfs/prod18/gdas/vrfy.ecf create mode 100644 model/ecflow_fv3gfs/prod18/gfs/anal.ecf create mode 100644 model/ecflow_fv3gfs/prod18/gfs/fcst.ecf create mode 100644 model/ecflow_fv3gfs/prod18/gfs/post.ecf create mode 100644 model/ecflow_fv3gfs/prod18/gfs/prep.ecf create mode 100644 model/ecflow_fv3gfs/prod18/gfs/vrfy.ecf diff --git a/model/ecflow_fv3gfs/doit.py b/model/ecflow_fv3gfs/doit.py index dcc94de..17cc330 100755 --- a/model/ecflow_fv3gfs/doit.py +++ b/model/ecflow_fv3gfs/doit.py @@ -14,23 +14,24 @@ def make_parent_dir(filename): if dirname and not os.path.exists(dirname): os.makedirs(os.path.dirname(filename)) -for defname in suite_defs: +for deffile in suite_defs.keys(): + defname,defcontents = suite_defs[deffile] #print(f'=== contents of suite def {defname}\n{suite_defs[defname]}') - filename=os.path.join('defs',defname) + filename=os.path.join('defs',deffile) make_parent_dir(filename) print(filename) dirname=os.path.dirname(filename) if dirname and not os.path.exists(dirname): os.makedirs(os.path.dirname(filename)) with open(filename,'wt') as fd: - fd.write(suite_defs[defname]) + fd.write(defcontents) -for setname in ecf_files: - print(f'ecf file set {setname}:\n') - for filename in ecf_files[setname]: - full_fn=os.path.join('scripts',filename)+'.ecf' - print(f' file {full_fn}') - make_parent_dir(full_fn) - with open(full_fn,'wt') as fd: - fd.write(ecf_files[setname][filename]) + for setname in ecf_files: + print(f'ecf file set {setname}:\n') + for filename in ecf_files[setname]: + full_fn=os.path.join(defname,filename)+'.ecf' + print(f' file {full_fn}') + make_parent_dir(full_fn) + with open(full_fn,'wt') as fd: + fd.write(ecf_files[setname][filename]) diff --git a/model/ecflow_fv3gfs/prod00/archive/earc/grp1.ecf b/model/ecflow_fv3gfs/prod00/archive/earc/grp1.ecf new file mode 100644 index 0000000..a2eaca4 --- /dev/null +++ b/model/ecflow_fv3gfs/prod00/archive/earc/grp1.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp1 +#BSUB -o %LOG%archive.earc.grp1.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 0 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/prod00/archive/earc/grp8.ecf b/model/ecflow_fv3gfs/prod00/archive/earc/grp8.ecf new file mode 100644 index 0000000..2aa3682 --- /dev/null +++ b/model/ecflow_fv3gfs/prod00/archive/earc/grp8.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp8 +#BSUB -o %LOG%archive.earc.grp8.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 0 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/prod00/archive/gdasarch.ecf b/model/ecflow_fv3gfs/prod00/archive/gdasarch.ecf new file mode 100644 index 0000000..6c8b2ec --- /dev/null +++ b/model/ecflow_fv3gfs/prod00/archive/gdasarch.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J archive.gdasarch +#BSUB -o %LOG%archive.gdasarch.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 0 + +%include +echo ${JOBgfs}/gdasarch +%include diff --git a/model/ecflow_fv3gfs/prod00/archive/gfsarch.ecf b/model/ecflow_fv3gfs/prod00/archive/gfsarch.ecf new file mode 100644 index 0000000..6d553ca --- /dev/null +++ b/model/ecflow_fv3gfs/prod00/archive/gfsarch.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J archive.gfsarch +#BSUB -o %LOG%archive.gfsarch.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 0 + +%include +echo ${JOBgfs}/gfsarch +%include diff --git a/model/ecflow_fv3gfs/prod00/final.ecf b/model/ecflow_fv3gfs/prod00/final.ecf new file mode 100644 index 0000000..2cf36a7 --- /dev/null +++ b/model/ecflow_fv3gfs/prod00/final.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J final +#BSUB -o %LOG%final.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 0 + +%include +echo ${JOBgfs}/final +%include diff --git a/model/ecflow_fv3gfs/prod00/gdas/anal.ecf b/model/ecflow_fv3gfs/prod00/gdas/anal.ecf new file mode 100644 index 0000000..bc215ac --- /dev/null +++ b/model/ecflow_fv3gfs/prod00/gdas/anal.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.anal +#BSUB -o %LOG%gdas.anal.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/anal +%include diff --git a/model/ecflow_fv3gfs/prod00/gdas/enkf/ecen.ecf b/model/ecflow_fv3gfs/prod00/gdas/enkf/ecen.ecf new file mode 100644 index 0000000..274f084 --- /dev/null +++ b/model/ecflow_fv3gfs/prod00/gdas/enkf/ecen.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.ecen +#BSUB -o %LOG%gdas.enkf.ecen.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/ecen +%include diff --git a/model/ecflow_fv3gfs/prod00/gdas/enkf/efcs/grp1.ecf b/model/ecflow_fv3gfs/prod00/gdas/enkf/efcs/grp1.ecf new file mode 100644 index 0000000..25fbbb1 --- /dev/null +++ b/model/ecflow_fv3gfs/prod00/gdas/enkf/efcs/grp1.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp1 +#BSUB -o %LOG%gdas.enkf.efcs.grp1.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/prod00/gdas/enkf/efcs/grp8.ecf b/model/ecflow_fv3gfs/prod00/gdas/enkf/efcs/grp8.ecf new file mode 100644 index 0000000..6caa36a --- /dev/null +++ b/model/ecflow_fv3gfs/prod00/gdas/enkf/efcs/grp8.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp8 +#BSUB -o %LOG%gdas.enkf.efcs.grp8.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/prod00/gdas/enkf/eobs.ecf b/model/ecflow_fv3gfs/prod00/gdas/enkf/eobs.ecf new file mode 100644 index 0000000..bb324ee --- /dev/null +++ b/model/ecflow_fv3gfs/prod00/gdas/enkf/eobs.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eobs +#BSUB -o %LOG%gdas.enkf.eobs.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eobs +%include diff --git a/model/ecflow_fv3gfs/prod00/gdas/enkf/eomg/grp1.ecf b/model/ecflow_fv3gfs/prod00/gdas/enkf/eomg/grp1.ecf new file mode 100644 index 0000000..3bcd2a2 --- /dev/null +++ b/model/ecflow_fv3gfs/prod00/gdas/enkf/eomg/grp1.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp1 +#BSUB -o %LOG%gdas.enkf.eomg.grp1.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/prod00/gdas/enkf/eomg/grp8.ecf b/model/ecflow_fv3gfs/prod00/gdas/enkf/eomg/grp8.ecf new file mode 100644 index 0000000..3f057c0 --- /dev/null +++ b/model/ecflow_fv3gfs/prod00/gdas/enkf/eomg/grp8.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp8 +#BSUB -o %LOG%gdas.enkf.eomg.grp8.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/prod00/gdas/enkf/epos.ecf b/model/ecflow_fv3gfs/prod00/gdas/enkf/epos.ecf new file mode 100644 index 0000000..b15927f --- /dev/null +++ b/model/ecflow_fv3gfs/prod00/gdas/enkf/epos.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.epos +#BSUB -o %LOG%gdas.enkf.epos.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/epos +%include diff --git a/model/ecflow_fv3gfs/prod00/gdas/enkf/eupd.ecf b/model/ecflow_fv3gfs/prod00/gdas/enkf/eupd.ecf new file mode 100644 index 0000000..f060c95 --- /dev/null +++ b/model/ecflow_fv3gfs/prod00/gdas/enkf/eupd.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eupd +#BSUB -o %LOG%gdas.enkf.eupd.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eupd +%include diff --git a/model/ecflow_fv3gfs/prod00/gdas/fcst.ecf b/model/ecflow_fv3gfs/prod00/gdas/fcst.ecf new file mode 100644 index 0000000..4ccd2f8 --- /dev/null +++ b/model/ecflow_fv3gfs/prod00/gdas/fcst.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.fcst +#BSUB -o %LOG%gdas.fcst.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/fcst +%include diff --git a/model/ecflow_fv3gfs/prod00/gdas/post.ecf b/model/ecflow_fv3gfs/prod00/gdas/post.ecf new file mode 100644 index 0000000..84c0565 --- /dev/null +++ b/model/ecflow_fv3gfs/prod00/gdas/post.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post +#BSUB -o %LOG%gdas.post.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/post +%include diff --git a/model/ecflow_fv3gfs/prod00/gdas/prep.ecf b/model/ecflow_fv3gfs/prod00/gdas/prep.ecf new file mode 100644 index 0000000..972c606 --- /dev/null +++ b/model/ecflow_fv3gfs/prod00/gdas/prep.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep +#BSUB -o %LOG%gdas.prep.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/prep +%include diff --git a/model/ecflow_fv3gfs/prod00/gdas/vrfy.ecf b/model/ecflow_fv3gfs/prod00/gdas/vrfy.ecf new file mode 100644 index 0000000..e85b243 --- /dev/null +++ b/model/ecflow_fv3gfs/prod00/gdas/vrfy.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.vrfy +#BSUB -o %LOG%gdas.vrfy.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/vrfy +%include diff --git a/model/ecflow_fv3gfs/prod00/gfs/anal.ecf b/model/ecflow_fv3gfs/prod00/gfs/anal.ecf new file mode 100644 index 0000000..1093f11 --- /dev/null +++ b/model/ecflow_fv3gfs/prod00/gfs/anal.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.anal +#BSUB -o %LOG%gfs.anal.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/anal +%include diff --git a/model/ecflow_fv3gfs/prod00/gfs/fcst.ecf b/model/ecflow_fv3gfs/prod00/gfs/fcst.ecf new file mode 100644 index 0000000..1796678 --- /dev/null +++ b/model/ecflow_fv3gfs/prod00/gfs/fcst.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.fcst +#BSUB -o %LOG%gfs.fcst.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/fcst +%include diff --git a/model/ecflow_fv3gfs/prod00/gfs/post.ecf b/model/ecflow_fv3gfs/prod00/gfs/post.ecf new file mode 100644 index 0000000..6fa723a --- /dev/null +++ b/model/ecflow_fv3gfs/prod00/gfs/post.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post +#BSUB -o %LOG%gfs.post.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/post +%include diff --git a/model/ecflow_fv3gfs/prod00/gfs/prep.ecf b/model/ecflow_fv3gfs/prod00/gfs/prep.ecf new file mode 100644 index 0000000..1c21d4e --- /dev/null +++ b/model/ecflow_fv3gfs/prod00/gfs/prep.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep +#BSUB -o %LOG%gfs.prep.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/prep +%include diff --git a/model/ecflow_fv3gfs/prod00/gfs/vrfy.ecf b/model/ecflow_fv3gfs/prod00/gfs/vrfy.ecf new file mode 100644 index 0000000..81dd427 --- /dev/null +++ b/model/ecflow_fv3gfs/prod00/gfs/vrfy.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.vrfy +#BSUB -o %LOG%gfs.vrfy.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/vrfy +%include diff --git a/model/ecflow_fv3gfs/prod06/archive/earc/grp1.ecf b/model/ecflow_fv3gfs/prod06/archive/earc/grp1.ecf new file mode 100644 index 0000000..a2eaca4 --- /dev/null +++ b/model/ecflow_fv3gfs/prod06/archive/earc/grp1.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp1 +#BSUB -o %LOG%archive.earc.grp1.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 0 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/prod06/archive/earc/grp8.ecf b/model/ecflow_fv3gfs/prod06/archive/earc/grp8.ecf new file mode 100644 index 0000000..2aa3682 --- /dev/null +++ b/model/ecflow_fv3gfs/prod06/archive/earc/grp8.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp8 +#BSUB -o %LOG%archive.earc.grp8.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 0 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/prod06/archive/gdasarch.ecf b/model/ecflow_fv3gfs/prod06/archive/gdasarch.ecf new file mode 100644 index 0000000..6c8b2ec --- /dev/null +++ b/model/ecflow_fv3gfs/prod06/archive/gdasarch.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J archive.gdasarch +#BSUB -o %LOG%archive.gdasarch.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 0 + +%include +echo ${JOBgfs}/gdasarch +%include diff --git a/model/ecflow_fv3gfs/prod06/archive/gfsarch.ecf b/model/ecflow_fv3gfs/prod06/archive/gfsarch.ecf new file mode 100644 index 0000000..6d553ca --- /dev/null +++ b/model/ecflow_fv3gfs/prod06/archive/gfsarch.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J archive.gfsarch +#BSUB -o %LOG%archive.gfsarch.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 0 + +%include +echo ${JOBgfs}/gfsarch +%include diff --git a/model/ecflow_fv3gfs/prod06/final.ecf b/model/ecflow_fv3gfs/prod06/final.ecf new file mode 100644 index 0000000..2cf36a7 --- /dev/null +++ b/model/ecflow_fv3gfs/prod06/final.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J final +#BSUB -o %LOG%final.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 0 + +%include +echo ${JOBgfs}/final +%include diff --git a/model/ecflow_fv3gfs/prod06/gdas/anal.ecf b/model/ecflow_fv3gfs/prod06/gdas/anal.ecf new file mode 100644 index 0000000..bc215ac --- /dev/null +++ b/model/ecflow_fv3gfs/prod06/gdas/anal.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.anal +#BSUB -o %LOG%gdas.anal.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/anal +%include diff --git a/model/ecflow_fv3gfs/prod06/gdas/enkf/ecen.ecf b/model/ecflow_fv3gfs/prod06/gdas/enkf/ecen.ecf new file mode 100644 index 0000000..274f084 --- /dev/null +++ b/model/ecflow_fv3gfs/prod06/gdas/enkf/ecen.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.ecen +#BSUB -o %LOG%gdas.enkf.ecen.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/ecen +%include diff --git a/model/ecflow_fv3gfs/prod06/gdas/enkf/efcs/grp1.ecf b/model/ecflow_fv3gfs/prod06/gdas/enkf/efcs/grp1.ecf new file mode 100644 index 0000000..25fbbb1 --- /dev/null +++ b/model/ecflow_fv3gfs/prod06/gdas/enkf/efcs/grp1.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp1 +#BSUB -o %LOG%gdas.enkf.efcs.grp1.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/prod06/gdas/enkf/efcs/grp8.ecf b/model/ecflow_fv3gfs/prod06/gdas/enkf/efcs/grp8.ecf new file mode 100644 index 0000000..6caa36a --- /dev/null +++ b/model/ecflow_fv3gfs/prod06/gdas/enkf/efcs/grp8.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp8 +#BSUB -o %LOG%gdas.enkf.efcs.grp8.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/prod06/gdas/enkf/eobs.ecf b/model/ecflow_fv3gfs/prod06/gdas/enkf/eobs.ecf new file mode 100644 index 0000000..bb324ee --- /dev/null +++ b/model/ecflow_fv3gfs/prod06/gdas/enkf/eobs.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eobs +#BSUB -o %LOG%gdas.enkf.eobs.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eobs +%include diff --git a/model/ecflow_fv3gfs/prod06/gdas/enkf/eomg/grp1.ecf b/model/ecflow_fv3gfs/prod06/gdas/enkf/eomg/grp1.ecf new file mode 100644 index 0000000..3bcd2a2 --- /dev/null +++ b/model/ecflow_fv3gfs/prod06/gdas/enkf/eomg/grp1.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp1 +#BSUB -o %LOG%gdas.enkf.eomg.grp1.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/prod06/gdas/enkf/eomg/grp8.ecf b/model/ecflow_fv3gfs/prod06/gdas/enkf/eomg/grp8.ecf new file mode 100644 index 0000000..3f057c0 --- /dev/null +++ b/model/ecflow_fv3gfs/prod06/gdas/enkf/eomg/grp8.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp8 +#BSUB -o %LOG%gdas.enkf.eomg.grp8.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/prod06/gdas/enkf/epos.ecf b/model/ecflow_fv3gfs/prod06/gdas/enkf/epos.ecf new file mode 100644 index 0000000..b15927f --- /dev/null +++ b/model/ecflow_fv3gfs/prod06/gdas/enkf/epos.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.epos +#BSUB -o %LOG%gdas.enkf.epos.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/epos +%include diff --git a/model/ecflow_fv3gfs/prod06/gdas/enkf/eupd.ecf b/model/ecflow_fv3gfs/prod06/gdas/enkf/eupd.ecf new file mode 100644 index 0000000..f060c95 --- /dev/null +++ b/model/ecflow_fv3gfs/prod06/gdas/enkf/eupd.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eupd +#BSUB -o %LOG%gdas.enkf.eupd.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eupd +%include diff --git a/model/ecflow_fv3gfs/prod06/gdas/fcst.ecf b/model/ecflow_fv3gfs/prod06/gdas/fcst.ecf new file mode 100644 index 0000000..4ccd2f8 --- /dev/null +++ b/model/ecflow_fv3gfs/prod06/gdas/fcst.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.fcst +#BSUB -o %LOG%gdas.fcst.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/fcst +%include diff --git a/model/ecflow_fv3gfs/prod06/gdas/post.ecf b/model/ecflow_fv3gfs/prod06/gdas/post.ecf new file mode 100644 index 0000000..84c0565 --- /dev/null +++ b/model/ecflow_fv3gfs/prod06/gdas/post.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post +#BSUB -o %LOG%gdas.post.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/post +%include diff --git a/model/ecflow_fv3gfs/prod06/gdas/prep.ecf b/model/ecflow_fv3gfs/prod06/gdas/prep.ecf new file mode 100644 index 0000000..972c606 --- /dev/null +++ b/model/ecflow_fv3gfs/prod06/gdas/prep.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep +#BSUB -o %LOG%gdas.prep.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/prep +%include diff --git a/model/ecflow_fv3gfs/prod06/gdas/vrfy.ecf b/model/ecflow_fv3gfs/prod06/gdas/vrfy.ecf new file mode 100644 index 0000000..e85b243 --- /dev/null +++ b/model/ecflow_fv3gfs/prod06/gdas/vrfy.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.vrfy +#BSUB -o %LOG%gdas.vrfy.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/vrfy +%include diff --git a/model/ecflow_fv3gfs/prod06/gfs/anal.ecf b/model/ecflow_fv3gfs/prod06/gfs/anal.ecf new file mode 100644 index 0000000..1093f11 --- /dev/null +++ b/model/ecflow_fv3gfs/prod06/gfs/anal.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.anal +#BSUB -o %LOG%gfs.anal.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/anal +%include diff --git a/model/ecflow_fv3gfs/prod06/gfs/fcst.ecf b/model/ecflow_fv3gfs/prod06/gfs/fcst.ecf new file mode 100644 index 0000000..1796678 --- /dev/null +++ b/model/ecflow_fv3gfs/prod06/gfs/fcst.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.fcst +#BSUB -o %LOG%gfs.fcst.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/fcst +%include diff --git a/model/ecflow_fv3gfs/prod06/gfs/post.ecf b/model/ecflow_fv3gfs/prod06/gfs/post.ecf new file mode 100644 index 0000000..6fa723a --- /dev/null +++ b/model/ecflow_fv3gfs/prod06/gfs/post.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post +#BSUB -o %LOG%gfs.post.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/post +%include diff --git a/model/ecflow_fv3gfs/prod06/gfs/prep.ecf b/model/ecflow_fv3gfs/prod06/gfs/prep.ecf new file mode 100644 index 0000000..1c21d4e --- /dev/null +++ b/model/ecflow_fv3gfs/prod06/gfs/prep.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep +#BSUB -o %LOG%gfs.prep.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/prep +%include diff --git a/model/ecflow_fv3gfs/prod06/gfs/vrfy.ecf b/model/ecflow_fv3gfs/prod06/gfs/vrfy.ecf new file mode 100644 index 0000000..81dd427 --- /dev/null +++ b/model/ecflow_fv3gfs/prod06/gfs/vrfy.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.vrfy +#BSUB -o %LOG%gfs.vrfy.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/vrfy +%include diff --git a/model/ecflow_fv3gfs/prod12/archive/earc/grp1.ecf b/model/ecflow_fv3gfs/prod12/archive/earc/grp1.ecf new file mode 100644 index 0000000..a2eaca4 --- /dev/null +++ b/model/ecflow_fv3gfs/prod12/archive/earc/grp1.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp1 +#BSUB -o %LOG%archive.earc.grp1.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 0 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/prod12/archive/earc/grp8.ecf b/model/ecflow_fv3gfs/prod12/archive/earc/grp8.ecf new file mode 100644 index 0000000..2aa3682 --- /dev/null +++ b/model/ecflow_fv3gfs/prod12/archive/earc/grp8.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp8 +#BSUB -o %LOG%archive.earc.grp8.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 0 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/prod12/archive/gdasarch.ecf b/model/ecflow_fv3gfs/prod12/archive/gdasarch.ecf new file mode 100644 index 0000000..6c8b2ec --- /dev/null +++ b/model/ecflow_fv3gfs/prod12/archive/gdasarch.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J archive.gdasarch +#BSUB -o %LOG%archive.gdasarch.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 0 + +%include +echo ${JOBgfs}/gdasarch +%include diff --git a/model/ecflow_fv3gfs/prod12/archive/gfsarch.ecf b/model/ecflow_fv3gfs/prod12/archive/gfsarch.ecf new file mode 100644 index 0000000..6d553ca --- /dev/null +++ b/model/ecflow_fv3gfs/prod12/archive/gfsarch.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J archive.gfsarch +#BSUB -o %LOG%archive.gfsarch.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 0 + +%include +echo ${JOBgfs}/gfsarch +%include diff --git a/model/ecflow_fv3gfs/prod12/final.ecf b/model/ecflow_fv3gfs/prod12/final.ecf new file mode 100644 index 0000000..2cf36a7 --- /dev/null +++ b/model/ecflow_fv3gfs/prod12/final.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J final +#BSUB -o %LOG%final.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 0 + +%include +echo ${JOBgfs}/final +%include diff --git a/model/ecflow_fv3gfs/prod12/gdas/anal.ecf b/model/ecflow_fv3gfs/prod12/gdas/anal.ecf new file mode 100644 index 0000000..bc215ac --- /dev/null +++ b/model/ecflow_fv3gfs/prod12/gdas/anal.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.anal +#BSUB -o %LOG%gdas.anal.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/anal +%include diff --git a/model/ecflow_fv3gfs/prod12/gdas/enkf/ecen.ecf b/model/ecflow_fv3gfs/prod12/gdas/enkf/ecen.ecf new file mode 100644 index 0000000..274f084 --- /dev/null +++ b/model/ecflow_fv3gfs/prod12/gdas/enkf/ecen.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.ecen +#BSUB -o %LOG%gdas.enkf.ecen.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/ecen +%include diff --git a/model/ecflow_fv3gfs/prod12/gdas/enkf/efcs/grp1.ecf b/model/ecflow_fv3gfs/prod12/gdas/enkf/efcs/grp1.ecf new file mode 100644 index 0000000..25fbbb1 --- /dev/null +++ b/model/ecflow_fv3gfs/prod12/gdas/enkf/efcs/grp1.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp1 +#BSUB -o %LOG%gdas.enkf.efcs.grp1.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/prod12/gdas/enkf/efcs/grp8.ecf b/model/ecflow_fv3gfs/prod12/gdas/enkf/efcs/grp8.ecf new file mode 100644 index 0000000..6caa36a --- /dev/null +++ b/model/ecflow_fv3gfs/prod12/gdas/enkf/efcs/grp8.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp8 +#BSUB -o %LOG%gdas.enkf.efcs.grp8.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/prod12/gdas/enkf/eobs.ecf b/model/ecflow_fv3gfs/prod12/gdas/enkf/eobs.ecf new file mode 100644 index 0000000..bb324ee --- /dev/null +++ b/model/ecflow_fv3gfs/prod12/gdas/enkf/eobs.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eobs +#BSUB -o %LOG%gdas.enkf.eobs.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eobs +%include diff --git a/model/ecflow_fv3gfs/prod12/gdas/enkf/eomg/grp1.ecf b/model/ecflow_fv3gfs/prod12/gdas/enkf/eomg/grp1.ecf new file mode 100644 index 0000000..3bcd2a2 --- /dev/null +++ b/model/ecflow_fv3gfs/prod12/gdas/enkf/eomg/grp1.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp1 +#BSUB -o %LOG%gdas.enkf.eomg.grp1.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/prod12/gdas/enkf/eomg/grp8.ecf b/model/ecflow_fv3gfs/prod12/gdas/enkf/eomg/grp8.ecf new file mode 100644 index 0000000..3f057c0 --- /dev/null +++ b/model/ecflow_fv3gfs/prod12/gdas/enkf/eomg/grp8.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp8 +#BSUB -o %LOG%gdas.enkf.eomg.grp8.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/prod12/gdas/enkf/epos.ecf b/model/ecflow_fv3gfs/prod12/gdas/enkf/epos.ecf new file mode 100644 index 0000000..b15927f --- /dev/null +++ b/model/ecflow_fv3gfs/prod12/gdas/enkf/epos.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.epos +#BSUB -o %LOG%gdas.enkf.epos.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/epos +%include diff --git a/model/ecflow_fv3gfs/prod12/gdas/enkf/eupd.ecf b/model/ecflow_fv3gfs/prod12/gdas/enkf/eupd.ecf new file mode 100644 index 0000000..f060c95 --- /dev/null +++ b/model/ecflow_fv3gfs/prod12/gdas/enkf/eupd.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eupd +#BSUB -o %LOG%gdas.enkf.eupd.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eupd +%include diff --git a/model/ecflow_fv3gfs/prod12/gdas/fcst.ecf b/model/ecflow_fv3gfs/prod12/gdas/fcst.ecf new file mode 100644 index 0000000..4ccd2f8 --- /dev/null +++ b/model/ecflow_fv3gfs/prod12/gdas/fcst.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.fcst +#BSUB -o %LOG%gdas.fcst.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/fcst +%include diff --git a/model/ecflow_fv3gfs/prod12/gdas/post.ecf b/model/ecflow_fv3gfs/prod12/gdas/post.ecf new file mode 100644 index 0000000..84c0565 --- /dev/null +++ b/model/ecflow_fv3gfs/prod12/gdas/post.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post +#BSUB -o %LOG%gdas.post.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/post +%include diff --git a/model/ecflow_fv3gfs/prod12/gdas/prep.ecf b/model/ecflow_fv3gfs/prod12/gdas/prep.ecf new file mode 100644 index 0000000..972c606 --- /dev/null +++ b/model/ecflow_fv3gfs/prod12/gdas/prep.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep +#BSUB -o %LOG%gdas.prep.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/prep +%include diff --git a/model/ecflow_fv3gfs/prod12/gdas/vrfy.ecf b/model/ecflow_fv3gfs/prod12/gdas/vrfy.ecf new file mode 100644 index 0000000..e85b243 --- /dev/null +++ b/model/ecflow_fv3gfs/prod12/gdas/vrfy.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.vrfy +#BSUB -o %LOG%gdas.vrfy.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/vrfy +%include diff --git a/model/ecflow_fv3gfs/prod12/gfs/anal.ecf b/model/ecflow_fv3gfs/prod12/gfs/anal.ecf new file mode 100644 index 0000000..1093f11 --- /dev/null +++ b/model/ecflow_fv3gfs/prod12/gfs/anal.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.anal +#BSUB -o %LOG%gfs.anal.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/anal +%include diff --git a/model/ecflow_fv3gfs/prod12/gfs/fcst.ecf b/model/ecflow_fv3gfs/prod12/gfs/fcst.ecf new file mode 100644 index 0000000..1796678 --- /dev/null +++ b/model/ecflow_fv3gfs/prod12/gfs/fcst.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.fcst +#BSUB -o %LOG%gfs.fcst.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/fcst +%include diff --git a/model/ecflow_fv3gfs/prod12/gfs/post.ecf b/model/ecflow_fv3gfs/prod12/gfs/post.ecf new file mode 100644 index 0000000..6fa723a --- /dev/null +++ b/model/ecflow_fv3gfs/prod12/gfs/post.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post +#BSUB -o %LOG%gfs.post.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/post +%include diff --git a/model/ecflow_fv3gfs/prod12/gfs/prep.ecf b/model/ecflow_fv3gfs/prod12/gfs/prep.ecf new file mode 100644 index 0000000..1c21d4e --- /dev/null +++ b/model/ecflow_fv3gfs/prod12/gfs/prep.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep +#BSUB -o %LOG%gfs.prep.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/prep +%include diff --git a/model/ecflow_fv3gfs/prod12/gfs/vrfy.ecf b/model/ecflow_fv3gfs/prod12/gfs/vrfy.ecf new file mode 100644 index 0000000..81dd427 --- /dev/null +++ b/model/ecflow_fv3gfs/prod12/gfs/vrfy.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.vrfy +#BSUB -o %LOG%gfs.vrfy.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/vrfy +%include diff --git a/model/ecflow_fv3gfs/prod18/archive/earc/grp1.ecf b/model/ecflow_fv3gfs/prod18/archive/earc/grp1.ecf new file mode 100644 index 0000000..a2eaca4 --- /dev/null +++ b/model/ecflow_fv3gfs/prod18/archive/earc/grp1.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp1 +#BSUB -o %LOG%archive.earc.grp1.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 0 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/prod18/archive/earc/grp8.ecf b/model/ecflow_fv3gfs/prod18/archive/earc/grp8.ecf new file mode 100644 index 0000000..2aa3682 --- /dev/null +++ b/model/ecflow_fv3gfs/prod18/archive/earc/grp8.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp8 +#BSUB -o %LOG%archive.earc.grp8.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 0 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/prod18/archive/gdasarch.ecf b/model/ecflow_fv3gfs/prod18/archive/gdasarch.ecf new file mode 100644 index 0000000..6c8b2ec --- /dev/null +++ b/model/ecflow_fv3gfs/prod18/archive/gdasarch.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J archive.gdasarch +#BSUB -o %LOG%archive.gdasarch.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 0 + +%include +echo ${JOBgfs}/gdasarch +%include diff --git a/model/ecflow_fv3gfs/prod18/archive/gfsarch.ecf b/model/ecflow_fv3gfs/prod18/archive/gfsarch.ecf new file mode 100644 index 0000000..6d553ca --- /dev/null +++ b/model/ecflow_fv3gfs/prod18/archive/gfsarch.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J archive.gfsarch +#BSUB -o %LOG%archive.gfsarch.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 0 + +%include +echo ${JOBgfs}/gfsarch +%include diff --git a/model/ecflow_fv3gfs/prod18/final.ecf b/model/ecflow_fv3gfs/prod18/final.ecf new file mode 100644 index 0000000..2cf36a7 --- /dev/null +++ b/model/ecflow_fv3gfs/prod18/final.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J final +#BSUB -o %LOG%final.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 0 + +%include +echo ${JOBgfs}/final +%include diff --git a/model/ecflow_fv3gfs/prod18/gdas/anal.ecf b/model/ecflow_fv3gfs/prod18/gdas/anal.ecf new file mode 100644 index 0000000..bc215ac --- /dev/null +++ b/model/ecflow_fv3gfs/prod18/gdas/anal.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.anal +#BSUB -o %LOG%gdas.anal.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/anal +%include diff --git a/model/ecflow_fv3gfs/prod18/gdas/enkf/ecen.ecf b/model/ecflow_fv3gfs/prod18/gdas/enkf/ecen.ecf new file mode 100644 index 0000000..274f084 --- /dev/null +++ b/model/ecflow_fv3gfs/prod18/gdas/enkf/ecen.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.ecen +#BSUB -o %LOG%gdas.enkf.ecen.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/ecen +%include diff --git a/model/ecflow_fv3gfs/prod18/gdas/enkf/efcs/grp1.ecf b/model/ecflow_fv3gfs/prod18/gdas/enkf/efcs/grp1.ecf new file mode 100644 index 0000000..25fbbb1 --- /dev/null +++ b/model/ecflow_fv3gfs/prod18/gdas/enkf/efcs/grp1.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp1 +#BSUB -o %LOG%gdas.enkf.efcs.grp1.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/prod18/gdas/enkf/efcs/grp8.ecf b/model/ecflow_fv3gfs/prod18/gdas/enkf/efcs/grp8.ecf new file mode 100644 index 0000000..6caa36a --- /dev/null +++ b/model/ecflow_fv3gfs/prod18/gdas/enkf/efcs/grp8.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp8 +#BSUB -o %LOG%gdas.enkf.efcs.grp8.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/prod18/gdas/enkf/eobs.ecf b/model/ecflow_fv3gfs/prod18/gdas/enkf/eobs.ecf new file mode 100644 index 0000000..bb324ee --- /dev/null +++ b/model/ecflow_fv3gfs/prod18/gdas/enkf/eobs.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eobs +#BSUB -o %LOG%gdas.enkf.eobs.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eobs +%include diff --git a/model/ecflow_fv3gfs/prod18/gdas/enkf/eomg/grp1.ecf b/model/ecflow_fv3gfs/prod18/gdas/enkf/eomg/grp1.ecf new file mode 100644 index 0000000..3bcd2a2 --- /dev/null +++ b/model/ecflow_fv3gfs/prod18/gdas/enkf/eomg/grp1.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp1 +#BSUB -o %LOG%gdas.enkf.eomg.grp1.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/prod18/gdas/enkf/eomg/grp8.ecf b/model/ecflow_fv3gfs/prod18/gdas/enkf/eomg/grp8.ecf new file mode 100644 index 0000000..3f057c0 --- /dev/null +++ b/model/ecflow_fv3gfs/prod18/gdas/enkf/eomg/grp8.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp8 +#BSUB -o %LOG%gdas.enkf.eomg.grp8.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/prod18/gdas/enkf/epos.ecf b/model/ecflow_fv3gfs/prod18/gdas/enkf/epos.ecf new file mode 100644 index 0000000..b15927f --- /dev/null +++ b/model/ecflow_fv3gfs/prod18/gdas/enkf/epos.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.epos +#BSUB -o %LOG%gdas.enkf.epos.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/epos +%include diff --git a/model/ecflow_fv3gfs/prod18/gdas/enkf/eupd.ecf b/model/ecflow_fv3gfs/prod18/gdas/enkf/eupd.ecf new file mode 100644 index 0000000..f060c95 --- /dev/null +++ b/model/ecflow_fv3gfs/prod18/gdas/enkf/eupd.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eupd +#BSUB -o %LOG%gdas.enkf.eupd.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eupd +%include diff --git a/model/ecflow_fv3gfs/prod18/gdas/fcst.ecf b/model/ecflow_fv3gfs/prod18/gdas/fcst.ecf new file mode 100644 index 0000000..4ccd2f8 --- /dev/null +++ b/model/ecflow_fv3gfs/prod18/gdas/fcst.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.fcst +#BSUB -o %LOG%gdas.fcst.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/fcst +%include diff --git a/model/ecflow_fv3gfs/prod18/gdas/post.ecf b/model/ecflow_fv3gfs/prod18/gdas/post.ecf new file mode 100644 index 0000000..84c0565 --- /dev/null +++ b/model/ecflow_fv3gfs/prod18/gdas/post.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post +#BSUB -o %LOG%gdas.post.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/post +%include diff --git a/model/ecflow_fv3gfs/prod18/gdas/prep.ecf b/model/ecflow_fv3gfs/prod18/gdas/prep.ecf new file mode 100644 index 0000000..972c606 --- /dev/null +++ b/model/ecflow_fv3gfs/prod18/gdas/prep.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep +#BSUB -o %LOG%gdas.prep.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/prep +%include diff --git a/model/ecflow_fv3gfs/prod18/gdas/vrfy.ecf b/model/ecflow_fv3gfs/prod18/gdas/vrfy.ecf new file mode 100644 index 0000000..e85b243 --- /dev/null +++ b/model/ecflow_fv3gfs/prod18/gdas/vrfy.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.vrfy +#BSUB -o %LOG%gdas.vrfy.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/vrfy +%include diff --git a/model/ecflow_fv3gfs/prod18/gfs/anal.ecf b/model/ecflow_fv3gfs/prod18/gfs/anal.ecf new file mode 100644 index 0000000..1093f11 --- /dev/null +++ b/model/ecflow_fv3gfs/prod18/gfs/anal.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.anal +#BSUB -o %LOG%gfs.anal.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/anal +%include diff --git a/model/ecflow_fv3gfs/prod18/gfs/fcst.ecf b/model/ecflow_fv3gfs/prod18/gfs/fcst.ecf new file mode 100644 index 0000000..1796678 --- /dev/null +++ b/model/ecflow_fv3gfs/prod18/gfs/fcst.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.fcst +#BSUB -o %LOG%gfs.fcst.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/fcst +%include diff --git a/model/ecflow_fv3gfs/prod18/gfs/post.ecf b/model/ecflow_fv3gfs/prod18/gfs/post.ecf new file mode 100644 index 0000000..6fa723a --- /dev/null +++ b/model/ecflow_fv3gfs/prod18/gfs/post.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post +#BSUB -o %LOG%gfs.post.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/post +%include diff --git a/model/ecflow_fv3gfs/prod18/gfs/prep.ecf b/model/ecflow_fv3gfs/prod18/gfs/prep.ecf new file mode 100644 index 0000000..1c21d4e --- /dev/null +++ b/model/ecflow_fv3gfs/prod18/gfs/prep.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep +#BSUB -o %LOG%gfs.prep.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/prep +%include diff --git a/model/ecflow_fv3gfs/prod18/gfs/vrfy.ecf b/model/ecflow_fv3gfs/prod18/gfs/vrfy.ecf new file mode 100644 index 0000000..81dd427 --- /dev/null +++ b/model/ecflow_fv3gfs/prod18/gfs/vrfy.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.vrfy +#BSUB -o %LOG%gfs.vrfy.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/vrfy +%include From 6eb4a0717015ad87724b5a238df1695ba27fa2d1 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 2 Feb 2018 19:46:17 +0000 Subject: [PATCH 274/487] remove unneeded scripts/ dir --- model/ecflow_fv3gfs/scripts/archive/earc/grp1.ecf | 12 ------------ model/ecflow_fv3gfs/scripts/archive/earc/grp8.ecf | 12 ------------ model/ecflow_fv3gfs/scripts/archive/gdasarch.ecf | 12 ------------ model/ecflow_fv3gfs/scripts/archive/gfsarch.ecf | 12 ------------ model/ecflow_fv3gfs/scripts/final.ecf | 12 ------------ model/ecflow_fv3gfs/scripts/gdas/anal.ecf | 12 ------------ model/ecflow_fv3gfs/scripts/gdas/enkf/ecen.ecf | 12 ------------ model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp1.ecf | 12 ------------ model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp8.ecf | 12 ------------ model/ecflow_fv3gfs/scripts/gdas/enkf/eobs.ecf | 12 ------------ model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp1.ecf | 12 ------------ model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp8.ecf | 12 ------------ model/ecflow_fv3gfs/scripts/gdas/enkf/epos.ecf | 12 ------------ model/ecflow_fv3gfs/scripts/gdas/enkf/eupd.ecf | 12 ------------ model/ecflow_fv3gfs/scripts/gdas/fcst.ecf | 12 ------------ model/ecflow_fv3gfs/scripts/gdas/post.ecf | 12 ------------ model/ecflow_fv3gfs/scripts/gdas/prep.ecf | 12 ------------ model/ecflow_fv3gfs/scripts/gdas/vrfy.ecf | 12 ------------ model/ecflow_fv3gfs/scripts/gfs/anal.ecf | 12 ------------ model/ecflow_fv3gfs/scripts/gfs/fcst.ecf | 12 ------------ model/ecflow_fv3gfs/scripts/gfs/post.ecf | 12 ------------ model/ecflow_fv3gfs/scripts/gfs/prep.ecf | 12 ------------ model/ecflow_fv3gfs/scripts/gfs/vrfy.ecf | 12 ------------ 23 files changed, 276 deletions(-) delete mode 100644 model/ecflow_fv3gfs/scripts/archive/earc/grp1.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/archive/earc/grp8.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/archive/gdasarch.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/archive/gfsarch.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/final.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/gdas/anal.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/gdas/enkf/ecen.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp1.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp8.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/gdas/enkf/eobs.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp1.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp8.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/gdas/enkf/epos.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/gdas/enkf/eupd.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/gdas/fcst.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/gdas/post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/gdas/prep.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/gdas/vrfy.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/gfs/anal.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/gfs/fcst.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/gfs/post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/gfs/prep.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/gfs/vrfy.ecf diff --git a/model/ecflow_fv3gfs/scripts/archive/earc/grp1.ecf b/model/ecflow_fv3gfs/scripts/archive/earc/grp1.ecf deleted file mode 100644 index a2eaca4..0000000 --- a/model/ecflow_fv3gfs/scripts/archive/earc/grp1.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp1 -#BSUB -o %LOG%archive.earc.grp1.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=3072] -#BSUB -n 0 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/archive/earc/grp8.ecf b/model/ecflow_fv3gfs/scripts/archive/earc/grp8.ecf deleted file mode 100644 index 2aa3682..0000000 --- a/model/ecflow_fv3gfs/scripts/archive/earc/grp8.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp8 -#BSUB -o %LOG%archive.earc.grp8.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=3072] -#BSUB -n 0 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/archive/gdasarch.ecf b/model/ecflow_fv3gfs/scripts/archive/gdasarch.ecf deleted file mode 100644 index 6c8b2ec..0000000 --- a/model/ecflow_fv3gfs/scripts/archive/gdasarch.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J archive.gdasarch -#BSUB -o %LOG%archive.gdasarch.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=3072] -#BSUB -n 0 - -%include -echo ${JOBgfs}/gdasarch -%include diff --git a/model/ecflow_fv3gfs/scripts/archive/gfsarch.ecf b/model/ecflow_fv3gfs/scripts/archive/gfsarch.ecf deleted file mode 100644 index 6d553ca..0000000 --- a/model/ecflow_fv3gfs/scripts/archive/gfsarch.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J archive.gfsarch -#BSUB -o %LOG%archive.gfsarch.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=3072] -#BSUB -n 0 - -%include -echo ${JOBgfs}/gfsarch -%include diff --git a/model/ecflow_fv3gfs/scripts/final.ecf b/model/ecflow_fv3gfs/scripts/final.ecf deleted file mode 100644 index 2cf36a7..0000000 --- a/model/ecflow_fv3gfs/scripts/final.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J final -#BSUB -o %LOG%final.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=3072] -#BSUB -n 0 - -%include -echo ${JOBgfs}/final -%include diff --git a/model/ecflow_fv3gfs/scripts/gdas/anal.ecf b/model/ecflow_fv3gfs/scripts/gdas/anal.ecf deleted file mode 100644 index bc215ac..0000000 --- a/model/ecflow_fv3gfs/scripts/gdas/anal.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.anal -#BSUB -o %LOG%gdas.anal.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/anal -%include diff --git a/model/ecflow_fv3gfs/scripts/gdas/enkf/ecen.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/ecen.ecf deleted file mode 100644 index 274f084..0000000 --- a/model/ecflow_fv3gfs/scripts/gdas/enkf/ecen.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.ecen -#BSUB -o %LOG%gdas.enkf.ecen.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/ecen -%include diff --git a/model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp1.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp1.ecf deleted file mode 100644 index 25fbbb1..0000000 --- a/model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp1.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp1 -#BSUB -o %LOG%gdas.enkf.efcs.grp1.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp8.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp8.ecf deleted file mode 100644 index 6caa36a..0000000 --- a/model/ecflow_fv3gfs/scripts/gdas/enkf/efcs/grp8.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp8 -#BSUB -o %LOG%gdas.enkf.efcs.grp8.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/gdas/enkf/eobs.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/eobs.ecf deleted file mode 100644 index bb324ee..0000000 --- a/model/ecflow_fv3gfs/scripts/gdas/enkf/eobs.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eobs -#BSUB -o %LOG%gdas.enkf.eobs.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/eobs -%include diff --git a/model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp1.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp1.ecf deleted file mode 100644 index 3bcd2a2..0000000 --- a/model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp1.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp1 -#BSUB -o %LOG%gdas.enkf.eomg.grp1.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp8.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp8.ecf deleted file mode 100644 index 3f057c0..0000000 --- a/model/ecflow_fv3gfs/scripts/gdas/enkf/eomg/grp8.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp8 -#BSUB -o %LOG%gdas.enkf.eomg.grp8.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/gdas/enkf/epos.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/epos.ecf deleted file mode 100644 index b15927f..0000000 --- a/model/ecflow_fv3gfs/scripts/gdas/enkf/epos.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.epos -#BSUB -o %LOG%gdas.enkf.epos.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/epos -%include diff --git a/model/ecflow_fv3gfs/scripts/gdas/enkf/eupd.ecf b/model/ecflow_fv3gfs/scripts/gdas/enkf/eupd.ecf deleted file mode 100644 index f060c95..0000000 --- a/model/ecflow_fv3gfs/scripts/gdas/enkf/eupd.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eupd -#BSUB -o %LOG%gdas.enkf.eupd.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/eupd -%include diff --git a/model/ecflow_fv3gfs/scripts/gdas/fcst.ecf b/model/ecflow_fv3gfs/scripts/gdas/fcst.ecf deleted file mode 100644 index 4ccd2f8..0000000 --- a/model/ecflow_fv3gfs/scripts/gdas/fcst.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.fcst -#BSUB -o %LOG%gdas.fcst.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/fcst -%include diff --git a/model/ecflow_fv3gfs/scripts/gdas/post.ecf b/model/ecflow_fv3gfs/scripts/gdas/post.ecf deleted file mode 100644 index 84c0565..0000000 --- a/model/ecflow_fv3gfs/scripts/gdas/post.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post -#BSUB -o %LOG%gdas.post.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/post -%include diff --git a/model/ecflow_fv3gfs/scripts/gdas/prep.ecf b/model/ecflow_fv3gfs/scripts/gdas/prep.ecf deleted file mode 100644 index 972c606..0000000 --- a/model/ecflow_fv3gfs/scripts/gdas/prep.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep -#BSUB -o %LOG%gdas.prep.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/prep -%include diff --git a/model/ecflow_fv3gfs/scripts/gdas/vrfy.ecf b/model/ecflow_fv3gfs/scripts/gdas/vrfy.ecf deleted file mode 100644 index e85b243..0000000 --- a/model/ecflow_fv3gfs/scripts/gdas/vrfy.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.vrfy -#BSUB -o %LOG%gdas.vrfy.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/vrfy -%include diff --git a/model/ecflow_fv3gfs/scripts/gfs/anal.ecf b/model/ecflow_fv3gfs/scripts/gfs/anal.ecf deleted file mode 100644 index 1093f11..0000000 --- a/model/ecflow_fv3gfs/scripts/gfs/anal.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.anal -#BSUB -o %LOG%gfs.anal.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/anal -%include diff --git a/model/ecflow_fv3gfs/scripts/gfs/fcst.ecf b/model/ecflow_fv3gfs/scripts/gfs/fcst.ecf deleted file mode 100644 index 1796678..0000000 --- a/model/ecflow_fv3gfs/scripts/gfs/fcst.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.fcst -#BSUB -o %LOG%gfs.fcst.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/fcst -%include diff --git a/model/ecflow_fv3gfs/scripts/gfs/post.ecf b/model/ecflow_fv3gfs/scripts/gfs/post.ecf deleted file mode 100644 index 6fa723a..0000000 --- a/model/ecflow_fv3gfs/scripts/gfs/post.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post -#BSUB -o %LOG%gfs.post.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/post -%include diff --git a/model/ecflow_fv3gfs/scripts/gfs/prep.ecf b/model/ecflow_fv3gfs/scripts/gfs/prep.ecf deleted file mode 100644 index 1c21d4e..0000000 --- a/model/ecflow_fv3gfs/scripts/gfs/prep.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep -#BSUB -o %LOG%gfs.prep.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/prep -%include diff --git a/model/ecflow_fv3gfs/scripts/gfs/vrfy.ecf b/model/ecflow_fv3gfs/scripts/gfs/vrfy.ecf deleted file mode 100644 index 81dd427..0000000 --- a/model/ecflow_fv3gfs/scripts/gfs/vrfy.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.vrfy -#BSUB -o %LOG%gfs.vrfy.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/vrfy -%include From 83a260ef93ff83f1d54484134f9ccce1e89f6950 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 2 Feb 2018 19:54:29 +0000 Subject: [PATCH 275/487] move ecf files to scripts/(suite name)/ subdirectory --- model/ecflow_fv3gfs/{ => scripts}/prod00/archive/earc/grp1.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod00/archive/earc/grp8.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod00/archive/gdasarch.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod00/archive/gfsarch.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod00/final.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod00/gdas/anal.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod00/gdas/enkf/ecen.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod00/gdas/enkf/efcs/grp1.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod00/gdas/enkf/efcs/grp8.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod00/gdas/enkf/eobs.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod00/gdas/enkf/eomg/grp1.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod00/gdas/enkf/eomg/grp8.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod00/gdas/enkf/epos.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod00/gdas/enkf/eupd.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod00/gdas/fcst.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod00/gdas/post.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod00/gdas/prep.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod00/gdas/vrfy.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod00/gfs/anal.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod00/gfs/fcst.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod00/gfs/post.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod00/gfs/prep.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod00/gfs/vrfy.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod06/archive/earc/grp1.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod06/archive/earc/grp8.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod06/archive/gdasarch.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod06/archive/gfsarch.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod06/final.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod06/gdas/anal.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod06/gdas/enkf/ecen.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod06/gdas/enkf/efcs/grp1.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod06/gdas/enkf/efcs/grp8.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod06/gdas/enkf/eobs.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod06/gdas/enkf/eomg/grp1.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod06/gdas/enkf/eomg/grp8.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod06/gdas/enkf/epos.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod06/gdas/enkf/eupd.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod06/gdas/fcst.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod06/gdas/post.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod06/gdas/prep.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod06/gdas/vrfy.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod06/gfs/anal.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod06/gfs/fcst.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod06/gfs/post.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod06/gfs/prep.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod06/gfs/vrfy.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod12/archive/earc/grp1.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod12/archive/earc/grp8.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod12/archive/gdasarch.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod12/archive/gfsarch.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod12/final.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod12/gdas/anal.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod12/gdas/enkf/ecen.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod12/gdas/enkf/efcs/grp1.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod12/gdas/enkf/efcs/grp8.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod12/gdas/enkf/eobs.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod12/gdas/enkf/eomg/grp1.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod12/gdas/enkf/eomg/grp8.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod12/gdas/enkf/epos.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod12/gdas/enkf/eupd.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod12/gdas/fcst.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod12/gdas/post.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod12/gdas/prep.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod12/gdas/vrfy.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod12/gfs/anal.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod12/gfs/fcst.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod12/gfs/post.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod12/gfs/prep.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod12/gfs/vrfy.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod18/archive/earc/grp1.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod18/archive/earc/grp8.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod18/archive/gdasarch.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod18/archive/gfsarch.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod18/final.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod18/gdas/anal.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod18/gdas/enkf/ecen.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod18/gdas/enkf/efcs/grp1.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod18/gdas/enkf/efcs/grp8.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod18/gdas/enkf/eobs.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod18/gdas/enkf/eomg/grp1.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod18/gdas/enkf/eomg/grp8.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod18/gdas/enkf/epos.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod18/gdas/enkf/eupd.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod18/gdas/fcst.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod18/gdas/post.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod18/gdas/prep.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod18/gdas/vrfy.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod18/gfs/anal.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod18/gfs/fcst.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod18/gfs/post.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod18/gfs/prep.ecf | 0 model/ecflow_fv3gfs/{ => scripts}/prod18/gfs/vrfy.ecf | 0 92 files changed, 0 insertions(+), 0 deletions(-) rename model/ecflow_fv3gfs/{ => scripts}/prod00/archive/earc/grp1.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod00/archive/earc/grp8.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod00/archive/gdasarch.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod00/archive/gfsarch.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod00/final.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod00/gdas/anal.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod00/gdas/enkf/ecen.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod00/gdas/enkf/efcs/grp1.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod00/gdas/enkf/efcs/grp8.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod00/gdas/enkf/eobs.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod00/gdas/enkf/eomg/grp1.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod00/gdas/enkf/eomg/grp8.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod00/gdas/enkf/epos.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod00/gdas/enkf/eupd.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod00/gdas/fcst.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod00/gdas/post.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod00/gdas/prep.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod00/gdas/vrfy.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod00/gfs/anal.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod00/gfs/fcst.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod00/gfs/post.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod00/gfs/prep.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod00/gfs/vrfy.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod06/archive/earc/grp1.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod06/archive/earc/grp8.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod06/archive/gdasarch.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod06/archive/gfsarch.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod06/final.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod06/gdas/anal.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod06/gdas/enkf/ecen.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod06/gdas/enkf/efcs/grp1.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod06/gdas/enkf/efcs/grp8.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod06/gdas/enkf/eobs.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod06/gdas/enkf/eomg/grp1.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod06/gdas/enkf/eomg/grp8.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod06/gdas/enkf/epos.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod06/gdas/enkf/eupd.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod06/gdas/fcst.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod06/gdas/post.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod06/gdas/prep.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod06/gdas/vrfy.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod06/gfs/anal.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod06/gfs/fcst.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod06/gfs/post.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod06/gfs/prep.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod06/gfs/vrfy.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod12/archive/earc/grp1.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod12/archive/earc/grp8.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod12/archive/gdasarch.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod12/archive/gfsarch.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod12/final.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod12/gdas/anal.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod12/gdas/enkf/ecen.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod12/gdas/enkf/efcs/grp1.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod12/gdas/enkf/efcs/grp8.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod12/gdas/enkf/eobs.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod12/gdas/enkf/eomg/grp1.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod12/gdas/enkf/eomg/grp8.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod12/gdas/enkf/epos.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod12/gdas/enkf/eupd.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod12/gdas/fcst.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod12/gdas/post.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod12/gdas/prep.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod12/gdas/vrfy.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod12/gfs/anal.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod12/gfs/fcst.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod12/gfs/post.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod12/gfs/prep.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod12/gfs/vrfy.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod18/archive/earc/grp1.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod18/archive/earc/grp8.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod18/archive/gdasarch.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod18/archive/gfsarch.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod18/final.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod18/gdas/anal.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod18/gdas/enkf/ecen.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod18/gdas/enkf/efcs/grp1.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod18/gdas/enkf/efcs/grp8.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod18/gdas/enkf/eobs.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod18/gdas/enkf/eomg/grp1.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod18/gdas/enkf/eomg/grp8.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod18/gdas/enkf/epos.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod18/gdas/enkf/eupd.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod18/gdas/fcst.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod18/gdas/post.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod18/gdas/prep.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod18/gdas/vrfy.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod18/gfs/anal.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod18/gfs/fcst.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod18/gfs/post.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod18/gfs/prep.ecf (100%) rename model/ecflow_fv3gfs/{ => scripts}/prod18/gfs/vrfy.ecf (100%) diff --git a/model/ecflow_fv3gfs/prod00/archive/earc/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp1.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod00/archive/earc/grp1.ecf rename to model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp1.ecf diff --git a/model/ecflow_fv3gfs/prod00/archive/earc/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp8.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod00/archive/earc/grp8.ecf rename to model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp8.ecf diff --git a/model/ecflow_fv3gfs/prod00/archive/gdasarch.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/gdasarch.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod00/archive/gdasarch.ecf rename to model/ecflow_fv3gfs/scripts/prod00/archive/gdasarch.ecf diff --git a/model/ecflow_fv3gfs/prod00/archive/gfsarch.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/gfsarch.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod00/archive/gfsarch.ecf rename to model/ecflow_fv3gfs/scripts/prod00/archive/gfsarch.ecf diff --git a/model/ecflow_fv3gfs/prod00/final.ecf b/model/ecflow_fv3gfs/scripts/prod00/final.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod00/final.ecf rename to model/ecflow_fv3gfs/scripts/prod00/final.ecf diff --git a/model/ecflow_fv3gfs/prod00/gdas/anal.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/anal.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod00/gdas/anal.ecf rename to model/ecflow_fv3gfs/scripts/prod00/gdas/anal.ecf diff --git a/model/ecflow_fv3gfs/prod00/gdas/enkf/ecen.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/ecen.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod00/gdas/enkf/ecen.ecf rename to model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/ecen.ecf diff --git a/model/ecflow_fv3gfs/prod00/gdas/enkf/efcs/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp1.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod00/gdas/enkf/efcs/grp1.ecf rename to model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp1.ecf diff --git a/model/ecflow_fv3gfs/prod00/gdas/enkf/efcs/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp8.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod00/gdas/enkf/efcs/grp8.ecf rename to model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp8.ecf diff --git a/model/ecflow_fv3gfs/prod00/gdas/enkf/eobs.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eobs.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod00/gdas/enkf/eobs.ecf rename to model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eobs.ecf diff --git a/model/ecflow_fv3gfs/prod00/gdas/enkf/eomg/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp1.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod00/gdas/enkf/eomg/grp1.ecf rename to model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp1.ecf diff --git a/model/ecflow_fv3gfs/prod00/gdas/enkf/eomg/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp8.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod00/gdas/enkf/eomg/grp8.ecf rename to model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp8.ecf diff --git a/model/ecflow_fv3gfs/prod00/gdas/enkf/epos.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/epos.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod00/gdas/enkf/epos.ecf rename to model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/epos.ecf diff --git a/model/ecflow_fv3gfs/prod00/gdas/enkf/eupd.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eupd.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod00/gdas/enkf/eupd.ecf rename to model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eupd.ecf diff --git a/model/ecflow_fv3gfs/prod00/gdas/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/fcst.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod00/gdas/fcst.ecf rename to model/ecflow_fv3gfs/scripts/prod00/gdas/fcst.ecf diff --git a/model/ecflow_fv3gfs/prod00/gdas/post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/post.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod00/gdas/post.ecf rename to model/ecflow_fv3gfs/scripts/prod00/gdas/post.ecf diff --git a/model/ecflow_fv3gfs/prod00/gdas/prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod00/gdas/prep.ecf rename to model/ecflow_fv3gfs/scripts/prod00/gdas/prep.ecf diff --git a/model/ecflow_fv3gfs/prod00/gdas/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/vrfy.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod00/gdas/vrfy.ecf rename to model/ecflow_fv3gfs/scripts/prod00/gdas/vrfy.ecf diff --git a/model/ecflow_fv3gfs/prod00/gfs/anal.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/anal.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod00/gfs/anal.ecf rename to model/ecflow_fv3gfs/scripts/prod00/gfs/anal.ecf diff --git a/model/ecflow_fv3gfs/prod00/gfs/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/fcst.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod00/gfs/fcst.ecf rename to model/ecflow_fv3gfs/scripts/prod00/gfs/fcst.ecf diff --git a/model/ecflow_fv3gfs/prod00/gfs/post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod00/gfs/post.ecf rename to model/ecflow_fv3gfs/scripts/prod00/gfs/post.ecf diff --git a/model/ecflow_fv3gfs/prod00/gfs/prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod00/gfs/prep.ecf rename to model/ecflow_fv3gfs/scripts/prod00/gfs/prep.ecf diff --git a/model/ecflow_fv3gfs/prod00/gfs/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/vrfy.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod00/gfs/vrfy.ecf rename to model/ecflow_fv3gfs/scripts/prod00/gfs/vrfy.ecf diff --git a/model/ecflow_fv3gfs/prod06/archive/earc/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp1.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod06/archive/earc/grp1.ecf rename to model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp1.ecf diff --git a/model/ecflow_fv3gfs/prod06/archive/earc/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp8.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod06/archive/earc/grp8.ecf rename to model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp8.ecf diff --git a/model/ecflow_fv3gfs/prod06/archive/gdasarch.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/gdasarch.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod06/archive/gdasarch.ecf rename to model/ecflow_fv3gfs/scripts/prod06/archive/gdasarch.ecf diff --git a/model/ecflow_fv3gfs/prod06/archive/gfsarch.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/gfsarch.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod06/archive/gfsarch.ecf rename to model/ecflow_fv3gfs/scripts/prod06/archive/gfsarch.ecf diff --git a/model/ecflow_fv3gfs/prod06/final.ecf b/model/ecflow_fv3gfs/scripts/prod06/final.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod06/final.ecf rename to model/ecflow_fv3gfs/scripts/prod06/final.ecf diff --git a/model/ecflow_fv3gfs/prod06/gdas/anal.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/anal.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod06/gdas/anal.ecf rename to model/ecflow_fv3gfs/scripts/prod06/gdas/anal.ecf diff --git a/model/ecflow_fv3gfs/prod06/gdas/enkf/ecen.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/ecen.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod06/gdas/enkf/ecen.ecf rename to model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/ecen.ecf diff --git a/model/ecflow_fv3gfs/prod06/gdas/enkf/efcs/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp1.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod06/gdas/enkf/efcs/grp1.ecf rename to model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp1.ecf diff --git a/model/ecflow_fv3gfs/prod06/gdas/enkf/efcs/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp8.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod06/gdas/enkf/efcs/grp8.ecf rename to model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp8.ecf diff --git a/model/ecflow_fv3gfs/prod06/gdas/enkf/eobs.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eobs.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod06/gdas/enkf/eobs.ecf rename to model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eobs.ecf diff --git a/model/ecflow_fv3gfs/prod06/gdas/enkf/eomg/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp1.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod06/gdas/enkf/eomg/grp1.ecf rename to model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp1.ecf diff --git a/model/ecflow_fv3gfs/prod06/gdas/enkf/eomg/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp8.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod06/gdas/enkf/eomg/grp8.ecf rename to model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp8.ecf diff --git a/model/ecflow_fv3gfs/prod06/gdas/enkf/epos.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/epos.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod06/gdas/enkf/epos.ecf rename to model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/epos.ecf diff --git a/model/ecflow_fv3gfs/prod06/gdas/enkf/eupd.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eupd.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod06/gdas/enkf/eupd.ecf rename to model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eupd.ecf diff --git a/model/ecflow_fv3gfs/prod06/gdas/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/fcst.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod06/gdas/fcst.ecf rename to model/ecflow_fv3gfs/scripts/prod06/gdas/fcst.ecf diff --git a/model/ecflow_fv3gfs/prod06/gdas/post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/post.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod06/gdas/post.ecf rename to model/ecflow_fv3gfs/scripts/prod06/gdas/post.ecf diff --git a/model/ecflow_fv3gfs/prod06/gdas/prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod06/gdas/prep.ecf rename to model/ecflow_fv3gfs/scripts/prod06/gdas/prep.ecf diff --git a/model/ecflow_fv3gfs/prod06/gdas/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/vrfy.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod06/gdas/vrfy.ecf rename to model/ecflow_fv3gfs/scripts/prod06/gdas/vrfy.ecf diff --git a/model/ecflow_fv3gfs/prod06/gfs/anal.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/anal.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod06/gfs/anal.ecf rename to model/ecflow_fv3gfs/scripts/prod06/gfs/anal.ecf diff --git a/model/ecflow_fv3gfs/prod06/gfs/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/fcst.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod06/gfs/fcst.ecf rename to model/ecflow_fv3gfs/scripts/prod06/gfs/fcst.ecf diff --git a/model/ecflow_fv3gfs/prod06/gfs/post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod06/gfs/post.ecf rename to model/ecflow_fv3gfs/scripts/prod06/gfs/post.ecf diff --git a/model/ecflow_fv3gfs/prod06/gfs/prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod06/gfs/prep.ecf rename to model/ecflow_fv3gfs/scripts/prod06/gfs/prep.ecf diff --git a/model/ecflow_fv3gfs/prod06/gfs/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/vrfy.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod06/gfs/vrfy.ecf rename to model/ecflow_fv3gfs/scripts/prod06/gfs/vrfy.ecf diff --git a/model/ecflow_fv3gfs/prod12/archive/earc/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp1.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod12/archive/earc/grp1.ecf rename to model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp1.ecf diff --git a/model/ecflow_fv3gfs/prod12/archive/earc/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp8.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod12/archive/earc/grp8.ecf rename to model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp8.ecf diff --git a/model/ecflow_fv3gfs/prod12/archive/gdasarch.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/gdasarch.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod12/archive/gdasarch.ecf rename to model/ecflow_fv3gfs/scripts/prod12/archive/gdasarch.ecf diff --git a/model/ecflow_fv3gfs/prod12/archive/gfsarch.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/gfsarch.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod12/archive/gfsarch.ecf rename to model/ecflow_fv3gfs/scripts/prod12/archive/gfsarch.ecf diff --git a/model/ecflow_fv3gfs/prod12/final.ecf b/model/ecflow_fv3gfs/scripts/prod12/final.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod12/final.ecf rename to model/ecflow_fv3gfs/scripts/prod12/final.ecf diff --git a/model/ecflow_fv3gfs/prod12/gdas/anal.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/anal.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod12/gdas/anal.ecf rename to model/ecflow_fv3gfs/scripts/prod12/gdas/anal.ecf diff --git a/model/ecflow_fv3gfs/prod12/gdas/enkf/ecen.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/ecen.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod12/gdas/enkf/ecen.ecf rename to model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/ecen.ecf diff --git a/model/ecflow_fv3gfs/prod12/gdas/enkf/efcs/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp1.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod12/gdas/enkf/efcs/grp1.ecf rename to model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp1.ecf diff --git a/model/ecflow_fv3gfs/prod12/gdas/enkf/efcs/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp8.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod12/gdas/enkf/efcs/grp8.ecf rename to model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp8.ecf diff --git a/model/ecflow_fv3gfs/prod12/gdas/enkf/eobs.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eobs.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod12/gdas/enkf/eobs.ecf rename to model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eobs.ecf diff --git a/model/ecflow_fv3gfs/prod12/gdas/enkf/eomg/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp1.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod12/gdas/enkf/eomg/grp1.ecf rename to model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp1.ecf diff --git a/model/ecflow_fv3gfs/prod12/gdas/enkf/eomg/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp8.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod12/gdas/enkf/eomg/grp8.ecf rename to model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp8.ecf diff --git a/model/ecflow_fv3gfs/prod12/gdas/enkf/epos.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/epos.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod12/gdas/enkf/epos.ecf rename to model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/epos.ecf diff --git a/model/ecflow_fv3gfs/prod12/gdas/enkf/eupd.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eupd.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod12/gdas/enkf/eupd.ecf rename to model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eupd.ecf diff --git a/model/ecflow_fv3gfs/prod12/gdas/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/fcst.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod12/gdas/fcst.ecf rename to model/ecflow_fv3gfs/scripts/prod12/gdas/fcst.ecf diff --git a/model/ecflow_fv3gfs/prod12/gdas/post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/post.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod12/gdas/post.ecf rename to model/ecflow_fv3gfs/scripts/prod12/gdas/post.ecf diff --git a/model/ecflow_fv3gfs/prod12/gdas/prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod12/gdas/prep.ecf rename to model/ecflow_fv3gfs/scripts/prod12/gdas/prep.ecf diff --git a/model/ecflow_fv3gfs/prod12/gdas/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/vrfy.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod12/gdas/vrfy.ecf rename to model/ecflow_fv3gfs/scripts/prod12/gdas/vrfy.ecf diff --git a/model/ecflow_fv3gfs/prod12/gfs/anal.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/anal.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod12/gfs/anal.ecf rename to model/ecflow_fv3gfs/scripts/prod12/gfs/anal.ecf diff --git a/model/ecflow_fv3gfs/prod12/gfs/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/fcst.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod12/gfs/fcst.ecf rename to model/ecflow_fv3gfs/scripts/prod12/gfs/fcst.ecf diff --git a/model/ecflow_fv3gfs/prod12/gfs/post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod12/gfs/post.ecf rename to model/ecflow_fv3gfs/scripts/prod12/gfs/post.ecf diff --git a/model/ecflow_fv3gfs/prod12/gfs/prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod12/gfs/prep.ecf rename to model/ecflow_fv3gfs/scripts/prod12/gfs/prep.ecf diff --git a/model/ecflow_fv3gfs/prod12/gfs/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/vrfy.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod12/gfs/vrfy.ecf rename to model/ecflow_fv3gfs/scripts/prod12/gfs/vrfy.ecf diff --git a/model/ecflow_fv3gfs/prod18/archive/earc/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp1.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod18/archive/earc/grp1.ecf rename to model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp1.ecf diff --git a/model/ecflow_fv3gfs/prod18/archive/earc/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp8.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod18/archive/earc/grp8.ecf rename to model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp8.ecf diff --git a/model/ecflow_fv3gfs/prod18/archive/gdasarch.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/gdasarch.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod18/archive/gdasarch.ecf rename to model/ecflow_fv3gfs/scripts/prod18/archive/gdasarch.ecf diff --git a/model/ecflow_fv3gfs/prod18/archive/gfsarch.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/gfsarch.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod18/archive/gfsarch.ecf rename to model/ecflow_fv3gfs/scripts/prod18/archive/gfsarch.ecf diff --git a/model/ecflow_fv3gfs/prod18/final.ecf b/model/ecflow_fv3gfs/scripts/prod18/final.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod18/final.ecf rename to model/ecflow_fv3gfs/scripts/prod18/final.ecf diff --git a/model/ecflow_fv3gfs/prod18/gdas/anal.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/anal.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod18/gdas/anal.ecf rename to model/ecflow_fv3gfs/scripts/prod18/gdas/anal.ecf diff --git a/model/ecflow_fv3gfs/prod18/gdas/enkf/ecen.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/ecen.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod18/gdas/enkf/ecen.ecf rename to model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/ecen.ecf diff --git a/model/ecflow_fv3gfs/prod18/gdas/enkf/efcs/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp1.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod18/gdas/enkf/efcs/grp1.ecf rename to model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp1.ecf diff --git a/model/ecflow_fv3gfs/prod18/gdas/enkf/efcs/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp8.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod18/gdas/enkf/efcs/grp8.ecf rename to model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp8.ecf diff --git a/model/ecflow_fv3gfs/prod18/gdas/enkf/eobs.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eobs.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod18/gdas/enkf/eobs.ecf rename to model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eobs.ecf diff --git a/model/ecflow_fv3gfs/prod18/gdas/enkf/eomg/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp1.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod18/gdas/enkf/eomg/grp1.ecf rename to model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp1.ecf diff --git a/model/ecflow_fv3gfs/prod18/gdas/enkf/eomg/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp8.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod18/gdas/enkf/eomg/grp8.ecf rename to model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp8.ecf diff --git a/model/ecflow_fv3gfs/prod18/gdas/enkf/epos.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/epos.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod18/gdas/enkf/epos.ecf rename to model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/epos.ecf diff --git a/model/ecflow_fv3gfs/prod18/gdas/enkf/eupd.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eupd.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod18/gdas/enkf/eupd.ecf rename to model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eupd.ecf diff --git a/model/ecflow_fv3gfs/prod18/gdas/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/fcst.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod18/gdas/fcst.ecf rename to model/ecflow_fv3gfs/scripts/prod18/gdas/fcst.ecf diff --git a/model/ecflow_fv3gfs/prod18/gdas/post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/post.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod18/gdas/post.ecf rename to model/ecflow_fv3gfs/scripts/prod18/gdas/post.ecf diff --git a/model/ecflow_fv3gfs/prod18/gdas/prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod18/gdas/prep.ecf rename to model/ecflow_fv3gfs/scripts/prod18/gdas/prep.ecf diff --git a/model/ecflow_fv3gfs/prod18/gdas/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/vrfy.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod18/gdas/vrfy.ecf rename to model/ecflow_fv3gfs/scripts/prod18/gdas/vrfy.ecf diff --git a/model/ecflow_fv3gfs/prod18/gfs/anal.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/anal.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod18/gfs/anal.ecf rename to model/ecflow_fv3gfs/scripts/prod18/gfs/anal.ecf diff --git a/model/ecflow_fv3gfs/prod18/gfs/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/fcst.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod18/gfs/fcst.ecf rename to model/ecflow_fv3gfs/scripts/prod18/gfs/fcst.ecf diff --git a/model/ecflow_fv3gfs/prod18/gfs/post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod18/gfs/post.ecf rename to model/ecflow_fv3gfs/scripts/prod18/gfs/post.ecf diff --git a/model/ecflow_fv3gfs/prod18/gfs/prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod18/gfs/prep.ecf rename to model/ecflow_fv3gfs/scripts/prod18/gfs/prep.ecf diff --git a/model/ecflow_fv3gfs/prod18/gfs/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/vrfy.ecf similarity index 100% rename from model/ecflow_fv3gfs/prod18/gfs/vrfy.ecf rename to model/ecflow_fv3gfs/scripts/prod18/gfs/vrfy.ecf From 3bd868bb37bbff2d255cfd2cca30c1e9a688120c Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 2 Feb 2018 19:54:50 +0000 Subject: [PATCH 276/487] python changes to move ecf files to scripts/(suite name)/ subdirectory --- model/ecflow_fv3gfs/doit.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/model/ecflow_fv3gfs/doit.py b/model/ecflow_fv3gfs/doit.py index 17cc330..46995df 100755 --- a/model/ecflow_fv3gfs/doit.py +++ b/model/ecflow_fv3gfs/doit.py @@ -29,7 +29,7 @@ def make_parent_dir(filename): for setname in ecf_files: print(f'ecf file set {setname}:\n') for filename in ecf_files[setname]: - full_fn=os.path.join(defname,filename)+'.ecf' + full_fn=os.path.join('scripts',defname,filename)+'.ecf' print(f' file {full_fn}') make_parent_dir(full_fn) with open(full_fn,'wt') as fd: From b1cc88e9b62f1597229fe84516a029362527343c Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 2 Feb 2018 19:58:21 +0000 Subject: [PATCH 277/487] missing changes to crow.metascheduler.ecflow --- crow/metascheduler/ecflow.py | 7 ++++--- 1 file changed, 4 insertions(+), 3 deletions(-) diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py index 8f30d8e..f83ee5d 100644 --- a/crow/metascheduler/ecflow.py +++ b/crow/metascheduler/ecflow.py @@ -228,6 +228,7 @@ def _make_suite_def_for_one_cycle(self,fd): elif item.is_family(): self._make_family_def(fd,item) fd.write('endsuite\n') + return self.suite_name def _make_externs(self,fd): for d in self.undated.keys(): @@ -282,11 +283,11 @@ def to_ecflow(self): continue self.suite_name=clock.now.strftime(self.suite.ecFlow.suite_name) with StringIO() as sio: - self._make_suite_def_for_one_cycle(sio) - suite_def_files[filename]=sio.getvalue() + def_name = self._make_suite_def_for_one_cycle(sio) + suite_def_files[filename]=( def_name, sio.getvalue() ) with StringIO() as sio: self._make_externs(sio) - suite_def_files[filename]=sio.getvalue()+suite_def_files[filename] + suite_def_files[filename]=( def_name, sio.getvalue()+suite_def_files[filename][1] ) self._make_ecf_files_for_one_cycle(ecf_files) del self.suite return suite_def_files,ecf_files From 4486b51f71d6de701d9d918d277c91e37dc4126c Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 2 Feb 2018 20:23:51 +0000 Subject: [PATCH 278/487] COrrect support of shared queues on LSFAlps --- crow/sysenv/schedulers/LSFAlps.py | 3 +- model/ecflow_fv3gfs/defs/prod00.def | 3 +- model/ecflow_fv3gfs/defs/prod06.def | 3 +- model/ecflow_fv3gfs/defs/prod12.def | 3 +- model/ecflow_fv3gfs/defs/prod18.def | 3 +- .../scripts/prod00/archive/earc/grp1.ecf | 4 +- .../scripts/prod00/archive/earc/grp8.ecf | 4 +- .../scripts/prod00/archive/gdasarch.ecf | 4 +- .../scripts/prod00/archive/gfsarch.ecf | 4 +- model/ecflow_fv3gfs/scripts/prod00/final.ecf | 2 +- .../scripts/prod06/archive/earc/grp1.ecf | 4 +- .../scripts/prod06/archive/earc/grp8.ecf | 4 +- .../scripts/prod06/archive/gdasarch.ecf | 4 +- .../scripts/prod06/archive/gfsarch.ecf | 4 +- model/ecflow_fv3gfs/scripts/prod06/final.ecf | 2 +- .../scripts/prod12/archive/earc/grp1.ecf | 4 +- .../scripts/prod12/archive/earc/grp8.ecf | 4 +- .../scripts/prod12/archive/gdasarch.ecf | 4 +- .../scripts/prod12/archive/gfsarch.ecf | 4 +- model/ecflow_fv3gfs/scripts/prod12/final.ecf | 2 +- .../scripts/prod18/archive/earc/grp1.ecf | 4 +- .../scripts/prod18/archive/earc/grp8.ecf | 4 +- .../scripts/prod18/archive/gdasarch.ecf | 4 +- .../scripts/prod18/archive/gfsarch.ecf | 4 +- model/ecflow_fv3gfs/scripts/prod18/final.ecf | 2 +- model/ecflow_fv3gfs/suite_def.yaml | 58 +++++++++++++++++-- 26 files changed, 94 insertions(+), 51 deletions(-) diff --git a/crow/sysenv/schedulers/LSFAlps.py b/crow/sysenv/schedulers/LSFAlps.py index b982a46..dfd2f08 100644 --- a/crow/sysenv/schedulers/LSFAlps.py +++ b/crow/sysenv/schedulers/LSFAlps.py @@ -94,7 +94,8 @@ def batch_resources(self,spec,**kwargs): if spec[0].is_exclusive() is False: # Shared program. This requires a different batch card syntax - sio.write(f'#BSUB -n {spec.total_ranks()}\n') + nranks=max(1,spec.total_ranks()) + sio.write(f'#BSUB -n {nranks}\n') else: if not spec.is_pure_serial() and not spec.is_pure_openmp(): # This is an MPI program. diff --git a/model/ecflow_fv3gfs/defs/prod00.def b/model/ecflow_fv3gfs/defs/prod00.def index f730c78..6097687 100644 --- a/model/ecflow_fv3gfs/defs/prod00.def +++ b/model/ecflow_fv3gfs/defs/prod00.def @@ -4,6 +4,7 @@ suite prod00 repeat day 1 edit ECF_TRIES '1' edit QUEUE 'debug' + edit QUEUESERV 'dev_transfer' edit MACHINE 'xc40-dev' edit PROJECT 'HUR-T2O' edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/' @@ -91,6 +92,4 @@ suite prod00 endtask endfamily endfamily - task final - endtask endsuite diff --git a/model/ecflow_fv3gfs/defs/prod06.def b/model/ecflow_fv3gfs/defs/prod06.def index 64bcb5e..97e34e1 100644 --- a/model/ecflow_fv3gfs/defs/prod06.def +++ b/model/ecflow_fv3gfs/defs/prod06.def @@ -6,6 +6,7 @@ suite prod06 repeat day 1 edit ECF_TRIES '1' edit QUEUE 'debug' + edit QUEUESERV 'dev_transfer' edit MACHINE 'xc40-dev' edit PROJECT 'HUR-T2O' edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/' @@ -93,6 +94,4 @@ suite prod06 endtask endfamily endfamily - task final - endtask endsuite diff --git a/model/ecflow_fv3gfs/defs/prod12.def b/model/ecflow_fv3gfs/defs/prod12.def index d14132e..03e199d 100644 --- a/model/ecflow_fv3gfs/defs/prod12.def +++ b/model/ecflow_fv3gfs/defs/prod12.def @@ -8,6 +8,7 @@ suite prod12 repeat day 1 edit ECF_TRIES '1' edit QUEUE 'debug' + edit QUEUESERV 'dev_transfer' edit MACHINE 'xc40-dev' edit PROJECT 'HUR-T2O' edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/' @@ -95,6 +96,4 @@ suite prod12 endtask endfamily endfamily - task final - endtask endsuite diff --git a/model/ecflow_fv3gfs/defs/prod18.def b/model/ecflow_fv3gfs/defs/prod18.def index 94a98f9..b3a7238 100644 --- a/model/ecflow_fv3gfs/defs/prod18.def +++ b/model/ecflow_fv3gfs/defs/prod18.def @@ -10,6 +10,7 @@ suite prod18 repeat day 1 edit ECF_TRIES '1' edit QUEUE 'debug' + edit QUEUESERV 'dev_transfer' edit MACHINE 'xc40-dev' edit PROJECT 'HUR-T2O' edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/' @@ -97,6 +98,4 @@ suite prod18 endtask endfamily endfamily - task final - endtask endsuite diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp1.ecf index a2eaca4..bd02a66 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp1.ecf @@ -1,11 +1,11 @@ #! /bin/sh -#BSUB -q %QUEUE% +#BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp1 #BSUB -o %LOG%archive.earc.grp1.log #BSUB -W 0:05 #BSUB -R rusage[mem=3072] -#BSUB -n 0 +#BSUB -n 1 %include echo ${JOBgfs}/earc diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp8.ecf index 2aa3682..062ab55 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp8.ecf @@ -1,11 +1,11 @@ #! /bin/sh -#BSUB -q %QUEUE% +#BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp8 #BSUB -o %LOG%archive.earc.grp8.log #BSUB -W 0:05 #BSUB -R rusage[mem=3072] -#BSUB -n 0 +#BSUB -n 1 %include echo ${JOBgfs}/earc diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/gdasarch.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/gdasarch.ecf index 6c8b2ec..6e36aeb 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/gdasarch.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/archive/gdasarch.ecf @@ -1,11 +1,11 @@ #! /bin/sh -#BSUB -q %QUEUE% +#BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.gdasarch #BSUB -o %LOG%archive.gdasarch.log #BSUB -W 0:05 #BSUB -R rusage[mem=3072] -#BSUB -n 0 +#BSUB -n 1 %include echo ${JOBgfs}/gdasarch diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/gfsarch.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/gfsarch.ecf index 6d553ca..4235d77 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/gfsarch.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/archive/gfsarch.ecf @@ -1,11 +1,11 @@ #! /bin/sh -#BSUB -q %QUEUE% +#BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.gfsarch #BSUB -o %LOG%archive.gfsarch.log #BSUB -W 0:05 #BSUB -R rusage[mem=3072] -#BSUB -n 0 +#BSUB -n 1 %include echo ${JOBgfs}/gfsarch diff --git a/model/ecflow_fv3gfs/scripts/prod00/final.ecf b/model/ecflow_fv3gfs/scripts/prod00/final.ecf index 2cf36a7..f729200 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/final.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/final.ecf @@ -5,7 +5,7 @@ #BSUB -o %LOG%final.log #BSUB -W 0:05 #BSUB -R rusage[mem=3072] -#BSUB -n 0 +#BSUB -n 1 %include echo ${JOBgfs}/final diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp1.ecf index a2eaca4..bd02a66 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp1.ecf @@ -1,11 +1,11 @@ #! /bin/sh -#BSUB -q %QUEUE% +#BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp1 #BSUB -o %LOG%archive.earc.grp1.log #BSUB -W 0:05 #BSUB -R rusage[mem=3072] -#BSUB -n 0 +#BSUB -n 1 %include echo ${JOBgfs}/earc diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp8.ecf index 2aa3682..062ab55 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp8.ecf @@ -1,11 +1,11 @@ #! /bin/sh -#BSUB -q %QUEUE% +#BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp8 #BSUB -o %LOG%archive.earc.grp8.log #BSUB -W 0:05 #BSUB -R rusage[mem=3072] -#BSUB -n 0 +#BSUB -n 1 %include echo ${JOBgfs}/earc diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/gdasarch.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/gdasarch.ecf index 6c8b2ec..6e36aeb 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/gdasarch.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/archive/gdasarch.ecf @@ -1,11 +1,11 @@ #! /bin/sh -#BSUB -q %QUEUE% +#BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.gdasarch #BSUB -o %LOG%archive.gdasarch.log #BSUB -W 0:05 #BSUB -R rusage[mem=3072] -#BSUB -n 0 +#BSUB -n 1 %include echo ${JOBgfs}/gdasarch diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/gfsarch.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/gfsarch.ecf index 6d553ca..4235d77 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/gfsarch.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/archive/gfsarch.ecf @@ -1,11 +1,11 @@ #! /bin/sh -#BSUB -q %QUEUE% +#BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.gfsarch #BSUB -o %LOG%archive.gfsarch.log #BSUB -W 0:05 #BSUB -R rusage[mem=3072] -#BSUB -n 0 +#BSUB -n 1 %include echo ${JOBgfs}/gfsarch diff --git a/model/ecflow_fv3gfs/scripts/prod06/final.ecf b/model/ecflow_fv3gfs/scripts/prod06/final.ecf index 2cf36a7..f729200 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/final.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/final.ecf @@ -5,7 +5,7 @@ #BSUB -o %LOG%final.log #BSUB -W 0:05 #BSUB -R rusage[mem=3072] -#BSUB -n 0 +#BSUB -n 1 %include echo ${JOBgfs}/final diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp1.ecf index a2eaca4..bd02a66 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp1.ecf @@ -1,11 +1,11 @@ #! /bin/sh -#BSUB -q %QUEUE% +#BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp1 #BSUB -o %LOG%archive.earc.grp1.log #BSUB -W 0:05 #BSUB -R rusage[mem=3072] -#BSUB -n 0 +#BSUB -n 1 %include echo ${JOBgfs}/earc diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp8.ecf index 2aa3682..062ab55 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp8.ecf @@ -1,11 +1,11 @@ #! /bin/sh -#BSUB -q %QUEUE% +#BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp8 #BSUB -o %LOG%archive.earc.grp8.log #BSUB -W 0:05 #BSUB -R rusage[mem=3072] -#BSUB -n 0 +#BSUB -n 1 %include echo ${JOBgfs}/earc diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/gdasarch.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/gdasarch.ecf index 6c8b2ec..6e36aeb 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/gdasarch.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/archive/gdasarch.ecf @@ -1,11 +1,11 @@ #! /bin/sh -#BSUB -q %QUEUE% +#BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.gdasarch #BSUB -o %LOG%archive.gdasarch.log #BSUB -W 0:05 #BSUB -R rusage[mem=3072] -#BSUB -n 0 +#BSUB -n 1 %include echo ${JOBgfs}/gdasarch diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/gfsarch.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/gfsarch.ecf index 6d553ca..4235d77 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/gfsarch.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/archive/gfsarch.ecf @@ -1,11 +1,11 @@ #! /bin/sh -#BSUB -q %QUEUE% +#BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.gfsarch #BSUB -o %LOG%archive.gfsarch.log #BSUB -W 0:05 #BSUB -R rusage[mem=3072] -#BSUB -n 0 +#BSUB -n 1 %include echo ${JOBgfs}/gfsarch diff --git a/model/ecflow_fv3gfs/scripts/prod12/final.ecf b/model/ecflow_fv3gfs/scripts/prod12/final.ecf index 2cf36a7..f729200 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/final.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/final.ecf @@ -5,7 +5,7 @@ #BSUB -o %LOG%final.log #BSUB -W 0:05 #BSUB -R rusage[mem=3072] -#BSUB -n 0 +#BSUB -n 1 %include echo ${JOBgfs}/final diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp1.ecf index a2eaca4..bd02a66 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp1.ecf @@ -1,11 +1,11 @@ #! /bin/sh -#BSUB -q %QUEUE% +#BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp1 #BSUB -o %LOG%archive.earc.grp1.log #BSUB -W 0:05 #BSUB -R rusage[mem=3072] -#BSUB -n 0 +#BSUB -n 1 %include echo ${JOBgfs}/earc diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp8.ecf index 2aa3682..062ab55 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp8.ecf @@ -1,11 +1,11 @@ #! /bin/sh -#BSUB -q %QUEUE% +#BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp8 #BSUB -o %LOG%archive.earc.grp8.log #BSUB -W 0:05 #BSUB -R rusage[mem=3072] -#BSUB -n 0 +#BSUB -n 1 %include echo ${JOBgfs}/earc diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/gdasarch.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/gdasarch.ecf index 6c8b2ec..6e36aeb 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/gdasarch.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/archive/gdasarch.ecf @@ -1,11 +1,11 @@ #! /bin/sh -#BSUB -q %QUEUE% +#BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.gdasarch #BSUB -o %LOG%archive.gdasarch.log #BSUB -W 0:05 #BSUB -R rusage[mem=3072] -#BSUB -n 0 +#BSUB -n 1 %include echo ${JOBgfs}/gdasarch diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/gfsarch.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/gfsarch.ecf index 6d553ca..4235d77 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/gfsarch.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/archive/gfsarch.ecf @@ -1,11 +1,11 @@ #! /bin/sh -#BSUB -q %QUEUE% +#BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.gfsarch #BSUB -o %LOG%archive.gfsarch.log #BSUB -W 0:05 #BSUB -R rusage[mem=3072] -#BSUB -n 0 +#BSUB -n 1 %include echo ${JOBgfs}/gfsarch diff --git a/model/ecflow_fv3gfs/scripts/prod18/final.ecf b/model/ecflow_fv3gfs/scripts/prod18/final.ecf index 2cf36a7..f729200 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/final.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/final.ecf @@ -5,7 +5,7 @@ #BSUB -o %LOG%final.log #BSUB -W 0:05 #BSUB -R rusage[mem=3072] -#BSUB -n 0 +#BSUB -n 1 %include echo ${JOBgfs}/final diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index d5b4290..b6409ce 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -12,13 +12,17 @@ settings: NMEM_ENKF: 80 ECF_HOME: '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/' -accounting: +shared_accounting: &shared_accounting + queue: '%QUEUESERV%' + project: '%PROJECT%' + +exclusive_accounting: &exclusive_accounting queue: '%QUEUE%' project: '%PROJECT%' ecf_file_template: &ecf_file_template !expand | #! /bin/sh - {sched.batch_accounting(doc.accounting,jobname=task_path_var,outerr="%LOG%"+task_path_var+".log") + {sched.batch_accounting(accounting,jobname=task_path_var,outerr="%LOG%"+task_path_var+".log") }{sched.batch_resources(resources)} %include echo ${{JOBgfs}}/{J_JOB} @@ -40,6 +44,7 @@ suite: !Cycle repeat day 1 edit ECF_TRIES '1' edit QUEUE 'debug' + edit QUEUESERV 'dev_transfer' edit MACHINE 'xc40-dev' edit PROJECT 'HUR-T2O' edit ECF_HOME '{doc.settings.ECF_HOME}' @@ -56,6 +61,7 @@ suite: !Cycle Trigger: !Depend up.gdas.post.at('-6:00:00') ecf_file: *ecf_file_template resources: *sample_mpi_omp + accounting: *exclusive_accounting J_JOB: prep enkf: !Family @@ -63,6 +69,7 @@ suite: !Cycle Trigger: !Depend ( up.prep & epos.at('-6:00:00') ) ecf_file: *ecf_file_template resources: *sample_mpi_omp + accounting: *exclusive_accounting J_JOB: eobs eomg: !Family @@ -70,6 +77,7 @@ suite: !Cycle grp1: !Task ecf_file: *ecf_file_template resources: *sample_mpi_omp + accounting: *exclusive_accounting J_JOB: eomg grp2: !FirstTrue @@ -77,6 +85,7 @@ suite: !Cycle do: !Task ecf_file: *ecf_file_template resources: *sample_mpi_omp + accounting: *exclusive_accounting J_JOB: eomg - otherwise: null @@ -85,6 +94,7 @@ suite: !Cycle do: !Task ecf_file: *ecf_file_template resources: *sample_mpi_omp + accounting: *exclusive_accounting J_JOB: eomg - otherwise: null @@ -93,6 +103,7 @@ suite: !Cycle do: !Task ecf_file: *ecf_file_template resources: *sample_mpi_omp + accounting: *exclusive_accounting J_JOB: eomg - otherwise: null @@ -101,6 +112,7 @@ suite: !Cycle do: !Task ecf_file: *ecf_file_template resources: *sample_mpi_omp + accounting: *exclusive_accounting J_JOB: eomg - otherwise: null @@ -109,6 +121,7 @@ suite: !Cycle do: !Task ecf_file: *ecf_file_template resources: *sample_mpi_omp + accounting: *exclusive_accounting J_JOB: eomg - otherwise: null @@ -117,6 +130,7 @@ suite: !Cycle do: !Task ecf_file: *ecf_file_template resources: *sample_mpi_omp + accounting: *exclusive_accounting J_JOB: eomg - otherwise: null @@ -125,6 +139,7 @@ suite: !Cycle do: !Task ecf_file: *ecf_file_template resources: *sample_mpi_omp + accounting: *exclusive_accounting J_JOB: eomg - otherwise: null @@ -132,12 +147,14 @@ suite: !Cycle Trigger: !Depend eomg ecf_file: *ecf_file_template resources: *sample_mpi_omp + accounting: *exclusive_accounting J_JOB: eupd ecen: !Task Trigger: !Depend ( eupd & up.anal ) ecf_file: *ecf_file_template resources: *sample_mpi_omp + accounting: *exclusive_accounting J_JOB: ecen # efcs: !TaskArray @@ -166,6 +183,7 @@ suite: !Cycle grp1: !Task ecf_file: *ecf_file_template resources: *sample_mpi_omp + accounting: *exclusive_accounting J_JOB: efcs @@ -174,6 +192,7 @@ suite: !Cycle do: !Task ecf_file: *ecf_file_template resources: *sample_mpi_omp + accounting: *exclusive_accounting J_JOB: efcs - otherwise: null @@ -182,6 +201,7 @@ suite: !Cycle do: !Task ecf_file: *ecf_file_template resources: *sample_mpi_omp + accounting: *exclusive_accounting J_JOB: efcs - otherwise: null @@ -190,6 +210,7 @@ suite: !Cycle do: !Task ecf_file: *ecf_file_template resources: *sample_mpi_omp + accounting: *exclusive_accounting J_JOB: efcs - otherwise: null @@ -199,6 +220,7 @@ suite: !Cycle ecf_file: *ecf_file_template J_JOB: efcs resources: *sample_mpi_omp + accounting: *exclusive_accounting - otherwise: null grp6: !FirstTrue @@ -207,6 +229,7 @@ suite: !Cycle ecf_file: *ecf_file_template J_JOB: efcs resources: *sample_mpi_omp + accounting: *exclusive_accounting - otherwise: null grp7: !FirstTrue @@ -215,6 +238,7 @@ suite: !Cycle ecf_file: *ecf_file_template J_JOB: efcs resources: *sample_mpi_omp + accounting: *exclusive_accounting - otherwise: null grp8: !FirstTrue @@ -222,6 +246,7 @@ suite: !Cycle do: !Task ecf_file: *ecf_file_template resources: *sample_mpi_omp + accounting: *exclusive_accounting J_JOB: efcs - otherwise: null @@ -229,30 +254,35 @@ suite: !Cycle Trigger: !Depend efcs ecf_file: *ecf_file_template resources: *sample_mpi_omp + accounting: *exclusive_accounting J_JOB: epos anal: !Task Trigger: !Depend ( prep & enkf.epos.at('-6:00:00') ) ecf_file: *ecf_file_template resources: *sample_mpi_omp + accounting: *exclusive_accounting J_JOB: anal fcst: !Task Trigger: !Depend anal ecf_file: *ecf_file_template resources: *sample_mpi_omp + accounting: *exclusive_accounting J_JOB: fcst post: !Task Trigger: !Depend fcst ecf_file: *ecf_file_template resources: *sample_mpi_omp + accounting: *exclusive_accounting J_JOB: post vrfy: !Task Trigger: !Depend post ecf_file: *ecf_file_template resources: *sample_mpi_omp + accounting: *exclusive_accounting J_JOB: vrfy gfs: !Family @@ -260,42 +290,49 @@ suite: !Cycle Trigger: !Depend up.gdas.post.at('-6:00:00') ecf_file: *ecf_file_template resources: *sample_mpi_omp + accounting: *exclusive_accounting J_JOB: prep anal: !Task Trigger: !Depend ( prep & up.gdas.enkf.epos.at('-6:00:00') ) ecf_file: *ecf_file_template resources: *sample_mpi_omp + accounting: *exclusive_accounting J_JOB: anal fcst: !Task Trigger: !Depend anal ecf_file: *ecf_file_template resources: *sample_mpi_omp + accounting: *exclusive_accounting J_JOB: fcst post: !Task Trigger: !Depend fcst ecf_file: *ecf_file_template resources: *sample_mpi_omp + accounting: *exclusive_accounting J_JOB: post vrfy: !Task Trigger: !Depend post ecf_file: *ecf_file_template resources: *sample_mpi_omp + accounting: *exclusive_accounting J_JOB: vrfy archive: !Family gdasarch: !Task Trigger: !Depend up.gdas.vrfy ecf_file: *ecf_file_template + accounting: *shared_accounting resources: *sample_shared_serial J_JOB: gdasarch gfsarch: !Task Trigger: !Depend up.gfs.vrfy ecf_file: *ecf_file_template + accounting: *shared_accounting resources: *sample_shared_serial J_JOB: gfsarch @@ -303,6 +340,7 @@ suite: !Cycle Trigger: !Depend up.gdas.enkf.epos grp1: !Task ecf_file: *ecf_file_template + accounting: *shared_accounting resources: *sample_shared_serial J_JOB: earc grp2: !FirstTrue @@ -310,6 +348,7 @@ suite: !Cycle do: !Task ecf_file: *ecf_file_template resources: *sample_shared_serial + accounting: *shared_accounting J_JOB: earc - otherwise: null grp3: !FirstTrue @@ -318,6 +357,7 @@ suite: !Cycle ecf_file: *ecf_file_template J_JOB: earc resources: *sample_shared_serial + accounting: *shared_accounting - otherwise: null grp4: !FirstTrue - when: !calc doc.settings.NMEM_ENKF==40 @@ -325,6 +365,7 @@ suite: !Cycle ecf_file: *ecf_file_template J_JOB: earc resources: *sample_shared_serial + accounting: *shared_accounting - otherwise: null grp5: !FirstTrue - when: !calc doc.settings.NMEM_ENKF==50 @@ -332,6 +373,7 @@ suite: !Cycle ecf_file: *ecf_file_template J_JOB: earc resources: *sample_shared_serial + accounting: *shared_accounting - otherwise: null grp6: !FirstTrue - when: !calc doc.settings.NMEM_ENKF==60 @@ -339,6 +381,7 @@ suite: !Cycle ecf_file: *ecf_file_template J_JOB: earc resources: *sample_shared_serial + accounting: *shared_accounting - otherwise: null grp7: !FirstTrue - when: !calc doc.settings.NMEM_ENKF==70 @@ -346,6 +389,7 @@ suite: !Cycle ecf_file: *ecf_file_template J_JOB: earc resources: *sample_shared_serial + accounting: *shared_accounting - otherwise: null grp8: !FirstTrue - when: !calc doc.settings.NMEM_ENKF==80 @@ -353,10 +397,12 @@ suite: !Cycle ecf_file: *ecf_file_template J_JOB: earc resources: *sample_shared_serial + accounting: *shared_accounting - otherwise: null - final: !Task - ecf_file: *ecf_file_template - resources: *sample_shared_serial - J_JOB: final + #final: !Task + # ecf_file: *ecf_file_template + # resources: *sample_shared_serial + # accounting: *shared_accounting + # J_JOB: final From 5a9e6e4fdea479bccaca05b9f3e728c69a94ca95 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 2 Feb 2018 20:25:28 +0000 Subject: [PATCH 279/487] correct ecflow def: should run ensemble group if number of members is >= cap not == --- model/ecflow_fv3gfs/defs/prod00.def | 36 +++++++++++++++++++++++++ model/ecflow_fv3gfs/defs/prod06.def | 36 +++++++++++++++++++++++++ model/ecflow_fv3gfs/defs/prod12.def | 36 +++++++++++++++++++++++++ model/ecflow_fv3gfs/defs/prod18.def | 36 +++++++++++++++++++++++++ model/ecflow_fv3gfs/suite_def.yaml | 42 ++++++++++++++--------------- 5 files changed, 165 insertions(+), 21 deletions(-) diff --git a/model/ecflow_fv3gfs/defs/prod00.def b/model/ecflow_fv3gfs/defs/prod00.def index 6097687..3897e5d 100644 --- a/model/ecflow_fv3gfs/defs/prod00.def +++ b/model/ecflow_fv3gfs/defs/prod00.def @@ -27,6 +27,18 @@ suite prod00 trigger ./eobs == complete task grp1 endtask + task grp2 + endtask + task grp3 + endtask + task grp4 + endtask + task grp5 + endtask + task grp6 + endtask + task grp7 + endtask task grp8 endtask endfamily @@ -40,6 +52,18 @@ suite prod00 trigger ./ecen == complete task grp1 endtask + task grp2 + endtask + task grp3 + endtask + task grp4 + endtask + task grp5 + endtask + task grp6 + endtask + task grp7 + endtask task grp8 endtask endfamily @@ -88,6 +112,18 @@ suite prod00 trigger ../gdas/enkf/epos == complete task grp1 endtask + task grp2 + endtask + task grp3 + endtask + task grp4 + endtask + task grp5 + endtask + task grp6 + endtask + task grp7 + endtask task grp8 endtask endfamily diff --git a/model/ecflow_fv3gfs/defs/prod06.def b/model/ecflow_fv3gfs/defs/prod06.def index 97e34e1..b6f2ca5 100644 --- a/model/ecflow_fv3gfs/defs/prod06.def +++ b/model/ecflow_fv3gfs/defs/prod06.def @@ -29,6 +29,18 @@ suite prod06 trigger ./eobs == complete task grp1 endtask + task grp2 + endtask + task grp3 + endtask + task grp4 + endtask + task grp5 + endtask + task grp6 + endtask + task grp7 + endtask task grp8 endtask endfamily @@ -42,6 +54,18 @@ suite prod06 trigger ./ecen == complete task grp1 endtask + task grp2 + endtask + task grp3 + endtask + task grp4 + endtask + task grp5 + endtask + task grp6 + endtask + task grp7 + endtask task grp8 endtask endfamily @@ -90,6 +114,18 @@ suite prod06 trigger ../gdas/enkf/epos == complete task grp1 endtask + task grp2 + endtask + task grp3 + endtask + task grp4 + endtask + task grp5 + endtask + task grp6 + endtask + task grp7 + endtask task grp8 endtask endfamily diff --git a/model/ecflow_fv3gfs/defs/prod12.def b/model/ecflow_fv3gfs/defs/prod12.def index 03e199d..ce6db75 100644 --- a/model/ecflow_fv3gfs/defs/prod12.def +++ b/model/ecflow_fv3gfs/defs/prod12.def @@ -31,6 +31,18 @@ suite prod12 trigger ./eobs == complete task grp1 endtask + task grp2 + endtask + task grp3 + endtask + task grp4 + endtask + task grp5 + endtask + task grp6 + endtask + task grp7 + endtask task grp8 endtask endfamily @@ -44,6 +56,18 @@ suite prod12 trigger ./ecen == complete task grp1 endtask + task grp2 + endtask + task grp3 + endtask + task grp4 + endtask + task grp5 + endtask + task grp6 + endtask + task grp7 + endtask task grp8 endtask endfamily @@ -92,6 +116,18 @@ suite prod12 trigger ../gdas/enkf/epos == complete task grp1 endtask + task grp2 + endtask + task grp3 + endtask + task grp4 + endtask + task grp5 + endtask + task grp6 + endtask + task grp7 + endtask task grp8 endtask endfamily diff --git a/model/ecflow_fv3gfs/defs/prod18.def b/model/ecflow_fv3gfs/defs/prod18.def index b3a7238..281d590 100644 --- a/model/ecflow_fv3gfs/defs/prod18.def +++ b/model/ecflow_fv3gfs/defs/prod18.def @@ -33,6 +33,18 @@ suite prod18 trigger ./eobs == complete task grp1 endtask + task grp2 + endtask + task grp3 + endtask + task grp4 + endtask + task grp5 + endtask + task grp6 + endtask + task grp7 + endtask task grp8 endtask endfamily @@ -46,6 +58,18 @@ suite prod18 trigger ./ecen == complete task grp1 endtask + task grp2 + endtask + task grp3 + endtask + task grp4 + endtask + task grp5 + endtask + task grp6 + endtask + task grp7 + endtask task grp8 endtask endfamily @@ -94,6 +118,18 @@ suite prod18 trigger ../gdas/enkf/epos == complete task grp1 endtask + task grp2 + endtask + task grp3 + endtask + task grp4 + endtask + task grp5 + endtask + task grp6 + endtask + task grp7 + endtask task grp8 endtask endfamily diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index b6409ce..5d6811d 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -81,7 +81,7 @@ suite: !Cycle J_JOB: eomg grp2: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF==20 + - when: !calc doc.settings.NMEM_ENKF>=20 do: !Task ecf_file: *ecf_file_template resources: *sample_mpi_omp @@ -90,7 +90,7 @@ suite: !Cycle - otherwise: null grp3: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF==30 + - when: !calc doc.settings.NMEM_ENKF>=30 do: !Task ecf_file: *ecf_file_template resources: *sample_mpi_omp @@ -99,7 +99,7 @@ suite: !Cycle - otherwise: null grp4: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF==40 + - when: !calc doc.settings.NMEM_ENKF>=40 do: !Task ecf_file: *ecf_file_template resources: *sample_mpi_omp @@ -108,7 +108,7 @@ suite: !Cycle - otherwise: null grp5: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF==50 + - when: !calc doc.settings.NMEM_ENKF>=50 do: !Task ecf_file: *ecf_file_template resources: *sample_mpi_omp @@ -117,7 +117,7 @@ suite: !Cycle - otherwise: null grp6: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF==60 + - when: !calc doc.settings.NMEM_ENKF>=60 do: !Task ecf_file: *ecf_file_template resources: *sample_mpi_omp @@ -126,7 +126,7 @@ suite: !Cycle - otherwise: null grp7: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF==70 + - when: !calc doc.settings.NMEM_ENKF>=70 do: !Task ecf_file: *ecf_file_template resources: *sample_mpi_omp @@ -135,7 +135,7 @@ suite: !Cycle - otherwise: null grp8: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF==80 + - when: !calc doc.settings.NMEM_ENKF>=80 do: !Task ecf_file: *ecf_file_template resources: *sample_mpi_omp @@ -188,7 +188,7 @@ suite: !Cycle grp2: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF==20 + - when: !calc doc.settings.NMEM_ENKF>=20 do: !Task ecf_file: *ecf_file_template resources: *sample_mpi_omp @@ -197,7 +197,7 @@ suite: !Cycle - otherwise: null grp3: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF==30 + - when: !calc doc.settings.NMEM_ENKF>=30 do: !Task ecf_file: *ecf_file_template resources: *sample_mpi_omp @@ -206,7 +206,7 @@ suite: !Cycle - otherwise: null grp4: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF==40 + - when: !calc doc.settings.NMEM_ENKF>=40 do: !Task ecf_file: *ecf_file_template resources: *sample_mpi_omp @@ -215,7 +215,7 @@ suite: !Cycle - otherwise: null grp5: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF==50 + - when: !calc doc.settings.NMEM_ENKF>=50 do: !Task ecf_file: *ecf_file_template J_JOB: efcs @@ -224,7 +224,7 @@ suite: !Cycle - otherwise: null grp6: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF==60 + - when: !calc doc.settings.NMEM_ENKF>=60 do: !Task ecf_file: *ecf_file_template J_JOB: efcs @@ -233,7 +233,7 @@ suite: !Cycle - otherwise: null grp7: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF==70 + - when: !calc doc.settings.NMEM_ENKF>=70 do: !Task ecf_file: *ecf_file_template J_JOB: efcs @@ -242,7 +242,7 @@ suite: !Cycle - otherwise: null grp8: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF==80 + - when: !calc doc.settings.NMEM_ENKF>=80 do: !Task ecf_file: *ecf_file_template resources: *sample_mpi_omp @@ -344,7 +344,7 @@ suite: !Cycle resources: *sample_shared_serial J_JOB: earc grp2: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF==20 + - when: !calc doc.settings.NMEM_ENKF>=20 do: !Task ecf_file: *ecf_file_template resources: *sample_shared_serial @@ -352,7 +352,7 @@ suite: !Cycle J_JOB: earc - otherwise: null grp3: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF==30 + - when: !calc doc.settings.NMEM_ENKF>=30 do: !Task ecf_file: *ecf_file_template J_JOB: earc @@ -360,7 +360,7 @@ suite: !Cycle accounting: *shared_accounting - otherwise: null grp4: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF==40 + - when: !calc doc.settings.NMEM_ENKF>=40 do: !Task ecf_file: *ecf_file_template J_JOB: earc @@ -368,7 +368,7 @@ suite: !Cycle accounting: *shared_accounting - otherwise: null grp5: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF==50 + - when: !calc doc.settings.NMEM_ENKF>=50 do: !Task ecf_file: *ecf_file_template J_JOB: earc @@ -376,7 +376,7 @@ suite: !Cycle accounting: *shared_accounting - otherwise: null grp6: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF==60 + - when: !calc doc.settings.NMEM_ENKF>=60 do: !Task ecf_file: *ecf_file_template J_JOB: earc @@ -384,7 +384,7 @@ suite: !Cycle accounting: *shared_accounting - otherwise: null grp7: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF==70 + - when: !calc doc.settings.NMEM_ENKF>=70 do: !Task ecf_file: *ecf_file_template J_JOB: earc @@ -392,7 +392,7 @@ suite: !Cycle accounting: *shared_accounting - otherwise: null grp8: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF==80 + - when: !calc doc.settings.NMEM_ENKF>=80 do: !Task ecf_file: *ecf_file_template J_JOB: earc From 661cfe47190e6261505959267431e40bb9384734 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 2 Feb 2018 20:27:58 +0000 Subject: [PATCH 280/487] add missing ecf files for ensemble groups --- .../scripts/prod00/archive/earc/grp2.ecf | 12 ++++++++++++ .../scripts/prod00/archive/earc/grp3.ecf | 12 ++++++++++++ .../scripts/prod00/archive/earc/grp4.ecf | 12 ++++++++++++ .../scripts/prod00/archive/earc/grp5.ecf | 12 ++++++++++++ .../scripts/prod00/archive/earc/grp6.ecf | 12 ++++++++++++ .../scripts/prod00/archive/earc/grp7.ecf | 12 ++++++++++++ .../scripts/prod00/gdas/enkf/efcs/grp2.ecf | 12 ++++++++++++ .../scripts/prod00/gdas/enkf/efcs/grp3.ecf | 12 ++++++++++++ .../scripts/prod00/gdas/enkf/efcs/grp4.ecf | 12 ++++++++++++ .../scripts/prod00/gdas/enkf/efcs/grp5.ecf | 12 ++++++++++++ .../scripts/prod00/gdas/enkf/efcs/grp6.ecf | 12 ++++++++++++ .../scripts/prod00/gdas/enkf/efcs/grp7.ecf | 12 ++++++++++++ .../scripts/prod00/gdas/enkf/eomg/grp2.ecf | 12 ++++++++++++ .../scripts/prod00/gdas/enkf/eomg/grp3.ecf | 12 ++++++++++++ .../scripts/prod00/gdas/enkf/eomg/grp4.ecf | 12 ++++++++++++ .../scripts/prod00/gdas/enkf/eomg/grp5.ecf | 12 ++++++++++++ .../scripts/prod00/gdas/enkf/eomg/grp6.ecf | 12 ++++++++++++ .../scripts/prod00/gdas/enkf/eomg/grp7.ecf | 12 ++++++++++++ .../scripts/prod06/archive/earc/grp2.ecf | 12 ++++++++++++ .../scripts/prod06/archive/earc/grp3.ecf | 12 ++++++++++++ .../scripts/prod06/archive/earc/grp4.ecf | 12 ++++++++++++ .../scripts/prod06/archive/earc/grp5.ecf | 12 ++++++++++++ .../scripts/prod06/archive/earc/grp6.ecf | 12 ++++++++++++ .../scripts/prod06/archive/earc/grp7.ecf | 12 ++++++++++++ .../scripts/prod06/gdas/enkf/efcs/grp2.ecf | 12 ++++++++++++ .../scripts/prod06/gdas/enkf/efcs/grp3.ecf | 12 ++++++++++++ .../scripts/prod06/gdas/enkf/efcs/grp4.ecf | 12 ++++++++++++ .../scripts/prod06/gdas/enkf/efcs/grp5.ecf | 12 ++++++++++++ .../scripts/prod06/gdas/enkf/efcs/grp6.ecf | 12 ++++++++++++ .../scripts/prod06/gdas/enkf/efcs/grp7.ecf | 12 ++++++++++++ .../scripts/prod06/gdas/enkf/eomg/grp2.ecf | 12 ++++++++++++ .../scripts/prod06/gdas/enkf/eomg/grp3.ecf | 12 ++++++++++++ .../scripts/prod06/gdas/enkf/eomg/grp4.ecf | 12 ++++++++++++ .../scripts/prod06/gdas/enkf/eomg/grp5.ecf | 12 ++++++++++++ .../scripts/prod06/gdas/enkf/eomg/grp6.ecf | 12 ++++++++++++ .../scripts/prod06/gdas/enkf/eomg/grp7.ecf | 12 ++++++++++++ .../scripts/prod12/archive/earc/grp2.ecf | 12 ++++++++++++ .../scripts/prod12/archive/earc/grp3.ecf | 12 ++++++++++++ .../scripts/prod12/archive/earc/grp4.ecf | 12 ++++++++++++ .../scripts/prod12/archive/earc/grp5.ecf | 12 ++++++++++++ .../scripts/prod12/archive/earc/grp6.ecf | 12 ++++++++++++ .../scripts/prod12/archive/earc/grp7.ecf | 12 ++++++++++++ .../scripts/prod12/gdas/enkf/efcs/grp2.ecf | 12 ++++++++++++ .../scripts/prod12/gdas/enkf/efcs/grp3.ecf | 12 ++++++++++++ .../scripts/prod12/gdas/enkf/efcs/grp4.ecf | 12 ++++++++++++ .../scripts/prod12/gdas/enkf/efcs/grp5.ecf | 12 ++++++++++++ .../scripts/prod12/gdas/enkf/efcs/grp6.ecf | 12 ++++++++++++ .../scripts/prod12/gdas/enkf/efcs/grp7.ecf | 12 ++++++++++++ .../scripts/prod12/gdas/enkf/eomg/grp2.ecf | 12 ++++++++++++ .../scripts/prod12/gdas/enkf/eomg/grp3.ecf | 12 ++++++++++++ .../scripts/prod12/gdas/enkf/eomg/grp4.ecf | 12 ++++++++++++ .../scripts/prod12/gdas/enkf/eomg/grp5.ecf | 12 ++++++++++++ .../scripts/prod12/gdas/enkf/eomg/grp6.ecf | 12 ++++++++++++ .../scripts/prod12/gdas/enkf/eomg/grp7.ecf | 12 ++++++++++++ .../scripts/prod18/archive/earc/grp2.ecf | 12 ++++++++++++ .../scripts/prod18/archive/earc/grp3.ecf | 12 ++++++++++++ .../scripts/prod18/archive/earc/grp4.ecf | 12 ++++++++++++ .../scripts/prod18/archive/earc/grp5.ecf | 12 ++++++++++++ .../scripts/prod18/archive/earc/grp6.ecf | 12 ++++++++++++ .../scripts/prod18/archive/earc/grp7.ecf | 12 ++++++++++++ .../scripts/prod18/gdas/enkf/efcs/grp2.ecf | 12 ++++++++++++ .../scripts/prod18/gdas/enkf/efcs/grp3.ecf | 12 ++++++++++++ .../scripts/prod18/gdas/enkf/efcs/grp4.ecf | 12 ++++++++++++ .../scripts/prod18/gdas/enkf/efcs/grp5.ecf | 12 ++++++++++++ .../scripts/prod18/gdas/enkf/efcs/grp6.ecf | 12 ++++++++++++ .../scripts/prod18/gdas/enkf/efcs/grp7.ecf | 12 ++++++++++++ .../scripts/prod18/gdas/enkf/eomg/grp2.ecf | 12 ++++++++++++ .../scripts/prod18/gdas/enkf/eomg/grp3.ecf | 12 ++++++++++++ .../scripts/prod18/gdas/enkf/eomg/grp4.ecf | 12 ++++++++++++ .../scripts/prod18/gdas/enkf/eomg/grp5.ecf | 12 ++++++++++++ .../scripts/prod18/gdas/enkf/eomg/grp6.ecf | 12 ++++++++++++ .../scripts/prod18/gdas/enkf/eomg/grp7.ecf | 12 ++++++++++++ 72 files changed, 864 insertions(+) create mode 100644 model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp7.ecf diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp2.ecf new file mode 100644 index 0000000..51eb4c7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp2.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp2 +#BSUB -o %LOG%archive.earc.grp2.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp3.ecf new file mode 100644 index 0000000..6ab01f4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp3.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp3 +#BSUB -o %LOG%archive.earc.grp3.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp4.ecf new file mode 100644 index 0000000..557a489 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp4.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp4 +#BSUB -o %LOG%archive.earc.grp4.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp5.ecf new file mode 100644 index 0000000..cea0d67 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp5.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp5 +#BSUB -o %LOG%archive.earc.grp5.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp6.ecf new file mode 100644 index 0000000..06d7caa --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp6.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp6 +#BSUB -o %LOG%archive.earc.grp6.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp7.ecf new file mode 100644 index 0000000..eccbb77 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp7.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp7 +#BSUB -o %LOG%archive.earc.grp7.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp2.ecf new file mode 100644 index 0000000..1900d33 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp2.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp2 +#BSUB -o %LOG%gdas.enkf.efcs.grp2.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp3.ecf new file mode 100644 index 0000000..694e3e6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp3.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp3 +#BSUB -o %LOG%gdas.enkf.efcs.grp3.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp4.ecf new file mode 100644 index 0000000..132bf1e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp4.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp4 +#BSUB -o %LOG%gdas.enkf.efcs.grp4.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp5.ecf new file mode 100644 index 0000000..28661f0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp5.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp5 +#BSUB -o %LOG%gdas.enkf.efcs.grp5.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp6.ecf new file mode 100644 index 0000000..2b9e8f5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp6.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp6 +#BSUB -o %LOG%gdas.enkf.efcs.grp6.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp7.ecf new file mode 100644 index 0000000..1e223f1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp7.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp7 +#BSUB -o %LOG%gdas.enkf.efcs.grp7.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp2.ecf new file mode 100644 index 0000000..84d2010 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp2.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp2 +#BSUB -o %LOG%gdas.enkf.eomg.grp2.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp3.ecf new file mode 100644 index 0000000..b9ff9c4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp3.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp3 +#BSUB -o %LOG%gdas.enkf.eomg.grp3.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp4.ecf new file mode 100644 index 0000000..321d0a6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp4.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp4 +#BSUB -o %LOG%gdas.enkf.eomg.grp4.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp5.ecf new file mode 100644 index 0000000..b434dee --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp5.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp5 +#BSUB -o %LOG%gdas.enkf.eomg.grp5.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp6.ecf new file mode 100644 index 0000000..0a2e955 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp6.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp6 +#BSUB -o %LOG%gdas.enkf.eomg.grp6.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp7.ecf new file mode 100644 index 0000000..c93de9c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp7.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp7 +#BSUB -o %LOG%gdas.enkf.eomg.grp7.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp2.ecf new file mode 100644 index 0000000..51eb4c7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp2.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp2 +#BSUB -o %LOG%archive.earc.grp2.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp3.ecf new file mode 100644 index 0000000..6ab01f4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp3.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp3 +#BSUB -o %LOG%archive.earc.grp3.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp4.ecf new file mode 100644 index 0000000..557a489 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp4.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp4 +#BSUB -o %LOG%archive.earc.grp4.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp5.ecf new file mode 100644 index 0000000..cea0d67 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp5.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp5 +#BSUB -o %LOG%archive.earc.grp5.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp6.ecf new file mode 100644 index 0000000..06d7caa --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp6.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp6 +#BSUB -o %LOG%archive.earc.grp6.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp7.ecf new file mode 100644 index 0000000..eccbb77 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp7.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp7 +#BSUB -o %LOG%archive.earc.grp7.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp2.ecf new file mode 100644 index 0000000..1900d33 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp2.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp2 +#BSUB -o %LOG%gdas.enkf.efcs.grp2.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp3.ecf new file mode 100644 index 0000000..694e3e6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp3.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp3 +#BSUB -o %LOG%gdas.enkf.efcs.grp3.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp4.ecf new file mode 100644 index 0000000..132bf1e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp4.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp4 +#BSUB -o %LOG%gdas.enkf.efcs.grp4.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp5.ecf new file mode 100644 index 0000000..28661f0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp5.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp5 +#BSUB -o %LOG%gdas.enkf.efcs.grp5.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp6.ecf new file mode 100644 index 0000000..2b9e8f5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp6.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp6 +#BSUB -o %LOG%gdas.enkf.efcs.grp6.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp7.ecf new file mode 100644 index 0000000..1e223f1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp7.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp7 +#BSUB -o %LOG%gdas.enkf.efcs.grp7.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp2.ecf new file mode 100644 index 0000000..84d2010 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp2.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp2 +#BSUB -o %LOG%gdas.enkf.eomg.grp2.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp3.ecf new file mode 100644 index 0000000..b9ff9c4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp3.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp3 +#BSUB -o %LOG%gdas.enkf.eomg.grp3.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp4.ecf new file mode 100644 index 0000000..321d0a6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp4.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp4 +#BSUB -o %LOG%gdas.enkf.eomg.grp4.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp5.ecf new file mode 100644 index 0000000..b434dee --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp5.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp5 +#BSUB -o %LOG%gdas.enkf.eomg.grp5.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp6.ecf new file mode 100644 index 0000000..0a2e955 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp6.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp6 +#BSUB -o %LOG%gdas.enkf.eomg.grp6.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp7.ecf new file mode 100644 index 0000000..c93de9c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp7.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp7 +#BSUB -o %LOG%gdas.enkf.eomg.grp7.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp2.ecf new file mode 100644 index 0000000..51eb4c7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp2.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp2 +#BSUB -o %LOG%archive.earc.grp2.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp3.ecf new file mode 100644 index 0000000..6ab01f4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp3.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp3 +#BSUB -o %LOG%archive.earc.grp3.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp4.ecf new file mode 100644 index 0000000..557a489 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp4.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp4 +#BSUB -o %LOG%archive.earc.grp4.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp5.ecf new file mode 100644 index 0000000..cea0d67 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp5.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp5 +#BSUB -o %LOG%archive.earc.grp5.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp6.ecf new file mode 100644 index 0000000..06d7caa --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp6.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp6 +#BSUB -o %LOG%archive.earc.grp6.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp7.ecf new file mode 100644 index 0000000..eccbb77 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp7.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp7 +#BSUB -o %LOG%archive.earc.grp7.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp2.ecf new file mode 100644 index 0000000..1900d33 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp2.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp2 +#BSUB -o %LOG%gdas.enkf.efcs.grp2.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp3.ecf new file mode 100644 index 0000000..694e3e6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp3.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp3 +#BSUB -o %LOG%gdas.enkf.efcs.grp3.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp4.ecf new file mode 100644 index 0000000..132bf1e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp4.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp4 +#BSUB -o %LOG%gdas.enkf.efcs.grp4.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp5.ecf new file mode 100644 index 0000000..28661f0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp5.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp5 +#BSUB -o %LOG%gdas.enkf.efcs.grp5.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp6.ecf new file mode 100644 index 0000000..2b9e8f5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp6.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp6 +#BSUB -o %LOG%gdas.enkf.efcs.grp6.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp7.ecf new file mode 100644 index 0000000..1e223f1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp7.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp7 +#BSUB -o %LOG%gdas.enkf.efcs.grp7.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp2.ecf new file mode 100644 index 0000000..84d2010 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp2.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp2 +#BSUB -o %LOG%gdas.enkf.eomg.grp2.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp3.ecf new file mode 100644 index 0000000..b9ff9c4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp3.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp3 +#BSUB -o %LOG%gdas.enkf.eomg.grp3.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp4.ecf new file mode 100644 index 0000000..321d0a6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp4.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp4 +#BSUB -o %LOG%gdas.enkf.eomg.grp4.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp5.ecf new file mode 100644 index 0000000..b434dee --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp5.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp5 +#BSUB -o %LOG%gdas.enkf.eomg.grp5.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp6.ecf new file mode 100644 index 0000000..0a2e955 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp6.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp6 +#BSUB -o %LOG%gdas.enkf.eomg.grp6.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp7.ecf new file mode 100644 index 0000000..c93de9c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp7.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp7 +#BSUB -o %LOG%gdas.enkf.eomg.grp7.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp2.ecf new file mode 100644 index 0000000..51eb4c7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp2.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp2 +#BSUB -o %LOG%archive.earc.grp2.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp3.ecf new file mode 100644 index 0000000..6ab01f4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp3.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp3 +#BSUB -o %LOG%archive.earc.grp3.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp4.ecf new file mode 100644 index 0000000..557a489 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp4.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp4 +#BSUB -o %LOG%archive.earc.grp4.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp5.ecf new file mode 100644 index 0000000..cea0d67 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp5.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp5 +#BSUB -o %LOG%archive.earc.grp5.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp6.ecf new file mode 100644 index 0000000..06d7caa --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp6.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp6 +#BSUB -o %LOG%archive.earc.grp6.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp7.ecf new file mode 100644 index 0000000..eccbb77 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp7.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp7 +#BSUB -o %LOG%archive.earc.grp7.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +echo ${JOBgfs}/earc +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp2.ecf new file mode 100644 index 0000000..1900d33 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp2.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp2 +#BSUB -o %LOG%gdas.enkf.efcs.grp2.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp3.ecf new file mode 100644 index 0000000..694e3e6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp3.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp3 +#BSUB -o %LOG%gdas.enkf.efcs.grp3.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp4.ecf new file mode 100644 index 0000000..132bf1e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp4.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp4 +#BSUB -o %LOG%gdas.enkf.efcs.grp4.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp5.ecf new file mode 100644 index 0000000..28661f0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp5.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp5 +#BSUB -o %LOG%gdas.enkf.efcs.grp5.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp6.ecf new file mode 100644 index 0000000..2b9e8f5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp6.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp6 +#BSUB -o %LOG%gdas.enkf.efcs.grp6.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp7.ecf new file mode 100644 index 0000000..1e223f1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp7.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp7 +#BSUB -o %LOG%gdas.enkf.efcs.grp7.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp2.ecf new file mode 100644 index 0000000..84d2010 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp2.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp2 +#BSUB -o %LOG%gdas.enkf.eomg.grp2.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp3.ecf new file mode 100644 index 0000000..b9ff9c4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp3.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp3 +#BSUB -o %LOG%gdas.enkf.eomg.grp3.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp4.ecf new file mode 100644 index 0000000..321d0a6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp4.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp4 +#BSUB -o %LOG%gdas.enkf.eomg.grp4.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp5.ecf new file mode 100644 index 0000000..b434dee --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp5.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp5 +#BSUB -o %LOG%gdas.enkf.eomg.grp5.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp6.ecf new file mode 100644 index 0000000..0a2e955 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp6.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp6 +#BSUB -o %LOG%gdas.enkf.eomg.grp6.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp7.ecf new file mode 100644 index 0000000..c93de9c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp7.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp7 +#BSUB -o %LOG%gdas.enkf.eomg.grp7.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=500] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/eomg +%include From 4228ecbe660c3996d84456bec8d6a62280c5e9b5 Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Fri, 2 Feb 2018 20:29:26 +0000 Subject: [PATCH 281/487] resource request --- model/ecflow_fv3gfs/resources.yaml | 202 +++++++++++++++++++++++++++-- model/ecflow_fv3gfs/suite_def.yaml | 85 ++++++------ 2 files changed, 233 insertions(+), 54 deletions(-) diff --git a/model/ecflow_fv3gfs/resources.yaml b/model/ecflow_fv3gfs/resources.yaml index b1fa7a2..9a5619c 100644 --- a/model/ecflow_fv3gfs/resources.yaml +++ b/model/ecflow_fv3gfs/resources.yaml @@ -1,13 +1,193 @@ +resource_demo: &resource_demo -sample_mpi_omp: &sample_mpi_omp !JobRequest - - exe: placeholder + run_test: + resources: !JobRequest + - exe: nothing + mpi_ranks: 1 + + # From if[[...ecen]] block in config.resources: + run_ecen: + env: { } #*mpi_tuning + memory: "3072M" + walltime: 00:02:00 + mpi_ranks: 84 + resources: !JobRequest + - exe: placeholder + # max_ppn comes from THEIA.env: 84/12 = 7 + max_ppn: 7 + OMP_NUM_THREADS: 2 + + run_chgres: + env: { } + resources: !JobRequest + - exe: time + OMP_NUM_THREADS: 12 + args: + - placeholder + + run_nothing: # Special placeholder for "do nothing" + env: { } + memory: "300M" + resources: !JobRequest + - exe: nothing + + run_eobs: + env: { } + memory: "3072M" + walltime: 00:02:00 + mpi_ranks: !calc 12*6 + resources: !JobRequest + - exe: placeholder + max_ppn: 6 + OMP_NUM_THREADS: 4 + + run_eomg: + env: { } + memory: "3072M" + walltime: 00:02:00 + mpi_ranks: !calc 6*12 + resources: !JobRequest + - exe: placeholder + max_ppn: 6 + OMP_NUM_THREADS: 2 + + run_eupd: + env: { } + memory: "3072M" + walltime: 00:02:00 + mpi_ranks: !calc 10*12 + resources: !JobRequest + - exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 2 + +# run_enkf: +# env: { } +# mpi_ranks: !calc 10*12 +# resources: !JobRequest +# - exe: placeholder +# max_ppn: 12 +# OMP_NUM_THREADS: 4 + + run_efcs: + env: { } + walltime: 00:02:00 + mpi_ranks: 120 + memory: "3072M" + + run_epos: + env: { } + memory: "254M" + mpi_ranks: 84 + walltime: 00:02:00 + resources: !JobRequest + - exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 2 + + run_prep: + env: { } + memory: "3072M" + walltime: 00:02:00 + mpi_ranks: 12 + resources: !JobRequest + - exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: max + + run_anal: + env: { } + memory: "3072M" + mpi_ranks: 144 + walltime: 00:02:00 + resources: !JobRequest + - exe: placeholder + max_ppn: 6 + OMP_NUM_THREADS: 2 + +# run_gsi: +# env: { } +# mpi_ranks: !calc 24*6 +# resources: !JobRequest +# - exe: placeholder +# max_ppn: 6 +# OMP_NUM_THREADS: 4 + + run_gdasfcst: + env: { } + mpi_ranks: 144 + walltime: 00:02:00 + memory: "3072M" + + run_gfsfcst: + env: { } + mpi_ranks: 144 + walltime: 00:02:00 + memory: "3072M" + + run_gdaspost: + env: { } + memory: "3072M" + mpi_ranks: 72 + walltime: 00:02:00 + resources: !JobRequest + - exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 1 + + run_gfspost: + env: { } + memory: "3072M" + mpi_ranks: 72 + walltime: 00:02:00 + resources: !JobRequest + - exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 1 + + run_vrfy: + env: { } + memory: "3072M" + mpi_ranks: 1 + walltime: 00:02:00 + resources: !JobRequest + - exe: placeholder + max_ppn: 1 + OMP_NUM_THREADS: 2 + + run_arch: + env: { } + memory: "3072M" + mpi_ranks: 1 + walltime: 00:02:00 + resources: !JobRequest + - exe: placeholder + max_ppn: 1 + OMP_NUM_THREADS: 2 + + run_final: + env: { } + memory: "2M" + mpi_ranks: 1 + walltime: 00:01:00 + resources: !JobRequest + - exe: placeholder + max_ppn: 1 + OMP_NUM_THREADS: 2 + +# run_earc: +# env: { } +# memory: "3072M" +# mpi_ranks: 1 +# walltime: 00:15:00 +# resources: !JobRequest +# - exe: placeholder +# max_ppn: 1 +# OMP_NUM_THREADS: 2 + + run_fv3ic: + env: { } + memory: "3072M" mpi_ranks: 24 - OMP_NUM_THREADS: 2 - walltime: 00:05:00 - memory: 500M - -sample_shared_serial: &sample_shared_serial !JobRequest - - exe: placeholder - walltime: 00:05:00 - exclusive: false - memory: 3G + resources: !JobRequest + - exe: placeholder diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index d5b4290..ff4f9c2 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -35,7 +35,6 @@ suite: !Cycle suite_name: "prod%H" scheduler: !calc doc.scheduler - ecflow_def: !expand | repeat day 1 edit ECF_TRIES '1' @@ -55,28 +54,28 @@ suite: !Cycle prep: !Task Trigger: !Depend up.gdas.post.at('-6:00:00') ecf_file: *ecf_file_template - resources: *sample_mpi_omp + resources: !calc ( doc.resource_demo.run_prep ) J_JOB: prep enkf: !Family eobs: !Task Trigger: !Depend ( up.prep & epos.at('-6:00:00') ) ecf_file: *ecf_file_template - resources: *sample_mpi_omp +# resources: *sample_mpi_omp J_JOB: eobs eomg: !Family Trigger: !Depend eobs grp1: !Task ecf_file: *ecf_file_template - resources: *sample_mpi_omp + resources: !calc ( doc.resource_demo.run_eomg ) J_JOB: eomg grp2: !FirstTrue - when: !calc doc.settings.NMEM_ENKF==20 do: !Task ecf_file: *ecf_file_template - resources: *sample_mpi_omp + resources: !calc ( doc.resource_demo.run_eomg ) J_JOB: eomg - otherwise: null @@ -84,7 +83,7 @@ suite: !Cycle - when: !calc doc.settings.NMEM_ENKF==30 do: !Task ecf_file: *ecf_file_template - resources: *sample_mpi_omp + resources: !calc ( doc.resource_demo.run_eomg ) J_JOB: eomg - otherwise: null @@ -92,7 +91,7 @@ suite: !Cycle - when: !calc doc.settings.NMEM_ENKF==40 do: !Task ecf_file: *ecf_file_template - resources: *sample_mpi_omp + resources: !calc ( doc.resource_demo.run_eomg ) J_JOB: eomg - otherwise: null @@ -100,7 +99,7 @@ suite: !Cycle - when: !calc doc.settings.NMEM_ENKF==50 do: !Task ecf_file: *ecf_file_template - resources: *sample_mpi_omp + resources: !calc ( doc.resource_demo.run_eomg ) J_JOB: eomg - otherwise: null @@ -108,7 +107,7 @@ suite: !Cycle - when: !calc doc.settings.NMEM_ENKF==60 do: !Task ecf_file: *ecf_file_template - resources: *sample_mpi_omp + resources: !calc ( doc.resource_demo.run_eomg ) J_JOB: eomg - otherwise: null @@ -116,7 +115,7 @@ suite: !Cycle - when: !calc doc.settings.NMEM_ENKF==70 do: !Task ecf_file: *ecf_file_template - resources: *sample_mpi_omp + resources: !calc ( doc.resource_demo.run_eomg ) J_JOB: eomg - otherwise: null @@ -124,20 +123,20 @@ suite: !Cycle - when: !calc doc.settings.NMEM_ENKF==80 do: !Task ecf_file: *ecf_file_template - resources: *sample_mpi_omp + resources: !calc ( doc.resource_demo.run_eomg ) J_JOB: eomg - otherwise: null eupd: !Task Trigger: !Depend eomg ecf_file: *ecf_file_template - resources: *sample_mpi_omp + resources: !calc ( doc.resource_demo.run_eupd ) J_JOB: eupd ecen: !Task Trigger: !Depend ( eupd & up.anal ) ecf_file: *ecf_file_template - resources: *sample_mpi_omp + resources: !calc ( doc.resource_demo.run_ecen ) J_JOB: ecen # efcs: !TaskArray @@ -165,7 +164,7 @@ suite: !Cycle Trigger: !Depend ecen grp1: !Task ecf_file: *ecf_file_template - resources: *sample_mpi_omp + resources: !calc ( doc.resource_demo.run_efcs ) J_JOB: efcs @@ -173,7 +172,7 @@ suite: !Cycle - when: !calc doc.settings.NMEM_ENKF==20 do: !Task ecf_file: *ecf_file_template - resources: *sample_mpi_omp + resources: !calc ( doc.resource_demo.run_efcs ) J_JOB: efcs - otherwise: null @@ -181,7 +180,7 @@ suite: !Cycle - when: !calc doc.settings.NMEM_ENKF==30 do: !Task ecf_file: *ecf_file_template - resources: *sample_mpi_omp + resources: !calc ( doc.resource_demo.run_efcs ) J_JOB: efcs - otherwise: null @@ -189,7 +188,7 @@ suite: !Cycle - when: !calc doc.settings.NMEM_ENKF==40 do: !Task ecf_file: *ecf_file_template - resources: *sample_mpi_omp + resources: !calc ( doc.resource_demo.run_efcs ) J_JOB: efcs - otherwise: null @@ -198,7 +197,7 @@ suite: !Cycle do: !Task ecf_file: *ecf_file_template J_JOB: efcs - resources: *sample_mpi_omp + resources: !calc ( doc.resource_demo.run_efcs ) - otherwise: null grp6: !FirstTrue @@ -206,7 +205,7 @@ suite: !Cycle do: !Task ecf_file: *ecf_file_template J_JOB: efcs - resources: *sample_mpi_omp + resources: !calc ( doc.resource_demo.run_efcs ) - otherwise: null grp7: !FirstTrue @@ -214,102 +213,102 @@ suite: !Cycle do: !Task ecf_file: *ecf_file_template J_JOB: efcs - resources: *sample_mpi_omp + resources: !calc ( doc.resource_demo.run_efcs ) - otherwise: null grp8: !FirstTrue - when: !calc doc.settings.NMEM_ENKF==80 do: !Task ecf_file: *ecf_file_template - resources: *sample_mpi_omp + resources: !calc ( doc.resource_demo.run_efcs ) J_JOB: efcs - otherwise: null epos: !Task Trigger: !Depend efcs ecf_file: *ecf_file_template - resources: *sample_mpi_omp + resources: !calc ( doc.resource_demo.run_epos ) J_JOB: epos anal: !Task Trigger: !Depend ( prep & enkf.epos.at('-6:00:00') ) ecf_file: *ecf_file_template - resources: *sample_mpi_omp + resources: !calc ( doc.resource_demo.run_anal ) J_JOB: anal fcst: !Task Trigger: !Depend anal ecf_file: *ecf_file_template - resources: *sample_mpi_omp + resources: !calc ( doc.resource_demo.run_gdasfcst ) J_JOB: fcst post: !Task Trigger: !Depend fcst ecf_file: *ecf_file_template - resources: *sample_mpi_omp + resources: !calc ( doc.resource_demo.run_gdaspost ) J_JOB: post vrfy: !Task Trigger: !Depend post ecf_file: *ecf_file_template - resources: *sample_mpi_omp + resources: !calc ( doc.resource_demo.run_vrfy ) J_JOB: vrfy gfs: !Family prep: !Task Trigger: !Depend up.gdas.post.at('-6:00:00') ecf_file: *ecf_file_template - resources: *sample_mpi_omp + resources: !calc ( doc.resource_demo.run_prep ) J_JOB: prep anal: !Task Trigger: !Depend ( prep & up.gdas.enkf.epos.at('-6:00:00') ) ecf_file: *ecf_file_template - resources: *sample_mpi_omp + resources: !calc ( doc.resource_demo.run_anal ) J_JOB: anal fcst: !Task Trigger: !Depend anal ecf_file: *ecf_file_template - resources: *sample_mpi_omp + resources: !calc ( doc.resource_demo.run_gfsfcst ) J_JOB: fcst post: !Task Trigger: !Depend fcst ecf_file: *ecf_file_template - resources: *sample_mpi_omp + resources: !calc ( doc.resource_demo.run_gfspost ) J_JOB: post vrfy: !Task Trigger: !Depend post ecf_file: *ecf_file_template - resources: *sample_mpi_omp + resources: !calc ( doc.resource_demo.run_vrfy ) J_JOB: vrfy archive: !Family gdasarch: !Task Trigger: !Depend up.gdas.vrfy ecf_file: *ecf_file_template - resources: *sample_shared_serial + resources: !calc ( doc.resource_demo.run_arch ) J_JOB: gdasarch gfsarch: !Task Trigger: !Depend up.gfs.vrfy ecf_file: *ecf_file_template - resources: *sample_shared_serial + resources: !calc ( doc.resource_demo.run_arch ) J_JOB: gfsarch earc: !Family Trigger: !Depend up.gdas.enkf.epos grp1: !Task ecf_file: *ecf_file_template - resources: *sample_shared_serial + resources: !calc ( doc.resource_demo.run_arch ) J_JOB: earc grp2: !FirstTrue - when: !calc doc.settings.NMEM_ENKF==20 do: !Task ecf_file: *ecf_file_template - resources: *sample_shared_serial + resources: !calc ( doc.resource_demo.run_arch ) J_JOB: earc - otherwise: null grp3: !FirstTrue @@ -317,46 +316,46 @@ suite: !Cycle do: !Task ecf_file: *ecf_file_template J_JOB: earc - resources: *sample_shared_serial + resources: !calc ( doc.resource_demo.run_arch ) - otherwise: null grp4: !FirstTrue - when: !calc doc.settings.NMEM_ENKF==40 do: !Task ecf_file: *ecf_file_template J_JOB: earc - resources: *sample_shared_serial + resources: !calc ( doc.resource_demo.run_arch ) - otherwise: null grp5: !FirstTrue - when: !calc doc.settings.NMEM_ENKF==50 do: !Task ecf_file: *ecf_file_template J_JOB: earc - resources: *sample_shared_serial + resources: !calc ( doc.resource_demo.run_arch ) - otherwise: null grp6: !FirstTrue - when: !calc doc.settings.NMEM_ENKF==60 do: !Task ecf_file: *ecf_file_template J_JOB: earc - resources: *sample_shared_serial + resources: !calc ( doc.resource_demo.run_arch ) - otherwise: null grp7: !FirstTrue - when: !calc doc.settings.NMEM_ENKF==70 - do: !Task + do: !Task ecf_file: *ecf_file_template J_JOB: earc - resources: *sample_shared_serial + resources: !calc ( doc.resource_demo.run_arch ) - otherwise: null grp8: !FirstTrue - when: !calc doc.settings.NMEM_ENKF==80 do: !Task ecf_file: *ecf_file_template J_JOB: earc - resources: *sample_shared_serial + resources: !calc ( doc.resource_demo.run_arch ) - otherwise: null final: !Task ecf_file: *ecf_file_template - resources: *sample_shared_serial + resources: !calc ( doc.resource_demo.run_final ) J_JOB: final From 8aa35165b3e0d4708441c9f642aca55e7721dc4c Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 2 Feb 2018 20:39:41 +0000 Subject: [PATCH 282/487] remove syntax errors from suite_def.yaml --- model/ecflow_fv3gfs/resources.yaml | 18 ++-- model/ecflow_fv3gfs/suite_def.yaml | 166 +---------------------------- 2 files changed, 11 insertions(+), 173 deletions(-) diff --git a/model/ecflow_fv3gfs/resources.yaml b/model/ecflow_fv3gfs/resources.yaml index 9a5619c..da101ff 100644 --- a/model/ecflow_fv3gfs/resources.yaml +++ b/model/ecflow_fv3gfs/resources.yaml @@ -7,15 +7,15 @@ resource_demo: &resource_demo # From if[[...ecen]] block in config.resources: run_ecen: - env: { } #*mpi_tuning - memory: "3072M" - walltime: 00:02:00 - mpi_ranks: 84 - resources: !JobRequest - - exe: placeholder - # max_ppn comes from THEIA.env: 84/12 = 7 - max_ppn: 7 - OMP_NUM_THREADS: 2 + - env: { } #*mpi_tuning + memory: "3072M" + walltime: 00:02:00 + mpi_ranks: 84 + resources: !JobRequest + - exe: placeholder + # max_ppn comes from THEIA.env: 84/12 = 7 + max_ppn: 7 + OMP_NUM_THREADS: 2 run_chgres: env: { } diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index 6efb468..dac1ca1 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -59,48 +59,32 @@ suite: !Cycle prep: !Task Trigger: !Depend up.gdas.post.at('-6:00:00') ecf_file: *ecf_file_template -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_prep ) -======= - resources: *sample_mpi_omp accounting: *exclusive_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 J_JOB: prep enkf: !Family eobs: !Task Trigger: !Depend ( up.prep & epos.at('-6:00:00') ) ecf_file: *ecf_file_template -<<<<<<< HEAD -# resources: *sample_mpi_omp -======= - resources: *sample_mpi_omp + resources: !calc ( doc.resource_demo.run_eobs ) accounting: *exclusive_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 J_JOB: eobs eomg: !Family Trigger: !Depend eobs grp1: !Task ecf_file: *ecf_file_template -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_eomg ) -======= - resources: *sample_mpi_omp accounting: *exclusive_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 J_JOB: eomg grp2: !FirstTrue - when: !calc doc.settings.NMEM_ENKF>=20 do: !Task ecf_file: *ecf_file_template -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_eomg ) -======= - resources: *sample_mpi_omp accounting: *exclusive_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 J_JOB: eomg - otherwise: null @@ -108,12 +92,8 @@ suite: !Cycle - when: !calc doc.settings.NMEM_ENKF>=30 do: !Task ecf_file: *ecf_file_template -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_eomg ) -======= - resources: *sample_mpi_omp accounting: *exclusive_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 J_JOB: eomg - otherwise: null @@ -121,12 +101,8 @@ suite: !Cycle - when: !calc doc.settings.NMEM_ENKF>=40 do: !Task ecf_file: *ecf_file_template -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_eomg ) -======= - resources: *sample_mpi_omp accounting: *exclusive_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 J_JOB: eomg - otherwise: null @@ -134,12 +110,8 @@ suite: !Cycle - when: !calc doc.settings.NMEM_ENKF>=50 do: !Task ecf_file: *ecf_file_template -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_eomg ) -======= - resources: *sample_mpi_omp accounting: *exclusive_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 J_JOB: eomg - otherwise: null @@ -147,12 +119,8 @@ suite: !Cycle - when: !calc doc.settings.NMEM_ENKF>=60 do: !Task ecf_file: *ecf_file_template -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_eomg ) -======= - resources: *sample_mpi_omp accounting: *exclusive_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 J_JOB: eomg - otherwise: null @@ -160,12 +128,8 @@ suite: !Cycle - when: !calc doc.settings.NMEM_ENKF>=70 do: !Task ecf_file: *ecf_file_template -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_eomg ) -======= - resources: *sample_mpi_omp accounting: *exclusive_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 J_JOB: eomg - otherwise: null @@ -173,35 +137,23 @@ suite: !Cycle - when: !calc doc.settings.NMEM_ENKF>=80 do: !Task ecf_file: *ecf_file_template -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_eomg ) -======= - resources: *sample_mpi_omp accounting: *exclusive_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 J_JOB: eomg - otherwise: null eupd: !Task Trigger: !Depend eomg ecf_file: *ecf_file_template -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_eupd ) -======= - resources: *sample_mpi_omp accounting: *exclusive_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 J_JOB: eupd ecen: !Task Trigger: !Depend ( eupd & up.anal ) ecf_file: *ecf_file_template -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_ecen ) -======= - resources: *sample_mpi_omp accounting: *exclusive_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 J_JOB: ecen # efcs: !TaskArray @@ -229,12 +181,8 @@ suite: !Cycle Trigger: !Depend ecen grp1: !Task ecf_file: *ecf_file_template -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_efcs ) -======= - resources: *sample_mpi_omp accounting: *exclusive_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 J_JOB: efcs @@ -242,12 +190,8 @@ suite: !Cycle - when: !calc doc.settings.NMEM_ENKF>=20 do: !Task ecf_file: *ecf_file_template -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_efcs ) -======= - resources: *sample_mpi_omp accounting: *exclusive_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 J_JOB: efcs - otherwise: null @@ -255,12 +199,8 @@ suite: !Cycle - when: !calc doc.settings.NMEM_ENKF>=30 do: !Task ecf_file: *ecf_file_template -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_efcs ) -======= - resources: *sample_mpi_omp accounting: *exclusive_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 J_JOB: efcs - otherwise: null @@ -268,12 +208,8 @@ suite: !Cycle - when: !calc doc.settings.NMEM_ENKF>=40 do: !Task ecf_file: *ecf_file_template -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_efcs ) -======= - resources: *sample_mpi_omp accounting: *exclusive_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 J_JOB: efcs - otherwise: null @@ -282,12 +218,8 @@ suite: !Cycle do: !Task ecf_file: *ecf_file_template J_JOB: efcs -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_efcs ) -======= - resources: *sample_mpi_omp accounting: *exclusive_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 - otherwise: null grp6: !FirstTrue @@ -295,12 +227,8 @@ suite: !Cycle do: !Task ecf_file: *ecf_file_template J_JOB: efcs -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_efcs ) -======= - resources: *sample_mpi_omp accounting: *exclusive_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 - otherwise: null grp7: !FirstTrue @@ -308,182 +236,118 @@ suite: !Cycle do: !Task ecf_file: *ecf_file_template J_JOB: efcs -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_efcs ) -======= - resources: *sample_mpi_omp accounting: *exclusive_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 - otherwise: null grp8: !FirstTrue - when: !calc doc.settings.NMEM_ENKF>=80 do: !Task ecf_file: *ecf_file_template -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_efcs ) -======= - resources: *sample_mpi_omp accounting: *exclusive_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 J_JOB: efcs - otherwise: null epos: !Task Trigger: !Depend efcs ecf_file: *ecf_file_template -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_epos ) -======= - resources: *sample_mpi_omp accounting: *exclusive_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 J_JOB: epos anal: !Task Trigger: !Depend ( prep & enkf.epos.at('-6:00:00') ) ecf_file: *ecf_file_template -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_anal ) -======= - resources: *sample_mpi_omp accounting: *exclusive_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 J_JOB: anal fcst: !Task Trigger: !Depend anal ecf_file: *ecf_file_template -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_gdasfcst ) -======= - resources: *sample_mpi_omp accounting: *exclusive_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 J_JOB: fcst post: !Task Trigger: !Depend fcst ecf_file: *ecf_file_template -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_gdaspost ) -======= - resources: *sample_mpi_omp accounting: *exclusive_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 J_JOB: post vrfy: !Task Trigger: !Depend post ecf_file: *ecf_file_template -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_vrfy ) -======= - resources: *sample_mpi_omp accounting: *exclusive_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 J_JOB: vrfy gfs: !Family prep: !Task Trigger: !Depend up.gdas.post.at('-6:00:00') ecf_file: *ecf_file_template -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_prep ) -======= - resources: *sample_mpi_omp accounting: *exclusive_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 J_JOB: prep anal: !Task Trigger: !Depend ( prep & up.gdas.enkf.epos.at('-6:00:00') ) ecf_file: *ecf_file_template -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_anal ) -======= - resources: *sample_mpi_omp accounting: *exclusive_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 J_JOB: anal fcst: !Task Trigger: !Depend anal ecf_file: *ecf_file_template -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_gfsfcst ) -======= - resources: *sample_mpi_omp accounting: *exclusive_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 J_JOB: fcst post: !Task Trigger: !Depend fcst ecf_file: *ecf_file_template -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_gfspost ) -======= - resources: *sample_mpi_omp accounting: *exclusive_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 J_JOB: post vrfy: !Task Trigger: !Depend post ecf_file: *ecf_file_template -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_vrfy ) -======= - resources: *sample_mpi_omp accounting: *exclusive_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 J_JOB: vrfy archive: !Family gdasarch: !Task Trigger: !Depend up.gdas.vrfy ecf_file: *ecf_file_template -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_arch ) -======= accounting: *shared_accounting - resources: *sample_shared_serial ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 J_JOB: gdasarch gfsarch: !Task Trigger: !Depend up.gfs.vrfy ecf_file: *ecf_file_template -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_arch ) -======= accounting: *shared_accounting - resources: *sample_shared_serial ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 J_JOB: gfsarch earc: !Family Trigger: !Depend up.gdas.enkf.epos grp1: !Task ecf_file: *ecf_file_template -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_arch ) -======= accounting: *shared_accounting - resources: *sample_shared_serial ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 J_JOB: earc grp2: !FirstTrue - when: !calc doc.settings.NMEM_ENKF>=20 do: !Task ecf_file: *ecf_file_template -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_arch ) -======= - resources: *sample_shared_serial accounting: *shared_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 J_JOB: earc - otherwise: null grp3: !FirstTrue @@ -491,43 +355,30 @@ suite: !Cycle do: !Task ecf_file: *ecf_file_template J_JOB: earc -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_arch ) -======= - resources: *sample_shared_serial accounting: *shared_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 - otherwise: null grp4: !FirstTrue - when: !calc doc.settings.NMEM_ENKF>=40 do: !Task ecf_file: *ecf_file_template J_JOB: earc -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_arch ) -======= - resources: *sample_shared_serial accounting: *shared_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 - otherwise: null grp5: !FirstTrue - when: !calc doc.settings.NMEM_ENKF>=50 do: !Task ecf_file: *ecf_file_template J_JOB: earc -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_arch ) -======= - resources: *sample_shared_serial accounting: *shared_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 - otherwise: null grp6: !FirstTrue - when: !calc doc.settings.NMEM_ENKF>=60 do: !Task ecf_file: *ecf_file_template J_JOB: earc -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_arch ) - otherwise: null grp7: !FirstTrue @@ -536,8 +387,6 @@ suite: !Cycle ecf_file: *ecf_file_template J_JOB: earc resources: !calc ( doc.resource_demo.run_arch ) -======= - resources: *sample_shared_serial accounting: *shared_accounting - otherwise: null grp7: !FirstTrue @@ -545,28 +394,17 @@ suite: !Cycle do: !Task ecf_file: *ecf_file_template J_JOB: earc - resources: *sample_shared_serial + resources: !calc ( doc.resource_demo.run_arch ) accounting: *shared_accounting ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 - otherwise: null grp8: !FirstTrue - when: !calc doc.settings.NMEM_ENKF>=80 do: !Task ecf_file: *ecf_file_template J_JOB: earc -<<<<<<< HEAD resources: !calc ( doc.resource_demo.run_arch ) - otherwise: null - final: !Task - ecf_file: *ecf_file_template - resources: !calc ( doc.resource_demo.run_final ) - J_JOB: final -======= - resources: *sample_shared_serial - accounting: *shared_accounting - - otherwise: null - #final: !Task # ecf_file: *ecf_file_template # resources: *sample_shared_serial From ce1befb1f3f2242f3bbd4d6db8351337969ebeca Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Fri, 2 Feb 2018 20:47:09 +0000 Subject: [PATCH 283/487] correcting syntax --- model/ecflow_fv3gfs/resources.yaml | 230 ++++++++++++++--------------- 1 file changed, 115 insertions(+), 115 deletions(-) diff --git a/model/ecflow_fv3gfs/resources.yaml b/model/ecflow_fv3gfs/resources.yaml index da101ff..2445704 100644 --- a/model/ecflow_fv3gfs/resources.yaml +++ b/model/ecflow_fv3gfs/resources.yaml @@ -18,48 +18,48 @@ resource_demo: &resource_demo OMP_NUM_THREADS: 2 run_chgres: - env: { } - resources: !JobRequest - - exe: time - OMP_NUM_THREADS: 12 - args: - - placeholder + - env: { } + resources: !JobRequest + - exe: time + OMP_NUM_THREADS: 12 + args: + - placeholder run_nothing: # Special placeholder for "do nothing" - env: { } - memory: "300M" - resources: !JobRequest - - exe: nothing + - env: { } + memory: "300M" + resources: !JobRequest + - exe: nothing run_eobs: - env: { } - memory: "3072M" - walltime: 00:02:00 - mpi_ranks: !calc 12*6 - resources: !JobRequest - - exe: placeholder - max_ppn: 6 - OMP_NUM_THREADS: 4 + - env: { } + memory: "3072M" + walltime: 00:02:00 + mpi_ranks: !calc 12*6 + resources: !JobRequest + - exe: placeholder + max_ppn: 6 + OMP_NUM_THREADS: 4 run_eomg: - env: { } - memory: "3072M" - walltime: 00:02:00 - mpi_ranks: !calc 6*12 - resources: !JobRequest - - exe: placeholder - max_ppn: 6 - OMP_NUM_THREADS: 2 + - env: { } + memory: "3072M" + walltime: 00:02:00 + mpi_ranks: !calc 6*12 + resources: !JobRequest + - exe: placeholder + max_ppn: 6 + OMP_NUM_THREADS: 2 run_eupd: - env: { } - memory: "3072M" - walltime: 00:02:00 - mpi_ranks: !calc 10*12 - resources: !JobRequest - - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 2 + - env: { } + memory: "3072M" + walltime: 00:02:00 + mpi_ranks: !calc 10*12 + resources: !JobRequest + - exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 2 # run_enkf: # env: { } @@ -70,40 +70,40 @@ resource_demo: &resource_demo # OMP_NUM_THREADS: 4 run_efcs: - env: { } - walltime: 00:02:00 - mpi_ranks: 120 - memory: "3072M" + - env: { } + walltime: 00:02:00 + mpi_ranks: 120 + memory: "3072M" run_epos: - env: { } - memory: "254M" - mpi_ranks: 84 - walltime: 00:02:00 - resources: !JobRequest - - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 2 + - env: { } + memory: "254M" + mpi_ranks: 84 + walltime: 00:02:00 + resources: !JobRequest + - exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 2 run_prep: - env: { } - memory: "3072M" - walltime: 00:02:00 - mpi_ranks: 12 - resources: !JobRequest - - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: max + - env: { } + memory: "3072M" + walltime: 00:02:00 + mpi_ranks: 12 + resources: !JobRequest + - exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: max run_anal: - env: { } - memory: "3072M" - mpi_ranks: 144 - walltime: 00:02:00 - resources: !JobRequest - - exe: placeholder - max_ppn: 6 - OMP_NUM_THREADS: 2 + - env: { } + memory: "3072M" + mpi_ranks: 144 + walltime: 00:02:00 + resources: !JobRequest + - exe: placeholder + max_ppn: 6 + OMP_NUM_THREADS: 2 # run_gsi: # env: { } @@ -114,66 +114,66 @@ resource_demo: &resource_demo # OMP_NUM_THREADS: 4 run_gdasfcst: - env: { } - mpi_ranks: 144 - walltime: 00:02:00 - memory: "3072M" + - env: { } + mpi_ranks: 144 + walltime: 00:02:00 + memory: "3072M" run_gfsfcst: - env: { } - mpi_ranks: 144 - walltime: 00:02:00 - memory: "3072M" + - env: { } + mpi_ranks: 144 + walltime: 00:02:00 + memory: "3072M" run_gdaspost: - env: { } - memory: "3072M" - mpi_ranks: 72 - walltime: 00:02:00 - resources: !JobRequest - - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 1 + - env: { } + memory: "3072M" + mpi_ranks: 72 + walltime: 00:02:00 + resources: !JobRequest + - exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 1 run_gfspost: - env: { } - memory: "3072M" - mpi_ranks: 72 - walltime: 00:02:00 - resources: !JobRequest - - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 1 + - env: { } + memory: "3072M" + mpi_ranks: 72 + walltime: 00:02:00 + resources: !JobRequest + - exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 1 run_vrfy: - env: { } - memory: "3072M" - mpi_ranks: 1 - walltime: 00:02:00 - resources: !JobRequest - - exe: placeholder - max_ppn: 1 - OMP_NUM_THREADS: 2 + - env: { } + memory: "3072M" + mpi_ranks: 1 + walltime: 00:02:00 + resources: !JobRequest + - exe: placeholder + max_ppn: 1 + OMP_NUM_THREADS: 2 run_arch: - env: { } - memory: "3072M" - mpi_ranks: 1 - walltime: 00:02:00 - resources: !JobRequest - - exe: placeholder - max_ppn: 1 - OMP_NUM_THREADS: 2 + - env: { } + memory: "3072M" + mpi_ranks: 1 + walltime: 00:02:00 + resources: !JobRequest + - exe: placeholder + max_ppn: 1 + OMP_NUM_THREADS: 2 run_final: - env: { } - memory: "2M" - mpi_ranks: 1 - walltime: 00:01:00 - resources: !JobRequest - - exe: placeholder - max_ppn: 1 - OMP_NUM_THREADS: 2 + - env: { } + memory: "2M" + mpi_ranks: 1 + walltime: 00:01:00 + resources: !JobRequest + - exe: placeholder + max_ppn: 1 + OMP_NUM_THREADS: 2 # run_earc: # env: { } @@ -186,8 +186,8 @@ resource_demo: &resource_demo # OMP_NUM_THREADS: 2 run_fv3ic: - env: { } - memory: "3072M" - mpi_ranks: 24 - resources: !JobRequest - - exe: placeholder + - env: { } + memory: "3072M" + mpi_ranks: 24 + resources: !JobRequest + - exe: placeholder From 4c70c7abd09be2817758c1bd9c382a5ab01c19f0 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 2 Feb 2018 20:49:47 +0000 Subject: [PATCH 284/487] correct accounting in suite_def.yaml and remove one junk line --- model/ecflow_fv3gfs/suite_def.yaml | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index dac1ca1..7e688b6 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -380,6 +380,7 @@ suite: !Cycle ecf_file: *ecf_file_template J_JOB: earc resources: !calc ( doc.resource_demo.run_arch ) + accounting: *shared_accounting - otherwise: null grp7: !FirstTrue - when: !calc doc.settings.NMEM_ENKF==70 @@ -402,6 +403,7 @@ suite: !Cycle do: !Task ecf_file: *ecf_file_template J_JOB: earc + accounting: *shared_accounting resources: !calc ( doc.resource_demo.run_arch ) - otherwise: null @@ -410,5 +412,4 @@ suite: !Cycle # resources: *sample_shared_serial # accounting: *shared_accounting # J_JOB: final ->>>>>>> 661cfe47190e6261505959267431e40bb9384734 From a2addccad6e5aa7180b8da4e7225a4c7ba0b9d7a Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Fri, 2 Feb 2018 20:54:37 +0000 Subject: [PATCH 285/487] optimized structure --- model/ecflow_fv3gfs/resources.yaml | 101 +++++++++++++---------------- 1 file changed, 44 insertions(+), 57 deletions(-) diff --git a/model/ecflow_fv3gfs/resources.yaml b/model/ecflow_fv3gfs/resources.yaml index 2445704..71973e4 100644 --- a/model/ecflow_fv3gfs/resources.yaml +++ b/model/ecflow_fv3gfs/resources.yaml @@ -1,9 +1,8 @@ resource_demo: &resource_demo run_test: - resources: !JobRequest - - exe: nothing - mpi_ranks: 1 + - exe: nothing + mpi_ranks: 1 # From if[[...ecen]] block in config.resources: run_ecen: @@ -11,55 +10,50 @@ resource_demo: &resource_demo memory: "3072M" walltime: 00:02:00 mpi_ranks: 84 - resources: !JobRequest - - exe: placeholder + exe: placeholder # max_ppn comes from THEIA.env: 84/12 = 7 - max_ppn: 7 - OMP_NUM_THREADS: 2 + max_ppn: 7 + OMP_NUM_THREADS: 2 run_chgres: - env: { } - resources: !JobRequest - - exe: time - OMP_NUM_THREADS: 12 - args: - - placeholder + exe: time + OMP_NUM_THREADS: 12 + args: + - placeholder run_nothing: # Special placeholder for "do nothing" - env: { } memory: "300M" resources: !JobRequest - - exe: nothing + exe: nothing run_eobs: - env: { } memory: "3072M" walltime: 00:02:00 mpi_ranks: !calc 12*6 - resources: !JobRequest - - exe: placeholder - max_ppn: 6 - OMP_NUM_THREADS: 4 + exe: placeholder + max_ppn: 6 + OMP_NUM_THREADS: 4 run_eomg: - env: { } memory: "3072M" walltime: 00:02:00 mpi_ranks: !calc 6*12 - resources: !JobRequest - - exe: placeholder - max_ppn: 6 - OMP_NUM_THREADS: 2 + exe: placeholder + max_ppn: 6 + OMP_NUM_THREADS: 2 run_eupd: - env: { } memory: "3072M" walltime: 00:02:00 mpi_ranks: !calc 10*12 - resources: !JobRequest - - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 2 + exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 2 # run_enkf: # env: { } @@ -80,30 +74,27 @@ resource_demo: &resource_demo memory: "254M" mpi_ranks: 84 walltime: 00:02:00 - resources: !JobRequest - - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 2 + exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 2 run_prep: - env: { } memory: "3072M" walltime: 00:02:00 mpi_ranks: 12 - resources: !JobRequest - - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: max + exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: max run_anal: - env: { } memory: "3072M" mpi_ranks: 144 walltime: 00:02:00 - resources: !JobRequest - - exe: placeholder - max_ppn: 6 - OMP_NUM_THREADS: 2 + exe: placeholder + max_ppn: 6 + OMP_NUM_THREADS: 2 # run_gsi: # env: { } @@ -130,50 +121,46 @@ resource_demo: &resource_demo memory: "3072M" mpi_ranks: 72 walltime: 00:02:00 - resources: !JobRequest - - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 1 + exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 1 run_gfspost: - env: { } memory: "3072M" mpi_ranks: 72 walltime: 00:02:00 - resources: !JobRequest - - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 1 + exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 1 run_vrfy: - env: { } memory: "3072M" mpi_ranks: 1 walltime: 00:02:00 - resources: !JobRequest - - exe: placeholder - max_ppn: 1 - OMP_NUM_THREADS: 2 + exe: placeholder + max_ppn: 1 + OMP_NUM_THREADS: 2 run_arch: - env: { } memory: "3072M" + exclusive: false mpi_ranks: 1 walltime: 00:02:00 - resources: !JobRequest - - exe: placeholder - max_ppn: 1 - OMP_NUM_THREADS: 2 + exe: placeholder + max_ppn: 1 + OMP_NUM_THREADS: 2 run_final: - env: { } memory: "2M" mpi_ranks: 1 walltime: 00:01:00 - resources: !JobRequest - - exe: placeholder - max_ppn: 1 - OMP_NUM_THREADS: 2 + exe: placeholder + max_ppn: 1 + OMP_NUM_THREADS: 2 # run_earc: # env: { } From ca07386f1fdcd1e21a904f1c0ce31665103cf16b Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 2 Feb 2018 22:01:58 +0000 Subject: [PATCH 286/487] fix clock errors --- crow/config/eval_tools.py | 15 ++- crow/config/tasks.py | 14 +++ crow/metascheduler/ecflow.py | 9 +- crow/tools.py | 3 + model/ecflow_fv3gfs/defs/prod00.def | 1 + model/ecflow_fv3gfs/defs/prod06.def | 1 + model/ecflow_fv3gfs/defs/prod12.def | 1 + model/ecflow_fv3gfs/defs/prod18.def | 1 + model/ecflow_fv3gfs/doit.py | 2 +- model/ecflow_fv3gfs/resources.yaml | 111 +++++++----------- .../scripts/prod00/archive/earc/grp1.ecf | 4 +- .../scripts/prod00/archive/earc/grp2.ecf | 4 +- .../scripts/prod00/archive/earc/grp3.ecf | 4 +- .../scripts/prod00/archive/earc/grp4.ecf | 4 +- .../scripts/prod00/archive/earc/grp5.ecf | 4 +- .../scripts/prod00/archive/earc/grp6.ecf | 4 +- .../scripts/prod00/archive/earc/grp7.ecf | 4 +- .../scripts/prod00/archive/earc/grp8.ecf | 4 +- .../scripts/prod00/archive/gdasarch.ecf | 4 +- .../scripts/prod00/archive/gfsarch.ecf | 4 +- .../scripts/prod00/gdas/anal.ecf | 8 +- .../scripts/prod00/gdas/enkf/ecen.ecf | 8 +- .../scripts/prod00/gdas/enkf/efcs/grp1.ecf | 8 +- .../scripts/prod00/gdas/enkf/efcs/grp2.ecf | 8 +- .../scripts/prod00/gdas/enkf/efcs/grp3.ecf | 8 +- .../scripts/prod00/gdas/enkf/efcs/grp4.ecf | 8 +- .../scripts/prod00/gdas/enkf/efcs/grp5.ecf | 8 +- .../scripts/prod00/gdas/enkf/efcs/grp6.ecf | 8 +- .../scripts/prod00/gdas/enkf/efcs/grp7.ecf | 8 +- .../scripts/prod00/gdas/enkf/efcs/grp8.ecf | 8 +- .../scripts/prod00/gdas/enkf/eobs.ecf | 8 +- .../scripts/prod00/gdas/enkf/eomg/grp1.ecf | 8 +- .../scripts/prod00/gdas/enkf/eomg/grp2.ecf | 8 +- .../scripts/prod00/gdas/enkf/eomg/grp3.ecf | 8 +- .../scripts/prod00/gdas/enkf/eomg/grp4.ecf | 8 +- .../scripts/prod00/gdas/enkf/eomg/grp5.ecf | 8 +- .../scripts/prod00/gdas/enkf/eomg/grp6.ecf | 8 +- .../scripts/prod00/gdas/enkf/eomg/grp7.ecf | 8 +- .../scripts/prod00/gdas/enkf/eomg/grp8.ecf | 8 +- .../scripts/prod00/gdas/enkf/epos.ecf | 8 +- .../scripts/prod00/gdas/enkf/eupd.ecf | 8 +- .../scripts/prod00/gdas/fcst.ecf | 8 +- .../scripts/prod00/gdas/post.ecf | 8 +- .../scripts/prod00/gdas/prep.ecf | 8 +- .../scripts/prod00/gdas/vrfy.ecf | 8 +- .../ecflow_fv3gfs/scripts/prod00/gfs/anal.ecf | 8 +- .../ecflow_fv3gfs/scripts/prod00/gfs/fcst.ecf | 8 +- .../ecflow_fv3gfs/scripts/prod00/gfs/post.ecf | 8 +- .../ecflow_fv3gfs/scripts/prod00/gfs/prep.ecf | 8 +- .../ecflow_fv3gfs/scripts/prod00/gfs/vrfy.ecf | 8 +- .../scripts/prod06/archive/earc/grp1.ecf | 4 +- .../scripts/prod06/archive/earc/grp2.ecf | 4 +- .../scripts/prod06/archive/earc/grp3.ecf | 4 +- .../scripts/prod06/archive/earc/grp4.ecf | 4 +- .../scripts/prod06/archive/earc/grp5.ecf | 4 +- .../scripts/prod06/archive/earc/grp6.ecf | 4 +- .../scripts/prod06/archive/earc/grp7.ecf | 4 +- .../scripts/prod06/archive/earc/grp8.ecf | 4 +- .../scripts/prod06/archive/gdasarch.ecf | 4 +- .../scripts/prod06/archive/gfsarch.ecf | 4 +- .../scripts/prod06/gdas/anal.ecf | 8 +- .../scripts/prod06/gdas/enkf/ecen.ecf | 8 +- .../scripts/prod06/gdas/enkf/efcs/grp1.ecf | 8 +- .../scripts/prod06/gdas/enkf/efcs/grp2.ecf | 8 +- .../scripts/prod06/gdas/enkf/efcs/grp3.ecf | 8 +- .../scripts/prod06/gdas/enkf/efcs/grp4.ecf | 8 +- .../scripts/prod06/gdas/enkf/efcs/grp5.ecf | 8 +- .../scripts/prod06/gdas/enkf/efcs/grp6.ecf | 8 +- .../scripts/prod06/gdas/enkf/efcs/grp7.ecf | 8 +- .../scripts/prod06/gdas/enkf/efcs/grp8.ecf | 8 +- .../scripts/prod06/gdas/enkf/eobs.ecf | 8 +- .../scripts/prod06/gdas/enkf/eomg/grp1.ecf | 8 +- .../scripts/prod06/gdas/enkf/eomg/grp2.ecf | 8 +- .../scripts/prod06/gdas/enkf/eomg/grp3.ecf | 8 +- .../scripts/prod06/gdas/enkf/eomg/grp4.ecf | 8 +- .../scripts/prod06/gdas/enkf/eomg/grp5.ecf | 8 +- .../scripts/prod06/gdas/enkf/eomg/grp6.ecf | 8 +- .../scripts/prod06/gdas/enkf/eomg/grp7.ecf | 8 +- .../scripts/prod06/gdas/enkf/eomg/grp8.ecf | 8 +- .../scripts/prod06/gdas/enkf/epos.ecf | 8 +- .../scripts/prod06/gdas/enkf/eupd.ecf | 8 +- .../scripts/prod06/gdas/fcst.ecf | 8 +- .../scripts/prod06/gdas/post.ecf | 8 +- .../scripts/prod06/gdas/prep.ecf | 8 +- .../scripts/prod06/gdas/vrfy.ecf | 8 +- .../ecflow_fv3gfs/scripts/prod06/gfs/anal.ecf | 8 +- .../ecflow_fv3gfs/scripts/prod06/gfs/fcst.ecf | 8 +- .../ecflow_fv3gfs/scripts/prod06/gfs/post.ecf | 8 +- .../ecflow_fv3gfs/scripts/prod06/gfs/prep.ecf | 8 +- .../ecflow_fv3gfs/scripts/prod06/gfs/vrfy.ecf | 8 +- .../scripts/prod12/archive/earc/grp1.ecf | 4 +- .../scripts/prod12/archive/earc/grp2.ecf | 4 +- .../scripts/prod12/archive/earc/grp3.ecf | 4 +- .../scripts/prod12/archive/earc/grp4.ecf | 4 +- .../scripts/prod12/archive/earc/grp5.ecf | 4 +- .../scripts/prod12/archive/earc/grp6.ecf | 4 +- .../scripts/prod12/archive/earc/grp7.ecf | 4 +- .../scripts/prod12/archive/earc/grp8.ecf | 4 +- .../scripts/prod12/archive/gdasarch.ecf | 4 +- .../scripts/prod12/archive/gfsarch.ecf | 4 +- .../scripts/prod12/gdas/anal.ecf | 8 +- .../scripts/prod12/gdas/enkf/ecen.ecf | 8 +- .../scripts/prod12/gdas/enkf/efcs/grp1.ecf | 8 +- .../scripts/prod12/gdas/enkf/efcs/grp2.ecf | 8 +- .../scripts/prod12/gdas/enkf/efcs/grp3.ecf | 8 +- .../scripts/prod12/gdas/enkf/efcs/grp4.ecf | 8 +- .../scripts/prod12/gdas/enkf/efcs/grp5.ecf | 8 +- .../scripts/prod12/gdas/enkf/efcs/grp6.ecf | 8 +- .../scripts/prod12/gdas/enkf/efcs/grp7.ecf | 8 +- .../scripts/prod12/gdas/enkf/efcs/grp8.ecf | 8 +- .../scripts/prod12/gdas/enkf/eobs.ecf | 8 +- .../scripts/prod12/gdas/enkf/eomg/grp1.ecf | 8 +- .../scripts/prod12/gdas/enkf/eomg/grp2.ecf | 8 +- .../scripts/prod12/gdas/enkf/eomg/grp3.ecf | 8 +- .../scripts/prod12/gdas/enkf/eomg/grp4.ecf | 8 +- .../scripts/prod12/gdas/enkf/eomg/grp5.ecf | 8 +- .../scripts/prod12/gdas/enkf/eomg/grp6.ecf | 8 +- .../scripts/prod12/gdas/enkf/eomg/grp7.ecf | 8 +- .../scripts/prod12/gdas/enkf/eomg/grp8.ecf | 8 +- .../scripts/prod12/gdas/enkf/epos.ecf | 8 +- .../scripts/prod12/gdas/enkf/eupd.ecf | 8 +- .../scripts/prod12/gdas/fcst.ecf | 8 +- .../scripts/prod12/gdas/post.ecf | 8 +- .../scripts/prod12/gdas/prep.ecf | 8 +- .../scripts/prod12/gdas/vrfy.ecf | 8 +- .../ecflow_fv3gfs/scripts/prod12/gfs/anal.ecf | 8 +- .../ecflow_fv3gfs/scripts/prod12/gfs/fcst.ecf | 8 +- .../ecflow_fv3gfs/scripts/prod12/gfs/post.ecf | 8 +- .../ecflow_fv3gfs/scripts/prod12/gfs/prep.ecf | 8 +- .../ecflow_fv3gfs/scripts/prod12/gfs/vrfy.ecf | 8 +- .../scripts/prod18/archive/earc/grp1.ecf | 4 +- .../scripts/prod18/archive/earc/grp2.ecf | 4 +- .../scripts/prod18/archive/earc/grp3.ecf | 4 +- .../scripts/prod18/archive/earc/grp4.ecf | 4 +- .../scripts/prod18/archive/earc/grp5.ecf | 4 +- .../scripts/prod18/archive/earc/grp6.ecf | 4 +- .../scripts/prod18/archive/earc/grp7.ecf | 4 +- .../scripts/prod18/archive/earc/grp8.ecf | 4 +- .../scripts/prod18/archive/gdasarch.ecf | 4 +- .../scripts/prod18/archive/gfsarch.ecf | 4 +- .../scripts/prod18/gdas/anal.ecf | 8 +- .../scripts/prod18/gdas/enkf/ecen.ecf | 8 +- .../scripts/prod18/gdas/enkf/efcs/grp1.ecf | 8 +- .../scripts/prod18/gdas/enkf/efcs/grp2.ecf | 8 +- .../scripts/prod18/gdas/enkf/efcs/grp3.ecf | 8 +- .../scripts/prod18/gdas/enkf/efcs/grp4.ecf | 8 +- .../scripts/prod18/gdas/enkf/efcs/grp5.ecf | 8 +- .../scripts/prod18/gdas/enkf/efcs/grp6.ecf | 8 +- .../scripts/prod18/gdas/enkf/efcs/grp7.ecf | 8 +- .../scripts/prod18/gdas/enkf/efcs/grp8.ecf | 8 +- .../scripts/prod18/gdas/enkf/eobs.ecf | 8 +- .../scripts/prod18/gdas/enkf/eomg/grp1.ecf | 8 +- .../scripts/prod18/gdas/enkf/eomg/grp2.ecf | 8 +- .../scripts/prod18/gdas/enkf/eomg/grp3.ecf | 8 +- .../scripts/prod18/gdas/enkf/eomg/grp4.ecf | 8 +- .../scripts/prod18/gdas/enkf/eomg/grp5.ecf | 8 +- .../scripts/prod18/gdas/enkf/eomg/grp6.ecf | 8 +- .../scripts/prod18/gdas/enkf/eomg/grp7.ecf | 8 +- .../scripts/prod18/gdas/enkf/eomg/grp8.ecf | 8 +- .../scripts/prod18/gdas/enkf/epos.ecf | 8 +- .../scripts/prod18/gdas/enkf/eupd.ecf | 8 +- .../scripts/prod18/gdas/fcst.ecf | 8 +- .../scripts/prod18/gdas/post.ecf | 8 +- .../scripts/prod18/gdas/prep.ecf | 8 +- .../scripts/prod18/gdas/vrfy.ecf | 8 +- .../ecflow_fv3gfs/scripts/prod18/gfs/anal.ecf | 8 +- .../ecflow_fv3gfs/scripts/prod18/gfs/fcst.ecf | 8 +- .../ecflow_fv3gfs/scripts/prod18/gfs/post.ecf | 8 +- .../ecflow_fv3gfs/scripts/prod18/gfs/prep.ecf | 8 +- .../ecflow_fv3gfs/scripts/prod18/gfs/vrfy.ecf | 8 +- model/ecflow_fv3gfs/suite_def.yaml | 49 ++++---- 171 files changed, 672 insertions(+), 655 deletions(-) diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 9d1b7c7..af0ec53 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -163,13 +163,16 @@ def __copy__(self): def _invalidate_cache(self,key=None): if key is None: + #print(f'{self._path}: reset') self.__cache=copy(self.__child) + #if 'ecflow_def' in self: + # print(f'ecflow_def = {self.__cache["ecflow_def"]!r}') else: self.__cache[key]=self.__child[key] def _raw_child(self): return self.__child def _has_raw(self,key): return key in self.__child def _iter_raw(self): - for v in self.__child.itervalues(): + for v in self.__child.values(): yield v def _set_globals(self,g): self.__globals=g def _get_globals(self): return self.__globals @@ -390,19 +393,27 @@ def _result(self,globals,locals): def _invalidate_cache_one_obj(obj,key=None): if hasattr(obj,'_invalidate_cache'): + #print(f'invalidate cache {obj.path}') obj._invalidate_cache(key) def _recursively_invalidate_cache(obj,memo): + #print('invalidate cache rec') if id(obj) in memo: return memo.add(id(obj)) _invalidate_cache_one_obj(obj) - if '_iter_raw' in obj: + if hasattr(obj, '_iter_raw' ): + #print('iter raw in obj') for r in obj._iter_raw(): _recursively_invalidate_cache(r,memo) + else: + pass + #print(f'no _iter_raw in obj of type {type(obj).__name__}') def invalidate_cache(obj,key=None,recurse=False): + #print(f'invalidate cache {key} {recurse}') _invalidate_cache_one_obj(obj,key) if recurse: + #print('in recurse') if key is not None: obj=obj[key] _recursively_invalidate_cache(obj,set()) diff --git a/crow/config/tasks.py b/crow/config/tasks.py index dc477c5..8d4ae6e 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -65,6 +65,7 @@ class SuiteView(Mapping): def __init__(self,suite,viewed,path,parent): # assert(isinstance(suite,Suite)) # assert(isinstance(viewed,dict_eval)) + assert(hasattr(self,'_iter_raw')) assert(isinstance(parent,SuiteView)) assert(not isinstance(viewed,SuiteView)) self.suite=suite @@ -98,6 +99,19 @@ def __init__(self,suite,viewed,path,parent): self.viewed[k]=from_config(k,v,globals,locals,self.viewed._path) assert(isinstance(viewed,Cycle) or self.viewed.task_path_var != parent.task_path_var) + def _raw(self,key): + return self.viewed._raw(key) + + def _iter_raw(self): + if hasattr(self.viewed,'_iter_raw'): + for r in self.viewed._iter_raw(): + yield r + + def _invalidate_cache(self,key): + self.__cache={} + if hasattr(self.viewed,'_invalidate_cache'): + self.viewed._invalidate_cache(key) + def _globals(self): return self.viewed._globals() diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py index f83ee5d..4decd8d 100644 --- a/crow/metascheduler/ecflow.py +++ b/crow/metascheduler/ecflow.py @@ -159,6 +159,7 @@ def __init__(self,suite): self.sched=scheduler self.clock=None self.undated=OrderedDict() + self.suite_name=None #################################################################### @@ -273,8 +274,14 @@ def _make_ecf_files_for_one_cycle(self,ecf_files): def to_ecflow(self): suite_def_files=dict() ecf_files=collections.defaultdict(dict) - for clock in self.suite.Clock.iternow(): + clock=copy(self.suite.Clock) + # Cannot iterate over self.suite.Clock because + # self.suite.Clock is not a CLock. It is an object that + # generates a Clock. Hence, invalidate_cache causes a new + # clock to be generated. + for clock in clock.iternow(): invalidate_cache(self.suite,recurse=True) + self.suite.Clock.now = clock.now # Figure our where we are making the suite definition file: filename=clock.now.strftime(self.suite.ecFlow.suite_def_filename) if filename in suite_def_files: diff --git a/crow/tools.py b/crow/tools.py index f7a4f65..2de16e5 100644 --- a/crow/tools.py +++ b/crow/tools.py @@ -202,6 +202,9 @@ def __init__(self,start,step,end=None,now=None): raise ValueError('End time must be at or after start time.') self.now=now + def __repr__(self): + + return f'Clock(start={self.start!r},step={self.step!r},end={self.end!r},now={self.now!r})' def __contains__(self,when): if isinstance(when,datetime.timedelta): return not when%self.step diff --git a/model/ecflow_fv3gfs/defs/prod00.def b/model/ecflow_fv3gfs/defs/prod00.def index 3897e5d..a24b38d 100644 --- a/model/ecflow_fv3gfs/defs/prod00.def +++ b/model/ecflow_fv3gfs/defs/prod00.def @@ -10,6 +10,7 @@ suite prod00 edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/' edit PROJENVIR 'HUR-T2O' edit EMCPEN 'ecfgfsfv3' + edit CYC '00' edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' edit QUEUESERV 'dev_transfer' edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/%EMCPEN%' diff --git a/model/ecflow_fv3gfs/defs/prod06.def b/model/ecflow_fv3gfs/defs/prod06.def index b6f2ca5..e43e441 100644 --- a/model/ecflow_fv3gfs/defs/prod06.def +++ b/model/ecflow_fv3gfs/defs/prod06.def @@ -12,6 +12,7 @@ suite prod06 edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/' edit PROJENVIR 'HUR-T2O' edit EMCPEN 'ecfgfsfv3' + edit CYC '06' edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' edit QUEUESERV 'dev_transfer' edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/%EMCPEN%' diff --git a/model/ecflow_fv3gfs/defs/prod12.def b/model/ecflow_fv3gfs/defs/prod12.def index ce6db75..30cbfdc 100644 --- a/model/ecflow_fv3gfs/defs/prod12.def +++ b/model/ecflow_fv3gfs/defs/prod12.def @@ -14,6 +14,7 @@ suite prod12 edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/' edit PROJENVIR 'HUR-T2O' edit EMCPEN 'ecfgfsfv3' + edit CYC '12' edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' edit QUEUESERV 'dev_transfer' edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/%EMCPEN%' diff --git a/model/ecflow_fv3gfs/defs/prod18.def b/model/ecflow_fv3gfs/defs/prod18.def index 281d590..f66d812 100644 --- a/model/ecflow_fv3gfs/defs/prod18.def +++ b/model/ecflow_fv3gfs/defs/prod18.def @@ -16,6 +16,7 @@ suite prod18 edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/' edit PROJENVIR 'HUR-T2O' edit EMCPEN 'ecfgfsfv3' + edit CYC '18' edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' edit QUEUESERV 'dev_transfer' edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/%EMCPEN%' diff --git a/model/ecflow_fv3gfs/doit.py b/model/ecflow_fv3gfs/doit.py index 46995df..139d444 100755 --- a/model/ecflow_fv3gfs/doit.py +++ b/model/ecflow_fv3gfs/doit.py @@ -5,7 +5,7 @@ from crow.metascheduler import to_ecflow from crow.config import from_file, Suite -conf=from_file('resources.yaml', 'suite_def.yaml') +conf=from_file('suite_def.yaml','resources.yaml') suite=Suite(conf.suite) suite_defs, ecf_files = to_ecflow(suite) diff --git a/model/ecflow_fv3gfs/resources.yaml b/model/ecflow_fv3gfs/resources.yaml index 71973e4..e912454 100644 --- a/model/ecflow_fv3gfs/resources.yaml +++ b/model/ecflow_fv3gfs/resources.yaml @@ -1,13 +1,12 @@ resource_demo: &resource_demo - run_test: + run_test: !JobRequest - exe: nothing mpi_ranks: 1 # From if[[...ecen]] block in config.resources: - run_ecen: - - env: { } #*mpi_tuning - memory: "3072M" + run_ecen: !JobRequest + - memory: "3072M" walltime: 00:02:00 mpi_ranks: 84 exe: placeholder @@ -15,137 +14,119 @@ resource_demo: &resource_demo max_ppn: 7 OMP_NUM_THREADS: 2 - run_chgres: - - env: { } - exe: time + run_chgres: !JobRequest + - exe: time OMP_NUM_THREADS: 12 args: - placeholder - run_nothing: # Special placeholder for "do nothing" - - env: { } - memory: "300M" - resources: !JobRequest + run_nothing: # Special placeholder for "do nothing" !JobRequest + - memory: "300M" exe: nothing - run_eobs: - - env: { } - memory: "3072M" + run_eobs: !JobRequest + - memory: "3072M" walltime: 00:02:00 mpi_ranks: !calc 12*6 exe: placeholder max_ppn: 6 OMP_NUM_THREADS: 4 - run_eomg: - - env: { } - memory: "3072M" + run_eomg: !JobRequest + - memory: "3072M" walltime: 00:02:00 mpi_ranks: !calc 6*12 exe: placeholder max_ppn: 6 OMP_NUM_THREADS: 2 - run_eupd: - - env: { } - memory: "3072M" + run_eupd: !JobRequest + - memory: "3072M" walltime: 00:02:00 mpi_ranks: !calc 10*12 exe: placeholder max_ppn: 12 OMP_NUM_THREADS: 2 -# run_enkf: -# env: { } -# mpi_ranks: !calc 10*12 +# run_enkf: !JobRequest +# pi_ranks: !calc 10*12 # resources: !JobRequest # - exe: placeholder # max_ppn: 12 # OMP_NUM_THREADS: 4 - run_efcs: - - env: { } - walltime: 00:02:00 + run_efcs: !JobRequest + - walltime: 00:02:00 mpi_ranks: 120 memory: "3072M" - run_epos: - - env: { } - memory: "254M" + run_epos: !JobRequest + - memory: "254M" mpi_ranks: 84 walltime: 00:02:00 exe: placeholder max_ppn: 12 OMP_NUM_THREADS: 2 - run_prep: - - env: { } - memory: "3072M" + run_prep: !JobRequest + - memory: "3072M" walltime: 00:02:00 mpi_ranks: 12 exe: placeholder max_ppn: 12 OMP_NUM_THREADS: max - run_anal: - - env: { } - memory: "3072M" + run_anal: !JobRequest + - memory: "3072M" mpi_ranks: 144 walltime: 00:02:00 exe: placeholder max_ppn: 6 OMP_NUM_THREADS: 2 -# run_gsi: -# env: { } -# mpi_ranks: !calc 24*6 +# run_gsi: !JobRequest +# pi_ranks: !calc 24*6 # resources: !JobRequest # - exe: placeholder # max_ppn: 6 # OMP_NUM_THREADS: 4 - run_gdasfcst: - - env: { } - mpi_ranks: 144 + run_gdasfcst: !JobRequest + - mpi_ranks: 144 walltime: 00:02:00 memory: "3072M" - run_gfsfcst: - - env: { } - mpi_ranks: 144 + run_gfsfcst: !JobRequest + - mpi_ranks: 144 walltime: 00:02:00 memory: "3072M" - run_gdaspost: - - env: { } - memory: "3072M" + run_gdaspost: !JobRequest + - memory: "3072M" mpi_ranks: 72 walltime: 00:02:00 exe: placeholder max_ppn: 12 OMP_NUM_THREADS: 1 - run_gfspost: - - env: { } - memory: "3072M" + run_gfspost: !JobRequest + - memory: "3072M" mpi_ranks: 72 walltime: 00:02:00 exe: placeholder max_ppn: 12 OMP_NUM_THREADS: 1 - run_vrfy: - - env: { } - memory: "3072M" + run_vrfy: !JobRequest + - memory: "3072M" mpi_ranks: 1 walltime: 00:02:00 exe: placeholder max_ppn: 1 OMP_NUM_THREADS: 2 - run_arch: - - env: { } - memory: "3072M" + run_arch: !JobRequest + - memory: "3072M" exclusive: false mpi_ranks: 1 walltime: 00:02:00 @@ -153,18 +134,16 @@ resource_demo: &resource_demo max_ppn: 1 OMP_NUM_THREADS: 2 - run_final: - - env: { } - memory: "2M" + run_final: !JobRequest + - memory: "2M" mpi_ranks: 1 walltime: 00:01:00 exe: placeholder max_ppn: 1 OMP_NUM_THREADS: 2 -# run_earc: -# env: { } -# memory: "3072M" +# run_earc: !JobRequest +# emory: "3072M" # mpi_ranks: 1 # walltime: 00:15:00 # resources: !JobRequest @@ -172,9 +151,7 @@ resource_demo: &resource_demo # max_ppn: 1 # OMP_NUM_THREADS: 2 - run_fv3ic: - - env: { } - memory: "3072M" + run_fv3ic: !JobRequest + - memory: "3072M" mpi_ranks: 24 - resources: !JobRequest - - exe: placeholder + exe: placeholder diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp1.ecf index bd02a66..21e7492 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp1.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp1 -#BSUB -o %LOG%archive.earc.grp1.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp1_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp2.ecf index 51eb4c7..fde7838 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp2.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp2 -#BSUB -o %LOG%archive.earc.grp2.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp2_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp3.ecf index 6ab01f4..fb87f71 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp3.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp3 -#BSUB -o %LOG%archive.earc.grp3.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp3_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp4.ecf index 557a489..b461876 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp4.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp4 -#BSUB -o %LOG%archive.earc.grp4.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp4_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp5.ecf index cea0d67..6d4c780 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp5.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp5 -#BSUB -o %LOG%archive.earc.grp5.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp5_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp6.ecf index 06d7caa..99ca75f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp6.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp6 -#BSUB -o %LOG%archive.earc.grp6.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp6_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp7.ecf index eccbb77..a06517d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp7.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp7 -#BSUB -o %LOG%archive.earc.grp7.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp7_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp8.ecf index 062ab55..a69ddc5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp8.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp8 -#BSUB -o %LOG%archive.earc.grp8.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp8_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/gdasarch.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/gdasarch.ecf index 6e36aeb..1787dd2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/gdasarch.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/archive/gdasarch.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.gdasarch -#BSUB -o %LOG%archive.gdasarch.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.gdasarch_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/gfsarch.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/gfsarch.ecf index 4235d77..677d6c7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/gfsarch.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/archive/gfsarch.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.gfsarch -#BSUB -o %LOG%archive.gfsarch.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.gfsarch_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/anal.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/anal.ecf index bc215ac..19f2c4c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/anal.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/anal.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.anal -#BSUB -o %LOG%gdas.anal.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.anal_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=24 %include echo ${JOBgfs}/anal %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/ecen.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/ecen.ecf index 274f084..4316556 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/ecen.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/ecen.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.ecen -#BSUB -o %LOG%gdas.enkf.ecen.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.ecen_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/ecen %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp1.ecf index 25fbbb1..13b7717 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp1.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp1 -#BSUB -o %LOG%gdas.enkf.efcs.grp1.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp1_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp2.ecf index 1900d33..508059b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp2.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp2 -#BSUB -o %LOG%gdas.enkf.efcs.grp2.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp2_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp3.ecf index 694e3e6..ff734ef 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp3.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp3 -#BSUB -o %LOG%gdas.enkf.efcs.grp3.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp3_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp4.ecf index 132bf1e..f0558cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp4.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp4 -#BSUB -o %LOG%gdas.enkf.efcs.grp4.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp4_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp5.ecf index 28661f0..a884807 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp5.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp5 -#BSUB -o %LOG%gdas.enkf.efcs.grp5.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp5_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp6.ecf index 2b9e8f5..fa20442 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp6.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp6 -#BSUB -o %LOG%gdas.enkf.efcs.grp6.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp6_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp7.ecf index 1e223f1..08b0fa7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp7.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp7 -#BSUB -o %LOG%gdas.enkf.efcs.grp7.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp7_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp8.ecf index 6caa36a..b4c5899 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp8.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp8 -#BSUB -o %LOG%gdas.enkf.efcs.grp8.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp8_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eobs.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eobs.ecf index bb324ee..37ca0a1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eobs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eobs.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eobs -#BSUB -o %LOG%gdas.enkf.eobs.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eobs_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eobs %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp1.ecf index 3bcd2a2..3af3e5f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp1.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp1 -#BSUB -o %LOG%gdas.enkf.eomg.grp1.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp1_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp2.ecf index 84d2010..240f3f2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp2.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp2 -#BSUB -o %LOG%gdas.enkf.eomg.grp2.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp2_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp3.ecf index b9ff9c4..0f0e865 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp3.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp3 -#BSUB -o %LOG%gdas.enkf.eomg.grp3.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp3_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp4.ecf index 321d0a6..48c6c2b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp4.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp4 -#BSUB -o %LOG%gdas.enkf.eomg.grp4.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp4_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp5.ecf index b434dee..1d2b9cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp5.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp5 -#BSUB -o %LOG%gdas.enkf.eomg.grp5.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp5_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp6.ecf index 0a2e955..013fd12 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp6.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp6 -#BSUB -o %LOG%gdas.enkf.eomg.grp6.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp6_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp7.ecf index c93de9c..4b0adbe 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp7.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp7 -#BSUB -o %LOG%gdas.enkf.eomg.grp7.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp7_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp8.ecf index 3f057c0..7babd09 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp8.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp8 -#BSUB -o %LOG%gdas.enkf.eomg.grp8.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp8_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/epos.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/epos.ecf index b15927f..f003f7e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/epos.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/epos.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.epos -#BSUB -o %LOG%gdas.enkf.epos.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.epos_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=7 %include echo ${JOBgfs}/epos %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eupd.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eupd.ecf index f060c95..7a2ae36 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eupd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eupd.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eupd -#BSUB -o %LOG%gdas.enkf.eupd.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eupd_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=10 %include echo ${JOBgfs}/eupd %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/fcst.ecf index 4ccd2f8..a5ba72b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/fcst.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/fcst.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.fcst -#BSUB -o %LOG%gdas.fcst.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.fcst_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=6 %include echo ${JOBgfs}/fcst %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/post.ecf index 84c0565..09a7304 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/post.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.post -#BSUB -o %LOG%gdas.post.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.post_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=6 %include echo ${JOBgfs}/post %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep.ecf index 972c606..c73efbb 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.prep -#BSUB -o %LOG%gdas.prep.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.prep_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=1 %include echo ${JOBgfs}/prep %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/vrfy.ecf index e85b243..c98b266 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/vrfy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/vrfy.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.vrfy -#BSUB -o %LOG%gdas.vrfy.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.vrfy_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=1 %include echo ${JOBgfs}/vrfy %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/anal.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/anal.ecf index 1093f11..99b5438 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/anal.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/anal.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.anal -#BSUB -o %LOG%gfs.anal.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gfs.anal_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=24 %include echo ${JOBgfs}/anal %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/fcst.ecf index 1796678..4c43c38 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/fcst.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/fcst.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.fcst -#BSUB -o %LOG%gfs.fcst.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gfs.fcst_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=6 %include echo ${JOBgfs}/fcst %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post.ecf index 6fa723a..681bcf1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.post -#BSUB -o %LOG%gfs.post.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gfs.post_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=6 %include echo ${JOBgfs}/post %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep.ecf index 1c21d4e..4467c68 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.prep -#BSUB -o %LOG%gfs.prep.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gfs.prep_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=1 %include echo ${JOBgfs}/prep %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/vrfy.ecf index 81dd427..12f4068 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/vrfy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/vrfy.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.vrfy -#BSUB -o %LOG%gfs.vrfy.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gfs.vrfy_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=1 %include echo ${JOBgfs}/vrfy %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp1.ecf index bd02a66..21e7492 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp1.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp1 -#BSUB -o %LOG%archive.earc.grp1.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp1_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp2.ecf index 51eb4c7..fde7838 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp2.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp2 -#BSUB -o %LOG%archive.earc.grp2.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp2_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp3.ecf index 6ab01f4..fb87f71 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp3.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp3 -#BSUB -o %LOG%archive.earc.grp3.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp3_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp4.ecf index 557a489..b461876 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp4.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp4 -#BSUB -o %LOG%archive.earc.grp4.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp4_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp5.ecf index cea0d67..6d4c780 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp5.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp5 -#BSUB -o %LOG%archive.earc.grp5.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp5_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp6.ecf index 06d7caa..99ca75f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp6.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp6 -#BSUB -o %LOG%archive.earc.grp6.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp6_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp7.ecf index eccbb77..a06517d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp7.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp7 -#BSUB -o %LOG%archive.earc.grp7.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp7_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp8.ecf index 062ab55..a69ddc5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp8.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp8 -#BSUB -o %LOG%archive.earc.grp8.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp8_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/gdasarch.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/gdasarch.ecf index 6e36aeb..1787dd2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/gdasarch.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/archive/gdasarch.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.gdasarch -#BSUB -o %LOG%archive.gdasarch.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.gdasarch_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/gfsarch.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/gfsarch.ecf index 4235d77..677d6c7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/gfsarch.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/archive/gfsarch.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.gfsarch -#BSUB -o %LOG%archive.gfsarch.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.gfsarch_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/anal.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/anal.ecf index bc215ac..19f2c4c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/anal.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/anal.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.anal -#BSUB -o %LOG%gdas.anal.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.anal_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=24 %include echo ${JOBgfs}/anal %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/ecen.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/ecen.ecf index 274f084..4316556 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/ecen.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/ecen.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.ecen -#BSUB -o %LOG%gdas.enkf.ecen.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.ecen_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/ecen %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp1.ecf index 25fbbb1..13b7717 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp1.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp1 -#BSUB -o %LOG%gdas.enkf.efcs.grp1.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp1_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp2.ecf index 1900d33..508059b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp2.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp2 -#BSUB -o %LOG%gdas.enkf.efcs.grp2.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp2_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp3.ecf index 694e3e6..ff734ef 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp3.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp3 -#BSUB -o %LOG%gdas.enkf.efcs.grp3.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp3_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp4.ecf index 132bf1e..f0558cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp4.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp4 -#BSUB -o %LOG%gdas.enkf.efcs.grp4.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp4_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp5.ecf index 28661f0..a884807 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp5.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp5 -#BSUB -o %LOG%gdas.enkf.efcs.grp5.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp5_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp6.ecf index 2b9e8f5..fa20442 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp6.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp6 -#BSUB -o %LOG%gdas.enkf.efcs.grp6.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp6_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp7.ecf index 1e223f1..08b0fa7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp7.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp7 -#BSUB -o %LOG%gdas.enkf.efcs.grp7.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp7_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp8.ecf index 6caa36a..b4c5899 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp8.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp8 -#BSUB -o %LOG%gdas.enkf.efcs.grp8.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp8_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eobs.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eobs.ecf index bb324ee..37ca0a1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eobs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eobs.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eobs -#BSUB -o %LOG%gdas.enkf.eobs.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eobs_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eobs %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp1.ecf index 3bcd2a2..3af3e5f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp1.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp1 -#BSUB -o %LOG%gdas.enkf.eomg.grp1.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp1_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp2.ecf index 84d2010..240f3f2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp2.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp2 -#BSUB -o %LOG%gdas.enkf.eomg.grp2.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp2_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp3.ecf index b9ff9c4..0f0e865 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp3.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp3 -#BSUB -o %LOG%gdas.enkf.eomg.grp3.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp3_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp4.ecf index 321d0a6..48c6c2b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp4.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp4 -#BSUB -o %LOG%gdas.enkf.eomg.grp4.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp4_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp5.ecf index b434dee..1d2b9cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp5.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp5 -#BSUB -o %LOG%gdas.enkf.eomg.grp5.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp5_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp6.ecf index 0a2e955..013fd12 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp6.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp6 -#BSUB -o %LOG%gdas.enkf.eomg.grp6.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp6_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp7.ecf index c93de9c..4b0adbe 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp7.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp7 -#BSUB -o %LOG%gdas.enkf.eomg.grp7.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp7_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp8.ecf index 3f057c0..7babd09 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp8.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp8 -#BSUB -o %LOG%gdas.enkf.eomg.grp8.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp8_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/epos.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/epos.ecf index b15927f..f003f7e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/epos.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/epos.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.epos -#BSUB -o %LOG%gdas.enkf.epos.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.epos_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=7 %include echo ${JOBgfs}/epos %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eupd.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eupd.ecf index f060c95..7a2ae36 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eupd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eupd.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eupd -#BSUB -o %LOG%gdas.enkf.eupd.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eupd_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=10 %include echo ${JOBgfs}/eupd %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/fcst.ecf index 4ccd2f8..a5ba72b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/fcst.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/fcst.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.fcst -#BSUB -o %LOG%gdas.fcst.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.fcst_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=6 %include echo ${JOBgfs}/fcst %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/post.ecf index 84c0565..09a7304 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/post.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.post -#BSUB -o %LOG%gdas.post.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.post_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=6 %include echo ${JOBgfs}/post %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep.ecf index 972c606..c73efbb 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.prep -#BSUB -o %LOG%gdas.prep.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.prep_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=1 %include echo ${JOBgfs}/prep %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/vrfy.ecf index e85b243..c98b266 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/vrfy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/vrfy.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.vrfy -#BSUB -o %LOG%gdas.vrfy.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.vrfy_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=1 %include echo ${JOBgfs}/vrfy %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/anal.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/anal.ecf index 1093f11..99b5438 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/anal.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/anal.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.anal -#BSUB -o %LOG%gfs.anal.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gfs.anal_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=24 %include echo ${JOBgfs}/anal %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/fcst.ecf index 1796678..4c43c38 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/fcst.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/fcst.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.fcst -#BSUB -o %LOG%gfs.fcst.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gfs.fcst_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=6 %include echo ${JOBgfs}/fcst %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post.ecf index 6fa723a..681bcf1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.post -#BSUB -o %LOG%gfs.post.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gfs.post_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=6 %include echo ${JOBgfs}/post %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep.ecf index 1c21d4e..4467c68 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.prep -#BSUB -o %LOG%gfs.prep.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gfs.prep_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=1 %include echo ${JOBgfs}/prep %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/vrfy.ecf index 81dd427..12f4068 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/vrfy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/vrfy.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.vrfy -#BSUB -o %LOG%gfs.vrfy.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gfs.vrfy_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=1 %include echo ${JOBgfs}/vrfy %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp1.ecf index bd02a66..21e7492 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp1.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp1 -#BSUB -o %LOG%archive.earc.grp1.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp1_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp2.ecf index 51eb4c7..fde7838 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp2.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp2 -#BSUB -o %LOG%archive.earc.grp2.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp2_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp3.ecf index 6ab01f4..fb87f71 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp3.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp3 -#BSUB -o %LOG%archive.earc.grp3.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp3_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp4.ecf index 557a489..b461876 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp4.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp4 -#BSUB -o %LOG%archive.earc.grp4.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp4_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp5.ecf index cea0d67..6d4c780 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp5.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp5 -#BSUB -o %LOG%archive.earc.grp5.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp5_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp6.ecf index 06d7caa..99ca75f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp6.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp6 -#BSUB -o %LOG%archive.earc.grp6.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp6_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp7.ecf index eccbb77..a06517d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp7.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp7 -#BSUB -o %LOG%archive.earc.grp7.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp7_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp8.ecf index 062ab55..a69ddc5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp8.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp8 -#BSUB -o %LOG%archive.earc.grp8.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp8_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/gdasarch.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/gdasarch.ecf index 6e36aeb..1787dd2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/gdasarch.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/archive/gdasarch.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.gdasarch -#BSUB -o %LOG%archive.gdasarch.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.gdasarch_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/gfsarch.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/gfsarch.ecf index 4235d77..677d6c7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/gfsarch.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/archive/gfsarch.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.gfsarch -#BSUB -o %LOG%archive.gfsarch.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.gfsarch_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/anal.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/anal.ecf index bc215ac..19f2c4c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/anal.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/anal.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.anal -#BSUB -o %LOG%gdas.anal.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.anal_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=24 %include echo ${JOBgfs}/anal %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/ecen.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/ecen.ecf index 274f084..4316556 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/ecen.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/ecen.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.ecen -#BSUB -o %LOG%gdas.enkf.ecen.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.ecen_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/ecen %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp1.ecf index 25fbbb1..13b7717 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp1.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp1 -#BSUB -o %LOG%gdas.enkf.efcs.grp1.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp1_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp2.ecf index 1900d33..508059b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp2.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp2 -#BSUB -o %LOG%gdas.enkf.efcs.grp2.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp2_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp3.ecf index 694e3e6..ff734ef 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp3.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp3 -#BSUB -o %LOG%gdas.enkf.efcs.grp3.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp3_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp4.ecf index 132bf1e..f0558cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp4.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp4 -#BSUB -o %LOG%gdas.enkf.efcs.grp4.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp4_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp5.ecf index 28661f0..a884807 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp5.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp5 -#BSUB -o %LOG%gdas.enkf.efcs.grp5.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp5_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp6.ecf index 2b9e8f5..fa20442 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp6.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp6 -#BSUB -o %LOG%gdas.enkf.efcs.grp6.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp6_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp7.ecf index 1e223f1..08b0fa7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp7.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp7 -#BSUB -o %LOG%gdas.enkf.efcs.grp7.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp7_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp8.ecf index 6caa36a..b4c5899 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp8.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp8 -#BSUB -o %LOG%gdas.enkf.efcs.grp8.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp8_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eobs.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eobs.ecf index bb324ee..37ca0a1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eobs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eobs.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eobs -#BSUB -o %LOG%gdas.enkf.eobs.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eobs_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eobs %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp1.ecf index 3bcd2a2..3af3e5f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp1.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp1 -#BSUB -o %LOG%gdas.enkf.eomg.grp1.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp1_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp2.ecf index 84d2010..240f3f2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp2.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp2 -#BSUB -o %LOG%gdas.enkf.eomg.grp2.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp2_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp3.ecf index b9ff9c4..0f0e865 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp3.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp3 -#BSUB -o %LOG%gdas.enkf.eomg.grp3.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp3_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp4.ecf index 321d0a6..48c6c2b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp4.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp4 -#BSUB -o %LOG%gdas.enkf.eomg.grp4.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp4_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp5.ecf index b434dee..1d2b9cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp5.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp5 -#BSUB -o %LOG%gdas.enkf.eomg.grp5.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp5_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp6.ecf index 0a2e955..013fd12 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp6.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp6 -#BSUB -o %LOG%gdas.enkf.eomg.grp6.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp6_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp7.ecf index c93de9c..4b0adbe 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp7.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp7 -#BSUB -o %LOG%gdas.enkf.eomg.grp7.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp7_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp8.ecf index 3f057c0..7babd09 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp8.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp8 -#BSUB -o %LOG%gdas.enkf.eomg.grp8.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp8_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/epos.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/epos.ecf index b15927f..f003f7e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/epos.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/epos.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.epos -#BSUB -o %LOG%gdas.enkf.epos.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.epos_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=7 %include echo ${JOBgfs}/epos %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eupd.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eupd.ecf index f060c95..7a2ae36 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eupd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eupd.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eupd -#BSUB -o %LOG%gdas.enkf.eupd.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eupd_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=10 %include echo ${JOBgfs}/eupd %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/fcst.ecf index 4ccd2f8..a5ba72b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/fcst.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/fcst.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.fcst -#BSUB -o %LOG%gdas.fcst.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.fcst_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=6 %include echo ${JOBgfs}/fcst %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/post.ecf index 84c0565..09a7304 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/post.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.post -#BSUB -o %LOG%gdas.post.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.post_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=6 %include echo ${JOBgfs}/post %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep.ecf index 972c606..c73efbb 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.prep -#BSUB -o %LOG%gdas.prep.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.prep_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=1 %include echo ${JOBgfs}/prep %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/vrfy.ecf index e85b243..c98b266 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/vrfy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/vrfy.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.vrfy -#BSUB -o %LOG%gdas.vrfy.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.vrfy_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=1 %include echo ${JOBgfs}/vrfy %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/anal.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/anal.ecf index 1093f11..99b5438 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/anal.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/anal.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.anal -#BSUB -o %LOG%gfs.anal.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gfs.anal_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=24 %include echo ${JOBgfs}/anal %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/fcst.ecf index 1796678..4c43c38 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/fcst.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/fcst.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.fcst -#BSUB -o %LOG%gfs.fcst.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gfs.fcst_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=6 %include echo ${JOBgfs}/fcst %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post.ecf index 6fa723a..681bcf1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.post -#BSUB -o %LOG%gfs.post.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gfs.post_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=6 %include echo ${JOBgfs}/post %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep.ecf index 1c21d4e..4467c68 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.prep -#BSUB -o %LOG%gfs.prep.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gfs.prep_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=1 %include echo ${JOBgfs}/prep %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/vrfy.ecf index 81dd427..12f4068 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/vrfy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/vrfy.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.vrfy -#BSUB -o %LOG%gfs.vrfy.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gfs.vrfy_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=1 %include echo ${JOBgfs}/vrfy %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp1.ecf index bd02a66..21e7492 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp1.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp1 -#BSUB -o %LOG%archive.earc.grp1.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp1_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp2.ecf index 51eb4c7..fde7838 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp2.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp2 -#BSUB -o %LOG%archive.earc.grp2.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp2_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp3.ecf index 6ab01f4..fb87f71 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp3.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp3 -#BSUB -o %LOG%archive.earc.grp3.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp3_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp4.ecf index 557a489..b461876 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp4.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp4 -#BSUB -o %LOG%archive.earc.grp4.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp4_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp5.ecf index cea0d67..6d4c780 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp5.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp5 -#BSUB -o %LOG%archive.earc.grp5.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp5_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp6.ecf index 06d7caa..99ca75f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp6.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp6 -#BSUB -o %LOG%archive.earc.grp6.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp6_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp7.ecf index eccbb77..a06517d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp7.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp7 -#BSUB -o %LOG%archive.earc.grp7.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp7_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp8.ecf index 062ab55..a69ddc5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp8.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp8 -#BSUB -o %LOG%archive.earc.grp8.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.earc.grp8_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/gdasarch.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/gdasarch.ecf index 6e36aeb..1787dd2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/gdasarch.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/archive/gdasarch.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.gdasarch -#BSUB -o %LOG%archive.gdasarch.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.gdasarch_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/gfsarch.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/gfsarch.ecf index 4235d77..677d6c7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/gfsarch.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/archive/gfsarch.ecf @@ -2,8 +2,8 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.gfsarch -#BSUB -o %LOG%archive.gfsarch.log -#BSUB -W 0:05 +#BSUB -o %ECF_OUT%/archive.gfsarch_t00z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/anal.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/anal.ecf index bc215ac..19f2c4c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/anal.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/anal.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.anal -#BSUB -o %LOG%gdas.anal.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.anal_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=24 %include echo ${JOBgfs}/anal %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/ecen.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/ecen.ecf index 274f084..4316556 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/ecen.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/ecen.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.ecen -#BSUB -o %LOG%gdas.enkf.ecen.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.ecen_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/ecen %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp1.ecf index 25fbbb1..13b7717 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp1.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp1 -#BSUB -o %LOG%gdas.enkf.efcs.grp1.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp1_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp2.ecf index 1900d33..508059b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp2.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp2 -#BSUB -o %LOG%gdas.enkf.efcs.grp2.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp2_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp3.ecf index 694e3e6..ff734ef 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp3.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp3 -#BSUB -o %LOG%gdas.enkf.efcs.grp3.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp3_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp4.ecf index 132bf1e..f0558cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp4.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp4 -#BSUB -o %LOG%gdas.enkf.efcs.grp4.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp4_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp5.ecf index 28661f0..a884807 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp5.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp5 -#BSUB -o %LOG%gdas.enkf.efcs.grp5.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp5_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp6.ecf index 2b9e8f5..fa20442 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp6.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp6 -#BSUB -o %LOG%gdas.enkf.efcs.grp6.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp6_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp7.ecf index 1e223f1..08b0fa7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp7.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp7 -#BSUB -o %LOG%gdas.enkf.efcs.grp7.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp7_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp8.ecf index 6caa36a..b4c5899 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp8.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp8 -#BSUB -o %LOG%gdas.enkf.efcs.grp8.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp8_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=5 %include echo ${JOBgfs}/efcs %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eobs.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eobs.ecf index bb324ee..37ca0a1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eobs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eobs.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eobs -#BSUB -o %LOG%gdas.enkf.eobs.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eobs_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eobs %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp1.ecf index 3bcd2a2..3af3e5f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp1.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp1 -#BSUB -o %LOG%gdas.enkf.eomg.grp1.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp1_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp2.ecf index 84d2010..240f3f2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp2.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp2 -#BSUB -o %LOG%gdas.enkf.eomg.grp2.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp2_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp3.ecf index b9ff9c4..0f0e865 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp3.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp3 -#BSUB -o %LOG%gdas.enkf.eomg.grp3.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp3_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp4.ecf index 321d0a6..48c6c2b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp4.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp4 -#BSUB -o %LOG%gdas.enkf.eomg.grp4.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp4_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp5.ecf index b434dee..1d2b9cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp5.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp5 -#BSUB -o %LOG%gdas.enkf.eomg.grp5.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp5_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp6.ecf index 0a2e955..013fd12 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp6.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp6 -#BSUB -o %LOG%gdas.enkf.eomg.grp6.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp6_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp7.ecf index c93de9c..4b0adbe 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp7.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp7 -#BSUB -o %LOG%gdas.enkf.eomg.grp7.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp7_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp8.ecf index 3f057c0..7babd09 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp8.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp8 -#BSUB -o %LOG%gdas.enkf.eomg.grp8.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp8_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=12 %include echo ${JOBgfs}/eomg %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/epos.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/epos.ecf index b15927f..f003f7e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/epos.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/epos.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.epos -#BSUB -o %LOG%gdas.enkf.epos.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.epos_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=7 %include echo ${JOBgfs}/epos %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eupd.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eupd.ecf index f060c95..7a2ae36 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eupd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eupd.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eupd -#BSUB -o %LOG%gdas.enkf.eupd.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.enkf.eupd_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=10 %include echo ${JOBgfs}/eupd %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/fcst.ecf index 4ccd2f8..a5ba72b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/fcst.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/fcst.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.fcst -#BSUB -o %LOG%gdas.fcst.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.fcst_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=6 %include echo ${JOBgfs}/fcst %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/post.ecf index 84c0565..09a7304 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/post.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.post -#BSUB -o %LOG%gdas.post.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.post_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=6 %include echo ${JOBgfs}/post %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep.ecf index 972c606..c73efbb 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.prep -#BSUB -o %LOG%gdas.prep.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.prep_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=1 %include echo ${JOBgfs}/prep %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/vrfy.ecf index e85b243..c98b266 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/vrfy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/vrfy.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.vrfy -#BSUB -o %LOG%gdas.vrfy.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gdas.vrfy_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=1 %include echo ${JOBgfs}/vrfy %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/anal.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/anal.ecf index 1093f11..99b5438 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/anal.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/anal.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.anal -#BSUB -o %LOG%gfs.anal.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gfs.anal_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=24 %include echo ${JOBgfs}/anal %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/fcst.ecf index 1796678..4c43c38 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/fcst.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/fcst.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.fcst -#BSUB -o %LOG%gfs.fcst.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gfs.fcst_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=6 %include echo ${JOBgfs}/fcst %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post.ecf index 6fa723a..681bcf1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.post -#BSUB -o %LOG%gfs.post.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gfs.post_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=6 %include echo ${JOBgfs}/post %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep.ecf index 1c21d4e..4467c68 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.prep -#BSUB -o %LOG%gfs.prep.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gfs.prep_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=1 %include echo ${JOBgfs}/prep %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/vrfy.ecf index 81dd427..12f4068 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/vrfy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/vrfy.ecf @@ -2,11 +2,11 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.vrfy -#BSUB -o %LOG%gfs.vrfy.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=500] +#BSUB -o %ECF_OUT%/gfs.vrfy_t00z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=2 +export NODES=1 %include echo ${JOBgfs}/vrfy %include diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index 7e688b6..afe05db 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -22,7 +22,7 @@ exclusive_accounting: &exclusive_accounting ecf_file_template: &ecf_file_template !expand | #! /bin/sh - {sched.batch_accounting(accounting,jobname=task_path_var,outerr="%LOG%"+task_path_var+".log") + {sched.batch_accounting(accounting,jobname=task_path_var,outerr="%ECF_OUT%/"+task_path_var+"_t"+tools.strftime(suite.Clock.now,"%H")+"z.log") }{sched.batch_resources(resources)} %include echo ${{JOBgfs}}/{J_JOB} @@ -49,6 +49,7 @@ suite: !Cycle edit ECF_HOME '{doc.settings.ECF_HOME}' edit PROJENVIR 'HUR-T2O' edit EMCPEN 'ecfgfsfv3' + edit CYC '{tools.strftime(suite.Clock.now,"%H")}' edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' edit QUEUESERV 'dev_transfer' edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/%EMCPEN%' @@ -80,7 +81,7 @@ suite: !Cycle J_JOB: eomg grp2: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF>=20 + - when: !calc "( doc.settings.NMEM_ENKF>=20 )" do: !Task ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_eomg ) @@ -89,7 +90,7 @@ suite: !Cycle - otherwise: null grp3: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF>=30 + - when: !calc "( doc.settings.NMEM_ENKF>=30 )" do: !Task ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_eomg ) @@ -98,7 +99,7 @@ suite: !Cycle - otherwise: null grp4: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF>=40 + - when: !calc "( doc.settings.NMEM_ENKF>=40 )" do: !Task ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_eomg ) @@ -107,7 +108,7 @@ suite: !Cycle - otherwise: null grp5: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF>=50 + - when: !calc "( doc.settings.NMEM_ENKF>=50 )" do: !Task ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_eomg ) @@ -116,7 +117,7 @@ suite: !Cycle - otherwise: null grp6: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF>=60 + - when: !calc "( doc.settings.NMEM_ENKF>=60 )" do: !Task ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_eomg ) @@ -125,7 +126,7 @@ suite: !Cycle - otherwise: null grp7: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF>=70 + - when: !calc "( doc.settings.NMEM_ENKF>=70 )" do: !Task ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_eomg ) @@ -134,7 +135,7 @@ suite: !Cycle - otherwise: null grp8: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF>=80 + - when: !calc "( doc.settings.NMEM_ENKF>=80 )" do: !Task ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_eomg ) @@ -187,7 +188,7 @@ suite: !Cycle grp2: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF>=20 + - when: !calc "( doc.settings.NMEM_ENKF>=20 )" do: !Task ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_efcs ) @@ -196,7 +197,7 @@ suite: !Cycle - otherwise: null grp3: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF>=30 + - when: !calc "( doc.settings.NMEM_ENKF>=30 )" do: !Task ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_efcs ) @@ -205,7 +206,7 @@ suite: !Cycle - otherwise: null grp4: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF>=40 + - when: !calc "( doc.settings.NMEM_ENKF>=40 )" do: !Task ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_efcs ) @@ -214,7 +215,7 @@ suite: !Cycle - otherwise: null grp5: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF>=50 + - when: !calc "( doc.settings.NMEM_ENKF>=50 )" do: !Task ecf_file: *ecf_file_template J_JOB: efcs @@ -223,7 +224,7 @@ suite: !Cycle - otherwise: null grp6: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF>=60 + - when: !calc "( doc.settings.NMEM_ENKF>=60 )" do: !Task ecf_file: *ecf_file_template J_JOB: efcs @@ -232,7 +233,7 @@ suite: !Cycle - otherwise: null grp7: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF>=70 + - when: !calc "( doc.settings.NMEM_ENKF>=70 )" do: !Task ecf_file: *ecf_file_template J_JOB: efcs @@ -241,7 +242,7 @@ suite: !Cycle - otherwise: null grp8: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF>=80 + - when: !calc "( doc.settings.NMEM_ENKF>=80 )" do: !Task ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_efcs ) @@ -343,7 +344,7 @@ suite: !Cycle accounting: *shared_accounting J_JOB: earc grp2: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF>=20 + - when: !calc "( doc.settings.NMEM_ENKF>=20 )" do: !Task ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_arch ) @@ -351,7 +352,7 @@ suite: !Cycle J_JOB: earc - otherwise: null grp3: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF>=30 + - when: !calc "( doc.settings.NMEM_ENKF>=30 )" do: !Task ecf_file: *ecf_file_template J_JOB: earc @@ -359,7 +360,7 @@ suite: !Cycle accounting: *shared_accounting - otherwise: null grp4: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF>=40 + - when: !calc "( doc.settings.NMEM_ENKF>=40 )" do: !Task ecf_file: *ecf_file_template J_JOB: earc @@ -367,7 +368,7 @@ suite: !Cycle accounting: *shared_accounting - otherwise: null grp5: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF>=50 + - when: !calc "( doc.settings.NMEM_ENKF>=50 )" do: !Task ecf_file: *ecf_file_template J_JOB: earc @@ -375,7 +376,7 @@ suite: !Cycle accounting: *shared_accounting - otherwise: null grp6: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF>=60 + - when: !calc "( doc.settings.NMEM_ENKF>=60 )" do: !Task ecf_file: *ecf_file_template J_JOB: earc @@ -383,15 +384,15 @@ suite: !Cycle accounting: *shared_accounting - otherwise: null grp7: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF==70 - do: !Task + - when: !calc "( doc.settings.NMEM_ENKF==70 )" + do: !Task ecf_file: *ecf_file_template J_JOB: earc resources: !calc ( doc.resource_demo.run_arch ) accounting: *shared_accounting - otherwise: null grp7: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF>=70 + - when: !calc "( doc.settings.NMEM_ENKF>=70 )" do: !Task ecf_file: *ecf_file_template J_JOB: earc @@ -399,7 +400,7 @@ suite: !Cycle accounting: *shared_accounting - otherwise: null grp8: !FirstTrue - - when: !calc doc.settings.NMEM_ENKF>=80 + - when: !calc "( doc.settings.NMEM_ENKF>=80 )" do: !Task ecf_file: *ecf_file_template J_JOB: earc From 464fa4da9e914260548cd6dddae97340213228a3 Mon Sep 17 00:00:00 2001 From: Bin Liu Date: Sat, 3 Feb 2018 11:12:07 +0000 Subject: [PATCH 287/487] Use CYC in ecflow job log file names. --- model/ecflow_fv3gfs/defs/prod00.def | 6 +++--- model/ecflow_fv3gfs/defs/prod06.def | 6 +++--- model/ecflow_fv3gfs/defs/prod12.def | 6 +++--- model/ecflow_fv3gfs/defs/prod18.def | 6 +++--- model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp1.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp2.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp3.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp4.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp5.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp6.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp7.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp8.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/archive/gdasarch.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/archive/gfsarch.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/gdas/anal.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/ecen.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp1.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp2.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp3.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp4.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp5.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp6.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp7.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp8.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eobs.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp1.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp2.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp3.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp4.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp5.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp6.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp7.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp8.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/epos.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eupd.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/gdas/fcst.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/gdas/post.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/gdas/prep.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/gdas/vrfy.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/gfs/anal.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/gfs/fcst.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/gfs/post.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/gfs/prep.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod00/gfs/vrfy.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp1.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp2.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp3.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp4.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp5.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp6.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp7.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp8.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/archive/gdasarch.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/archive/gfsarch.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/gdas/anal.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/ecen.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp1.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp2.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp3.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp4.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp5.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp6.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp7.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp8.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eobs.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp1.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp2.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp3.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp4.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp5.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp6.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp7.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp8.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/epos.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eupd.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/gdas/fcst.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/gdas/post.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/gdas/prep.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/gdas/vrfy.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/gfs/anal.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/gfs/fcst.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/gfs/post.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/gfs/prep.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod06/gfs/vrfy.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp1.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp2.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp3.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp4.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp5.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp6.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp7.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp8.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/archive/gdasarch.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/archive/gfsarch.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/gdas/anal.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/ecen.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp1.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp2.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp3.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp4.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp5.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp6.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp7.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp8.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eobs.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp1.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp2.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp3.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp4.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp5.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp6.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp7.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp8.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/epos.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eupd.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/gdas/fcst.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/gdas/post.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/gdas/prep.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/gdas/vrfy.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/gfs/anal.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/gfs/fcst.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/gfs/post.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/gfs/prep.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod12/gfs/vrfy.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp1.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp2.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp3.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp4.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp5.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp6.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp7.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp8.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/archive/gdasarch.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/archive/gfsarch.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/gdas/anal.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/ecen.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp1.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp2.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp3.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp4.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp5.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp6.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp7.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp8.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eobs.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp1.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp2.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp3.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp4.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp5.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp6.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp7.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp8.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/epos.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eupd.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/gdas/fcst.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/gdas/post.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/gdas/prep.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/gdas/vrfy.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/gfs/anal.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/gfs/fcst.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/gfs/post.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/gfs/prep.ecf | 2 +- model/ecflow_fv3gfs/scripts/prod18/gfs/vrfy.ecf | 2 +- model/ecflow_fv3gfs/suite_def.yaml | 4 ++-- 165 files changed, 174 insertions(+), 174 deletions(-) diff --git a/model/ecflow_fv3gfs/defs/prod00.def b/model/ecflow_fv3gfs/defs/prod00.def index a24b38d..fb12aee 100644 --- a/model/ecflow_fv3gfs/defs/prod00.def +++ b/model/ecflow_fv3gfs/defs/prod00.def @@ -7,15 +7,15 @@ suite prod00 edit QUEUESERV 'dev_transfer' edit MACHINE 'xc40-dev' edit PROJECT 'HUR-T2O' - edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/' + edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' edit PROJENVIR 'HUR-T2O' edit EMCPEN 'ecfgfsfv3' edit CYC '00' edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' edit QUEUESERV 'dev_transfer' edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/%EMCPEN%' - edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts//output' - edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts//ecf.log' + edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/output' + edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/ecf.log' family gdas task prep trigger /prod18/gdas/post == complete diff --git a/model/ecflow_fv3gfs/defs/prod06.def b/model/ecflow_fv3gfs/defs/prod06.def index e43e441..d85c8a5 100644 --- a/model/ecflow_fv3gfs/defs/prod06.def +++ b/model/ecflow_fv3gfs/defs/prod06.def @@ -9,15 +9,15 @@ suite prod06 edit QUEUESERV 'dev_transfer' edit MACHINE 'xc40-dev' edit PROJECT 'HUR-T2O' - edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/' + edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' edit PROJENVIR 'HUR-T2O' edit EMCPEN 'ecfgfsfv3' edit CYC '06' edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' edit QUEUESERV 'dev_transfer' edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/%EMCPEN%' - edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts//output' - edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts//ecf.log' + edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/output' + edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/ecf.log' family gdas task prep trigger /prod00/gdas/post == complete diff --git a/model/ecflow_fv3gfs/defs/prod12.def b/model/ecflow_fv3gfs/defs/prod12.def index 30cbfdc..e03189b 100644 --- a/model/ecflow_fv3gfs/defs/prod12.def +++ b/model/ecflow_fv3gfs/defs/prod12.def @@ -11,15 +11,15 @@ suite prod12 edit QUEUESERV 'dev_transfer' edit MACHINE 'xc40-dev' edit PROJECT 'HUR-T2O' - edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/' + edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' edit PROJENVIR 'HUR-T2O' edit EMCPEN 'ecfgfsfv3' edit CYC '12' edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' edit QUEUESERV 'dev_transfer' edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/%EMCPEN%' - edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts//output' - edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts//ecf.log' + edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/output' + edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/ecf.log' family gdas task prep trigger /prod06/gdas/post == complete diff --git a/model/ecflow_fv3gfs/defs/prod18.def b/model/ecflow_fv3gfs/defs/prod18.def index f66d812..bc47634 100644 --- a/model/ecflow_fv3gfs/defs/prod18.def +++ b/model/ecflow_fv3gfs/defs/prod18.def @@ -13,15 +13,15 @@ suite prod18 edit QUEUESERV 'dev_transfer' edit MACHINE 'xc40-dev' edit PROJECT 'HUR-T2O' - edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/' + edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' edit PROJENVIR 'HUR-T2O' edit EMCPEN 'ecfgfsfv3' edit CYC '18' edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' edit QUEUESERV 'dev_transfer' edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/%EMCPEN%' - edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts//output' - edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts//ecf.log' + edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/output' + edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/ecf.log' family gdas task prep trigger /prod12/gdas/post == complete diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp1.ecf index 21e7492..d4cdf77 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp1.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp1 -#BSUB -o %ECF_OUT%/archive.earc.grp1_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp1_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp2.ecf index fde7838..b9d8f02 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp2.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp2 -#BSUB -o %ECF_OUT%/archive.earc.grp2_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp2_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp3.ecf index fb87f71..e934fed 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp3.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp3 -#BSUB -o %ECF_OUT%/archive.earc.grp3_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp3_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp4.ecf index b461876..9732304 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp4.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp4 -#BSUB -o %ECF_OUT%/archive.earc.grp4_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp4_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp5.ecf index 6d4c780..5e41da5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp5.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp5 -#BSUB -o %ECF_OUT%/archive.earc.grp5_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp5_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp6.ecf index 99ca75f..059d850 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp6.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp6 -#BSUB -o %ECF_OUT%/archive.earc.grp6_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp6_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp7.ecf index a06517d..0e9123b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp7.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp7 -#BSUB -o %ECF_OUT%/archive.earc.grp7_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp7_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp8.ecf index a69ddc5..0280c3b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp8.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp8 -#BSUB -o %ECF_OUT%/archive.earc.grp8_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp8_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/gdasarch.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/gdasarch.ecf index 1787dd2..b2c44b0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/gdasarch.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/archive/gdasarch.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.gdasarch -#BSUB -o %ECF_OUT%/archive.gdasarch_t00z.log +#BSUB -o %ECF_OUT%/archive.gdasarch_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/gfsarch.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/gfsarch.ecf index 677d6c7..c93e352 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/gfsarch.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/archive/gfsarch.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.gfsarch -#BSUB -o %ECF_OUT%/archive.gfsarch_t00z.log +#BSUB -o %ECF_OUT%/archive.gfsarch_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/anal.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/anal.ecf index 19f2c4c..d71f918 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/anal.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/anal.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.anal -#BSUB -o %ECF_OUT%/gdas.anal_t00z.log +#BSUB -o %ECF_OUT%/gdas.anal_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/ecen.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/ecen.ecf index 4316556..1e94e99 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/ecen.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/ecen.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.ecen -#BSUB -o %ECF_OUT%/gdas.enkf.ecen_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.ecen_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp1.ecf index 13b7717..a63496b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp1.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp1_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp1_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp2.ecf index 508059b..c3c2fc6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp2.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp2_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp2_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp3.ecf index ff734ef..ad3866e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp3.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp3_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp3_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp4.ecf index f0558cf..702321c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp4.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp4_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp4_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp5.ecf index a884807..a391323 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp5.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp5_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp5_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp6.ecf index fa20442..23068c5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp6.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp6_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp6_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp7.ecf index 08b0fa7..61e4e3a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp7.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp7_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp7_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp8.ecf index b4c5899..b982f62 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp8.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp8_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp8_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eobs.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eobs.ecf index 37ca0a1..d46cd1f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eobs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eobs.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eobs -#BSUB -o %ECF_OUT%/gdas.enkf.eobs_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eobs_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp1.ecf index 3af3e5f..1b2dc8c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp1.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp1_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp1_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp2.ecf index 240f3f2..d68e5b7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp2.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp2_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp2_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp3.ecf index 0f0e865..6284fba 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp3.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp3_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp3_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp4.ecf index 48c6c2b..f794a69 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp4.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp4_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp4_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp5.ecf index 1d2b9cf..35a20ed 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp5.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp5_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp5_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp6.ecf index 013fd12..7eb040a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp6.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp6_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp6_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp7.ecf index 4b0adbe..92a5d7a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp7.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp7_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp7_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp8.ecf index 7babd09..bb3313b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp8.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp8_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp8_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/epos.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/epos.ecf index f003f7e..5314f09 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/epos.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/epos.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.epos -#BSUB -o %ECF_OUT%/gdas.enkf.epos_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.epos_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eupd.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eupd.ecf index 7a2ae36..f48fd62 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eupd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eupd.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eupd -#BSUB -o %ECF_OUT%/gdas.enkf.eupd_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eupd_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/fcst.ecf index a5ba72b..fc8ddcc 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/fcst.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/fcst.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.fcst -#BSUB -o %ECF_OUT%/gdas.fcst_t00z.log +#BSUB -o %ECF_OUT%/gdas.fcst_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/post.ecf index 09a7304..1e78b9d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/post.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.post -#BSUB -o %ECF_OUT%/gdas.post_t00z.log +#BSUB -o %ECF_OUT%/gdas.post_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep.ecf index c73efbb..dedc7b7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.prep -#BSUB -o %ECF_OUT%/gdas.prep_t00z.log +#BSUB -o %ECF_OUT%/gdas.prep_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/vrfy.ecf index c98b266..ba1e0f0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/vrfy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/vrfy.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.vrfy -#BSUB -o %ECF_OUT%/gdas.vrfy_t00z.log +#BSUB -o %ECF_OUT%/gdas.vrfy_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/anal.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/anal.ecf index 99b5438..2929a32 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/anal.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/anal.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.anal -#BSUB -o %ECF_OUT%/gfs.anal_t00z.log +#BSUB -o %ECF_OUT%/gfs.anal_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/fcst.ecf index 4c43c38..42524c3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/fcst.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/fcst.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.fcst -#BSUB -o %ECF_OUT%/gfs.fcst_t00z.log +#BSUB -o %ECF_OUT%/gfs.fcst_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post.ecf index 681bcf1..e56afe9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.post -#BSUB -o %ECF_OUT%/gfs.post_t00z.log +#BSUB -o %ECF_OUT%/gfs.post_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep.ecf index 4467c68..b2bc122 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.prep -#BSUB -o %ECF_OUT%/gfs.prep_t00z.log +#BSUB -o %ECF_OUT%/gfs.prep_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/vrfy.ecf index 12f4068..5804f2e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/vrfy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/vrfy.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.vrfy -#BSUB -o %ECF_OUT%/gfs.vrfy_t00z.log +#BSUB -o %ECF_OUT%/gfs.vrfy_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp1.ecf index 21e7492..d4cdf77 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp1.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp1 -#BSUB -o %ECF_OUT%/archive.earc.grp1_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp1_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp2.ecf index fde7838..b9d8f02 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp2.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp2 -#BSUB -o %ECF_OUT%/archive.earc.grp2_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp2_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp3.ecf index fb87f71..e934fed 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp3.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp3 -#BSUB -o %ECF_OUT%/archive.earc.grp3_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp3_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp4.ecf index b461876..9732304 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp4.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp4 -#BSUB -o %ECF_OUT%/archive.earc.grp4_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp4_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp5.ecf index 6d4c780..5e41da5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp5.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp5 -#BSUB -o %ECF_OUT%/archive.earc.grp5_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp5_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp6.ecf index 99ca75f..059d850 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp6.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp6 -#BSUB -o %ECF_OUT%/archive.earc.grp6_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp6_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp7.ecf index a06517d..0e9123b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp7.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp7 -#BSUB -o %ECF_OUT%/archive.earc.grp7_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp7_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp8.ecf index a69ddc5..0280c3b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp8.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp8 -#BSUB -o %ECF_OUT%/archive.earc.grp8_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp8_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/gdasarch.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/gdasarch.ecf index 1787dd2..b2c44b0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/gdasarch.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/archive/gdasarch.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.gdasarch -#BSUB -o %ECF_OUT%/archive.gdasarch_t00z.log +#BSUB -o %ECF_OUT%/archive.gdasarch_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/gfsarch.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/gfsarch.ecf index 677d6c7..c93e352 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/gfsarch.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/archive/gfsarch.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.gfsarch -#BSUB -o %ECF_OUT%/archive.gfsarch_t00z.log +#BSUB -o %ECF_OUT%/archive.gfsarch_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/anal.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/anal.ecf index 19f2c4c..d71f918 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/anal.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/anal.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.anal -#BSUB -o %ECF_OUT%/gdas.anal_t00z.log +#BSUB -o %ECF_OUT%/gdas.anal_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/ecen.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/ecen.ecf index 4316556..1e94e99 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/ecen.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/ecen.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.ecen -#BSUB -o %ECF_OUT%/gdas.enkf.ecen_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.ecen_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp1.ecf index 13b7717..a63496b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp1.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp1_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp1_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp2.ecf index 508059b..c3c2fc6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp2.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp2_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp2_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp3.ecf index ff734ef..ad3866e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp3.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp3_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp3_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp4.ecf index f0558cf..702321c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp4.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp4_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp4_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp5.ecf index a884807..a391323 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp5.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp5_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp5_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp6.ecf index fa20442..23068c5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp6.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp6_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp6_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp7.ecf index 08b0fa7..61e4e3a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp7.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp7_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp7_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp8.ecf index b4c5899..b982f62 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp8.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp8_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp8_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eobs.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eobs.ecf index 37ca0a1..d46cd1f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eobs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eobs.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eobs -#BSUB -o %ECF_OUT%/gdas.enkf.eobs_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eobs_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp1.ecf index 3af3e5f..1b2dc8c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp1.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp1_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp1_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp2.ecf index 240f3f2..d68e5b7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp2.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp2_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp2_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp3.ecf index 0f0e865..6284fba 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp3.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp3_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp3_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp4.ecf index 48c6c2b..f794a69 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp4.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp4_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp4_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp5.ecf index 1d2b9cf..35a20ed 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp5.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp5_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp5_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp6.ecf index 013fd12..7eb040a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp6.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp6_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp6_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp7.ecf index 4b0adbe..92a5d7a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp7.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp7_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp7_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp8.ecf index 7babd09..bb3313b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp8.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp8_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp8_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/epos.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/epos.ecf index f003f7e..5314f09 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/epos.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/epos.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.epos -#BSUB -o %ECF_OUT%/gdas.enkf.epos_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.epos_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eupd.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eupd.ecf index 7a2ae36..f48fd62 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eupd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eupd.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eupd -#BSUB -o %ECF_OUT%/gdas.enkf.eupd_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eupd_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/fcst.ecf index a5ba72b..fc8ddcc 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/fcst.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/fcst.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.fcst -#BSUB -o %ECF_OUT%/gdas.fcst_t00z.log +#BSUB -o %ECF_OUT%/gdas.fcst_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/post.ecf index 09a7304..1e78b9d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/post.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.post -#BSUB -o %ECF_OUT%/gdas.post_t00z.log +#BSUB -o %ECF_OUT%/gdas.post_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep.ecf index c73efbb..dedc7b7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.prep -#BSUB -o %ECF_OUT%/gdas.prep_t00z.log +#BSUB -o %ECF_OUT%/gdas.prep_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/vrfy.ecf index c98b266..ba1e0f0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/vrfy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/vrfy.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.vrfy -#BSUB -o %ECF_OUT%/gdas.vrfy_t00z.log +#BSUB -o %ECF_OUT%/gdas.vrfy_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/anal.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/anal.ecf index 99b5438..2929a32 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/anal.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/anal.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.anal -#BSUB -o %ECF_OUT%/gfs.anal_t00z.log +#BSUB -o %ECF_OUT%/gfs.anal_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/fcst.ecf index 4c43c38..42524c3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/fcst.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/fcst.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.fcst -#BSUB -o %ECF_OUT%/gfs.fcst_t00z.log +#BSUB -o %ECF_OUT%/gfs.fcst_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post.ecf index 681bcf1..e56afe9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.post -#BSUB -o %ECF_OUT%/gfs.post_t00z.log +#BSUB -o %ECF_OUT%/gfs.post_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep.ecf index 4467c68..b2bc122 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.prep -#BSUB -o %ECF_OUT%/gfs.prep_t00z.log +#BSUB -o %ECF_OUT%/gfs.prep_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/vrfy.ecf index 12f4068..5804f2e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/vrfy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/vrfy.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.vrfy -#BSUB -o %ECF_OUT%/gfs.vrfy_t00z.log +#BSUB -o %ECF_OUT%/gfs.vrfy_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp1.ecf index 21e7492..d4cdf77 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp1.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp1 -#BSUB -o %ECF_OUT%/archive.earc.grp1_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp1_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp2.ecf index fde7838..b9d8f02 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp2.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp2 -#BSUB -o %ECF_OUT%/archive.earc.grp2_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp2_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp3.ecf index fb87f71..e934fed 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp3.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp3 -#BSUB -o %ECF_OUT%/archive.earc.grp3_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp3_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp4.ecf index b461876..9732304 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp4.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp4 -#BSUB -o %ECF_OUT%/archive.earc.grp4_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp4_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp5.ecf index 6d4c780..5e41da5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp5.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp5 -#BSUB -o %ECF_OUT%/archive.earc.grp5_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp5_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp6.ecf index 99ca75f..059d850 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp6.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp6 -#BSUB -o %ECF_OUT%/archive.earc.grp6_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp6_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp7.ecf index a06517d..0e9123b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp7.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp7 -#BSUB -o %ECF_OUT%/archive.earc.grp7_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp7_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp8.ecf index a69ddc5..0280c3b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp8.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp8 -#BSUB -o %ECF_OUT%/archive.earc.grp8_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp8_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/gdasarch.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/gdasarch.ecf index 1787dd2..b2c44b0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/gdasarch.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/archive/gdasarch.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.gdasarch -#BSUB -o %ECF_OUT%/archive.gdasarch_t00z.log +#BSUB -o %ECF_OUT%/archive.gdasarch_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/gfsarch.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/gfsarch.ecf index 677d6c7..c93e352 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/gfsarch.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/archive/gfsarch.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.gfsarch -#BSUB -o %ECF_OUT%/archive.gfsarch_t00z.log +#BSUB -o %ECF_OUT%/archive.gfsarch_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/anal.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/anal.ecf index 19f2c4c..d71f918 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/anal.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/anal.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.anal -#BSUB -o %ECF_OUT%/gdas.anal_t00z.log +#BSUB -o %ECF_OUT%/gdas.anal_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/ecen.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/ecen.ecf index 4316556..1e94e99 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/ecen.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/ecen.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.ecen -#BSUB -o %ECF_OUT%/gdas.enkf.ecen_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.ecen_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp1.ecf index 13b7717..a63496b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp1.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp1_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp1_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp2.ecf index 508059b..c3c2fc6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp2.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp2_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp2_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp3.ecf index ff734ef..ad3866e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp3.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp3_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp3_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp4.ecf index f0558cf..702321c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp4.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp4_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp4_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp5.ecf index a884807..a391323 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp5.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp5_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp5_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp6.ecf index fa20442..23068c5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp6.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp6_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp6_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp7.ecf index 08b0fa7..61e4e3a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp7.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp7_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp7_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp8.ecf index b4c5899..b982f62 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp8.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp8_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp8_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eobs.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eobs.ecf index 37ca0a1..d46cd1f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eobs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eobs.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eobs -#BSUB -o %ECF_OUT%/gdas.enkf.eobs_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eobs_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp1.ecf index 3af3e5f..1b2dc8c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp1.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp1_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp1_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp2.ecf index 240f3f2..d68e5b7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp2.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp2_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp2_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp3.ecf index 0f0e865..6284fba 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp3.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp3_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp3_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp4.ecf index 48c6c2b..f794a69 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp4.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp4_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp4_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp5.ecf index 1d2b9cf..35a20ed 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp5.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp5_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp5_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp6.ecf index 013fd12..7eb040a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp6.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp6_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp6_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp7.ecf index 4b0adbe..92a5d7a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp7.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp7_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp7_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp8.ecf index 7babd09..bb3313b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp8.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp8_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp8_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/epos.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/epos.ecf index f003f7e..5314f09 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/epos.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/epos.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.epos -#BSUB -o %ECF_OUT%/gdas.enkf.epos_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.epos_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eupd.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eupd.ecf index 7a2ae36..f48fd62 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eupd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eupd.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eupd -#BSUB -o %ECF_OUT%/gdas.enkf.eupd_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eupd_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/fcst.ecf index a5ba72b..fc8ddcc 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/fcst.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/fcst.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.fcst -#BSUB -o %ECF_OUT%/gdas.fcst_t00z.log +#BSUB -o %ECF_OUT%/gdas.fcst_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/post.ecf index 09a7304..1e78b9d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/post.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.post -#BSUB -o %ECF_OUT%/gdas.post_t00z.log +#BSUB -o %ECF_OUT%/gdas.post_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep.ecf index c73efbb..dedc7b7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.prep -#BSUB -o %ECF_OUT%/gdas.prep_t00z.log +#BSUB -o %ECF_OUT%/gdas.prep_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/vrfy.ecf index c98b266..ba1e0f0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/vrfy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/vrfy.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.vrfy -#BSUB -o %ECF_OUT%/gdas.vrfy_t00z.log +#BSUB -o %ECF_OUT%/gdas.vrfy_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/anal.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/anal.ecf index 99b5438..2929a32 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/anal.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/anal.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.anal -#BSUB -o %ECF_OUT%/gfs.anal_t00z.log +#BSUB -o %ECF_OUT%/gfs.anal_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/fcst.ecf index 4c43c38..42524c3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/fcst.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/fcst.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.fcst -#BSUB -o %ECF_OUT%/gfs.fcst_t00z.log +#BSUB -o %ECF_OUT%/gfs.fcst_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post.ecf index 681bcf1..e56afe9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.post -#BSUB -o %ECF_OUT%/gfs.post_t00z.log +#BSUB -o %ECF_OUT%/gfs.post_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep.ecf index 4467c68..b2bc122 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.prep -#BSUB -o %ECF_OUT%/gfs.prep_t00z.log +#BSUB -o %ECF_OUT%/gfs.prep_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/vrfy.ecf index 12f4068..5804f2e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/vrfy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/vrfy.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.vrfy -#BSUB -o %ECF_OUT%/gfs.vrfy_t00z.log +#BSUB -o %ECF_OUT%/gfs.vrfy_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp1.ecf index 21e7492..d4cdf77 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp1.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp1 -#BSUB -o %ECF_OUT%/archive.earc.grp1_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp1_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp2.ecf index fde7838..b9d8f02 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp2.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp2 -#BSUB -o %ECF_OUT%/archive.earc.grp2_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp2_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp3.ecf index fb87f71..e934fed 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp3.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp3 -#BSUB -o %ECF_OUT%/archive.earc.grp3_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp3_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp4.ecf index b461876..9732304 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp4.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp4 -#BSUB -o %ECF_OUT%/archive.earc.grp4_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp4_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp5.ecf index 6d4c780..5e41da5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp5.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp5 -#BSUB -o %ECF_OUT%/archive.earc.grp5_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp5_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp6.ecf index 99ca75f..059d850 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp6.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp6 -#BSUB -o %ECF_OUT%/archive.earc.grp6_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp6_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp7.ecf index a06517d..0e9123b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp7.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp7 -#BSUB -o %ECF_OUT%/archive.earc.grp7_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp7_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp8.ecf index a69ddc5..0280c3b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp8.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.earc.grp8 -#BSUB -o %ECF_OUT%/archive.earc.grp8_t00z.log +#BSUB -o %ECF_OUT%/archive.earc.grp8_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/gdasarch.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/gdasarch.ecf index 1787dd2..b2c44b0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/gdasarch.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/archive/gdasarch.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.gdasarch -#BSUB -o %ECF_OUT%/archive.gdasarch_t00z.log +#BSUB -o %ECF_OUT%/archive.gdasarch_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/gfsarch.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/gfsarch.ecf index 677d6c7..c93e352 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/gfsarch.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/archive/gfsarch.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J archive.gfsarch -#BSUB -o %ECF_OUT%/archive.gfsarch_t00z.log +#BSUB -o %ECF_OUT%/archive.gfsarch_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -n 1 diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/anal.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/anal.ecf index 19f2c4c..d71f918 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/anal.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/anal.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.anal -#BSUB -o %ECF_OUT%/gdas.anal_t00z.log +#BSUB -o %ECF_OUT%/gdas.anal_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/ecen.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/ecen.ecf index 4316556..1e94e99 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/ecen.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/ecen.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.ecen -#BSUB -o %ECF_OUT%/gdas.enkf.ecen_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.ecen_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp1.ecf index 13b7717..a63496b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp1.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp1_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp1_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp2.ecf index 508059b..c3c2fc6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp2.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp2_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp2_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp3.ecf index ff734ef..ad3866e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp3.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp3_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp3_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp4.ecf index f0558cf..702321c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp4.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp4_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp4_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp5.ecf index a884807..a391323 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp5.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp5_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp5_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp6.ecf index fa20442..23068c5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp6.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp6_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp6_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp7.ecf index 08b0fa7..61e4e3a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp7.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp7_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp7_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp8.ecf index b4c5899..b982f62 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp8.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.efcs.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp8_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp8_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eobs.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eobs.ecf index 37ca0a1..d46cd1f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eobs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eobs.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eobs -#BSUB -o %ECF_OUT%/gdas.enkf.eobs_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eobs_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp1.ecf index 3af3e5f..1b2dc8c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp1.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp1_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp1_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp2.ecf index 240f3f2..d68e5b7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp2.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp2_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp2_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp3.ecf index 0f0e865..6284fba 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp3.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp3_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp3_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp4.ecf index 48c6c2b..f794a69 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp4.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp4_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp4_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp5.ecf index 1d2b9cf..35a20ed 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp5.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp5_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp5_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp6.ecf index 013fd12..7eb040a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp6.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp6_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp6_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp7.ecf index 4b0adbe..92a5d7a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp7.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp7_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp7_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp8.ecf index 7babd09..bb3313b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp8.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eomg.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp8_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp8_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/epos.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/epos.ecf index f003f7e..5314f09 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/epos.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/epos.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.epos -#BSUB -o %ECF_OUT%/gdas.enkf.epos_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.epos_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eupd.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eupd.ecf index 7a2ae36..f48fd62 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eupd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eupd.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.enkf.eupd -#BSUB -o %ECF_OUT%/gdas.enkf.eupd_t00z.log +#BSUB -o %ECF_OUT%/gdas.enkf.eupd_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/fcst.ecf index a5ba72b..fc8ddcc 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/fcst.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/fcst.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.fcst -#BSUB -o %ECF_OUT%/gdas.fcst_t00z.log +#BSUB -o %ECF_OUT%/gdas.fcst_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/post.ecf index 09a7304..1e78b9d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/post.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.post -#BSUB -o %ECF_OUT%/gdas.post_t00z.log +#BSUB -o %ECF_OUT%/gdas.post_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep.ecf index c73efbb..dedc7b7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.prep -#BSUB -o %ECF_OUT%/gdas.prep_t00z.log +#BSUB -o %ECF_OUT%/gdas.prep_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/vrfy.ecf index c98b266..ba1e0f0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/vrfy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/vrfy.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gdas.vrfy -#BSUB -o %ECF_OUT%/gdas.vrfy_t00z.log +#BSUB -o %ECF_OUT%/gdas.vrfy_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/anal.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/anal.ecf index 99b5438..2929a32 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/anal.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/anal.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.anal -#BSUB -o %ECF_OUT%/gfs.anal_t00z.log +#BSUB -o %ECF_OUT%/gfs.anal_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/fcst.ecf index 4c43c38..42524c3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/fcst.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/fcst.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.fcst -#BSUB -o %ECF_OUT%/gfs.fcst_t00z.log +#BSUB -o %ECF_OUT%/gfs.fcst_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post.ecf index 681bcf1..e56afe9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.post -#BSUB -o %ECF_OUT%/gfs.post_t00z.log +#BSUB -o %ECF_OUT%/gfs.post_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep.ecf index 4467c68..b2bc122 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.prep -#BSUB -o %ECF_OUT%/gfs.prep_t00z.log +#BSUB -o %ECF_OUT%/gfs.prep_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/vrfy.ecf index 12f4068..5804f2e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/vrfy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/vrfy.ecf @@ -2,7 +2,7 @@ #BSUB -q %QUEUE% #BSUB -P %PROJECT% #BSUB -J gfs.vrfy -#BSUB -o %ECF_OUT%/gfs.vrfy_t00z.log +#BSUB -o %ECF_OUT%/gfs.vrfy_t%CYC%z.log #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index afe05db..c352bfd 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -10,7 +10,7 @@ scheduler: !calc | settings: NMEM_ENKF: 80 - ECF_HOME: '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/' + ECF_HOME: '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' shared_accounting: &shared_accounting queue: '%QUEUESERV%' @@ -22,7 +22,7 @@ exclusive_accounting: &exclusive_accounting ecf_file_template: &ecf_file_template !expand | #! /bin/sh - {sched.batch_accounting(accounting,jobname=task_path_var,outerr="%ECF_OUT%/"+task_path_var+"_t"+tools.strftime(suite.Clock.now,"%H")+"z.log") + {sched.batch_accounting(accounting,jobname=task_path_var,outerr="%ECF_OUT%/"+task_path_var+"_t"+"%CYC%"+"z.log") }{sched.batch_resources(resources)} %include echo ${{JOBgfs}}/{J_JOB} From e0cb3b328133ba8129b160593e80076aca15cb98 Mon Sep 17 00:00:00 2001 From: Bin Liu Date: Sat, 3 Feb 2018 11:30:43 +0000 Subject: [PATCH 288/487] Add ECF_FILES in suite_def.yaml. --- model/ecflow_fv3gfs/suite_def.yaml | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index c352bfd..60c3a03 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -10,7 +10,7 @@ scheduler: !calc | settings: NMEM_ENKF: 80 - ECF_HOME: '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' + ECF_HOME: '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs' shared_accounting: &shared_accounting queue: '%QUEUESERV%' @@ -53,6 +53,7 @@ suite: !Cycle edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' edit QUEUESERV 'dev_transfer' edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/%EMCPEN%' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' edit ECF_OUT '{doc.settings.ECF_HOME}/output' edit ECF_LOG '{doc.settings.ECF_HOME}/ecf.log' From 19d49b8418cd2a9aaa4ee72347cd81d2b4a3cd6f Mon Sep 17 00:00:00 2001 From: Bin Liu Date: Sat, 3 Feb 2018 11:39:30 +0000 Subject: [PATCH 289/487] Update the genterated suite definition files. --- model/ecflow_fv3gfs/defs/prod00.def | 7 ++++--- model/ecflow_fv3gfs/defs/prod06.def | 7 ++++--- model/ecflow_fv3gfs/defs/prod12.def | 7 ++++--- model/ecflow_fv3gfs/defs/prod18.def | 7 ++++--- 4 files changed, 16 insertions(+), 12 deletions(-) diff --git a/model/ecflow_fv3gfs/defs/prod00.def b/model/ecflow_fv3gfs/defs/prod00.def index fb12aee..f2cdd04 100644 --- a/model/ecflow_fv3gfs/defs/prod00.def +++ b/model/ecflow_fv3gfs/defs/prod00.def @@ -7,15 +7,16 @@ suite prod00 edit QUEUESERV 'dev_transfer' edit MACHINE 'xc40-dev' edit PROJECT 'HUR-T2O' - edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' + edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs' edit PROJENVIR 'HUR-T2O' edit EMCPEN 'ecfgfsfv3' edit CYC '00' edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' edit QUEUESERV 'dev_transfer' edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/%EMCPEN%' - edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/output' - edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/ecf.log' + edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' + edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' + edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' family gdas task prep trigger /prod18/gdas/post == complete diff --git a/model/ecflow_fv3gfs/defs/prod06.def b/model/ecflow_fv3gfs/defs/prod06.def index d85c8a5..9f7bde5 100644 --- a/model/ecflow_fv3gfs/defs/prod06.def +++ b/model/ecflow_fv3gfs/defs/prod06.def @@ -9,15 +9,16 @@ suite prod06 edit QUEUESERV 'dev_transfer' edit MACHINE 'xc40-dev' edit PROJECT 'HUR-T2O' - edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' + edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs' edit PROJENVIR 'HUR-T2O' edit EMCPEN 'ecfgfsfv3' edit CYC '06' edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' edit QUEUESERV 'dev_transfer' edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/%EMCPEN%' - edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/output' - edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/ecf.log' + edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' + edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' + edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' family gdas task prep trigger /prod00/gdas/post == complete diff --git a/model/ecflow_fv3gfs/defs/prod12.def b/model/ecflow_fv3gfs/defs/prod12.def index e03189b..f7c2ad2 100644 --- a/model/ecflow_fv3gfs/defs/prod12.def +++ b/model/ecflow_fv3gfs/defs/prod12.def @@ -11,15 +11,16 @@ suite prod12 edit QUEUESERV 'dev_transfer' edit MACHINE 'xc40-dev' edit PROJECT 'HUR-T2O' - edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' + edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs' edit PROJENVIR 'HUR-T2O' edit EMCPEN 'ecfgfsfv3' edit CYC '12' edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' edit QUEUESERV 'dev_transfer' edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/%EMCPEN%' - edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/output' - edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/ecf.log' + edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' + edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' + edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' family gdas task prep trigger /prod06/gdas/post == complete diff --git a/model/ecflow_fv3gfs/defs/prod18.def b/model/ecflow_fv3gfs/defs/prod18.def index bc47634..4e8da9d 100644 --- a/model/ecflow_fv3gfs/defs/prod18.def +++ b/model/ecflow_fv3gfs/defs/prod18.def @@ -13,15 +13,16 @@ suite prod18 edit QUEUESERV 'dev_transfer' edit MACHINE 'xc40-dev' edit PROJECT 'HUR-T2O' - edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' + edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs' edit PROJENVIR 'HUR-T2O' edit EMCPEN 'ecfgfsfv3' edit CYC '18' edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' edit QUEUESERV 'dev_transfer' edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/%EMCPEN%' - edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/output' - edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts/ecf.log' + edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' + edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' + edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' family gdas task prep trigger /prod12/gdas/post == complete From 7f217576ce2f35621b22b3363b815b17df86ec6a Mon Sep 17 00:00:00 2001 From: Bin Liu Date: Tue, 6 Feb 2018 20:34:59 +0000 Subject: [PATCH 290/487] ecflow: Update the edits in suite_def.yaml. --- model/ecflow_fv3gfs/suite_def.yaml | 15 +++++++++------ 1 file changed, 9 insertions(+), 6 deletions(-) diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index 60c3a03..9ad0c76 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -42,14 +42,17 @@ suite: !Cycle ecflow_def: !expand | repeat day 1 edit ECF_TRIES '1' - edit QUEUE 'debug' - edit QUEUESERV 'dev_transfer' - edit MACHINE 'xc40-dev' - edit PROJECT 'HUR-T2O' + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' + edit ECF_KILL_CMD 'lkill %ECF_NAME% %ECF_JOBOUT%' edit ECF_HOME '{doc.settings.ECF_HOME}' - edit PROJENVIR 'HUR-T2O' - edit EMCPEN 'ecfgfsfv3' + #edit ECF_HOME '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3' edit CYC '{tools.strftime(suite.Clock.now,"%H")}' + edit ENVIR 'prod' + edit E 'jecffv3' + #edit QUEUE 'dev' + edit QUEUE 'debug' + edit PROJENVIR 'GFS-T2O' + edit EMCPEN 'ecfgfsfv3' edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' edit QUEUESERV 'dev_transfer' edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/%EMCPEN%' From ef1aecf71a19f708f9a0018f657f7635cdd8232f Mon Sep 17 00:00:00 2001 From: "Kate.Howard" Date: Tue, 6 Feb 2018 20:46:39 +0000 Subject: [PATCH 291/487] Added layout variables to SETTINGS in suite_def.yaml and updates resources.yaml for C768/C384 settings --- model/ecflow_fv3gfs/resources.yaml | 33 ++++++++++++++++-------------- model/ecflow_fv3gfs/suite_def.yaml | 2 ++ 2 files changed, 20 insertions(+), 15 deletions(-) diff --git a/model/ecflow_fv3gfs/resources.yaml b/model/ecflow_fv3gfs/resources.yaml index e912454..7829809 100644 --- a/model/ecflow_fv3gfs/resources.yaml +++ b/model/ecflow_fv3gfs/resources.yaml @@ -8,10 +8,10 @@ resource_demo: &resource_demo run_ecen: !JobRequest - memory: "3072M" walltime: 00:02:00 - mpi_ranks: 84 + mpi_ranks: 80 exe: placeholder # max_ppn comes from THEIA.env: 84/12 = 7 - max_ppn: 7 + max_ppn: 4 OMP_NUM_THREADS: 2 run_chgres: !JobRequest @@ -43,9 +43,9 @@ resource_demo: &resource_demo run_eupd: !JobRequest - memory: "3072M" walltime: 00:02:00 - mpi_ranks: !calc 10*12 + mpi_ranks: !calc 20*12 exe: placeholder - max_ppn: 12 + max_ppn: 6 OMP_NUM_THREADS: 2 # run_enkf: !JobRequest @@ -57,28 +57,29 @@ resource_demo: &resource_demo run_efcs: !JobRequest - walltime: 00:02:00 - mpi_ranks: 120 - memory: "3072M" + mpi_ranks: !calc "doc.settings.layout_x*doc.settings.layout_y*6" + max_ppn: 12 + memory: "254M" run_epos: !JobRequest - memory: "254M" - mpi_ranks: 84 + mpi_ranks: 80 walltime: 00:02:00 exe: placeholder - max_ppn: 12 + max_ppn: 4 OMP_NUM_THREADS: 2 run_prep: !JobRequest - memory: "3072M" walltime: 00:02:00 - mpi_ranks: 12 + mpi_ranks: 6 exe: placeholder - max_ppn: 12 + max_ppn: 2 OMP_NUM_THREADS: max run_anal: !JobRequest - memory: "3072M" - mpi_ranks: 144 + mpi_ranks: 360 walltime: 00:02:00 exe: placeholder max_ppn: 6 @@ -92,14 +93,16 @@ resource_demo: &resource_demo # OMP_NUM_THREADS: 4 run_gdasfcst: !JobRequest - - mpi_ranks: 144 + - mpi_ranks: !calc "doc.settings.layout_x*doc.settings.layout_y*6" walltime: 00:02:00 - memory: "3072M" + max_ppn: 12 + memory: "1024M" run_gfsfcst: !JobRequest - - mpi_ranks: 144 + - mpi_ranks: !calc "doc.settings.layout_x*doc.settings.layout_y*6" walltime: 00:02:00 - memory: "3072M" + max_ppn: 12 + memory: "1024M" run_gdaspost: !JobRequest - memory: "3072M" diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index 9ad0c76..b3a39f3 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -11,6 +11,8 @@ scheduler: !calc | settings: NMEM_ENKF: 80 ECF_HOME: '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs' + layout_x: 8 + layout_y: 16 shared_accounting: &shared_accounting queue: '%QUEUESERV%' From b9974cdef2d809504c8a9ae1e8845d66c11e76ab Mon Sep 17 00:00:00 2001 From: Bin Liu Date: Tue, 6 Feb 2018 21:32:12 +0000 Subject: [PATCH 292/487] Update suite_def.yaml. --- model/ecflow_fv3gfs/suite_def.yaml | 103 +++++++++++++++++++++++++---- 1 file changed, 89 insertions(+), 14 deletions(-) diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index b3a39f3..69fd939 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -44,7 +44,8 @@ suite: !Cycle ecflow_def: !expand | repeat day 1 edit ECF_TRIES '1' - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' + #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' edit ECF_KILL_CMD 'lkill %ECF_NAME% %ECF_JOBOUT%' edit ECF_HOME '{doc.settings.ECF_HOME}' #edit ECF_HOME '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3' @@ -63,6 +64,43 @@ suite: !Cycle edit ECF_LOG '{doc.settings.ECF_HOME}/ecf.log' gdas: !Family + ecflow_def: | + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + edit PROJ '%PROJENVIR%' + + jgdas_verfrad: !Task + Trigger: !Depend enkf + ecf_file: *ecf_file_template + resources: !calc ( doc.resource_demo.run_nothing ) + accounting: *exclusive_accounting + + jgdas_vminmon: !Task + Trigger: !Depend jgdas_analysis + ecf_file: *ecf_file_template + resources: !calc ( doc.resource_demo.run_nothing ) + accounting: *exclusive_accounting + J_JOB: nothing + + dump: !Family + jgdas_ics: !Task + release_gdas00_ics: !DataEvent {file="/dev/null"} + ecf_file: *ecf_file_template + resources: !calc ( doc.resource_demo.run_nothing ) + accounting: *exclusive_accounting + J_JOB: nothing + + jgdas_tropcy_qc_reloc: !Task + Trigger: !Depend jgdas_dump + #Time: !timedelta +5:50:00 + + #Replaced by emc version of dump job + #This dump job should be using NCO version when delivery to NCO + jgdas_dump: !Task + release_sfcprep: !DataEvent {file="/dev/null"} + #Time: !timedelta +3:50:00 + + prep: !Task Trigger: !Depend up.gdas.post.at('-6:00:00') ecf_file: *ecf_file_template @@ -292,35 +330,72 @@ suite: !Cycle J_JOB: vrfy gfs: !Family - prep: !Task - Trigger: !Depend up.gdas.post.at('-6:00:00') - ecf_file: *ecf_file_template - resources: !calc ( doc.resource_demo.run_prep ) - accounting: *exclusive_accounting - J_JOB: prep + ecflow_def: | + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' + edit PROJ '%PROJENVIR%' + + dump: !Family + jgfs_tropcy_qc_reloc: !Task + Trigger: !Depend jgfs_dump + jtwc_bull_email: !DataEvent {file="/dev/null"} + + #Replaced by emc version of dump job + #This dump job should be using NCO version when delivery to NCO + jgfs_dump: !Task + release_sfcprep: !DataEvent {file="/dev/null"} + #Time: !timedelta +3:50:00 + + prep: !Family + jgfs_emcsfc_sfc_prep: !Task + Trigger: !Depend up.dump.jgfs_dump.release_sfcprep + ecf_file: *ecf_file_template + resources: !calc ( doc.resource_demo.run_prep ) + accounting: *exclusive_accounting + J_JOB: prep - anal: !Task - Trigger: !Depend ( prep & up.gdas.enkf.epos.at('-6:00:00') ) + jgfs_prep: !Task + Trigger: !Depend up.dump + ecf_file: *ecf_file_template + resources: !calc ( doc.resource_demo.run_prep ) + accounting: *exclusive_accounting + J_JOB: prep + + jgfs_prep_post: !Task + Trigger: !Depend up.jgfs_analysis + ecf_file: *ecf_file_template + resources: !calc ( doc.resource_demo.run_prep ) + accounting: *exclusive_accounting + J_JOB: prep + + jgfs_analysis: !Task + Trigger: !Depend "up.prep.jgfs_prep & up.prep.jgfs_emcsfc_sfc_prep & up.gdas.enkf.epos.at('-6:00:00')" ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_anal ) accounting: *exclusive_accounting J_JOB: anal - fcst: !Task - Trigger: !Depend anal + jgfs_vminmon: !Task + Trigger: !Depend jgfs_analysis + ecf_file: *ecf_file_template + resources: !calc ( doc.resource_demo.run_nothing ) + accounting: *exclusive_accounting + J_JOB: nothing + + jgfs_forecast: !Task + Trigger: !Depend jgfs_analysis ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_gfsfcst ) accounting: *exclusive_accounting J_JOB: fcst - post: !Task - Trigger: !Depend fcst + jgfs_post: !Task + Trigger: !Depend jgfs_forecast ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_gfspost ) accounting: *exclusive_accounting J_JOB: post - vrfy: !Task + jgfs_vrfy: !Task Trigger: !Depend post ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_vrfy ) From 11001cfbe89b9c608eba150fb42b5f8a25a2866f Mon Sep 17 00:00:00 2001 From: Bin Liu Date: Wed, 7 Feb 2018 01:00:21 +0000 Subject: [PATCH 293/487] Keep updating suite_def.yaml to match Lin's ecflow def file. Still not working yet. --- model/ecflow_fv3gfs/suite_def.yaml | 77 ++++++++++++++++++++---------- 1 file changed, 52 insertions(+), 25 deletions(-) diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index 69fd939..1dcf953 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -90,6 +90,15 @@ suite: !Cycle accounting: *exclusive_accounting J_JOB: nothing + #jgdas_dump_post: !Task + # Trigger: !Depend jgdas_dump + # release_sfcprep: !DataEvent {file="/dev/null"} + # release_gdas00_dump_alert: !DataEvent {file="/dev/null"} + # ecf_file: *ecf_file_template + # resources: !calc ( doc.resource_demo.run_nothing ) + # accounting: *exclusive_accounting + # J_JOB: nothing + jgdas_tropcy_qc_reloc: !Task Trigger: !Depend jgdas_dump #Time: !timedelta +5:50:00 @@ -98,26 +107,41 @@ suite: !Cycle #This dump job should be using NCO version when delivery to NCO jgdas_dump: !Task release_sfcprep: !DataEvent {file="/dev/null"} - #Time: !timedelta +3:50:00 + #Time: !timedelta +6:20:00 + prep: !Family + jgdas_emcsfc_sfc_prep: !Task + Trigger: !Depend up.dump.jgdas_dump.release_sfcprep + ecf_file: *ecf_file_template + resources: !calc ( doc.resource_demo.run_nothing ) + accounting: *exclusive_accounting + J_JOB: nothing - prep: !Task - Trigger: !Depend up.gdas.post.at('-6:00:00') - ecf_file: *ecf_file_template - resources: !calc ( doc.resource_demo.run_prep ) - accounting: *exclusive_accounting - J_JOB: prep + jgdas_prep: !Task + #Trigger: !Depend (up.dump.jgdas_dump & up.dump.jgdas_tropcy_qc_reloc & up.gdas.post.at('-6:00:00') ) + Trigger: !Depend ( up.dump.jgdas_dump & up.dump.jgdas_tropcy_qc_reloc ) + ecf_file: *ecf_file_template + resources: !calc ( doc.resource_demo.run_prep ) + accounting: *exclusive_accounting + J_JOB: prep + + jgdas_prep_post: !Task + Trigger: !Depend up.jgdas_analysis + ecf_file: *ecf_file_template + resources: !calc ( doc.resource_demo.run_nothing ) + accounting: *exclusive_accounting + J_JOB: nothing enkf: !Family - eobs: !Task - Trigger: !Depend ( up.prep & epos.at('-6:00:00') ) + jgdas_enkf_select_obs: !Task + Trigger: !Depend ( up.prep.jgdas_prep & epos.at('-6:00:00') ) ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_eobs ) accounting: *exclusive_accounting J_JOB: eobs - eomg: !Family - Trigger: !Depend eobs + innovate: !Family + Trigger: !Depend jgdas_enkf_select_obs grp1: !Task ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_eomg ) @@ -187,15 +211,17 @@ suite: !Cycle J_JOB: eomg - otherwise: null - eupd: !Task - Trigger: !Depend eomg + jgdas_enkf_update: !Task + ecflow_def: | + edit ECF_PASS 'FREE' + Trigger: !Depend innovate ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_eupd ) accounting: *exclusive_accounting J_JOB: eupd - ecen: !Task - Trigger: !Depend ( eupd & up.anal ) + jgdas_enkf_inflate_recenter: !Task + Trigger: !Depend ( jgdas_enkf_update & up.jgdas_analysis ) ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_ecen ) accounting: *exclusive_accounting @@ -222,15 +248,14 @@ suite: !Cycle # ens_more: *ens_task_template # Rocoto: !expand "{task_template}{ens_more}" - efcs: !Family - Trigger: !Depend ecen + forecast: !Family + Trigger: !Depend up.jgdas_enkf_inflate_recenter grp1: !Task ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_efcs ) accounting: *exclusive_accounting J_JOB: efcs - grp2: !FirstTrue - when: !calc "( doc.settings.NMEM_ENKF>=20 )" do: !Task @@ -294,22 +319,24 @@ suite: !Cycle J_JOB: efcs - otherwise: null - epos: !Task - Trigger: !Depend efcs + jgdas_enkf_post: !Task + Trigger: !Depend forecast ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_epos ) accounting: *exclusive_accounting J_JOB: epos - anal: !Task - Trigger: !Depend ( prep & enkf.epos.at('-6:00:00') ) + jgdas_analysis: !Task + Trigger: !Depend ( prep.jgdas_prep & prep.jgdas_emcsfc_sfc_prep & enkf.epos.at('-6:00:00') ) + release_fcst: !DataEvent {file="/dev/null"} ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_anal ) accounting: *exclusive_accounting J_JOB: anal - fcst: !Task - Trigger: !Depend anal + jgdas_forecast: !Task + Trigger: !Depend ( jgdas_analysis.release_fcst & enkf.innovate ) + release_fcst: !DataEvent {file="/dev/null"} ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_gdasfcst ) accounting: *exclusive_accounting @@ -368,7 +395,7 @@ suite: !Cycle J_JOB: prep jgfs_analysis: !Task - Trigger: !Depend "up.prep.jgfs_prep & up.prep.jgfs_emcsfc_sfc_prep & up.gdas.enkf.epos.at('-6:00:00')" + Trigger: !Depend ( up.prep.jgfs_prep & up.prep.jgfs_emcsfc_sfc_prep & up.gdas.enkf.epos.at('-6:00:00') ) ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_anal ) accounting: *exclusive_accounting From 9c3ba8c1b5e3a06008c5045a9e9f3ca919069032 Mon Sep 17 00:00:00 2001 From: Bin Liu Date: Wed, 7 Feb 2018 21:48:42 +0000 Subject: [PATCH 294/487] ecflow: Update suite_def.yaml, can generate ecfflow definition and ecf script files. Not tested by loading and running them on a ecflow server yet. --- model/ecflow_fv3gfs/defs/prod00.def | 136 ++++++++++++++++++-------- model/ecflow_fv3gfs/defs/prod06.def | 139 ++++++++++++++++++-------- model/ecflow_fv3gfs/defs/prod12.def | 142 ++++++++++++++++++--------- model/ecflow_fv3gfs/defs/prod18.def | 145 +++++++++++++++++++--------- model/ecflow_fv3gfs/suite_def.yaml | 45 ++++++--- 5 files changed, 421 insertions(+), 186 deletions(-) diff --git a/model/ecflow_fv3gfs/defs/prod00.def b/model/ecflow_fv3gfs/defs/prod00.def index f2cdd04..412d7cb 100644 --- a/model/ecflow_fv3gfs/defs/prod00.def +++ b/model/ecflow_fv3gfs/defs/prod00.def @@ -1,16 +1,19 @@ -extern /prod18/gdas/post -extern /prod18/gdas/enkf/epos +extern /prod18/gdas/enkf/jgdas_enkf_post suite prod00 repeat day 1 edit ECF_TRIES '1' - edit QUEUE 'debug' - edit QUEUESERV 'dev_transfer' - edit MACHINE 'xc40-dev' - edit PROJECT 'HUR-T2O' + #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' + edit ECF_KILL_CMD 'lkill %ECF_NAME% %ECF_JOBOUT%' edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs' - edit PROJENVIR 'HUR-T2O' - edit EMCPEN 'ecfgfsfv3' + #edit ECF_HOME '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3' edit CYC '00' + edit ENVIR 'prod' + edit E 'jecffv3' + #edit QUEUE 'dev' + edit QUEUE 'debug' + edit PROJENVIR 'GFS-T2O' + edit EMCPEN 'ecfgfsfv3' edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' edit QUEUESERV 'dev_transfer' edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/%EMCPEN%' @@ -18,15 +21,43 @@ suite prod00 edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' family gdas - task prep - trigger /prod18/gdas/post == complete + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + edit PROJ '%PROJENVIR%' + task jgdas_verfrad + trigger ./enkf == complete + endtask + task jgdas_vminmon + trigger ./jgdas_analysis == complete endtask + family dump + task jgdas_ics + event 1 release_gdas00_ics + endtask + task jgdas_tropcy_qc_reloc + trigger ./jgdas_dump == complete + endtask + task jgdas_dump + event 1 release_sfcprep + endtask + endfamily + family prep + task jgdas_emcsfc_sfc_prep + trigger ../dump/jgdas_dump:release_sfcprep + endtask + task jgdas_prep + trigger ../dump/jgdas_dump == complete and ../dump/jgdas_tropcy_qc_reloc == complete + endtask + task jgdas_prep_post + trigger ../jgdas_analysis == complete + endtask + endfamily family enkf - task eobs - trigger ../prep == complete and /prod18/gdas/enkf/epos == complete + task jgdas_enkf_select_obs + trigger ../prep/jgdas_prep == complete and /prod18/gdas/enkf/jgdas_enkf_post == complete endtask - family eomg - trigger ./eobs == complete + family innovate + trigger ./jgdas_enkf_select_obs == complete task grp1 endtask task grp2 @@ -44,14 +75,15 @@ suite prod00 task grp8 endtask endfamily - task eupd - trigger ./eomg == complete + task jgdas_enkf_update + edit ECF_PASS 'FREE' + trigger ./innovate == complete endtask - task ecen - trigger ./eupd == complete and ../anal == complete + task jgdas_enkf_inflate_recenter + trigger ./jgdas_enkf_update == complete and ../jgdas_analysis == complete endtask - family efcs - trigger ./ecen == complete + family forecast + trigger ./jgdas_enkf_inflate_recenter == complete task grp1 endtask task grp2 @@ -69,49 +101,73 @@ suite prod00 task grp8 endtask endfamily - task epos - trigger ./efcs == complete + task jgdas_enkf_post + trigger ./forecast == complete endtask endfamily - task anal - trigger ./prep == complete and /prod18/gdas/enkf/epos == complete + task jgdas_analysis + event 1 release_fcst + trigger ./prep/jgdas_prep == complete and ./prep/jgdas_emcsfc_sfc_prep == complete and /prod18/gdas/enkf/jgdas_enkf_post == complete endtask - task fcst - trigger ./anal == complete + task jgdas_forecast + event 1 release_fcst + trigger ./jgdas_analysis:release_fcst and ./enkf/innovate == complete endtask task post - trigger ./fcst == complete + trigger ./jgdas_forecast == complete endtask task vrfy trigger ./post == complete endtask endfamily family gfs - task prep - trigger /prod18/gdas/post == complete + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' + edit PROJ '%PROJENVIR%' + family dump + task jgfs_tropcy_qc_reloc + event 1 jtwc_bull_email + trigger ./jgfs_dump == complete + endtask + task jgfs_dump + event 1 release_sfcprep + endtask + endfamily + family prep + task jgfs_emcsfc_sfc_prep + trigger ../dump/jgfs_dump:release_sfcprep + endtask + task jgfs_prep + trigger ../dump == complete + endtask + task jgfs_prep_post + trigger ../jgfs_analysis == complete + endtask + endfamily + task jgfs_analysis + trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete and /prod18/gdas/enkf/jgdas_enkf_post == complete endtask - task anal - trigger ./prep == complete and /prod18/gdas/enkf/epos == complete + task jgfs_vminmon + trigger ./jgfs_analysis == complete endtask - task fcst - trigger ./anal == complete + task jgfs_forecast + trigger ./jgfs_analysis == complete endtask - task post - trigger ./fcst == complete + task jgfs_post + trigger ./jgfs_forecast == complete endtask - task vrfy - trigger ./post == complete + task jgfs_vrfy + trigger ./jgfs_post == complete endtask endfamily family archive task gdasarch - trigger ../gdas/vrfy == complete + trigger ../gdas/jgdas_verfrad == complete endtask task gfsarch - trigger ../gfs/vrfy == complete + trigger ../gfs/jgfs_vrfy == complete endtask family earc - trigger ../gdas/enkf/epos == complete + trigger ../gdas/enkf/jgdas_enkf_post == complete task grp1 endtask task grp2 diff --git a/model/ecflow_fv3gfs/defs/prod06.def b/model/ecflow_fv3gfs/defs/prod06.def index 9f7bde5..6454582 100644 --- a/model/ecflow_fv3gfs/defs/prod06.def +++ b/model/ecflow_fv3gfs/defs/prod06.def @@ -1,18 +1,20 @@ -extern /prod18/gdas/post -extern /prod18/gdas/enkf/epos -extern /prod00/gdas/post -extern /prod00/gdas/enkf/epos +extern /prod18/gdas/enkf/jgdas_enkf_post +extern /prod00/gdas/enkf/jgdas_enkf_post suite prod06 repeat day 1 edit ECF_TRIES '1' - edit QUEUE 'debug' - edit QUEUESERV 'dev_transfer' - edit MACHINE 'xc40-dev' - edit PROJECT 'HUR-T2O' + #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' + edit ECF_KILL_CMD 'lkill %ECF_NAME% %ECF_JOBOUT%' edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs' - edit PROJENVIR 'HUR-T2O' - edit EMCPEN 'ecfgfsfv3' + #edit ECF_HOME '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3' edit CYC '06' + edit ENVIR 'prod' + edit E 'jecffv3' + #edit QUEUE 'dev' + edit QUEUE 'debug' + edit PROJENVIR 'GFS-T2O' + edit EMCPEN 'ecfgfsfv3' edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' edit QUEUESERV 'dev_transfer' edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/%EMCPEN%' @@ -20,15 +22,43 @@ suite prod06 edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' family gdas - task prep - trigger /prod00/gdas/post == complete + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + edit PROJ '%PROJENVIR%' + task jgdas_verfrad + trigger ./enkf == complete + endtask + task jgdas_vminmon + trigger ./jgdas_analysis == complete endtask + family dump + task jgdas_ics + event 1 release_gdas00_ics + endtask + task jgdas_tropcy_qc_reloc + trigger ./jgdas_dump == complete + endtask + task jgdas_dump + event 1 release_sfcprep + endtask + endfamily + family prep + task jgdas_emcsfc_sfc_prep + trigger ../dump/jgdas_dump:release_sfcprep + endtask + task jgdas_prep + trigger ../dump/jgdas_dump == complete and ../dump/jgdas_tropcy_qc_reloc == complete + endtask + task jgdas_prep_post + trigger ../jgdas_analysis == complete + endtask + endfamily family enkf - task eobs - trigger ../prep == complete and /prod00/gdas/enkf/epos == complete + task jgdas_enkf_select_obs + trigger ../prep/jgdas_prep == complete and /prod00/gdas/enkf/jgdas_enkf_post == complete endtask - family eomg - trigger ./eobs == complete + family innovate + trigger ./jgdas_enkf_select_obs == complete task grp1 endtask task grp2 @@ -46,14 +76,15 @@ suite prod06 task grp8 endtask endfamily - task eupd - trigger ./eomg == complete + task jgdas_enkf_update + edit ECF_PASS 'FREE' + trigger ./innovate == complete endtask - task ecen - trigger ./eupd == complete and ../anal == complete + task jgdas_enkf_inflate_recenter + trigger ./jgdas_enkf_update == complete and ../jgdas_analysis == complete endtask - family efcs - trigger ./ecen == complete + family forecast + trigger ./jgdas_enkf_inflate_recenter == complete task grp1 endtask task grp2 @@ -71,49 +102,73 @@ suite prod06 task grp8 endtask endfamily - task epos - trigger ./efcs == complete + task jgdas_enkf_post + trigger ./forecast == complete endtask endfamily - task anal - trigger ./prep == complete and /prod00/gdas/enkf/epos == complete + task jgdas_analysis + event 1 release_fcst + trigger ./prep/jgdas_prep == complete and ./prep/jgdas_emcsfc_sfc_prep == complete and /prod00/gdas/enkf/jgdas_enkf_post == complete endtask - task fcst - trigger ./anal == complete + task jgdas_forecast + event 1 release_fcst + trigger ./jgdas_analysis:release_fcst and ./enkf/innovate == complete endtask task post - trigger ./fcst == complete + trigger ./jgdas_forecast == complete endtask task vrfy trigger ./post == complete endtask endfamily family gfs - task prep - trigger /prod00/gdas/post == complete + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' + edit PROJ '%PROJENVIR%' + family dump + task jgfs_tropcy_qc_reloc + event 1 jtwc_bull_email + trigger ./jgfs_dump == complete + endtask + task jgfs_dump + event 1 release_sfcprep + endtask + endfamily + family prep + task jgfs_emcsfc_sfc_prep + trigger ../dump/jgfs_dump:release_sfcprep + endtask + task jgfs_prep + trigger ../dump == complete + endtask + task jgfs_prep_post + trigger ../jgfs_analysis == complete + endtask + endfamily + task jgfs_analysis + trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete and /prod00/gdas/enkf/jgdas_enkf_post == complete endtask - task anal - trigger ./prep == complete and /prod00/gdas/enkf/epos == complete + task jgfs_vminmon + trigger ./jgfs_analysis == complete endtask - task fcst - trigger ./anal == complete + task jgfs_forecast + trigger ./jgfs_analysis == complete endtask - task post - trigger ./fcst == complete + task jgfs_post + trigger ./jgfs_forecast == complete endtask - task vrfy - trigger ./post == complete + task jgfs_vrfy + trigger ./jgfs_post == complete endtask endfamily family archive task gdasarch - trigger ../gdas/vrfy == complete + trigger ../gdas/jgdas_verfrad == complete endtask task gfsarch - trigger ../gfs/vrfy == complete + trigger ../gfs/jgfs_vrfy == complete endtask family earc - trigger ../gdas/enkf/epos == complete + trigger ../gdas/enkf/jgdas_enkf_post == complete task grp1 endtask task grp2 diff --git a/model/ecflow_fv3gfs/defs/prod12.def b/model/ecflow_fv3gfs/defs/prod12.def index f7c2ad2..27ad238 100644 --- a/model/ecflow_fv3gfs/defs/prod12.def +++ b/model/ecflow_fv3gfs/defs/prod12.def @@ -1,20 +1,21 @@ -extern /prod18/gdas/post -extern /prod18/gdas/enkf/epos -extern /prod00/gdas/post -extern /prod00/gdas/enkf/epos -extern /prod06/gdas/post -extern /prod06/gdas/enkf/epos +extern /prod18/gdas/enkf/jgdas_enkf_post +extern /prod00/gdas/enkf/jgdas_enkf_post +extern /prod06/gdas/enkf/jgdas_enkf_post suite prod12 repeat day 1 edit ECF_TRIES '1' - edit QUEUE 'debug' - edit QUEUESERV 'dev_transfer' - edit MACHINE 'xc40-dev' - edit PROJECT 'HUR-T2O' + #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' + edit ECF_KILL_CMD 'lkill %ECF_NAME% %ECF_JOBOUT%' edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs' - edit PROJENVIR 'HUR-T2O' - edit EMCPEN 'ecfgfsfv3' + #edit ECF_HOME '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3' edit CYC '12' + edit ENVIR 'prod' + edit E 'jecffv3' + #edit QUEUE 'dev' + edit QUEUE 'debug' + edit PROJENVIR 'GFS-T2O' + edit EMCPEN 'ecfgfsfv3' edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' edit QUEUESERV 'dev_transfer' edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/%EMCPEN%' @@ -22,15 +23,43 @@ suite prod12 edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' family gdas - task prep - trigger /prod06/gdas/post == complete + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + edit PROJ '%PROJENVIR%' + task jgdas_verfrad + trigger ./enkf == complete + endtask + task jgdas_vminmon + trigger ./jgdas_analysis == complete endtask + family dump + task jgdas_ics + event 1 release_gdas00_ics + endtask + task jgdas_tropcy_qc_reloc + trigger ./jgdas_dump == complete + endtask + task jgdas_dump + event 1 release_sfcprep + endtask + endfamily + family prep + task jgdas_emcsfc_sfc_prep + trigger ../dump/jgdas_dump:release_sfcprep + endtask + task jgdas_prep + trigger ../dump/jgdas_dump == complete and ../dump/jgdas_tropcy_qc_reloc == complete + endtask + task jgdas_prep_post + trigger ../jgdas_analysis == complete + endtask + endfamily family enkf - task eobs - trigger ../prep == complete and /prod06/gdas/enkf/epos == complete + task jgdas_enkf_select_obs + trigger ../prep/jgdas_prep == complete and /prod06/gdas/enkf/jgdas_enkf_post == complete endtask - family eomg - trigger ./eobs == complete + family innovate + trigger ./jgdas_enkf_select_obs == complete task grp1 endtask task grp2 @@ -48,14 +77,15 @@ suite prod12 task grp8 endtask endfamily - task eupd - trigger ./eomg == complete + task jgdas_enkf_update + edit ECF_PASS 'FREE' + trigger ./innovate == complete endtask - task ecen - trigger ./eupd == complete and ../anal == complete + task jgdas_enkf_inflate_recenter + trigger ./jgdas_enkf_update == complete and ../jgdas_analysis == complete endtask - family efcs - trigger ./ecen == complete + family forecast + trigger ./jgdas_enkf_inflate_recenter == complete task grp1 endtask task grp2 @@ -73,49 +103,73 @@ suite prod12 task grp8 endtask endfamily - task epos - trigger ./efcs == complete + task jgdas_enkf_post + trigger ./forecast == complete endtask endfamily - task anal - trigger ./prep == complete and /prod06/gdas/enkf/epos == complete + task jgdas_analysis + event 1 release_fcst + trigger ./prep/jgdas_prep == complete and ./prep/jgdas_emcsfc_sfc_prep == complete and /prod06/gdas/enkf/jgdas_enkf_post == complete endtask - task fcst - trigger ./anal == complete + task jgdas_forecast + event 1 release_fcst + trigger ./jgdas_analysis:release_fcst and ./enkf/innovate == complete endtask task post - trigger ./fcst == complete + trigger ./jgdas_forecast == complete endtask task vrfy trigger ./post == complete endtask endfamily family gfs - task prep - trigger /prod06/gdas/post == complete + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' + edit PROJ '%PROJENVIR%' + family dump + task jgfs_tropcy_qc_reloc + event 1 jtwc_bull_email + trigger ./jgfs_dump == complete + endtask + task jgfs_dump + event 1 release_sfcprep + endtask + endfamily + family prep + task jgfs_emcsfc_sfc_prep + trigger ../dump/jgfs_dump:release_sfcprep + endtask + task jgfs_prep + trigger ../dump == complete + endtask + task jgfs_prep_post + trigger ../jgfs_analysis == complete + endtask + endfamily + task jgfs_analysis + trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete and /prod06/gdas/enkf/jgdas_enkf_post == complete endtask - task anal - trigger ./prep == complete and /prod06/gdas/enkf/epos == complete + task jgfs_vminmon + trigger ./jgfs_analysis == complete endtask - task fcst - trigger ./anal == complete + task jgfs_forecast + trigger ./jgfs_analysis == complete endtask - task post - trigger ./fcst == complete + task jgfs_post + trigger ./jgfs_forecast == complete endtask - task vrfy - trigger ./post == complete + task jgfs_vrfy + trigger ./jgfs_post == complete endtask endfamily family archive task gdasarch - trigger ../gdas/vrfy == complete + trigger ../gdas/jgdas_verfrad == complete endtask task gfsarch - trigger ../gfs/vrfy == complete + trigger ../gfs/jgfs_vrfy == complete endtask family earc - trigger ../gdas/enkf/epos == complete + trigger ../gdas/enkf/jgdas_enkf_post == complete task grp1 endtask task grp2 diff --git a/model/ecflow_fv3gfs/defs/prod18.def b/model/ecflow_fv3gfs/defs/prod18.def index 4e8da9d..25d4647 100644 --- a/model/ecflow_fv3gfs/defs/prod18.def +++ b/model/ecflow_fv3gfs/defs/prod18.def @@ -1,22 +1,22 @@ -extern /prod18/gdas/post -extern /prod18/gdas/enkf/epos -extern /prod00/gdas/post -extern /prod00/gdas/enkf/epos -extern /prod06/gdas/post -extern /prod06/gdas/enkf/epos -extern /prod12/gdas/post -extern /prod12/gdas/enkf/epos +extern /prod18/gdas/enkf/jgdas_enkf_post +extern /prod00/gdas/enkf/jgdas_enkf_post +extern /prod06/gdas/enkf/jgdas_enkf_post +extern /prod12/gdas/enkf/jgdas_enkf_post suite prod18 repeat day 1 edit ECF_TRIES '1' - edit QUEUE 'debug' - edit QUEUESERV 'dev_transfer' - edit MACHINE 'xc40-dev' - edit PROJECT 'HUR-T2O' + #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' + edit ECF_KILL_CMD 'lkill %ECF_NAME% %ECF_JOBOUT%' edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs' - edit PROJENVIR 'HUR-T2O' - edit EMCPEN 'ecfgfsfv3' + #edit ECF_HOME '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3' edit CYC '18' + edit ENVIR 'prod' + edit E 'jecffv3' + #edit QUEUE 'dev' + edit QUEUE 'debug' + edit PROJENVIR 'GFS-T2O' + edit EMCPEN 'ecfgfsfv3' edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' edit QUEUESERV 'dev_transfer' edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/%EMCPEN%' @@ -24,15 +24,43 @@ suite prod18 edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' family gdas - task prep - trigger /prod12/gdas/post == complete + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + edit PROJ '%PROJENVIR%' + task jgdas_verfrad + trigger ./enkf == complete + endtask + task jgdas_vminmon + trigger ./jgdas_analysis == complete endtask + family dump + task jgdas_ics + event 1 release_gdas00_ics + endtask + task jgdas_tropcy_qc_reloc + trigger ./jgdas_dump == complete + endtask + task jgdas_dump + event 1 release_sfcprep + endtask + endfamily + family prep + task jgdas_emcsfc_sfc_prep + trigger ../dump/jgdas_dump:release_sfcprep + endtask + task jgdas_prep + trigger ../dump/jgdas_dump == complete and ../dump/jgdas_tropcy_qc_reloc == complete + endtask + task jgdas_prep_post + trigger ../jgdas_analysis == complete + endtask + endfamily family enkf - task eobs - trigger ../prep == complete and /prod12/gdas/enkf/epos == complete + task jgdas_enkf_select_obs + trigger ../prep/jgdas_prep == complete and /prod12/gdas/enkf/jgdas_enkf_post == complete endtask - family eomg - trigger ./eobs == complete + family innovate + trigger ./jgdas_enkf_select_obs == complete task grp1 endtask task grp2 @@ -50,14 +78,15 @@ suite prod18 task grp8 endtask endfamily - task eupd - trigger ./eomg == complete + task jgdas_enkf_update + edit ECF_PASS 'FREE' + trigger ./innovate == complete endtask - task ecen - trigger ./eupd == complete and ../anal == complete + task jgdas_enkf_inflate_recenter + trigger ./jgdas_enkf_update == complete and ../jgdas_analysis == complete endtask - family efcs - trigger ./ecen == complete + family forecast + trigger ./jgdas_enkf_inflate_recenter == complete task grp1 endtask task grp2 @@ -75,49 +104,73 @@ suite prod18 task grp8 endtask endfamily - task epos - trigger ./efcs == complete + task jgdas_enkf_post + trigger ./forecast == complete endtask endfamily - task anal - trigger ./prep == complete and /prod12/gdas/enkf/epos == complete + task jgdas_analysis + event 1 release_fcst + trigger ./prep/jgdas_prep == complete and ./prep/jgdas_emcsfc_sfc_prep == complete and /prod12/gdas/enkf/jgdas_enkf_post == complete endtask - task fcst - trigger ./anal == complete + task jgdas_forecast + event 1 release_fcst + trigger ./jgdas_analysis:release_fcst and ./enkf/innovate == complete endtask task post - trigger ./fcst == complete + trigger ./jgdas_forecast == complete endtask task vrfy trigger ./post == complete endtask endfamily family gfs - task prep - trigger /prod12/gdas/post == complete + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' + edit PROJ '%PROJENVIR%' + family dump + task jgfs_tropcy_qc_reloc + event 1 jtwc_bull_email + trigger ./jgfs_dump == complete + endtask + task jgfs_dump + event 1 release_sfcprep + endtask + endfamily + family prep + task jgfs_emcsfc_sfc_prep + trigger ../dump/jgfs_dump:release_sfcprep + endtask + task jgfs_prep + trigger ../dump == complete + endtask + task jgfs_prep_post + trigger ../jgfs_analysis == complete + endtask + endfamily + task jgfs_analysis + trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete and /prod12/gdas/enkf/jgdas_enkf_post == complete endtask - task anal - trigger ./prep == complete and /prod12/gdas/enkf/epos == complete + task jgfs_vminmon + trigger ./jgfs_analysis == complete endtask - task fcst - trigger ./anal == complete + task jgfs_forecast + trigger ./jgfs_analysis == complete endtask - task post - trigger ./fcst == complete + task jgfs_post + trigger ./jgfs_forecast == complete endtask - task vrfy - trigger ./post == complete + task jgfs_vrfy + trigger ./jgfs_post == complete endtask endfamily family archive task gdasarch - trigger ../gdas/vrfy == complete + trigger ../gdas/jgdas_verfrad == complete endtask task gfsarch - trigger ../gfs/vrfy == complete + trigger ../gfs/jgfs_vrfy == complete endtask family earc - trigger ../gdas/enkf/epos == complete + trigger ../gdas/enkf/jgdas_enkf_post == complete task grp1 endtask task grp2 diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index 1dcf953..eac6c82 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -74,6 +74,7 @@ suite: !Cycle ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_nothing ) accounting: *exclusive_accounting + J_JOB: nothing jgdas_vminmon: !Task Trigger: !Depend jgdas_analysis @@ -102,12 +103,20 @@ suite: !Cycle jgdas_tropcy_qc_reloc: !Task Trigger: !Depend jgdas_dump #Time: !timedelta +5:50:00 + ecf_file: *ecf_file_template + resources: !calc ( doc.resource_demo.run_nothing ) + accounting: *exclusive_accounting + J_JOB: nothing #Replaced by emc version of dump job #This dump job should be using NCO version when delivery to NCO jgdas_dump: !Task release_sfcprep: !DataEvent {file="/dev/null"} #Time: !timedelta +6:20:00 + ecf_file: *ecf_file_template + resources: !calc ( doc.resource_demo.run_nothing ) + accounting: *exclusive_accounting + J_JOB: nothing prep: !Family jgdas_emcsfc_sfc_prep: !Task @@ -134,7 +143,7 @@ suite: !Cycle enkf: !Family jgdas_enkf_select_obs: !Task - Trigger: !Depend ( up.prep.jgdas_prep & epos.at('-6:00:00') ) + Trigger: !Depend ( up.prep.jgdas_prep & jgdas_enkf_post.at('-6:00:00') ) ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_eobs ) accounting: *exclusive_accounting @@ -249,7 +258,7 @@ suite: !Cycle # Rocoto: !expand "{task_template}{ens_more}" forecast: !Family - Trigger: !Depend up.jgdas_enkf_inflate_recenter + Trigger: !Depend up.enkf.jgdas_enkf_inflate_recenter grp1: !Task ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_efcs ) @@ -327,7 +336,7 @@ suite: !Cycle J_JOB: epos jgdas_analysis: !Task - Trigger: !Depend ( prep.jgdas_prep & prep.jgdas_emcsfc_sfc_prep & enkf.epos.at('-6:00:00') ) + Trigger: !Depend ( prep.jgdas_prep & prep.jgdas_emcsfc_sfc_prep & enkf.jgdas_enkf_post.at('-6:00:00') ) release_fcst: !DataEvent {file="/dev/null"} ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_anal ) @@ -343,7 +352,7 @@ suite: !Cycle J_JOB: fcst post: !Task - Trigger: !Depend fcst + Trigger: !Depend jgdas_forecast ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_gdaspost ) accounting: *exclusive_accounting @@ -365,20 +374,28 @@ suite: !Cycle jgfs_tropcy_qc_reloc: !Task Trigger: !Depend jgfs_dump jtwc_bull_email: !DataEvent {file="/dev/null"} + ecf_file: *ecf_file_template + resources: !calc ( doc.resource_demo.run_nothing ) + accounting: *exclusive_accounting + J_JOB: nothing #Replaced by emc version of dump job #This dump job should be using NCO version when delivery to NCO jgfs_dump: !Task release_sfcprep: !DataEvent {file="/dev/null"} #Time: !timedelta +3:50:00 + ecf_file: *ecf_file_template + resources: !calc ( doc.resource_demo.run_nothing ) + accounting: *exclusive_accounting + J_JOB: nothing prep: !Family jgfs_emcsfc_sfc_prep: !Task Trigger: !Depend up.dump.jgfs_dump.release_sfcprep ecf_file: *ecf_file_template - resources: !calc ( doc.resource_demo.run_prep ) + resources: !calc ( doc.resource_demo.run_nothing ) accounting: *exclusive_accounting - J_JOB: prep + J_JOB: nothing jgfs_prep: !Task Trigger: !Depend up.dump @@ -390,12 +407,12 @@ suite: !Cycle jgfs_prep_post: !Task Trigger: !Depend up.jgfs_analysis ecf_file: *ecf_file_template - resources: !calc ( doc.resource_demo.run_prep ) + resources: !calc ( doc.resource_demo.run_nothing ) accounting: *exclusive_accounting - J_JOB: prep - + J_JOB: nothing + jgfs_analysis: !Task - Trigger: !Depend ( up.prep.jgfs_prep & up.prep.jgfs_emcsfc_sfc_prep & up.gdas.enkf.epos.at('-6:00:00') ) + Trigger: !Depend ( prep.jgfs_prep & prep.jgfs_emcsfc_sfc_prep & up.gdas.enkf.jgdas_enkf_post.at('-6:00:00') ) ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_anal ) accounting: *exclusive_accounting @@ -423,7 +440,7 @@ suite: !Cycle J_JOB: post jgfs_vrfy: !Task - Trigger: !Depend post + Trigger: !Depend jgfs_post ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_vrfy ) accounting: *exclusive_accounting @@ -431,21 +448,21 @@ suite: !Cycle archive: !Family gdasarch: !Task - Trigger: !Depend up.gdas.vrfy + Trigger: !Depend up.gdas.jgdas_verfrad ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_arch ) accounting: *shared_accounting J_JOB: gdasarch gfsarch: !Task - Trigger: !Depend up.gfs.vrfy + Trigger: !Depend up.gfs.jgfs_vrfy ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_arch ) accounting: *shared_accounting J_JOB: gfsarch earc: !Family - Trigger: !Depend up.gdas.enkf.epos + Trigger: !Depend up.gdas.enkf.jgdas_enkf_post grp1: !Task ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_arch ) From 4989e0e45f4ce635e1b5f025d0a97b1ab5f5a6d4 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 8 Feb 2018 15:26:26 +0000 Subject: [PATCH 295/487] Add the capability of having different tasks in different cycles. This is accomplished by defining "alarms" which are set to go off at specific cycles. Families and tasks can be given alarms so that they'll be used, or not, at various cycles. This is done by making a graph of the cycles and removing parts of the graph that are not reachable. That removal includes any tasks that would not be run due to dependencies being unmet. --- crow/config/__init__.py | 5 +- crow/config/tasks.py | 58 ++++- .../metascheduler/{simplify.py => algebra.py} | 46 +++- crow/metascheduler/ecflow.py | 233 +++++++++--------- crow/metascheduler/graph.py | 162 ++++++++++++ crow/metascheduler/rocoto.py | 2 +- crow/tools.py | 69 +++++- examples/ecflow/ecftest.py | 2 +- examples/ecflow/ecftest.yaml | 30 ++- examples/ecflow/family1/task11.ecf | 1 - examples/ecflow/family2/family3/task31.ecf | 12 + examples/ecflow/family2/family3/task32.ecf | 12 + examples/ecflow/family2/family3/task33.ecf | 12 + examples/ecflow/prod00.def | 19 +- examples/ecflow/prod06.def | 19 +- examples/ecflow/prod12.def | 25 +- examples/ecflow/prod18.def | 21 +- examples/ecflow/task0.ecf | 4 +- 18 files changed, 526 insertions(+), 206 deletions(-) rename crow/metascheduler/{simplify.py => algebra.py} (78%) create mode 100644 crow/metascheduler/graph.py create mode 100644 examples/ecflow/family2/family3/task31.ecf create mode 100644 examples/ecflow/family2/family3/task32.ecf create mode 100644 examples/ecflow/family2/family3/task33.ecf diff --git a/crow/config/__init__.py b/crow/config/__init__.py index 78f7fa2..911ade0 100644 --- a/crow/config/__init__.py +++ b/crow/config/__init__.py @@ -10,7 +10,7 @@ Taskable, Task, Family, Cycle, LogicalDependency, SuiteView, \ RUNNING, COMPLETED, FAILED, TRUE_DEPENDENCY, FALSE_DEPENDENCY, \ CycleExistsDependency, InputSlot, OutputSlot, EventDependency, \ - Event, DataEvent, ShellEvent + Event, DataEvent, ShellEvent, TaskExistsDependency from .to_yaml import to_yaml from .eval_tools import invalidate_cache from .eval_tools import evaluate_immediates as _evaluate_immediates @@ -20,7 +20,8 @@ 'TaskStateAnd', 'TaskStateOr', 'TaskStateNot', 'TaskStateIs', 'Taskable', 'Task', 'Family', 'CycleAt', 'CycleTime', 'Cycle', 'Trigger', 'Depend', 'Timespec', 'SuitePath', 'ShellEvent', 'Event', - 'DataEvent', 'CycleExistsDependency', 'validate', 'EventDependency' ] + 'DataEvent', 'CycleExistsDependency', 'validate', 'EventDependency', + 'TaskExistsDependency' ] def to_py(obj): return obj._to_py() if hasattr(obj,'_to_py') else obj diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 8d4ae6e..72580ec 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -18,7 +18,7 @@ from copy import copy, deepcopy from crow.config.exceptions import * from crow.config.eval_tools import dict_eval, strcalc, multidict, from_config -from crow.tools import to_timedelta, typecheck +from crow.tools import to_timedelta, typecheck, NamedConstant, MISSING __all__=[ 'SuiteView', 'Suite', 'Depend', 'LogicalDependency', 'AndDependency', 'OrDependency', 'NotDependency', @@ -27,22 +27,17 @@ 'TRUE_DEPENDENCY', 'FALSE_DEPENDENCY', 'SuitePath', 'CycleExistsDependency', 'FamilyView', 'TaskView', 'CycleView', 'Slot', 'InputSlot', 'OutputSlot', 'Message', - 'Event', 'DataEvent', 'ShellEvent', 'EventDependency' ] + 'Event', 'DataEvent', 'ShellEvent', 'EventDependency', + 'TaskExistsDependency' ] class Event(dict_eval): pass class DataEvent(Event): pass class ShellEvent(Event): pass -class StateConstant(object): - def __init__(self,name): - self.name=name - def __repr__(self): return self.name - def __str__(self): return self.name -RUNNING=StateConstant('RUNNING') -COMPLETED=StateConstant('COMPLETED') -FAILED=StateConstant('FAILED') +RUNNING=NamedConstant('RUNNING') +COMPLETED=NamedConstant('COMPLETED') +FAILED=NamedConstant('FAILED') _logger=logging.getLogger('crow.config') -MISSING=object() VALID_STATES=[ 'RUNNING', 'FAILED', 'COMPLETED' ] ZERO_DT=timedelta() EMPTY_DICT={} @@ -241,6 +236,19 @@ def is_failed(self): return StateDependency(self,FAILED) def is_completed(self): return StateDependency(self,COMPLETED) + def exists(self): + return TaskExistsDependency(self) + + def get_alarm(self,default=MISSING): + if 'AlarmName' not in self: + if default==MISSING: + return self.suite.Clock + #print(f'return default {default} {MISSING} {default==MISSING}') + return default + try: + return self.suite.get_alarm_with_name(self.AlarmName) + except KeyError as ke: + raise ValueError(f'{self.task_path_var}: no alarm with name {self.AlarmName} in suite.') class EventView(SuiteView): pass @@ -310,8 +318,9 @@ def is_failed(self): raise TypeError('data cannot run') def is_completed(self): raise TypeError('data cannot run') class CycleView(SuiteView): pass -class TaskView(SuiteView): pass -class FamilyView(SuiteView): pass +class TaskableView(SuiteView): pass +class TaskView(TaskableView): pass +class FamilyView(TaskableView): pass class InputSlotView(SlotView): def get_output_slot(self,meta): result=self.viewed._raw('Out') @@ -352,6 +361,8 @@ def update_globals(self,*args,**kwargs): globals=self.viewed._get_globals() globals.update(*args,**kwargs) self.viewed._recursively_set_globals(globals) + def get_alarm_with_name(self,alarm_name): + return self["Alarms"][alarm_name] class Message(str): def _as_dependency(self,globals,locals,path): @@ -399,6 +410,9 @@ def __or__(self,other): dep=as_dependency(other) if dep is NotImplemented: raise TypeError(other) return OrDependency(self,dep) + def __iter__(self): + return + yield self # ensure this is an iterator. @abstractmethod def copy_dependencies(self): pass @abstractmethod @@ -491,6 +505,24 @@ def copy_dependencies(self): return CycleExistsDependency(self.dt) def __eq__(self,other): return isinstance(other,CycleExistsDependency) and self.dt==other.dt +class TaskExistsDependency(LogicalDependency): + def __init__(self,view): + typecheck('view',view,TaskableView,'Task or Tamily') + self.view=view + @property + def path(self): return self.view.path + def is_task(self): return self.view.is_task() + def __hash__(self): return hash(self.view.path) + def copy_dependencies(self): return TaskExistsDependency(self.view) + def add_time(self,dt): + self.view=copy(self.view) + self.view.path[0]+=dt + def __repr__(self): + return f'/{"/".join([str(s) for s in self.view.path])} exists' + def __eq__(self,other): + return isinstance(other,StateDependency) \ + and other.view.path==self.view.path + class StateDependency(LogicalDependency): def __init__(self,view,state): if state not in [ COMPLETED, RUNNING, FAILED ]: diff --git a/crow/metascheduler/simplify.py b/crow/metascheduler/algebra.py similarity index 78% rename from crow/metascheduler/simplify.py rename to crow/metascheduler/algebra.py index b886441..ce067da 100644 --- a/crow/metascheduler/simplify.py +++ b/crow/metascheduler/algebra.py @@ -3,10 +3,50 @@ import crow.config from crow.config import OrDependency,AndDependency,NotDependency, \ - TRUE_DEPENDENCY, FALSE_DEPENDENCY, LogicalDependency -from crow.tools import typecheck + TRUE_DEPENDENCY, FALSE_DEPENDENCY, LogicalDependency,\ + CycleExistsDependency,TaskExistsDependency, StateDependency, \ + EventDependency, RUNNING, COMPLETED, FAILED, TaskExistsDependency +from crow.tools import typecheck, NamedConstant -__all__=[ 'complexity', 'simplify' ] +__all__=[ 'complexity', 'simplify', 'assume' ] + +def assume(tree,existing_cycles,current_cycle,assume_complete=None, + assume_never_run=None): + typecheck('tree',tree,LogicalDependency) + if isinstance(tree,CycleExistsDependency): + if tree.dt in existing_cycles: + return TRUE_DEPENDENCY + return FALSE_DEPENDENCY + elif isinstance(tree,TaskExistsDependency): + cycle=current_cycle+tree.view.path[0] + if assume_complete and assume_complete(tree.view) or \ + assume_never_run and assume_never_run(tree.view): + return FALSE_DEPENDENCY + alarm=tree.view.get_alarm(default=existing_cycles) + if cycle in alarm: + return TRUE_DEPENDENCY + else: + return FALSE_DEPENDENCY + elif isinstance(tree,AndDependency) or isinstance(tree,OrDependency): + return type(tree)( *[ + assume(d,existing_cycles,current_cycle) for d in tree ]) + elif isinstance(tree,NotDependency): + return NotDependency(assume(tree.depend,existing_cycles,current_cycle)) + elif isinstance(tree,StateDependency): + if assume_never_run and assume_never_run(tree.path): + return FALSE_DEPENDENCY + if assume_complete and assume_complete(tree.path): + return TRUE_DEPENDENCY if tree.state==COMPLETED \ + else FALSE_DEPENDENCY + return tree + elif isinstance(tree,EventDependency): + if assume_never_run and assume_never_run(tree.event.parent.path): + return FALSE_DEPENDENCY + if assume_complete and assume_complete(tree.event.parent.path): + return TRUE_DEPENDENCY + return tree + + return tree def complexity(tree): if isinstance(tree,AndDependency) or isinstance(tree,OrDependency): diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py index 4decd8d..cec197b 100644 --- a/crow/metascheduler/ecflow.py +++ b/crow/metascheduler/ecflow.py @@ -5,8 +5,9 @@ import crow.tools from copy import copy -from crow.tools import to_timedelta, typecheck -from crow.metascheduler.simplify import simplify +from crow.tools import to_timedelta, typecheck, ZERO_DT +from crow.metascheduler.algebra import simplify, assume +from crow.metascheduler.graph import Graph from crow.config import SuiteView, Suite, Depend, LogicalDependency, \ AndDependency, OrDependency, NotDependency, \ StateDependency, Dependable, Taskable, Task, \ @@ -55,20 +56,6 @@ def undate_path(relative_time,format,suite_path,undated): return result,True return suite_path,False -def remove_cyc_exist(task,dep,clock,undated): - assert(isinstance(undated,OrderedDict)) - typecheck('dep',dep,LogicalDependency) - if isinstance(dep,CycleExistsDependency): - if dep.dt in clock: - return TRUE_DEPENDENCY - return FALSE_DEPENDENCY - if isinstance(dep,AndDependency) or isinstance(dep,OrDependency): - return type(dep)( *[ - remove_cyc_exist(task,d,clock,undated) for d in dep ]) - if isinstance(dep,NotDependency): - return NotDependency(remove_cyc_exist(task,dep.depend,clock,undated)) - return dep - def convert_state_dep(fd,task,dep,clock,time_format,negate,undated): assert(isinstance(undated,OrderedDict)) typecheck('clock',clock,crow.tools.Clock) @@ -90,7 +77,7 @@ def convert_event_dep(fd,task,dep_path,event_name,clock,time_format,negate,undat undated[rel_path]=1 fd.write(f'{rel_path}:{event_name}{" is clear" if negate else ""}') -def _convert_dep(fd,task,dep,clock,time_format,undated): +def dep_to_ecflow(fd,task,dep,clock,time_format,undated): assert(isinstance(undated,OrderedDict)) first=True if isinstance(dep,OrDependency): @@ -98,13 +85,13 @@ def _convert_dep(fd,task,dep,clock,time_format,undated): if not first: fd.write(' or ') first=False - _convert_dep(fd,task,subdep,clock,time_format,undated) + dep_to_ecflow(fd,task,subdep,clock,time_format,undated) elif isinstance(dep,AndDependency): for subdep in dep: if not first: fd.write(' and ') first=False - _convert_dep(fd,task,subdep,clock,time_format,undated) + dep_to_ecflow(fd,task,subdep,clock,time_format,undated) elif isinstance(dep,NotDependency): fd.write('not ') if isinstance(dep.depend,StateDependency): @@ -115,24 +102,13 @@ def _convert_dep(fd,task,dep,clock,time_format,undated): dep.event.path[-1],clock,time_format,True, undated) else: - _convert_dep(fd,task,dep.depend,undated) + dep_to_ecflow(fd,task,dep.depend,clock,time_format,undated) elif isinstance(dep,StateDependency): convert_state_dep(fd,task,dep,clock,time_format,False,undated) elif isinstance(dep,EventDependency): convert_event_dep(fd,task,dep.event.path[:-1], dep.event.path[-1],clock,time_format,False,undated) -def dep_to_ecflow(fd,task,dep,clock,time_format,undated): - assert(isinstance(undated,OrderedDict)) - # Walk the tree, removing CycleExistsDependency objects: - dep=remove_cyc_exist(task,dep,clock,undated) - - # Apply boolean algebra simplification algorithms. This will - # remove the true/false dependencies added by remove_cyc_exist. - dep=simplify(dep) - - _convert_dep(fd,task,dep,clock,time_format,undated) - class ToEcflow(object): def __init__(self,suite): if not isinstance(suite,Suite): @@ -157,83 +133,109 @@ def __init__(self,suite): self.settings=self.suite.ecFlow self.indent=self.settings.get('indent',' ') self.sched=scheduler - self.clock=None + self.clock=copy(self.suite.Clock) self.undated=OrderedDict() - self.suite_name=None + self.graph=Graph(self.suite,self.clock) + if 'cycles_to_generate' in self.suite.ecFlow: + self.cycles_to_generate=self.suite.ecFlow.cycles_to_generate + else: + self.cycles_to_generate=copy(self.clock) - #################################################################### - - # ecflow suite definition generation - - def _add_ecflow_def_meat(self,fd,node,indent): - ecflow_def_more=node.get('ecflow_def','') - if ecflow_def_more: - for line in str(node.get('ecflow_def','')).splitlines(): - fd.write(f'{indent}{line.rstrip()}\n') - if 'Trigger' in node: - typecheck(node.task_path_var+'.Trigger',node.Trigger, - LogicalDependency,'!Depend') - fd.write(f'{indent}trigger ') - ecdep=dep_to_ecflow( - fd,node,node.Trigger, - self.suite.Clock,self.suite.ecFlow.suite_name,self.undated) - fd.write('\n') - if 'Complete' in node: - typecheck(node.task_path_var+'.Complete',node.Complete, - LogicalDependency,'!Depend') - fd.write(f'{indent}complete ') - ecdep=dep_to_ecflow( - fd,node,node.Complete, - self.suite.Clock,self.suite.ecFlow.suite_name,self.undated) - fd.write('\n') - if 'Time' in node: - typecheck(node.task_path_var+'.Time',node.Time, - datetime.timedelta,'!timedelta') - dt=to_timedelta(node.Time) - when=self.suite.Clock.now+dt - #ecdate=when.strftime('%d.%m.%Y') - ectime=when.strftime('%H:%M') - fd.write(f'{indent}time {ectime}\n') - #fd.write(f'{indent}date {ecdate}\n{indent}time {ectime}\n') - - def _make_task_def(self,fd,task): - indent=max(0,len(task.path)-1)*self.indent - fd.write(f'{indent}task {task.path[-1]}\n') - event_number=1 - for item in task.child_iter(): - if item.is_event(): - fd.write(f'{indent} event {event_number} {item.path[-1]}\n') - event_number+=1 - self._add_ecflow_def_meat(fd,task,indent+self.indent) - fd.write(f'{indent}endtask\n') - - def _make_family_def(self,fd,family): - indent=max(0,len(family.path)-1)*self.indent - fd.write(f'{indent}family {family.path[-1]}\n') - self._add_ecflow_def_meat(fd,family,indent+self.indent) - for item in family.child_iter(): - if item.is_task(): - self._make_task_def(fd,item) - elif item.is_family(): - self._make_family_def(fd,item) - fd.write(f'{indent}endfamily\n') - - def _make_suite_def_for_one_cycle(self,fd): - fd.write(f'suite {self.suite_name}\n') + def _select_cycle(self,cycle): + invalidate_cache(self.suite,recurse=True) + self.suite.Clock.now = cycle + + def _foreach_cycle(self): + """!Iterates over all cycles, ensuring self.suite is correctly set up + to handle a cycle within during each iteration.""" + clock=copy(self.suite.Clock) + # Cannot iterate over self.suite.Clock because + # self.suite.Clock is not a Clock. It is an object that + # generates a Clock. Hence, invalidate_cache causes a new + # clock to be generated. + for clock in clock.iternow(): + self._select_cycle(clock.now) + yield clock.now + + def _initialize_graph(self): + self._populate_job_graph() + self._simplify_job_graph() + + def _populate_job_graph(self): + for cycle in self._foreach_cycle(): + self.graph.add_cycle(cycle) + + def _simplify_job_graph(self): + for cycle in self._foreach_cycle(): + self.graph.simplify_cycle(cycle) + + def _walk_job_graph(self,cycle,skip_fun=None,enter_fun=None,exit_fun=None): + self._select_cycle(cycle) + for node in self.graph.depth_first_traversal( + cycle,skip_fun,enter_fun,exit_fun): + yield node + + def _make_suite_def(self,cycle): + clock=self.suite.Clock + suite_name=cycle.strftime(self.suite.ecFlow.suite_name) + undated=OrderedDict() + sio=StringIO() + sio.write(f'suite {suite_name}\n') if 'ecflow_def' in self.suite: for line in self.suite.ecflow_def.splitlines(): - fd.write(f'{self.indent}{line.rstrip()}\n') - for item in self.suite.child_iter(): - if item.is_task(): - self._make_task_def(fd,item) - elif item.is_family(): - self._make_family_def(fd,item) - fd.write('endsuite\n') - return self.suite_name - - def _make_externs(self,fd): - for d in self.undated.keys(): - fd.write(f'extern {d}\n') + sio.write(f'{self.indent}{line.rstrip()}\n') + + def exit_fun(node): + indent=max(0,len(node.path)-1)*self.indent + nodetype='task' if node.is_task() else 'family' + sio.write(f'{indent}end{nodetype}\n') + + def skip_fun(node): + return not node.might_complete() + + for node in self._walk_job_graph(cycle,skip_fun=skip_fun,exit_fun=exit_fun): + if 'ecflow_def' in node: + for line in node.ecflow_def.splitlines(): + sio.write(f'{indent}{line.rstrip()}\n') + + indent0=max(0,len(node.path)-1)*self.indent + indent1=max(0,len(node.path))*self.indent + nodetype='task' if node.is_task() else 'family' + sio.write(f'{indent0}{nodetype} {node.path[-1]}\n') + + if node.trigger not in [FALSE_DEPENDENCY,TRUE_DEPENDENCY]: + sio.write(f'{indent1}trigger ') + dep_to_ecflow(sio,node,node.trigger,clock,suite_name,undated) + sio.write('\n') + if node.complete not in [FALSE_DEPENDENCY,TRUE_DEPENDENCY]: + sio.write(f'{indent1}complete ') + dep_to_ecflow(sio,node,node.complete,clock,suite_name,undated) + sio.write('\n') + if node.time>ZERO_DT: + ectime=when.strftime('%H:%M') + sio.write(f'{indent1}time {ectime}\n') + + event_number=1 + if node.is_task(): + for item in node.view.child_iter(): + if item.is_event(): + sio.write(f'{indent1} event {event_number} ' + f'{item.path[-1]}\n') + event_number+=1 + + sio.write('endsuite\n') + suite_def_without_externs=sio.getvalue() + sio.close() + sio=StringIO() + if undated: + for d in undated.keys(): + sio.write(f'extern {d}\n') + sio.write(suite_def_without_externs) + suite_def=sio.getvalue() + sio.close() + else: + suite_def=suite_def_without_externs + return suite_name, suite_def #################################################################### @@ -274,27 +276,18 @@ def _make_ecf_files_for_one_cycle(self,ecf_files): def to_ecflow(self): suite_def_files=dict() ecf_files=collections.defaultdict(dict) - clock=copy(self.suite.Clock) - # Cannot iterate over self.suite.Clock because - # self.suite.Clock is not a CLock. It is an object that - # generates a Clock. Hence, invalidate_cache causes a new - # clock to be generated. - for clock in clock.iternow(): - invalidate_cache(self.suite,recurse=True) - self.suite.Clock.now = clock.now + self._initialize_graph() + for cycle in self._foreach_cycle(): # Figure our where we are making the suite definition file: - filename=clock.now.strftime(self.suite.ecFlow.suite_def_filename) + filename=cycle.strftime(self.suite.ecFlow.suite_def_filename) if filename in suite_def_files: # We already processed a cycle whose suite definition # is the same as this one's. Skip. continue - self.suite_name=clock.now.strftime(self.suite.ecFlow.suite_name) - with StringIO() as sio: - def_name = self._make_suite_def_for_one_cycle(sio) - suite_def_files[filename]=( def_name, sio.getvalue() ) - with StringIO() as sio: - self._make_externs(sio) - suite_def_files[filename]=( def_name, sio.getvalue()+suite_def_files[filename][1] ) + suite_name, suite_def = self._make_suite_def(cycle) + assert(isinstance(suite_name,str)) + assert(isinstance(suite_def,str)) + suite_def_files[filename]={ 'name':suite_name, 'def':suite_def } self._make_ecf_files_for_one_cycle(ecf_files) del self.suite return suite_def_files,ecf_files diff --git a/crow/metascheduler/graph.py b/crow/metascheduler/graph.py new file mode 100644 index 0000000..21d98d3 --- /dev/null +++ b/crow/metascheduler/graph.py @@ -0,0 +1,162 @@ +"""!In-place simplification of cyclic graphs whose connections are +boolean algebra dependencies suitable to pass ot +crow.metascheduler.algebra. Given a specific cycle, this code can +remove all jobs that would not run for that cycle.""" + +f'This module requires python 3.6 or newer.' + +import datetime,copy,collections +from collections import OrderedDict + +from .algebra import simplify as algebra_simplify +from .algebra import assume as algebra_assume +from crow.config import TRUE_DEPENDENCY,FALSE_DEPENDENCY,Suite +from crow.tools import NamedConstant,Clock,typecheck,MISSING,ZERO_DT + +def depth_first_traversal(tree,skip_fun=None,enter_fun=None, + exit_fun=None,memo=None): + if memo is None: memo=set() + if id(tree) in memo: return + memo.add(id(tree)) + if skip_fun and skip_fun(tree): + return + if enter_fun: enter_fun(tree) + yield tree + for child in tree: + for item in depth_first_traversal( + child,skip_fun,enter_fun,exit_fun,memo): + yield item + if exit_fun: exit_fun(tree) + +class Node(object): + def __init__(self,view,cycle): + self.view=view + self.trigger=TRUE_DEPENDENCY + self.complete=FALSE_DEPENDENCY + self.time=ZERO_DT + self.cycle=cycle + self.alarm=view.get_alarm() + if 'Trigger' in view: + self.trigger=view.Trigger.copy_dependencies() + if 'Complete' in view: + self.complete=view.Complete.copy_dependencies() + if 'Time' in view: + self.time=copy(view.Time) + self.children=collections.OrderedDict() + + def __iter__(self): + for value in self.children.values(): + yield value + + def assume(self,clock,assume_complete=None,assume_never_run=None): + typecheck('self.alarm',self.alarm,Clock) + if self.cycle not in self.alarm: + self.trigger=FALSE_DEPENDENCY + self.complete=FALSE_DEPENDENCY + else: + self.trigger=algebra_simplify(algebra_assume( + self.trigger,clock,self.cycle,assume_complete,assume_never_run)) + self.complete=algebra_simplify(algebra_assume( + self.complete,clock,self.cycle,assume_complete,assume_never_run)) + + def is_family(self): return self.view.is_family() + def is_task(self): return self.view.is_task() + def has_trigger(self): + return self.trigger not in [ FALSE_DEPENDENCY, TRUE_DEPENDENCY ] + def has_complete(self): + return self.trigger not in [ FALSE_DEPENDENCY, TRUE_DEPENDENCY ] + + @property + def path(self): + return self.view.path + def can_never_complete(self): + return self.trigger==FALSE_DEPENDENCY and self.complete==FALSE_DEPENDENCY + def is_always_complete(self): + return self.complete==TRUE_DEPENDENCY + def might_complete(self): + return self.trigger is not FALSE_DEPENDENCY or \ + self.complete is not FALSE_DEPENDENCY + def is_empty(self): + return self.is_family() and not self.children + def __copy__(self): + n=Node(self.view,self.cycle) + n.trigger, n.complete, n.time, n.alarm = \ + self.trigger, self.complete, self.time, self.alarm + n.children=copy.copy(self.children) + return n + def __deepcopy__(self,memo): + n=copy.copy(self) + for name,child in n.children.items(): + n[name]=copy.deepcopy(child,memo) + +class Graph(object): + def __init__(self,suite,clock): + typecheck('clock',clock,Clock) + typecheck('suite',suite,Suite) + self.__clock=copy.copy(clock) + self.__suite=suite + self.__nodes=collections.defaultdict(dict) + self.__cycles=collections.defaultdict(OrderedDict) + def simplify_cycle(self,cycle): + if cycle not in self.__clock: + raise ValueError( + f'{cycle:%F %T}: cycle does not exist in clock {self.__clock}') + if cycle not in self.__cycles: + raise KeyError( + f'{cycle:%F %T}: have not called add_cycle for this cycle yet.') + changed=True + always_complete=set() + never_run=set() + def fun_assume_complete(path): + return path in always_complete + def fun_assume_never_run(path): + return path in never_run + + while changed: + changed=False + for node in self.__nodes[cycle].values(): + if node.might_complete(): + node.assume(self.__clock,fun_assume_complete, + fun_assume_never_run) + if node.can_never_complete(): + for descendent in depth_first_traversal(node): + never_run.add(descendent.path) + changed=True + elif node.is_always_complete(): + for descendent in depth_first_traversal(node): + always_complete.add(descendent.path) + changed=True + + def depth_first_traversal(self,cycle,skip_fun,enter_fun,exit_fun): + if cycle not in self.__cycles: + raise KeyError(f'{cycle}: have not added this ' + 'cycle yet (add_cycle())') + memo=set() + for key,child in self.__cycles[cycle].items(): + for node in depth_first_traversal( + self.__cycles[cycle][key],skip_fun,enter_fun,exit_fun,memo): + yield node + + def add_cycle(self,cycle): + self.__clock.now=cycle + memo=set() + for child_view in self.__suite.child_iter(): + if child_view.is_family() or child_view.is_task(): + child_name=child_view.path[-1] + self.__cycles[cycle][child_name] = \ + self._add_child(cycle,child_view,None,memo) + + def _add_child(self,cycle,child_view,parent_node,memo): + if child_view.path in memo: return + child_node=Node(child_view,self.__clock.now) + if parent_node is not None: + parent_node.children[child_node.path[-1]]=child_node + child_cycle=cycle+child_node.path[0] + self.__nodes[child_cycle][child_node.path]=child_node + if child_view.is_family(): + for grandchild_view in child_view.child_iter(): + if grandchild_view.is_family() or\ + grandchild_view.is_task(): + self._add_child(cycle,grandchild_view,child_node,memo) + return child_node + diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index c025b53..25ab72a 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -13,7 +13,7 @@ Family, Cycle, RUNNING, COMPLETED, FAILED, \ TRUE_DEPENDENCY, FALSE_DEPENDENCY, SuitePath, \ CycleExistsDependency -from crow.metascheduler.simplify import simplify +from crow.metascheduler.algebra import simplify __all__=['to_rocoto','RocotoConfigError','ToRocoto', 'SelfReferentialDependency' ] diff --git a/crow/tools.py b/crow/tools.py index 2de16e5..5eb86ec 100644 --- a/crow/tools.py +++ b/crow/tools.py @@ -4,7 +4,11 @@ from contextlib import suppress from collections.abc import Mapping -__all__=['panasas_gb','gpfs_gb','to_timedelta','deliver_file'] +__all__=['panasas_gb','gpfs_gb','to_timedelta','deliver_file','NamedConstant', + 'Clock','str_timedelta','memory_in_bytes','to_printf_octal', + 'str_to_posix_sh','typecheck','ZER_DT','shell_to_python_type', + 'MISSING'] + _logger=logging.getLogger('crow.tools') def deliver_file(from_file: str,to_file: str,*,blocksize: int=1048576, @@ -129,6 +133,21 @@ def to_timedelta(s): raise ValueError(s+': invalid timedelta specification (12:34, ' '12:34:56, 9d12h, 9d12:34, 9d12:34:56)') +ZERO_DT=timedelta(0) +def str_timedelta(dt): + sign='+' + if dtself.end: return False # other clock stops after me + return True elif isinstance(when,datetime.datetime): if self.end and when>self.end: return False if when +echo ${JOBgfs}/JGFS_TASK3 +%include diff --git a/examples/ecflow/family2/family3/task32.ecf b/examples/ecflow/family2/family3/task32.ecf new file mode 100644 index 0000000..c316320 --- /dev/null +++ b/examples/ecflow/family2/family3/task32.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J family2.family3.task32 +#BSUB -o /ptmp/%U/family2.family3.task32 +#BSUB -W 0:05 +#BSUB -R rusage[mem=2000] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_TASK3 +%include diff --git a/examples/ecflow/family2/family3/task33.ecf b/examples/ecflow/family2/family3/task33.ecf new file mode 100644 index 0000000..dff10f3 --- /dev/null +++ b/examples/ecflow/family2/family3/task33.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J family2.family3.task33 +#BSUB -o /ptmp/%U/family2.family3.task33 +#BSUB -W 0:05 +#BSUB -R rusage[mem=2000] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_TASK3 +%include diff --git a/examples/ecflow/prod00.def b/examples/ecflow/prod00.def index 7783e61..2069529 100644 --- a/examples/ecflow/prod00.def +++ b/examples/ecflow/prod00.def @@ -1,4 +1,3 @@ -extern /prod18/family2/task21 suite prod00 repeat day 1 edit ECF_TRIES '1' @@ -7,14 +6,10 @@ suite prod00 task task0 endtask family family1 - edit SOMEVAR '1' - edit ANOTHERVAR '2' - trigger /prod18/family2/task21 == complete and ./task0 == complete + trigger ./family2/task21 == complete and ./task0 == complete task task11 - event 1 some_event - event 2 another_event - edit WHATEVER '333' - event manually_written_event + event 1 some_event + event 1 another_event endtask task task12 trigger ./task11:some_event @@ -26,9 +21,15 @@ suite prod00 trigger ../family1/task12 == complete endtask family family3 - task task3 + task task31 trigger ../task21 == complete endtask + task task32 + trigger ./task31 == complete + endtask + task task33 + trigger ./task31 == complete + endtask endfamily task task22 trigger ./task21 == complete diff --git a/examples/ecflow/prod06.def b/examples/ecflow/prod06.def index 58f7801..b2ee8c7 100644 --- a/examples/ecflow/prod06.def +++ b/examples/ecflow/prod06.def @@ -1,5 +1,3 @@ -extern /prod18/family2/task21 -extern /prod00/family2/task21 suite prod06 repeat day 1 edit ECF_TRIES '1' @@ -8,14 +6,10 @@ suite prod06 task task0 endtask family family1 - edit SOMEVAR '1' - edit ANOTHERVAR '2' - trigger /prod00/family2/task21 == complete and ./task0 == complete + trigger ./family2/task21 == complete and ./task0 == complete task task11 - event 1 some_event - event 2 another_event - edit WHATEVER '333' - event manually_written_event + event 1 some_event + event 1 another_event endtask task task12 trigger ./task11:some_event @@ -27,16 +21,11 @@ suite prod06 trigger ../family1/task12 == complete endtask family family3 - task task3 - trigger ../task21 == complete + task task32 endtask endfamily - task task22 - trigger ./task21 == complete - endtask task task23 trigger ./task21 == complete - complete ./task22 == complete endtask endfamily endsuite diff --git a/examples/ecflow/prod12.def b/examples/ecflow/prod12.def index 0df90ca..3bc6df2 100644 --- a/examples/ecflow/prod12.def +++ b/examples/ecflow/prod12.def @@ -1,6 +1,3 @@ -extern /prod18/family2/task21 -extern /prod00/family2/task21 -extern /prod06/family2/task21 suite prod12 repeat day 1 edit ECF_TRIES '1' @@ -9,14 +6,10 @@ suite prod12 task task0 endtask family family1 - edit SOMEVAR '1' - edit ANOTHERVAR '2' - trigger /prod06/family2/task21 == complete and ./task0 == complete + trigger ./family2/task21 == complete and ./task0 == complete task task11 - event 1 some_event - event 2 another_event - edit WHATEVER '333' - event manually_written_event + event 1 some_event + event 1 another_event endtask task task12 trigger ./task11:some_event @@ -28,16 +21,18 @@ suite prod12 trigger ../family1/task12 == complete endtask family family3 - task task3 + task task31 trigger ../task21 == complete endtask + task task32 + trigger ./task31 == complete + endtask + task task33 + trigger ./task31 == complete + endtask endfamily - task task22 - trigger ./task21 == complete - endtask task task23 trigger ./task21 == complete - complete ./task22 == complete endtask endfamily endsuite diff --git a/examples/ecflow/prod18.def b/examples/ecflow/prod18.def index 7743de5..cf41355 100644 --- a/examples/ecflow/prod18.def +++ b/examples/ecflow/prod18.def @@ -1,7 +1,3 @@ -extern /prod18/family2/task21 -extern /prod00/family2/task21 -extern /prod06/family2/task21 -extern /prod12/family2/task21 suite prod18 repeat day 1 edit ECF_TRIES '1' @@ -10,14 +6,10 @@ suite prod18 task task0 endtask family family1 - edit SOMEVAR '1' - edit ANOTHERVAR '2' - trigger /prod12/family2/task21 == complete and ./task0 == complete + trigger ./family2/task21 == complete and ./task0 == complete task task11 - event 1 some_event - event 2 another_event - edit WHATEVER '333' - event manually_written_event + event 1 some_event + event 1 another_event endtask task task12 trigger ./task11:some_event @@ -29,16 +21,11 @@ suite prod18 trigger ../family1/task12 == complete endtask family family3 - task task3 - trigger ../task21 == complete + task task32 endtask endfamily - task task22 - trigger ./task21 == complete - endtask task task23 trigger ./task21 == complete - complete ./task22 == complete endtask endfamily endsuite diff --git a/examples/ecflow/task0.ecf b/examples/ecflow/task0.ecf index c99fec3..1a937d7 100644 --- a/examples/ecflow/task0.ecf +++ b/examples/ecflow/task0.ecf @@ -5,8 +5,8 @@ #BSUB -o /ptmp/%U/task0 #BSUB -W 0:05 #BSUB -R rusage[mem=2000] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +#BSUB -n 1 + %include echo ${JOBgfs}/JGFS_TASK0 %include From cb1bebf3e4b39d15880e9ed924ba0b26404be636 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 8 Feb 2018 15:27:42 +0000 Subject: [PATCH 296/487] Add the ability of having an array of nearly-identical tasks. --- crow/config/from_yaml.py | 10 +- crow/config/tasks.py | 154 +++++++++++++++------ crow/config/to_yaml.py | 2 + examples/taskarray/doit.py | 34 +++++ examples/taskarray/my_array/num_n1.ecf | 13 ++ examples/taskarray/my_array/num_n2.ecf | 13 ++ examples/taskarray/my_array/num_n3.ecf | 13 ++ examples/taskarray/my_array/task_n1_la.ecf | 13 ++ examples/taskarray/my_array/task_n1_lb.ecf | 13 ++ examples/taskarray/my_array/task_n1_lc.ecf | 13 ++ examples/taskarray/my_array/task_n2_la.ecf | 13 ++ examples/taskarray/my_array/task_n2_lb.ecf | 13 ++ examples/taskarray/my_array/task_n2_lc.ecf | 13 ++ examples/taskarray/my_array/task_n3_la.ecf | 13 ++ examples/taskarray/my_array/task_n3_lb.ecf | 13 ++ examples/taskarray/my_array/task_n3_lc.ecf | 13 ++ examples/taskarray/my_array/tusk_n1_la.ecf | 13 ++ examples/taskarray/my_array/tusk_n1_lb.ecf | 13 ++ examples/taskarray/my_array/tusk_n1_lc.ecf | 13 ++ examples/taskarray/my_array/tusk_n2_la.ecf | 13 ++ examples/taskarray/my_array/tusk_n2_lb.ecf | 13 ++ examples/taskarray/my_array/tusk_n2_lc.ecf | 13 ++ examples/taskarray/my_array/tusk_n3_la.ecf | 13 ++ examples/taskarray/my_array/tusk_n3_lb.ecf | 13 ++ examples/taskarray/my_array/tusk_n3_lc.ecf | 13 ++ examples/taskarray/prod00.def | 61 ++++++++ examples/taskarray/prod06.def | 61 ++++++++ examples/taskarray/prod12.def | 61 ++++++++ examples/taskarray/prod18.def | 61 ++++++++ examples/taskarray/simple_task.ecf | 12 ++ examples/taskarray/taskarray.yaml | 96 +++++++++++++ 31 files changed, 781 insertions(+), 44 deletions(-) create mode 100755 examples/taskarray/doit.py create mode 100644 examples/taskarray/my_array/num_n1.ecf create mode 100644 examples/taskarray/my_array/num_n2.ecf create mode 100644 examples/taskarray/my_array/num_n3.ecf create mode 100644 examples/taskarray/my_array/task_n1_la.ecf create mode 100644 examples/taskarray/my_array/task_n1_lb.ecf create mode 100644 examples/taskarray/my_array/task_n1_lc.ecf create mode 100644 examples/taskarray/my_array/task_n2_la.ecf create mode 100644 examples/taskarray/my_array/task_n2_lb.ecf create mode 100644 examples/taskarray/my_array/task_n2_lc.ecf create mode 100644 examples/taskarray/my_array/task_n3_la.ecf create mode 100644 examples/taskarray/my_array/task_n3_lb.ecf create mode 100644 examples/taskarray/my_array/task_n3_lc.ecf create mode 100644 examples/taskarray/my_array/tusk_n1_la.ecf create mode 100644 examples/taskarray/my_array/tusk_n1_lb.ecf create mode 100644 examples/taskarray/my_array/tusk_n1_lc.ecf create mode 100644 examples/taskarray/my_array/tusk_n2_la.ecf create mode 100644 examples/taskarray/my_array/tusk_n2_lb.ecf create mode 100644 examples/taskarray/my_array/tusk_n2_lc.ecf create mode 100644 examples/taskarray/my_array/tusk_n3_la.ecf create mode 100644 examples/taskarray/my_array/tusk_n3_lb.ecf create mode 100644 examples/taskarray/my_array/tusk_n3_lc.ecf create mode 100644 examples/taskarray/prod00.def create mode 100644 examples/taskarray/prod06.def create mode 100644 examples/taskarray/prod12.def create mode 100644 examples/taskarray/prod18.def create mode 100644 examples/taskarray/simple_task.ecf create mode 100644 examples/taskarray/taskarray.yaml diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index 7e94f84..5121a9a 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -43,6 +43,8 @@ class ShellCommandYAML(dict): pass class DataEventYAML(dict): pass class ShellEventYAML(dict): pass class TaskYAML(OrderedDict): pass +class TaskArrayYAML(OrderedDict): pass +class TaskElementYAML(OrderedDict): pass class FamilyYAML(OrderedDict): pass class CycleYAML(OrderedDict): pass class TemplateYAML(OrderedDict): pass @@ -61,7 +63,9 @@ class JobResourceSpecMakerYAML(list): pass CycleYAML: [ Cycle, OrderedDict, None ], FamilyYAML: [ Family, OrderedDict, None ], DataEventYAML: [ DataEvent, dict, None ], - ShellEventYAML: [ ShellEvent, dict, None ] + ShellEventYAML: [ ShellEvent, dict, None ], + TaskElementYAML: [ TaskElement, OrderedDict, None ], + TaskArrayYAML: [ TaskArray, OrderedDict, None ] } def type_for(t,path): @@ -176,6 +180,8 @@ def constructor(loader,node): add_yaml_ordered_dict(u'!Cycle',CycleYAML) add_yaml_ordered_dict(u'!Template',TemplateYAML) add_yaml_ordered_dict(u'!Task',TaskYAML) +add_yaml_ordered_dict(u'!TaskArray',TaskArrayYAML) +add_yaml_ordered_dict(u'!TaskElement',TaskElementYAML) add_yaml_ordered_dict(u'!Family',FamilyYAML) SUITE={ EvalYAML: Eval, @@ -185,6 +191,8 @@ def constructor(loader,node): DataEventYAML: DataEvent, ShellEventYAML: ShellEvent, FamilyYAML: Family, + TaskArrayYAML: TaskArray, + TaskElementYAML: TaskElement, ClockYAML:ClockMaker, OutputSlotYAML: OutputSlot, InputSlotYAML: InputSlot} diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 72580ec..58dd433 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -10,7 +10,7 @@ """ from functools import reduce -import operator, io, logging +import operator, io, logging, itertools from datetime import timedelta from abc import abstractmethod from collections import namedtuple, OrderedDict, Sequence @@ -28,7 +28,7 @@ 'CycleExistsDependency', 'FamilyView', 'TaskView', 'CycleView', 'Slot', 'InputSlot', 'OutputSlot', 'Message', 'Event', 'DataEvent', 'ShellEvent', 'EventDependency', - 'TaskExistsDependency' ] + 'TaskExistsDependency', 'TaskArray', 'TaskElement' ] class Event(dict_eval): pass class DataEvent(Event): pass @@ -42,10 +42,20 @@ class ShellEvent(Event): pass ZERO_DT=timedelta() EMPTY_DICT={} SUITE_SPECIAL_KEYS=set([ 'parent', 'up', 'task_path', 'task_path_var', - 'task_path_str', 'task_path_list' ]) + 'task_path_str', 'task_path_list', 'this' ]) SLOT_SPECIALS = SUITE_SPECIAL_KEYS|set([ 'slot', 'flow', 'actor', 'meta', 'Out', 'Loc']) +def subdict_iter(d): + typecheck('d',d,Mapping) + dkeys=[k for k in d.keys()] + vallist=[v for v in d.values()] + print(f'{d} {dkeys} {vallist}') + piter=itertools.product(*vallist) + dvalues=[p for p in piter] + for j in range(len(dvalues)): + yield dict([i for i in zip(dkeys,dvalues[j])]) + class SuitePath(list): """!Simply a list that can be hashed.""" def __hash__(self): @@ -57,12 +67,24 @@ def __hash__(self): class SuiteView(Mapping): LOCALS=set(['suite','viewed','path','parent','__cache','__globals', '_more_globals']) - def __init__(self,suite,viewed,path,parent): + def __init__(self,suite,viewed,path,parent, + task_array_dimensions=None, + task_array_indices=None): # assert(isinstance(suite,Suite)) # assert(isinstance(viewed,dict_eval)) assert(hasattr(self,'_iter_raw')) assert(isinstance(parent,SuiteView)) assert(not isinstance(viewed,SuiteView)) + if task_array_dimensions: + self.task_array_dimensions=OrderedDict( + task_array_dimensions) + else: + self.task_array_dimensions=OrderedDict() + if task_array_indices: + self.task_array_indices=OrderedDict( + task_array_indices) + else: + self.task_array_indices=OrderedDict() self.suite=suite # if isinstance(viewed,Task) and 'fcst' in '-'.join([str(s) for s in path]): # print(path) @@ -82,6 +104,7 @@ def __init__(self,suite,viewed,path,parent): self.viewed[k]=v if type(self.viewed) in SUITE_CLASS_MAP: self.viewed.up=parent + self.viewed.this=self self.path=SuitePath(path) self.parent=parent self._is_suite_view=True @@ -134,6 +157,16 @@ def __str__(self): s=f'dt=[{self.path[0]}]:'+s return s + def depend(self,string,**kwargs): + for k,v in kwargs.items(): + if not isinstance(v,Sequence): + kwargs[k]=[v] + deps=TRUE_DEPENDENCY + for d in subdict_iter(kwargs): + name=eval(f"f'''{string}'''",self.viewed._globals(),d) + deps = deps & self[name] + return deps + def get_trigger_dep(self): return self.get('Trigger',TRUE_DEPENDENCY) @@ -148,6 +181,7 @@ def child_iter(self): children of this family, yielding a SuiteView of each.""" for var,rawval in self.viewed._raw_child().items(): if var=='up': continue + if var=='this': continue if hasattr(rawval,'_as_dependency'): continue val=self[var] #print(f'Yield {type(val).__name__} for child {var}') @@ -190,13 +224,16 @@ def __getattr__(self,key): def __getitem__(self,key): assert(isinstance(key,str)) if key in self.__cache: return self.__cache[key] - if key not in self.viewed: raise KeyError(key) + if key not in self.viewed: + raise KeyError(f'{key}: not in {", ".join([k for k in self.keys()])}') val=self.viewed[key] if hasattr(val,'_is_suite_view'): return val elif type(val) in SUITE_CLASS_MAP: val=self.__wrap(key,val) + elif isinstance(val,TaskArray): + val=self.__wrap(key,val) elif hasattr(val,'_as_dependency'): locals=multidict(self.parent,self) val=self.__wrap(key,val._as_dependency( @@ -210,6 +247,8 @@ def __wrap(self,key,obj): obj=copy(obj) self.viewed[key]=obj return CycleView(self.suite,obj,self.path[:1],self) + elif isinstance(obj,TaskArray): + return self.__wrap(key,obj._generate(self)) elif type(obj) in SUITE_CLASS_MAP: view_class=SUITE_CLASS_MAP[type(obj)] obj=copy(obj) @@ -243,7 +282,6 @@ def get_alarm(self,default=MISSING): if 'AlarmName' not in self: if default==MISSING: return self.suite.Clock - #print(f'return default {default} {MISSING} {default==MISSING}') return default try: return self.suite.get_alarm_with_name(self.AlarmName) @@ -621,43 +659,73 @@ class Task(Taskable): pass class Family(Taskable): pass class Cycle(dict_eval): pass -class TaskArray(Taskable): - def __init__(self,*args,**kwargs): - super().init(*args,**kwargs) - Index=self['Index'] - varname=Index[0] - if not isinstance(varname,str): - raise TypeError('Index first argument should be a string variable ' - 'name not a %s'%(type(varname.__name__),)) - values=Index[1] - if not isinstance(values,Sequence): - raise TypeError('Index second argument should be a sequence ' - 'name not a %s'%(type(values.__name__),)) - self.__instances=[MISSING]*len(values) - @property - def index_name(self): - return self['Index'][0] - @property - def index_count(self): - return len(self['Index'][1]) - def index_keys(self): - keys=self['Index'][1] - for k in keys: yield k - def index_items(self): - varname=self.index_name - keys=self['Index'][1] - for i in len(keys): - yield keys[i],self.__for_index(i,varname,key) - def for_index(self,i): - if self.__instances[i] is not MISSING: - return self.__instances[i] - varname=self.index_name - keys=self['Index'][1] - return self.__for_index(i,varname,key) - def __for_index(self,i,varname,key): - the_copy=Family(self._raw_child()) - the_copy[varname]=key +class TaskElement(dict_eval): + def _duplicate(self,dimensions,indices): + for more_indices in subdict_iter(dimensions): + child_indices=copy(indices) + child_indices.update(more_indices) + t=Task(self._raw_child(),globals=self._globals()) + t['idx']=dict_eval(child_indices) + name=t.Name + t._path=f'{self._path}.{name}' + yield name,t + +class TaskArray(dict_eval): + def _generate(self,parent_view): + f=Family(self._raw_child(),path=self._path,globals=self._globals()) + dimensions=copy(parent_view.task_array_dimensions) + indices=copy(parent_view.task_array_indices) + child_dimensions=self.Dimensions + dimensions.update(child_dimensions) + for dimname,dimlist in child_dimensions.items(): + if not isinstance(dimlist,Sequence): + raise TypeError(f'{self._path}: dimension {dimname} is not a list (is type {type(dimlist).__name__}).') + for k,v in self._raw_child().items(): + if hasattr(v,'_duplicate'): + for name,content in v._duplicate(child_dimensions,indices): + f[name]=content + else: + f[k]=v + return f + + +# class TaskArray(TaskableGenerator): +# def __init__(self,*args,**kwargs): +# super().init(*args,**kwargs) +# Indices=self.Indices +# varname=Index[0] +# if not isinstance(varname,str): +# raise TypeError('Index first argument should be a string variable ' +# 'name not a %s'%(type(varname.__name__),)) +# values=Index[1] +# if not isinstance(values,Sequence): +# raise TypeError('Index second argument should be a sequence ' +# 'name not a %s'%(type(values.__name__),)) +# self.__instances=[MISSING]*len(values) +# @property +# def index_name(self): +# return self['Index'][0] +# @property +# def index_count(self): +# return len(self['Index'][1]) +# def index_keys(self): +# keys=self['Index'][1] +# for k in keys: yield k +# def index_items(self): +# varname=self.index_name +# keys=self['Index'][1] +# for i in len(keys): +# yield keys[i],self.__for_index(i,varname,key) +# def for_index(self,i): +# if self.__instances[i] is not MISSING: +# return self.__instances[i] +# varname=self.index_name +# keys=self['Index'][1] +# return self.__for_index(i,varname,key) +# def __for_index(self,i,varname,key): +# the_copy=Family(self._raw_child()) +# the_copy[varname]=key SUITE_CLASS_MAP={ Task:TaskView, Family: FamilyView, Event: EventView, DataEvent: EventView, ShellEvent: EventView, - OutputSlot: OutputSlotView, InputSlot:InputSlotView } + OutputSlot: OutputSlotView, InputSlot:InputSlotView} diff --git a/crow/config/to_yaml.py b/crow/config/to_yaml.py index a5e593f..bd000a3 100644 --- a/crow/config/to_yaml.py +++ b/crow/config/to_yaml.py @@ -119,6 +119,8 @@ def representer(dumper,data): add_yaml_taskable(u'!ShellEvent',ShellEvent) add_yaml_taskable(u'!Task',Task) add_yaml_taskable(u'!Family',Family) +add_yaml_taskable(u'!TaskArray',TaskArray) +add_yaml_taskable(u'!TaskElement',TaskElement) add_yaml_taskable(u'!Cycle',Cycle) add_yaml_taskable(u'!Template',Template) diff --git a/examples/taskarray/doit.py b/examples/taskarray/doit.py new file mode 100755 index 0000000..90848bf --- /dev/null +++ b/examples/taskarray/doit.py @@ -0,0 +1,34 @@ +#! /usr/bin/env python3 +f'This script requires Python 3.6 or newer.' + +import os +from crow.metascheduler import to_ecflow +from crow.config import from_file, Suite + +conf=from_file('taskarray.yaml') +suite=Suite(conf.suite) +suite_defs, ecf_files = to_ecflow(suite) + +for defname in suite_defs: + #print(f'=== contents of suite def {defname}\n{suite_defs[defname]}') + filename=defname + print(filename) + dirname=os.path.dirname(filename) + if dirname and not os.path.exists(dirname): + os.makedirs(os.path.dirname(filename)) + with open(filename,'wt') as fd: + fd.write(suite_defs[defname]['def']) + +for setname in ecf_files: + print(f'ecf file set {setname}:\n') + for filename in ecf_files[setname]: + print(f' file {filename}') + dirname=os.path.dirname(filename) + if dirname and not os.path.exists(dirname): + os.makedirs(os.path.dirname(filename)) + with open(filename+".ecf",'wt') as fd: + fd.write(ecf_files[setname][filename]) + + #for line in ecf_files[setname][filename].splitlines(): + #print(f' {line.rstrip()}') + diff --git a/examples/taskarray/my_array/num_n1.ecf b/examples/taskarray/my_array/num_n1.ecf new file mode 100644 index 0000000..b05ce04 --- /dev/null +++ b/examples/taskarray/my_array/num_n1.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.num_n1 +#BSUB -o my_array.num_n1 +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_NUM_N1 + +%include diff --git a/examples/taskarray/my_array/num_n2.ecf b/examples/taskarray/my_array/num_n2.ecf new file mode 100644 index 0000000..5b1c2e2 --- /dev/null +++ b/examples/taskarray/my_array/num_n2.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.num_n2 +#BSUB -o my_array.num_n2 +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_NUM_N2 + +%include diff --git a/examples/taskarray/my_array/num_n3.ecf b/examples/taskarray/my_array/num_n3.ecf new file mode 100644 index 0000000..82702ba --- /dev/null +++ b/examples/taskarray/my_array/num_n3.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.num_n3 +#BSUB -o my_array.num_n3 +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_NUM_N3 + +%include diff --git a/examples/taskarray/my_array/task_n1_la.ecf b/examples/taskarray/my_array/task_n1_la.ecf new file mode 100644 index 0000000..9043626 --- /dev/null +++ b/examples/taskarray/my_array/task_n1_la.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.task_n1_la +#BSUB -o my_array.task_n1_la +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TASK_N1_La + +%include diff --git a/examples/taskarray/my_array/task_n1_lb.ecf b/examples/taskarray/my_array/task_n1_lb.ecf new file mode 100644 index 0000000..1c3adb7 --- /dev/null +++ b/examples/taskarray/my_array/task_n1_lb.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.task_n1_lb +#BSUB -o my_array.task_n1_lb +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TASK_N1_Lb + +%include diff --git a/examples/taskarray/my_array/task_n1_lc.ecf b/examples/taskarray/my_array/task_n1_lc.ecf new file mode 100644 index 0000000..b4ff8fb --- /dev/null +++ b/examples/taskarray/my_array/task_n1_lc.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.task_n1_lc +#BSUB -o my_array.task_n1_lc +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TASK_N1_Lc + +%include diff --git a/examples/taskarray/my_array/task_n2_la.ecf b/examples/taskarray/my_array/task_n2_la.ecf new file mode 100644 index 0000000..e64b51f --- /dev/null +++ b/examples/taskarray/my_array/task_n2_la.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.task_n2_la +#BSUB -o my_array.task_n2_la +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TASK_N2_La + +%include diff --git a/examples/taskarray/my_array/task_n2_lb.ecf b/examples/taskarray/my_array/task_n2_lb.ecf new file mode 100644 index 0000000..0236981 --- /dev/null +++ b/examples/taskarray/my_array/task_n2_lb.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.task_n2_lb +#BSUB -o my_array.task_n2_lb +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TASK_N2_Lb + +%include diff --git a/examples/taskarray/my_array/task_n2_lc.ecf b/examples/taskarray/my_array/task_n2_lc.ecf new file mode 100644 index 0000000..ee31e68 --- /dev/null +++ b/examples/taskarray/my_array/task_n2_lc.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.task_n2_lc +#BSUB -o my_array.task_n2_lc +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TASK_N2_Lc + +%include diff --git a/examples/taskarray/my_array/task_n3_la.ecf b/examples/taskarray/my_array/task_n3_la.ecf new file mode 100644 index 0000000..fb6fd2c --- /dev/null +++ b/examples/taskarray/my_array/task_n3_la.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.task_n3_la +#BSUB -o my_array.task_n3_la +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TASK_N3_La + +%include diff --git a/examples/taskarray/my_array/task_n3_lb.ecf b/examples/taskarray/my_array/task_n3_lb.ecf new file mode 100644 index 0000000..8984d10 --- /dev/null +++ b/examples/taskarray/my_array/task_n3_lb.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.task_n3_lb +#BSUB -o my_array.task_n3_lb +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TASK_N3_Lb + +%include diff --git a/examples/taskarray/my_array/task_n3_lc.ecf b/examples/taskarray/my_array/task_n3_lc.ecf new file mode 100644 index 0000000..7949920 --- /dev/null +++ b/examples/taskarray/my_array/task_n3_lc.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.task_n3_lc +#BSUB -o my_array.task_n3_lc +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TASK_N3_Lc + +%include diff --git a/examples/taskarray/my_array/tusk_n1_la.ecf b/examples/taskarray/my_array/tusk_n1_la.ecf new file mode 100644 index 0000000..1da423f --- /dev/null +++ b/examples/taskarray/my_array/tusk_n1_la.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.tusk_n1_la +#BSUB -o my_array.tusk_n1_la +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TUSK_N1_La + +%include diff --git a/examples/taskarray/my_array/tusk_n1_lb.ecf b/examples/taskarray/my_array/tusk_n1_lb.ecf new file mode 100644 index 0000000..eec1b28 --- /dev/null +++ b/examples/taskarray/my_array/tusk_n1_lb.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.tusk_n1_lb +#BSUB -o my_array.tusk_n1_lb +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TUSK_N1_Lb + +%include diff --git a/examples/taskarray/my_array/tusk_n1_lc.ecf b/examples/taskarray/my_array/tusk_n1_lc.ecf new file mode 100644 index 0000000..7620fe2 --- /dev/null +++ b/examples/taskarray/my_array/tusk_n1_lc.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.tusk_n1_lc +#BSUB -o my_array.tusk_n1_lc +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TUSK_N1_Lc + +%include diff --git a/examples/taskarray/my_array/tusk_n2_la.ecf b/examples/taskarray/my_array/tusk_n2_la.ecf new file mode 100644 index 0000000..13e44d2 --- /dev/null +++ b/examples/taskarray/my_array/tusk_n2_la.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.tusk_n2_la +#BSUB -o my_array.tusk_n2_la +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TUSK_N2_La + +%include diff --git a/examples/taskarray/my_array/tusk_n2_lb.ecf b/examples/taskarray/my_array/tusk_n2_lb.ecf new file mode 100644 index 0000000..a783187 --- /dev/null +++ b/examples/taskarray/my_array/tusk_n2_lb.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.tusk_n2_lb +#BSUB -o my_array.tusk_n2_lb +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TUSK_N2_Lb + +%include diff --git a/examples/taskarray/my_array/tusk_n2_lc.ecf b/examples/taskarray/my_array/tusk_n2_lc.ecf new file mode 100644 index 0000000..fee6924 --- /dev/null +++ b/examples/taskarray/my_array/tusk_n2_lc.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.tusk_n2_lc +#BSUB -o my_array.tusk_n2_lc +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TUSK_N2_Lc + +%include diff --git a/examples/taskarray/my_array/tusk_n3_la.ecf b/examples/taskarray/my_array/tusk_n3_la.ecf new file mode 100644 index 0000000..27cb743 --- /dev/null +++ b/examples/taskarray/my_array/tusk_n3_la.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.tusk_n3_la +#BSUB -o my_array.tusk_n3_la +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TUSK_N3_La + +%include diff --git a/examples/taskarray/my_array/tusk_n3_lb.ecf b/examples/taskarray/my_array/tusk_n3_lb.ecf new file mode 100644 index 0000000..a179c34 --- /dev/null +++ b/examples/taskarray/my_array/tusk_n3_lb.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.tusk_n3_lb +#BSUB -o my_array.tusk_n3_lb +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TUSK_N3_Lb + +%include diff --git a/examples/taskarray/my_array/tusk_n3_lc.ecf b/examples/taskarray/my_array/tusk_n3_lc.ecf new file mode 100644 index 0000000..7dd7537 --- /dev/null +++ b/examples/taskarray/my_array/tusk_n3_lc.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.tusk_n3_lc +#BSUB -o my_array.tusk_n3_lc +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TUSK_N3_Lc + +%include diff --git a/examples/taskarray/prod00.def b/examples/taskarray/prod00.def new file mode 100644 index 0000000..e4ef350 --- /dev/null +++ b/examples/taskarray/prod00.def @@ -0,0 +1,61 @@ +suite prod00 + task simple_task + endtask + family my_array + trigger ./simple_task == complete + task task_n1_la + endtask + task task_n1_lb + endtask + task task_n1_lc + endtask + task task_n2_la + endtask + task task_n2_lb + endtask + task task_n2_lc + endtask + task task_n3_la + endtask + task task_n3_lb + endtask + task task_n3_lc + endtask + task tusk_n1_la + trigger ./task_n1_la == complete + endtask + task tusk_n1_lb + trigger ./task_n1_lb == complete + endtask + task tusk_n1_lc + trigger ./task_n1_lc == complete + endtask + task tusk_n2_la + trigger ./task_n2_la == complete + endtask + task tusk_n2_lb + trigger ./task_n2_lb == complete + endtask + task tusk_n2_lc + trigger ./task_n2_lc == complete + endtask + task tusk_n3_la + trigger ./task_n3_la == complete + endtask + task tusk_n3_lb + trigger ./task_n3_lb == complete + endtask + task tusk_n3_lc + trigger ./task_n3_lc == complete + endtask + task num_n1 + trigger ./task_n1_la == complete and ./task_n1_lb == complete and ./task_n1_lc == complete + endtask + task num_n2 + trigger ./task_n2_la == complete and ./task_n2_lb == complete and ./task_n2_lc == complete + endtask + task num_n3 + trigger ./task_n3_la == complete and ./task_n3_lb == complete and ./task_n3_lc == complete + endtask + endfamily +endsuite diff --git a/examples/taskarray/prod06.def b/examples/taskarray/prod06.def new file mode 100644 index 0000000..ed0c6ad --- /dev/null +++ b/examples/taskarray/prod06.def @@ -0,0 +1,61 @@ +suite prod06 + task simple_task + endtask + family my_array + trigger ./simple_task == complete + task task_n1_la + endtask + task task_n1_lb + endtask + task task_n1_lc + endtask + task task_n2_la + endtask + task task_n2_lb + endtask + task task_n2_lc + endtask + task task_n3_la + endtask + task task_n3_lb + endtask + task task_n3_lc + endtask + task tusk_n1_la + trigger ./task_n1_la == complete + endtask + task tusk_n1_lb + trigger ./task_n1_lb == complete + endtask + task tusk_n1_lc + trigger ./task_n1_lc == complete + endtask + task tusk_n2_la + trigger ./task_n2_la == complete + endtask + task tusk_n2_lb + trigger ./task_n2_lb == complete + endtask + task tusk_n2_lc + trigger ./task_n2_lc == complete + endtask + task tusk_n3_la + trigger ./task_n3_la == complete + endtask + task tusk_n3_lb + trigger ./task_n3_lb == complete + endtask + task tusk_n3_lc + trigger ./task_n3_lc == complete + endtask + task num_n1 + trigger ./task_n1_la == complete and ./task_n1_lb == complete and ./task_n1_lc == complete + endtask + task num_n2 + trigger ./task_n2_la == complete and ./task_n2_lb == complete and ./task_n2_lc == complete + endtask + task num_n3 + trigger ./task_n3_la == complete and ./task_n3_lb == complete and ./task_n3_lc == complete + endtask + endfamily +endsuite diff --git a/examples/taskarray/prod12.def b/examples/taskarray/prod12.def new file mode 100644 index 0000000..bd11980 --- /dev/null +++ b/examples/taskarray/prod12.def @@ -0,0 +1,61 @@ +suite prod12 + task simple_task + endtask + family my_array + trigger ./simple_task == complete + task task_n1_la + endtask + task task_n1_lb + endtask + task task_n1_lc + endtask + task task_n2_la + endtask + task task_n2_lb + endtask + task task_n2_lc + endtask + task task_n3_la + endtask + task task_n3_lb + endtask + task task_n3_lc + endtask + task tusk_n1_la + trigger ./task_n1_la == complete + endtask + task tusk_n1_lb + trigger ./task_n1_lb == complete + endtask + task tusk_n1_lc + trigger ./task_n1_lc == complete + endtask + task tusk_n2_la + trigger ./task_n2_la == complete + endtask + task tusk_n2_lb + trigger ./task_n2_lb == complete + endtask + task tusk_n2_lc + trigger ./task_n2_lc == complete + endtask + task tusk_n3_la + trigger ./task_n3_la == complete + endtask + task tusk_n3_lb + trigger ./task_n3_lb == complete + endtask + task tusk_n3_lc + trigger ./task_n3_lc == complete + endtask + task num_n1 + trigger ./task_n1_la == complete and ./task_n1_lb == complete and ./task_n1_lc == complete + endtask + task num_n2 + trigger ./task_n2_la == complete and ./task_n2_lb == complete and ./task_n2_lc == complete + endtask + task num_n3 + trigger ./task_n3_la == complete and ./task_n3_lb == complete and ./task_n3_lc == complete + endtask + endfamily +endsuite diff --git a/examples/taskarray/prod18.def b/examples/taskarray/prod18.def new file mode 100644 index 0000000..201ec92 --- /dev/null +++ b/examples/taskarray/prod18.def @@ -0,0 +1,61 @@ +suite prod18 + task simple_task + endtask + family my_array + trigger ./simple_task == complete + task task_n1_la + endtask + task task_n1_lb + endtask + task task_n1_lc + endtask + task task_n2_la + endtask + task task_n2_lb + endtask + task task_n2_lc + endtask + task task_n3_la + endtask + task task_n3_lb + endtask + task task_n3_lc + endtask + task tusk_n1_la + trigger ./task_n1_la == complete + endtask + task tusk_n1_lb + trigger ./task_n1_lb == complete + endtask + task tusk_n1_lc + trigger ./task_n1_lc == complete + endtask + task tusk_n2_la + trigger ./task_n2_la == complete + endtask + task tusk_n2_lb + trigger ./task_n2_lb == complete + endtask + task tusk_n2_lc + trigger ./task_n2_lc == complete + endtask + task tusk_n3_la + trigger ./task_n3_la == complete + endtask + task tusk_n3_lb + trigger ./task_n3_lb == complete + endtask + task tusk_n3_lc + trigger ./task_n3_lc == complete + endtask + task num_n1 + trigger ./task_n1_la == complete and ./task_n1_lb == complete and ./task_n1_lc == complete + endtask + task num_n2 + trigger ./task_n2_la == complete and ./task_n2_lb == complete and ./task_n2_lc == complete + endtask + task num_n3 + trigger ./task_n3_la == complete and ./task_n3_lb == complete and ./task_n3_lc == complete + endtask + endfamily +endsuite diff --git a/examples/taskarray/simple_task.ecf b/examples/taskarray/simple_task.ecf new file mode 100644 index 0000000..b650495 --- /dev/null +++ b/examples/taskarray/simple_task.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J simple_task +#BSUB -o simple_task +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TASK23 +%include diff --git a/examples/taskarray/taskarray.yaml b/examples/taskarray/taskarray.yaml new file mode 100644 index 0000000..f143e70 --- /dev/null +++ b/examples/taskarray/taskarray.yaml @@ -0,0 +1,96 @@ +resources: &resources + - exe: placeholder + OMP_NUM_THREADS: 4 + mpi_ranks: 12 + walltime: 00:02:00 + memory: "5M" + +ecf_file_template: &ecf_file_template !expand | + #! /bin/sh + {sched.batch_accounting(doc.accounting,jobname=task_path_var,outerr=task_path_var) + }{sched.batch_resources(resources)} + %include + echo ${{JOBgfs}}/{J_JOB} + %include + +scheduler_settings: + name: LSFAlps + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + +scheduler: !calc | + tools.get_scheduler(doc.scheduler_settings.name, + doc.scheduler_settings) + +accounting: + queue: '%QUEUE%' + project: GFS-T2O + +suite: !Cycle + Clock: !Clock + start: 2018-01-01T18:00:00 + end: 2018-01-02T18:00:00 + step: !timedelta "6:00:00" + + Alarms: + twelve_hourly: !Clock + start: 2018-01-01T00:00:00 + end: 2018-01-02T12:00:00 + step: !timedelta "12:00:00" + zero_utc: !Clock + start: 2018-01-01T00:00:00 + end: 2018-01-02T00:00:00 + step: !timedelta "24:00:00" + + ecFlow: + suite_def_filename: "prod%H.def" + suite_name: "prod%H" + scheduler: !calc doc.scheduler + + simple_task: !Task + resources: *resources + ecf_file: *ecf_file_template + J_JOB: JGFS_TASK23 + + my_array: !TaskArray + Trigger: !Depend simple_task + Dimensions: + NUMBER: [ 1, 2, 3 ] + LETTER: [ a, b, c ] + + one_task: !TaskElement + Name: !expand 'task_n{idx.NUMBER}_l{idx.LETTER}' + ecflow_def: | + edit NUMBER '{Index.NUMBER}' + edit LETTER '{Index.LETTER}' + resources: *resources + ecf_file: *ecf_file_template + J_JOB: !expand | + JGFS_TASK_N{idx.NUMBER}_L{idx.LETTER} + Foreach: [ NUMBER, LETTER ] + + two_task: !TaskElement + Name: !expand 'tusk_n{idx.NUMBER}_l{idx.LETTER}' + ecflow_def: | + edit NUMBER '{Index.NUMBER}' + edit LETTER '{Index.LETTER}' + resources: *resources + ecf_file: *ecf_file_template + J_JOB: !expand | + JGFS_TUSK_N{idx.NUMBER}_L{idx.LETTER} + Foreach: [ NUMBER, LETTER ] + Trigger: !Depend this.depend("task_n{N}_l{L}",N=idx.NUMBER,L=idx.LETTER) + #Trigger: !Depend this.get(f"task_n{idx.NUMBER}_l{idx.LETTER}") + # Trigger: !Depend locals()[] + + num_task: !TaskElement + Name: !expand 'num_n{idx.NUMBER}' + ecflow_def: | + edit NUMBER '{Index.NUMBER}' + resources: *resources + Trigger: !Depend this.depend("task_n{N}_l{L}",N=idx.NUMBER,L=Dimensions.LETTER) + ecf_file: *ecf_file_template + J_JOB: !expand | + JGFS_NUM_N{idx.NUMBER} + Foreach: [ NUMBER ] From 8057ded9fe2980a15dcd2ffebf7753ac4037aa71 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 8 Feb 2018 15:29:19 +0000 Subject: [PATCH 297/487] In ecflow_fv3gfs, rename doit.py to make-ecflow-suite.py because that name makes more sense. --- model/ecflow_fv3gfs/{doit.py => make-ecflow-suite.py} | 0 1 file changed, 0 insertions(+), 0 deletions(-) rename model/ecflow_fv3gfs/{doit.py => make-ecflow-suite.py} (100%) diff --git a/model/ecflow_fv3gfs/doit.py b/model/ecflow_fv3gfs/make-ecflow-suite.py similarity index 100% rename from model/ecflow_fv3gfs/doit.py rename to model/ecflow_fv3gfs/make-ecflow-suite.py From b028cec0d3bb2e7ac96f40f7628c29e3b48dc2ff Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 8 Feb 2018 15:36:08 +0000 Subject: [PATCH 298/487] bug fixes to make-ecflow-suite.py --- crow/metascheduler/ecflow.py | 2 +- model/ecflow_fv3gfs/make-ecflow-suite.py | 5 +++-- 2 files changed, 4 insertions(+), 3 deletions(-) diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py index cec197b..8d399b8 100644 --- a/crow/metascheduler/ecflow.py +++ b/crow/metascheduler/ecflow.py @@ -287,7 +287,7 @@ def to_ecflow(self): suite_name, suite_def = self._make_suite_def(cycle) assert(isinstance(suite_name,str)) assert(isinstance(suite_def,str)) - suite_def_files[filename]={ 'name':suite_name, 'def':suite_def } + suite_def_files[filename]={ 'name':suite_name, 'def':suite_def, 'file':filename } self._make_ecf_files_for_one_cycle(ecf_files) del self.suite return suite_def_files,ecf_files diff --git a/model/ecflow_fv3gfs/make-ecflow-suite.py b/model/ecflow_fv3gfs/make-ecflow-suite.py index 139d444..273ebef 100755 --- a/model/ecflow_fv3gfs/make-ecflow-suite.py +++ b/model/ecflow_fv3gfs/make-ecflow-suite.py @@ -15,8 +15,9 @@ def make_parent_dir(filename): os.makedirs(os.path.dirname(filename)) for deffile in suite_defs.keys(): - defname,defcontents = suite_defs[deffile] - #print(f'=== contents of suite def {defname}\n{suite_defs[defname]}') + suite_def_info = suite_defs[deffile] + defname=suite_def_info['name'] + defcontents=suite_def_info['def'] filename=os.path.join('defs',deffile) make_parent_dir(filename) print(filename) From 434773fd1179d4538db50478e1995f3a19084380 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 8 Feb 2018 15:40:27 +0000 Subject: [PATCH 299/487] Revert "bug fixes to make-ecflow-suite.py" This reverts commit b028cec0d3bb2e7ac96f40f7628c29e3b48dc2ff. --- crow/metascheduler/ecflow.py | 2 +- model/ecflow_fv3gfs/make-ecflow-suite.py | 5 ++--- 2 files changed, 3 insertions(+), 4 deletions(-) diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py index 8d399b8..cec197b 100644 --- a/crow/metascheduler/ecflow.py +++ b/crow/metascheduler/ecflow.py @@ -287,7 +287,7 @@ def to_ecflow(self): suite_name, suite_def = self._make_suite_def(cycle) assert(isinstance(suite_name,str)) assert(isinstance(suite_def,str)) - suite_def_files[filename]={ 'name':suite_name, 'def':suite_def, 'file':filename } + suite_def_files[filename]={ 'name':suite_name, 'def':suite_def } self._make_ecf_files_for_one_cycle(ecf_files) del self.suite return suite_def_files,ecf_files diff --git a/model/ecflow_fv3gfs/make-ecflow-suite.py b/model/ecflow_fv3gfs/make-ecflow-suite.py index 273ebef..139d444 100755 --- a/model/ecflow_fv3gfs/make-ecflow-suite.py +++ b/model/ecflow_fv3gfs/make-ecflow-suite.py @@ -15,9 +15,8 @@ def make_parent_dir(filename): os.makedirs(os.path.dirname(filename)) for deffile in suite_defs.keys(): - suite_def_info = suite_defs[deffile] - defname=suite_def_info['name'] - defcontents=suite_def_info['def'] + defname,defcontents = suite_defs[deffile] + #print(f'=== contents of suite def {defname}\n{suite_defs[defname]}') filename=os.path.join('defs',deffile) make_parent_dir(filename) print(filename) From 1f59478b41bd7b69fa2d85f93862f64f1928681f Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 8 Feb 2018 15:40:31 +0000 Subject: [PATCH 300/487] Revert "In ecflow_fv3gfs, rename doit.py to make-ecflow-suite.py because that name makes more sense." This reverts commit 8057ded9fe2980a15dcd2ffebf7753ac4037aa71. --- model/ecflow_fv3gfs/{make-ecflow-suite.py => doit.py} | 0 1 file changed, 0 insertions(+), 0 deletions(-) rename model/ecflow_fv3gfs/{make-ecflow-suite.py => doit.py} (100%) diff --git a/model/ecflow_fv3gfs/make-ecflow-suite.py b/model/ecflow_fv3gfs/doit.py similarity index 100% rename from model/ecflow_fv3gfs/make-ecflow-suite.py rename to model/ecflow_fv3gfs/doit.py From a1657d12ff55f61eff80a5061e522167ff051f3e Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 8 Feb 2018 15:40:33 +0000 Subject: [PATCH 301/487] Revert "Add the ability of having an array of nearly-identical tasks." This reverts commit cb1bebf3e4b39d15880e9ed924ba0b26404be636. --- crow/config/from_yaml.py | 10 +- crow/config/tasks.py | 154 ++++++--------------- crow/config/to_yaml.py | 2 - examples/taskarray/doit.py | 34 ----- examples/taskarray/my_array/num_n1.ecf | 13 -- examples/taskarray/my_array/num_n2.ecf | 13 -- examples/taskarray/my_array/num_n3.ecf | 13 -- examples/taskarray/my_array/task_n1_la.ecf | 13 -- examples/taskarray/my_array/task_n1_lb.ecf | 13 -- examples/taskarray/my_array/task_n1_lc.ecf | 13 -- examples/taskarray/my_array/task_n2_la.ecf | 13 -- examples/taskarray/my_array/task_n2_lb.ecf | 13 -- examples/taskarray/my_array/task_n2_lc.ecf | 13 -- examples/taskarray/my_array/task_n3_la.ecf | 13 -- examples/taskarray/my_array/task_n3_lb.ecf | 13 -- examples/taskarray/my_array/task_n3_lc.ecf | 13 -- examples/taskarray/my_array/tusk_n1_la.ecf | 13 -- examples/taskarray/my_array/tusk_n1_lb.ecf | 13 -- examples/taskarray/my_array/tusk_n1_lc.ecf | 13 -- examples/taskarray/my_array/tusk_n2_la.ecf | 13 -- examples/taskarray/my_array/tusk_n2_lb.ecf | 13 -- examples/taskarray/my_array/tusk_n2_lc.ecf | 13 -- examples/taskarray/my_array/tusk_n3_la.ecf | 13 -- examples/taskarray/my_array/tusk_n3_lb.ecf | 13 -- examples/taskarray/my_array/tusk_n3_lc.ecf | 13 -- examples/taskarray/prod00.def | 61 -------- examples/taskarray/prod06.def | 61 -------- examples/taskarray/prod12.def | 61 -------- examples/taskarray/prod18.def | 61 -------- examples/taskarray/simple_task.ecf | 12 -- examples/taskarray/taskarray.yaml | 96 ------------- 31 files changed, 44 insertions(+), 781 deletions(-) delete mode 100755 examples/taskarray/doit.py delete mode 100644 examples/taskarray/my_array/num_n1.ecf delete mode 100644 examples/taskarray/my_array/num_n2.ecf delete mode 100644 examples/taskarray/my_array/num_n3.ecf delete mode 100644 examples/taskarray/my_array/task_n1_la.ecf delete mode 100644 examples/taskarray/my_array/task_n1_lb.ecf delete mode 100644 examples/taskarray/my_array/task_n1_lc.ecf delete mode 100644 examples/taskarray/my_array/task_n2_la.ecf delete mode 100644 examples/taskarray/my_array/task_n2_lb.ecf delete mode 100644 examples/taskarray/my_array/task_n2_lc.ecf delete mode 100644 examples/taskarray/my_array/task_n3_la.ecf delete mode 100644 examples/taskarray/my_array/task_n3_lb.ecf delete mode 100644 examples/taskarray/my_array/task_n3_lc.ecf delete mode 100644 examples/taskarray/my_array/tusk_n1_la.ecf delete mode 100644 examples/taskarray/my_array/tusk_n1_lb.ecf delete mode 100644 examples/taskarray/my_array/tusk_n1_lc.ecf delete mode 100644 examples/taskarray/my_array/tusk_n2_la.ecf delete mode 100644 examples/taskarray/my_array/tusk_n2_lb.ecf delete mode 100644 examples/taskarray/my_array/tusk_n2_lc.ecf delete mode 100644 examples/taskarray/my_array/tusk_n3_la.ecf delete mode 100644 examples/taskarray/my_array/tusk_n3_lb.ecf delete mode 100644 examples/taskarray/my_array/tusk_n3_lc.ecf delete mode 100644 examples/taskarray/prod00.def delete mode 100644 examples/taskarray/prod06.def delete mode 100644 examples/taskarray/prod12.def delete mode 100644 examples/taskarray/prod18.def delete mode 100644 examples/taskarray/simple_task.ecf delete mode 100644 examples/taskarray/taskarray.yaml diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index 5121a9a..7e94f84 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -43,8 +43,6 @@ class ShellCommandYAML(dict): pass class DataEventYAML(dict): pass class ShellEventYAML(dict): pass class TaskYAML(OrderedDict): pass -class TaskArrayYAML(OrderedDict): pass -class TaskElementYAML(OrderedDict): pass class FamilyYAML(OrderedDict): pass class CycleYAML(OrderedDict): pass class TemplateYAML(OrderedDict): pass @@ -63,9 +61,7 @@ class JobResourceSpecMakerYAML(list): pass CycleYAML: [ Cycle, OrderedDict, None ], FamilyYAML: [ Family, OrderedDict, None ], DataEventYAML: [ DataEvent, dict, None ], - ShellEventYAML: [ ShellEvent, dict, None ], - TaskElementYAML: [ TaskElement, OrderedDict, None ], - TaskArrayYAML: [ TaskArray, OrderedDict, None ] + ShellEventYAML: [ ShellEvent, dict, None ] } def type_for(t,path): @@ -180,8 +176,6 @@ def constructor(loader,node): add_yaml_ordered_dict(u'!Cycle',CycleYAML) add_yaml_ordered_dict(u'!Template',TemplateYAML) add_yaml_ordered_dict(u'!Task',TaskYAML) -add_yaml_ordered_dict(u'!TaskArray',TaskArrayYAML) -add_yaml_ordered_dict(u'!TaskElement',TaskElementYAML) add_yaml_ordered_dict(u'!Family',FamilyYAML) SUITE={ EvalYAML: Eval, @@ -191,8 +185,6 @@ def constructor(loader,node): DataEventYAML: DataEvent, ShellEventYAML: ShellEvent, FamilyYAML: Family, - TaskArrayYAML: TaskArray, - TaskElementYAML: TaskElement, ClockYAML:ClockMaker, OutputSlotYAML: OutputSlot, InputSlotYAML: InputSlot} diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 58dd433..72580ec 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -10,7 +10,7 @@ """ from functools import reduce -import operator, io, logging, itertools +import operator, io, logging from datetime import timedelta from abc import abstractmethod from collections import namedtuple, OrderedDict, Sequence @@ -28,7 +28,7 @@ 'CycleExistsDependency', 'FamilyView', 'TaskView', 'CycleView', 'Slot', 'InputSlot', 'OutputSlot', 'Message', 'Event', 'DataEvent', 'ShellEvent', 'EventDependency', - 'TaskExistsDependency', 'TaskArray', 'TaskElement' ] + 'TaskExistsDependency' ] class Event(dict_eval): pass class DataEvent(Event): pass @@ -42,20 +42,10 @@ class ShellEvent(Event): pass ZERO_DT=timedelta() EMPTY_DICT={} SUITE_SPECIAL_KEYS=set([ 'parent', 'up', 'task_path', 'task_path_var', - 'task_path_str', 'task_path_list', 'this' ]) + 'task_path_str', 'task_path_list' ]) SLOT_SPECIALS = SUITE_SPECIAL_KEYS|set([ 'slot', 'flow', 'actor', 'meta', 'Out', 'Loc']) -def subdict_iter(d): - typecheck('d',d,Mapping) - dkeys=[k for k in d.keys()] - vallist=[v for v in d.values()] - print(f'{d} {dkeys} {vallist}') - piter=itertools.product(*vallist) - dvalues=[p for p in piter] - for j in range(len(dvalues)): - yield dict([i for i in zip(dkeys,dvalues[j])]) - class SuitePath(list): """!Simply a list that can be hashed.""" def __hash__(self): @@ -67,24 +57,12 @@ def __hash__(self): class SuiteView(Mapping): LOCALS=set(['suite','viewed','path','parent','__cache','__globals', '_more_globals']) - def __init__(self,suite,viewed,path,parent, - task_array_dimensions=None, - task_array_indices=None): + def __init__(self,suite,viewed,path,parent): # assert(isinstance(suite,Suite)) # assert(isinstance(viewed,dict_eval)) assert(hasattr(self,'_iter_raw')) assert(isinstance(parent,SuiteView)) assert(not isinstance(viewed,SuiteView)) - if task_array_dimensions: - self.task_array_dimensions=OrderedDict( - task_array_dimensions) - else: - self.task_array_dimensions=OrderedDict() - if task_array_indices: - self.task_array_indices=OrderedDict( - task_array_indices) - else: - self.task_array_indices=OrderedDict() self.suite=suite # if isinstance(viewed,Task) and 'fcst' in '-'.join([str(s) for s in path]): # print(path) @@ -104,7 +82,6 @@ def __init__(self,suite,viewed,path,parent, self.viewed[k]=v if type(self.viewed) in SUITE_CLASS_MAP: self.viewed.up=parent - self.viewed.this=self self.path=SuitePath(path) self.parent=parent self._is_suite_view=True @@ -157,16 +134,6 @@ def __str__(self): s=f'dt=[{self.path[0]}]:'+s return s - def depend(self,string,**kwargs): - for k,v in kwargs.items(): - if not isinstance(v,Sequence): - kwargs[k]=[v] - deps=TRUE_DEPENDENCY - for d in subdict_iter(kwargs): - name=eval(f"f'''{string}'''",self.viewed._globals(),d) - deps = deps & self[name] - return deps - def get_trigger_dep(self): return self.get('Trigger',TRUE_DEPENDENCY) @@ -181,7 +148,6 @@ def child_iter(self): children of this family, yielding a SuiteView of each.""" for var,rawval in self.viewed._raw_child().items(): if var=='up': continue - if var=='this': continue if hasattr(rawval,'_as_dependency'): continue val=self[var] #print(f'Yield {type(val).__name__} for child {var}') @@ -224,16 +190,13 @@ def __getattr__(self,key): def __getitem__(self,key): assert(isinstance(key,str)) if key in self.__cache: return self.__cache[key] - if key not in self.viewed: - raise KeyError(f'{key}: not in {", ".join([k for k in self.keys()])}') + if key not in self.viewed: raise KeyError(key) val=self.viewed[key] if hasattr(val,'_is_suite_view'): return val elif type(val) in SUITE_CLASS_MAP: val=self.__wrap(key,val) - elif isinstance(val,TaskArray): - val=self.__wrap(key,val) elif hasattr(val,'_as_dependency'): locals=multidict(self.parent,self) val=self.__wrap(key,val._as_dependency( @@ -247,8 +210,6 @@ def __wrap(self,key,obj): obj=copy(obj) self.viewed[key]=obj return CycleView(self.suite,obj,self.path[:1],self) - elif isinstance(obj,TaskArray): - return self.__wrap(key,obj._generate(self)) elif type(obj) in SUITE_CLASS_MAP: view_class=SUITE_CLASS_MAP[type(obj)] obj=copy(obj) @@ -282,6 +243,7 @@ def get_alarm(self,default=MISSING): if 'AlarmName' not in self: if default==MISSING: return self.suite.Clock + #print(f'return default {default} {MISSING} {default==MISSING}') return default try: return self.suite.get_alarm_with_name(self.AlarmName) @@ -659,73 +621,43 @@ class Task(Taskable): pass class Family(Taskable): pass class Cycle(dict_eval): pass -class TaskElement(dict_eval): - def _duplicate(self,dimensions,indices): - for more_indices in subdict_iter(dimensions): - child_indices=copy(indices) - child_indices.update(more_indices) - t=Task(self._raw_child(),globals=self._globals()) - t['idx']=dict_eval(child_indices) - name=t.Name - t._path=f'{self._path}.{name}' - yield name,t - -class TaskArray(dict_eval): - def _generate(self,parent_view): - f=Family(self._raw_child(),path=self._path,globals=self._globals()) - dimensions=copy(parent_view.task_array_dimensions) - indices=copy(parent_view.task_array_indices) - child_dimensions=self.Dimensions - dimensions.update(child_dimensions) - for dimname,dimlist in child_dimensions.items(): - if not isinstance(dimlist,Sequence): - raise TypeError(f'{self._path}: dimension {dimname} is not a list (is type {type(dimlist).__name__}).') - for k,v in self._raw_child().items(): - if hasattr(v,'_duplicate'): - for name,content in v._duplicate(child_dimensions,indices): - f[name]=content - else: - f[k]=v - return f - - -# class TaskArray(TaskableGenerator): -# def __init__(self,*args,**kwargs): -# super().init(*args,**kwargs) -# Indices=self.Indices -# varname=Index[0] -# if not isinstance(varname,str): -# raise TypeError('Index first argument should be a string variable ' -# 'name not a %s'%(type(varname.__name__),)) -# values=Index[1] -# if not isinstance(values,Sequence): -# raise TypeError('Index second argument should be a sequence ' -# 'name not a %s'%(type(values.__name__),)) -# self.__instances=[MISSING]*len(values) -# @property -# def index_name(self): -# return self['Index'][0] -# @property -# def index_count(self): -# return len(self['Index'][1]) -# def index_keys(self): -# keys=self['Index'][1] -# for k in keys: yield k -# def index_items(self): -# varname=self.index_name -# keys=self['Index'][1] -# for i in len(keys): -# yield keys[i],self.__for_index(i,varname,key) -# def for_index(self,i): -# if self.__instances[i] is not MISSING: -# return self.__instances[i] -# varname=self.index_name -# keys=self['Index'][1] -# return self.__for_index(i,varname,key) -# def __for_index(self,i,varname,key): -# the_copy=Family(self._raw_child()) -# the_copy[varname]=key +class TaskArray(Taskable): + def __init__(self,*args,**kwargs): + super().init(*args,**kwargs) + Index=self['Index'] + varname=Index[0] + if not isinstance(varname,str): + raise TypeError('Index first argument should be a string variable ' + 'name not a %s'%(type(varname.__name__),)) + values=Index[1] + if not isinstance(values,Sequence): + raise TypeError('Index second argument should be a sequence ' + 'name not a %s'%(type(values.__name__),)) + self.__instances=[MISSING]*len(values) + @property + def index_name(self): + return self['Index'][0] + @property + def index_count(self): + return len(self['Index'][1]) + def index_keys(self): + keys=self['Index'][1] + for k in keys: yield k + def index_items(self): + varname=self.index_name + keys=self['Index'][1] + for i in len(keys): + yield keys[i],self.__for_index(i,varname,key) + def for_index(self,i): + if self.__instances[i] is not MISSING: + return self.__instances[i] + varname=self.index_name + keys=self['Index'][1] + return self.__for_index(i,varname,key) + def __for_index(self,i,varname,key): + the_copy=Family(self._raw_child()) + the_copy[varname]=key SUITE_CLASS_MAP={ Task:TaskView, Family: FamilyView, Event: EventView, DataEvent: EventView, ShellEvent: EventView, - OutputSlot: OutputSlotView, InputSlot:InputSlotView} + OutputSlot: OutputSlotView, InputSlot:InputSlotView } diff --git a/crow/config/to_yaml.py b/crow/config/to_yaml.py index bd000a3..a5e593f 100644 --- a/crow/config/to_yaml.py +++ b/crow/config/to_yaml.py @@ -119,8 +119,6 @@ def representer(dumper,data): add_yaml_taskable(u'!ShellEvent',ShellEvent) add_yaml_taskable(u'!Task',Task) add_yaml_taskable(u'!Family',Family) -add_yaml_taskable(u'!TaskArray',TaskArray) -add_yaml_taskable(u'!TaskElement',TaskElement) add_yaml_taskable(u'!Cycle',Cycle) add_yaml_taskable(u'!Template',Template) diff --git a/examples/taskarray/doit.py b/examples/taskarray/doit.py deleted file mode 100755 index 90848bf..0000000 --- a/examples/taskarray/doit.py +++ /dev/null @@ -1,34 +0,0 @@ -#! /usr/bin/env python3 -f'This script requires Python 3.6 or newer.' - -import os -from crow.metascheduler import to_ecflow -from crow.config import from_file, Suite - -conf=from_file('taskarray.yaml') -suite=Suite(conf.suite) -suite_defs, ecf_files = to_ecflow(suite) - -for defname in suite_defs: - #print(f'=== contents of suite def {defname}\n{suite_defs[defname]}') - filename=defname - print(filename) - dirname=os.path.dirname(filename) - if dirname and not os.path.exists(dirname): - os.makedirs(os.path.dirname(filename)) - with open(filename,'wt') as fd: - fd.write(suite_defs[defname]['def']) - -for setname in ecf_files: - print(f'ecf file set {setname}:\n') - for filename in ecf_files[setname]: - print(f' file {filename}') - dirname=os.path.dirname(filename) - if dirname and not os.path.exists(dirname): - os.makedirs(os.path.dirname(filename)) - with open(filename+".ecf",'wt') as fd: - fd.write(ecf_files[setname][filename]) - - #for line in ecf_files[setname][filename].splitlines(): - #print(f' {line.rstrip()}') - diff --git a/examples/taskarray/my_array/num_n1.ecf b/examples/taskarray/my_array/num_n1.ecf deleted file mode 100644 index b05ce04..0000000 --- a/examples/taskarray/my_array/num_n1.ecf +++ /dev/null @@ -1,13 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P GFS-T2O -#BSUB -J my_array.num_n1 -#BSUB -o my_array.num_n1 -#BSUB -W 0:02 -#BSUB -R rusage[mem=5] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/JGFS_NUM_N1 - -%include diff --git a/examples/taskarray/my_array/num_n2.ecf b/examples/taskarray/my_array/num_n2.ecf deleted file mode 100644 index 5b1c2e2..0000000 --- a/examples/taskarray/my_array/num_n2.ecf +++ /dev/null @@ -1,13 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P GFS-T2O -#BSUB -J my_array.num_n2 -#BSUB -o my_array.num_n2 -#BSUB -W 0:02 -#BSUB -R rusage[mem=5] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/JGFS_NUM_N2 - -%include diff --git a/examples/taskarray/my_array/num_n3.ecf b/examples/taskarray/my_array/num_n3.ecf deleted file mode 100644 index 82702ba..0000000 --- a/examples/taskarray/my_array/num_n3.ecf +++ /dev/null @@ -1,13 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P GFS-T2O -#BSUB -J my_array.num_n3 -#BSUB -o my_array.num_n3 -#BSUB -W 0:02 -#BSUB -R rusage[mem=5] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/JGFS_NUM_N3 - -%include diff --git a/examples/taskarray/my_array/task_n1_la.ecf b/examples/taskarray/my_array/task_n1_la.ecf deleted file mode 100644 index 9043626..0000000 --- a/examples/taskarray/my_array/task_n1_la.ecf +++ /dev/null @@ -1,13 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P GFS-T2O -#BSUB -J my_array.task_n1_la -#BSUB -o my_array.task_n1_la -#BSUB -W 0:02 -#BSUB -R rusage[mem=5] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/JGFS_TASK_N1_La - -%include diff --git a/examples/taskarray/my_array/task_n1_lb.ecf b/examples/taskarray/my_array/task_n1_lb.ecf deleted file mode 100644 index 1c3adb7..0000000 --- a/examples/taskarray/my_array/task_n1_lb.ecf +++ /dev/null @@ -1,13 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P GFS-T2O -#BSUB -J my_array.task_n1_lb -#BSUB -o my_array.task_n1_lb -#BSUB -W 0:02 -#BSUB -R rusage[mem=5] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/JGFS_TASK_N1_Lb - -%include diff --git a/examples/taskarray/my_array/task_n1_lc.ecf b/examples/taskarray/my_array/task_n1_lc.ecf deleted file mode 100644 index b4ff8fb..0000000 --- a/examples/taskarray/my_array/task_n1_lc.ecf +++ /dev/null @@ -1,13 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P GFS-T2O -#BSUB -J my_array.task_n1_lc -#BSUB -o my_array.task_n1_lc -#BSUB -W 0:02 -#BSUB -R rusage[mem=5] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/JGFS_TASK_N1_Lc - -%include diff --git a/examples/taskarray/my_array/task_n2_la.ecf b/examples/taskarray/my_array/task_n2_la.ecf deleted file mode 100644 index e64b51f..0000000 --- a/examples/taskarray/my_array/task_n2_la.ecf +++ /dev/null @@ -1,13 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P GFS-T2O -#BSUB -J my_array.task_n2_la -#BSUB -o my_array.task_n2_la -#BSUB -W 0:02 -#BSUB -R rusage[mem=5] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/JGFS_TASK_N2_La - -%include diff --git a/examples/taskarray/my_array/task_n2_lb.ecf b/examples/taskarray/my_array/task_n2_lb.ecf deleted file mode 100644 index 0236981..0000000 --- a/examples/taskarray/my_array/task_n2_lb.ecf +++ /dev/null @@ -1,13 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P GFS-T2O -#BSUB -J my_array.task_n2_lb -#BSUB -o my_array.task_n2_lb -#BSUB -W 0:02 -#BSUB -R rusage[mem=5] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/JGFS_TASK_N2_Lb - -%include diff --git a/examples/taskarray/my_array/task_n2_lc.ecf b/examples/taskarray/my_array/task_n2_lc.ecf deleted file mode 100644 index ee31e68..0000000 --- a/examples/taskarray/my_array/task_n2_lc.ecf +++ /dev/null @@ -1,13 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P GFS-T2O -#BSUB -J my_array.task_n2_lc -#BSUB -o my_array.task_n2_lc -#BSUB -W 0:02 -#BSUB -R rusage[mem=5] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/JGFS_TASK_N2_Lc - -%include diff --git a/examples/taskarray/my_array/task_n3_la.ecf b/examples/taskarray/my_array/task_n3_la.ecf deleted file mode 100644 index fb6fd2c..0000000 --- a/examples/taskarray/my_array/task_n3_la.ecf +++ /dev/null @@ -1,13 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P GFS-T2O -#BSUB -J my_array.task_n3_la -#BSUB -o my_array.task_n3_la -#BSUB -W 0:02 -#BSUB -R rusage[mem=5] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/JGFS_TASK_N3_La - -%include diff --git a/examples/taskarray/my_array/task_n3_lb.ecf b/examples/taskarray/my_array/task_n3_lb.ecf deleted file mode 100644 index 8984d10..0000000 --- a/examples/taskarray/my_array/task_n3_lb.ecf +++ /dev/null @@ -1,13 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P GFS-T2O -#BSUB -J my_array.task_n3_lb -#BSUB -o my_array.task_n3_lb -#BSUB -W 0:02 -#BSUB -R rusage[mem=5] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/JGFS_TASK_N3_Lb - -%include diff --git a/examples/taskarray/my_array/task_n3_lc.ecf b/examples/taskarray/my_array/task_n3_lc.ecf deleted file mode 100644 index 7949920..0000000 --- a/examples/taskarray/my_array/task_n3_lc.ecf +++ /dev/null @@ -1,13 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P GFS-T2O -#BSUB -J my_array.task_n3_lc -#BSUB -o my_array.task_n3_lc -#BSUB -W 0:02 -#BSUB -R rusage[mem=5] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/JGFS_TASK_N3_Lc - -%include diff --git a/examples/taskarray/my_array/tusk_n1_la.ecf b/examples/taskarray/my_array/tusk_n1_la.ecf deleted file mode 100644 index 1da423f..0000000 --- a/examples/taskarray/my_array/tusk_n1_la.ecf +++ /dev/null @@ -1,13 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P GFS-T2O -#BSUB -J my_array.tusk_n1_la -#BSUB -o my_array.tusk_n1_la -#BSUB -W 0:02 -#BSUB -R rusage[mem=5] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/JGFS_TUSK_N1_La - -%include diff --git a/examples/taskarray/my_array/tusk_n1_lb.ecf b/examples/taskarray/my_array/tusk_n1_lb.ecf deleted file mode 100644 index eec1b28..0000000 --- a/examples/taskarray/my_array/tusk_n1_lb.ecf +++ /dev/null @@ -1,13 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P GFS-T2O -#BSUB -J my_array.tusk_n1_lb -#BSUB -o my_array.tusk_n1_lb -#BSUB -W 0:02 -#BSUB -R rusage[mem=5] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/JGFS_TUSK_N1_Lb - -%include diff --git a/examples/taskarray/my_array/tusk_n1_lc.ecf b/examples/taskarray/my_array/tusk_n1_lc.ecf deleted file mode 100644 index 7620fe2..0000000 --- a/examples/taskarray/my_array/tusk_n1_lc.ecf +++ /dev/null @@ -1,13 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P GFS-T2O -#BSUB -J my_array.tusk_n1_lc -#BSUB -o my_array.tusk_n1_lc -#BSUB -W 0:02 -#BSUB -R rusage[mem=5] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/JGFS_TUSK_N1_Lc - -%include diff --git a/examples/taskarray/my_array/tusk_n2_la.ecf b/examples/taskarray/my_array/tusk_n2_la.ecf deleted file mode 100644 index 13e44d2..0000000 --- a/examples/taskarray/my_array/tusk_n2_la.ecf +++ /dev/null @@ -1,13 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P GFS-T2O -#BSUB -J my_array.tusk_n2_la -#BSUB -o my_array.tusk_n2_la -#BSUB -W 0:02 -#BSUB -R rusage[mem=5] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/JGFS_TUSK_N2_La - -%include diff --git a/examples/taskarray/my_array/tusk_n2_lb.ecf b/examples/taskarray/my_array/tusk_n2_lb.ecf deleted file mode 100644 index a783187..0000000 --- a/examples/taskarray/my_array/tusk_n2_lb.ecf +++ /dev/null @@ -1,13 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P GFS-T2O -#BSUB -J my_array.tusk_n2_lb -#BSUB -o my_array.tusk_n2_lb -#BSUB -W 0:02 -#BSUB -R rusage[mem=5] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/JGFS_TUSK_N2_Lb - -%include diff --git a/examples/taskarray/my_array/tusk_n2_lc.ecf b/examples/taskarray/my_array/tusk_n2_lc.ecf deleted file mode 100644 index fee6924..0000000 --- a/examples/taskarray/my_array/tusk_n2_lc.ecf +++ /dev/null @@ -1,13 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P GFS-T2O -#BSUB -J my_array.tusk_n2_lc -#BSUB -o my_array.tusk_n2_lc -#BSUB -W 0:02 -#BSUB -R rusage[mem=5] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/JGFS_TUSK_N2_Lc - -%include diff --git a/examples/taskarray/my_array/tusk_n3_la.ecf b/examples/taskarray/my_array/tusk_n3_la.ecf deleted file mode 100644 index 27cb743..0000000 --- a/examples/taskarray/my_array/tusk_n3_la.ecf +++ /dev/null @@ -1,13 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P GFS-T2O -#BSUB -J my_array.tusk_n3_la -#BSUB -o my_array.tusk_n3_la -#BSUB -W 0:02 -#BSUB -R rusage[mem=5] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/JGFS_TUSK_N3_La - -%include diff --git a/examples/taskarray/my_array/tusk_n3_lb.ecf b/examples/taskarray/my_array/tusk_n3_lb.ecf deleted file mode 100644 index a179c34..0000000 --- a/examples/taskarray/my_array/tusk_n3_lb.ecf +++ /dev/null @@ -1,13 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P GFS-T2O -#BSUB -J my_array.tusk_n3_lb -#BSUB -o my_array.tusk_n3_lb -#BSUB -W 0:02 -#BSUB -R rusage[mem=5] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/JGFS_TUSK_N3_Lb - -%include diff --git a/examples/taskarray/my_array/tusk_n3_lc.ecf b/examples/taskarray/my_array/tusk_n3_lc.ecf deleted file mode 100644 index 7dd7537..0000000 --- a/examples/taskarray/my_array/tusk_n3_lc.ecf +++ /dev/null @@ -1,13 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P GFS-T2O -#BSUB -J my_array.tusk_n3_lc -#BSUB -o my_array.tusk_n3_lc -#BSUB -W 0:02 -#BSUB -R rusage[mem=5] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/JGFS_TUSK_N3_Lc - -%include diff --git a/examples/taskarray/prod00.def b/examples/taskarray/prod00.def deleted file mode 100644 index e4ef350..0000000 --- a/examples/taskarray/prod00.def +++ /dev/null @@ -1,61 +0,0 @@ -suite prod00 - task simple_task - endtask - family my_array - trigger ./simple_task == complete - task task_n1_la - endtask - task task_n1_lb - endtask - task task_n1_lc - endtask - task task_n2_la - endtask - task task_n2_lb - endtask - task task_n2_lc - endtask - task task_n3_la - endtask - task task_n3_lb - endtask - task task_n3_lc - endtask - task tusk_n1_la - trigger ./task_n1_la == complete - endtask - task tusk_n1_lb - trigger ./task_n1_lb == complete - endtask - task tusk_n1_lc - trigger ./task_n1_lc == complete - endtask - task tusk_n2_la - trigger ./task_n2_la == complete - endtask - task tusk_n2_lb - trigger ./task_n2_lb == complete - endtask - task tusk_n2_lc - trigger ./task_n2_lc == complete - endtask - task tusk_n3_la - trigger ./task_n3_la == complete - endtask - task tusk_n3_lb - trigger ./task_n3_lb == complete - endtask - task tusk_n3_lc - trigger ./task_n3_lc == complete - endtask - task num_n1 - trigger ./task_n1_la == complete and ./task_n1_lb == complete and ./task_n1_lc == complete - endtask - task num_n2 - trigger ./task_n2_la == complete and ./task_n2_lb == complete and ./task_n2_lc == complete - endtask - task num_n3 - trigger ./task_n3_la == complete and ./task_n3_lb == complete and ./task_n3_lc == complete - endtask - endfamily -endsuite diff --git a/examples/taskarray/prod06.def b/examples/taskarray/prod06.def deleted file mode 100644 index ed0c6ad..0000000 --- a/examples/taskarray/prod06.def +++ /dev/null @@ -1,61 +0,0 @@ -suite prod06 - task simple_task - endtask - family my_array - trigger ./simple_task == complete - task task_n1_la - endtask - task task_n1_lb - endtask - task task_n1_lc - endtask - task task_n2_la - endtask - task task_n2_lb - endtask - task task_n2_lc - endtask - task task_n3_la - endtask - task task_n3_lb - endtask - task task_n3_lc - endtask - task tusk_n1_la - trigger ./task_n1_la == complete - endtask - task tusk_n1_lb - trigger ./task_n1_lb == complete - endtask - task tusk_n1_lc - trigger ./task_n1_lc == complete - endtask - task tusk_n2_la - trigger ./task_n2_la == complete - endtask - task tusk_n2_lb - trigger ./task_n2_lb == complete - endtask - task tusk_n2_lc - trigger ./task_n2_lc == complete - endtask - task tusk_n3_la - trigger ./task_n3_la == complete - endtask - task tusk_n3_lb - trigger ./task_n3_lb == complete - endtask - task tusk_n3_lc - trigger ./task_n3_lc == complete - endtask - task num_n1 - trigger ./task_n1_la == complete and ./task_n1_lb == complete and ./task_n1_lc == complete - endtask - task num_n2 - trigger ./task_n2_la == complete and ./task_n2_lb == complete and ./task_n2_lc == complete - endtask - task num_n3 - trigger ./task_n3_la == complete and ./task_n3_lb == complete and ./task_n3_lc == complete - endtask - endfamily -endsuite diff --git a/examples/taskarray/prod12.def b/examples/taskarray/prod12.def deleted file mode 100644 index bd11980..0000000 --- a/examples/taskarray/prod12.def +++ /dev/null @@ -1,61 +0,0 @@ -suite prod12 - task simple_task - endtask - family my_array - trigger ./simple_task == complete - task task_n1_la - endtask - task task_n1_lb - endtask - task task_n1_lc - endtask - task task_n2_la - endtask - task task_n2_lb - endtask - task task_n2_lc - endtask - task task_n3_la - endtask - task task_n3_lb - endtask - task task_n3_lc - endtask - task tusk_n1_la - trigger ./task_n1_la == complete - endtask - task tusk_n1_lb - trigger ./task_n1_lb == complete - endtask - task tusk_n1_lc - trigger ./task_n1_lc == complete - endtask - task tusk_n2_la - trigger ./task_n2_la == complete - endtask - task tusk_n2_lb - trigger ./task_n2_lb == complete - endtask - task tusk_n2_lc - trigger ./task_n2_lc == complete - endtask - task tusk_n3_la - trigger ./task_n3_la == complete - endtask - task tusk_n3_lb - trigger ./task_n3_lb == complete - endtask - task tusk_n3_lc - trigger ./task_n3_lc == complete - endtask - task num_n1 - trigger ./task_n1_la == complete and ./task_n1_lb == complete and ./task_n1_lc == complete - endtask - task num_n2 - trigger ./task_n2_la == complete and ./task_n2_lb == complete and ./task_n2_lc == complete - endtask - task num_n3 - trigger ./task_n3_la == complete and ./task_n3_lb == complete and ./task_n3_lc == complete - endtask - endfamily -endsuite diff --git a/examples/taskarray/prod18.def b/examples/taskarray/prod18.def deleted file mode 100644 index 201ec92..0000000 --- a/examples/taskarray/prod18.def +++ /dev/null @@ -1,61 +0,0 @@ -suite prod18 - task simple_task - endtask - family my_array - trigger ./simple_task == complete - task task_n1_la - endtask - task task_n1_lb - endtask - task task_n1_lc - endtask - task task_n2_la - endtask - task task_n2_lb - endtask - task task_n2_lc - endtask - task task_n3_la - endtask - task task_n3_lb - endtask - task task_n3_lc - endtask - task tusk_n1_la - trigger ./task_n1_la == complete - endtask - task tusk_n1_lb - trigger ./task_n1_lb == complete - endtask - task tusk_n1_lc - trigger ./task_n1_lc == complete - endtask - task tusk_n2_la - trigger ./task_n2_la == complete - endtask - task tusk_n2_lb - trigger ./task_n2_lb == complete - endtask - task tusk_n2_lc - trigger ./task_n2_lc == complete - endtask - task tusk_n3_la - trigger ./task_n3_la == complete - endtask - task tusk_n3_lb - trigger ./task_n3_lb == complete - endtask - task tusk_n3_lc - trigger ./task_n3_lc == complete - endtask - task num_n1 - trigger ./task_n1_la == complete and ./task_n1_lb == complete and ./task_n1_lc == complete - endtask - task num_n2 - trigger ./task_n2_la == complete and ./task_n2_lb == complete and ./task_n2_lc == complete - endtask - task num_n3 - trigger ./task_n3_la == complete and ./task_n3_lb == complete and ./task_n3_lc == complete - endtask - endfamily -endsuite diff --git a/examples/taskarray/simple_task.ecf b/examples/taskarray/simple_task.ecf deleted file mode 100644 index b650495..0000000 --- a/examples/taskarray/simple_task.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P GFS-T2O -#BSUB -J simple_task -#BSUB -o simple_task -#BSUB -W 0:02 -#BSUB -R rusage[mem=5] -#BSUB -extsched CRAYLINUX[] -export NODES=2 -%include -echo ${JOBgfs}/JGFS_TASK23 -%include diff --git a/examples/taskarray/taskarray.yaml b/examples/taskarray/taskarray.yaml deleted file mode 100644 index f143e70..0000000 --- a/examples/taskarray/taskarray.yaml +++ /dev/null @@ -1,96 +0,0 @@ -resources: &resources - - exe: placeholder - OMP_NUM_THREADS: 4 - mpi_ranks: 12 - walltime: 00:02:00 - memory: "5M" - -ecf_file_template: &ecf_file_template !expand | - #! /bin/sh - {sched.batch_accounting(doc.accounting,jobname=task_path_var,outerr=task_path_var) - }{sched.batch_resources(resources)} - %include - echo ${{JOBgfs}}/{J_JOB} - %include - -scheduler_settings: - name: LSFAlps - physical_cores_per_node: 24 - logical_cpus_per_core: 2 - hyperthreading_allowed: true - -scheduler: !calc | - tools.get_scheduler(doc.scheduler_settings.name, - doc.scheduler_settings) - -accounting: - queue: '%QUEUE%' - project: GFS-T2O - -suite: !Cycle - Clock: !Clock - start: 2018-01-01T18:00:00 - end: 2018-01-02T18:00:00 - step: !timedelta "6:00:00" - - Alarms: - twelve_hourly: !Clock - start: 2018-01-01T00:00:00 - end: 2018-01-02T12:00:00 - step: !timedelta "12:00:00" - zero_utc: !Clock - start: 2018-01-01T00:00:00 - end: 2018-01-02T00:00:00 - step: !timedelta "24:00:00" - - ecFlow: - suite_def_filename: "prod%H.def" - suite_name: "prod%H" - scheduler: !calc doc.scheduler - - simple_task: !Task - resources: *resources - ecf_file: *ecf_file_template - J_JOB: JGFS_TASK23 - - my_array: !TaskArray - Trigger: !Depend simple_task - Dimensions: - NUMBER: [ 1, 2, 3 ] - LETTER: [ a, b, c ] - - one_task: !TaskElement - Name: !expand 'task_n{idx.NUMBER}_l{idx.LETTER}' - ecflow_def: | - edit NUMBER '{Index.NUMBER}' - edit LETTER '{Index.LETTER}' - resources: *resources - ecf_file: *ecf_file_template - J_JOB: !expand | - JGFS_TASK_N{idx.NUMBER}_L{idx.LETTER} - Foreach: [ NUMBER, LETTER ] - - two_task: !TaskElement - Name: !expand 'tusk_n{idx.NUMBER}_l{idx.LETTER}' - ecflow_def: | - edit NUMBER '{Index.NUMBER}' - edit LETTER '{Index.LETTER}' - resources: *resources - ecf_file: *ecf_file_template - J_JOB: !expand | - JGFS_TUSK_N{idx.NUMBER}_L{idx.LETTER} - Foreach: [ NUMBER, LETTER ] - Trigger: !Depend this.depend("task_n{N}_l{L}",N=idx.NUMBER,L=idx.LETTER) - #Trigger: !Depend this.get(f"task_n{idx.NUMBER}_l{idx.LETTER}") - # Trigger: !Depend locals()[] - - num_task: !TaskElement - Name: !expand 'num_n{idx.NUMBER}' - ecflow_def: | - edit NUMBER '{Index.NUMBER}' - resources: *resources - Trigger: !Depend this.depend("task_n{N}_l{L}",N=idx.NUMBER,L=Dimensions.LETTER) - ecf_file: *ecf_file_template - J_JOB: !expand | - JGFS_NUM_N{idx.NUMBER} - Foreach: [ NUMBER ] From daba5fe6120882121853ce0eb9337333b54c3232 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 8 Feb 2018 15:40:34 +0000 Subject: [PATCH 302/487] Revert "Add the capability of having different tasks in different cycles. This is accomplished by defining "alarms" which are set to go off at specific cycles. Families and tasks can be given alarms so that they'll be used, or not, at various cycles. This is done by making a graph of the cycles and removing parts of the graph that are not reachable. That removal includes any tasks that would not be run due to dependencies being unmet." This reverts commit 4989e0e45f4ce635e1b5f025d0a97b1ab5f5a6d4. --- crow/config/__init__.py | 5 +- crow/config/tasks.py | 58 +---- crow/metascheduler/ecflow.py | 233 +++++++++--------- crow/metascheduler/graph.py | 162 ------------ crow/metascheduler/rocoto.py | 2 +- .../metascheduler/{algebra.py => simplify.py} | 46 +--- crow/tools.py | 69 +----- examples/ecflow/ecftest.py | 2 +- examples/ecflow/ecftest.yaml | 30 +-- examples/ecflow/family1/task11.ecf | 1 + examples/ecflow/family2/family3/task31.ecf | 12 - examples/ecflow/family2/family3/task32.ecf | 12 - examples/ecflow/family2/family3/task33.ecf | 12 - examples/ecflow/prod00.def | 19 +- examples/ecflow/prod06.def | 19 +- examples/ecflow/prod12.def | 25 +- examples/ecflow/prod18.def | 21 +- examples/ecflow/task0.ecf | 4 +- 18 files changed, 206 insertions(+), 526 deletions(-) delete mode 100644 crow/metascheduler/graph.py rename crow/metascheduler/{algebra.py => simplify.py} (78%) delete mode 100644 examples/ecflow/family2/family3/task31.ecf delete mode 100644 examples/ecflow/family2/family3/task32.ecf delete mode 100644 examples/ecflow/family2/family3/task33.ecf diff --git a/crow/config/__init__.py b/crow/config/__init__.py index 911ade0..78f7fa2 100644 --- a/crow/config/__init__.py +++ b/crow/config/__init__.py @@ -10,7 +10,7 @@ Taskable, Task, Family, Cycle, LogicalDependency, SuiteView, \ RUNNING, COMPLETED, FAILED, TRUE_DEPENDENCY, FALSE_DEPENDENCY, \ CycleExistsDependency, InputSlot, OutputSlot, EventDependency, \ - Event, DataEvent, ShellEvent, TaskExistsDependency + Event, DataEvent, ShellEvent from .to_yaml import to_yaml from .eval_tools import invalidate_cache from .eval_tools import evaluate_immediates as _evaluate_immediates @@ -20,8 +20,7 @@ 'TaskStateAnd', 'TaskStateOr', 'TaskStateNot', 'TaskStateIs', 'Taskable', 'Task', 'Family', 'CycleAt', 'CycleTime', 'Cycle', 'Trigger', 'Depend', 'Timespec', 'SuitePath', 'ShellEvent', 'Event', - 'DataEvent', 'CycleExistsDependency', 'validate', 'EventDependency', - 'TaskExistsDependency' ] + 'DataEvent', 'CycleExistsDependency', 'validate', 'EventDependency' ] def to_py(obj): return obj._to_py() if hasattr(obj,'_to_py') else obj diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 72580ec..8d4ae6e 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -18,7 +18,7 @@ from copy import copy, deepcopy from crow.config.exceptions import * from crow.config.eval_tools import dict_eval, strcalc, multidict, from_config -from crow.tools import to_timedelta, typecheck, NamedConstant, MISSING +from crow.tools import to_timedelta, typecheck __all__=[ 'SuiteView', 'Suite', 'Depend', 'LogicalDependency', 'AndDependency', 'OrDependency', 'NotDependency', @@ -27,17 +27,22 @@ 'TRUE_DEPENDENCY', 'FALSE_DEPENDENCY', 'SuitePath', 'CycleExistsDependency', 'FamilyView', 'TaskView', 'CycleView', 'Slot', 'InputSlot', 'OutputSlot', 'Message', - 'Event', 'DataEvent', 'ShellEvent', 'EventDependency', - 'TaskExistsDependency' ] + 'Event', 'DataEvent', 'ShellEvent', 'EventDependency' ] class Event(dict_eval): pass class DataEvent(Event): pass class ShellEvent(Event): pass -RUNNING=NamedConstant('RUNNING') -COMPLETED=NamedConstant('COMPLETED') -FAILED=NamedConstant('FAILED') +class StateConstant(object): + def __init__(self,name): + self.name=name + def __repr__(self): return self.name + def __str__(self): return self.name +RUNNING=StateConstant('RUNNING') +COMPLETED=StateConstant('COMPLETED') +FAILED=StateConstant('FAILED') _logger=logging.getLogger('crow.config') +MISSING=object() VALID_STATES=[ 'RUNNING', 'FAILED', 'COMPLETED' ] ZERO_DT=timedelta() EMPTY_DICT={} @@ -236,19 +241,6 @@ def is_failed(self): return StateDependency(self,FAILED) def is_completed(self): return StateDependency(self,COMPLETED) - def exists(self): - return TaskExistsDependency(self) - - def get_alarm(self,default=MISSING): - if 'AlarmName' not in self: - if default==MISSING: - return self.suite.Clock - #print(f'return default {default} {MISSING} {default==MISSING}') - return default - try: - return self.suite.get_alarm_with_name(self.AlarmName) - except KeyError as ke: - raise ValueError(f'{self.task_path_var}: no alarm with name {self.AlarmName} in suite.') class EventView(SuiteView): pass @@ -318,9 +310,8 @@ def is_failed(self): raise TypeError('data cannot run') def is_completed(self): raise TypeError('data cannot run') class CycleView(SuiteView): pass -class TaskableView(SuiteView): pass -class TaskView(TaskableView): pass -class FamilyView(TaskableView): pass +class TaskView(SuiteView): pass +class FamilyView(SuiteView): pass class InputSlotView(SlotView): def get_output_slot(self,meta): result=self.viewed._raw('Out') @@ -361,8 +352,6 @@ def update_globals(self,*args,**kwargs): globals=self.viewed._get_globals() globals.update(*args,**kwargs) self.viewed._recursively_set_globals(globals) - def get_alarm_with_name(self,alarm_name): - return self["Alarms"][alarm_name] class Message(str): def _as_dependency(self,globals,locals,path): @@ -410,9 +399,6 @@ def __or__(self,other): dep=as_dependency(other) if dep is NotImplemented: raise TypeError(other) return OrDependency(self,dep) - def __iter__(self): - return - yield self # ensure this is an iterator. @abstractmethod def copy_dependencies(self): pass @abstractmethod @@ -505,24 +491,6 @@ def copy_dependencies(self): return CycleExistsDependency(self.dt) def __eq__(self,other): return isinstance(other,CycleExistsDependency) and self.dt==other.dt -class TaskExistsDependency(LogicalDependency): - def __init__(self,view): - typecheck('view',view,TaskableView,'Task or Tamily') - self.view=view - @property - def path(self): return self.view.path - def is_task(self): return self.view.is_task() - def __hash__(self): return hash(self.view.path) - def copy_dependencies(self): return TaskExistsDependency(self.view) - def add_time(self,dt): - self.view=copy(self.view) - self.view.path[0]+=dt - def __repr__(self): - return f'/{"/".join([str(s) for s in self.view.path])} exists' - def __eq__(self,other): - return isinstance(other,StateDependency) \ - and other.view.path==self.view.path - class StateDependency(LogicalDependency): def __init__(self,view,state): if state not in [ COMPLETED, RUNNING, FAILED ]: diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py index cec197b..4decd8d 100644 --- a/crow/metascheduler/ecflow.py +++ b/crow/metascheduler/ecflow.py @@ -5,9 +5,8 @@ import crow.tools from copy import copy -from crow.tools import to_timedelta, typecheck, ZERO_DT -from crow.metascheduler.algebra import simplify, assume -from crow.metascheduler.graph import Graph +from crow.tools import to_timedelta, typecheck +from crow.metascheduler.simplify import simplify from crow.config import SuiteView, Suite, Depend, LogicalDependency, \ AndDependency, OrDependency, NotDependency, \ StateDependency, Dependable, Taskable, Task, \ @@ -56,6 +55,20 @@ def undate_path(relative_time,format,suite_path,undated): return result,True return suite_path,False +def remove_cyc_exist(task,dep,clock,undated): + assert(isinstance(undated,OrderedDict)) + typecheck('dep',dep,LogicalDependency) + if isinstance(dep,CycleExistsDependency): + if dep.dt in clock: + return TRUE_DEPENDENCY + return FALSE_DEPENDENCY + if isinstance(dep,AndDependency) or isinstance(dep,OrDependency): + return type(dep)( *[ + remove_cyc_exist(task,d,clock,undated) for d in dep ]) + if isinstance(dep,NotDependency): + return NotDependency(remove_cyc_exist(task,dep.depend,clock,undated)) + return dep + def convert_state_dep(fd,task,dep,clock,time_format,negate,undated): assert(isinstance(undated,OrderedDict)) typecheck('clock',clock,crow.tools.Clock) @@ -77,7 +90,7 @@ def convert_event_dep(fd,task,dep_path,event_name,clock,time_format,negate,undat undated[rel_path]=1 fd.write(f'{rel_path}:{event_name}{" is clear" if negate else ""}') -def dep_to_ecflow(fd,task,dep,clock,time_format,undated): +def _convert_dep(fd,task,dep,clock,time_format,undated): assert(isinstance(undated,OrderedDict)) first=True if isinstance(dep,OrDependency): @@ -85,13 +98,13 @@ def dep_to_ecflow(fd,task,dep,clock,time_format,undated): if not first: fd.write(' or ') first=False - dep_to_ecflow(fd,task,subdep,clock,time_format,undated) + _convert_dep(fd,task,subdep,clock,time_format,undated) elif isinstance(dep,AndDependency): for subdep in dep: if not first: fd.write(' and ') first=False - dep_to_ecflow(fd,task,subdep,clock,time_format,undated) + _convert_dep(fd,task,subdep,clock,time_format,undated) elif isinstance(dep,NotDependency): fd.write('not ') if isinstance(dep.depend,StateDependency): @@ -102,13 +115,24 @@ def dep_to_ecflow(fd,task,dep,clock,time_format,undated): dep.event.path[-1],clock,time_format,True, undated) else: - dep_to_ecflow(fd,task,dep.depend,clock,time_format,undated) + _convert_dep(fd,task,dep.depend,undated) elif isinstance(dep,StateDependency): convert_state_dep(fd,task,dep,clock,time_format,False,undated) elif isinstance(dep,EventDependency): convert_event_dep(fd,task,dep.event.path[:-1], dep.event.path[-1],clock,time_format,False,undated) +def dep_to_ecflow(fd,task,dep,clock,time_format,undated): + assert(isinstance(undated,OrderedDict)) + # Walk the tree, removing CycleExistsDependency objects: + dep=remove_cyc_exist(task,dep,clock,undated) + + # Apply boolean algebra simplification algorithms. This will + # remove the true/false dependencies added by remove_cyc_exist. + dep=simplify(dep) + + _convert_dep(fd,task,dep,clock,time_format,undated) + class ToEcflow(object): def __init__(self,suite): if not isinstance(suite,Suite): @@ -133,109 +157,83 @@ def __init__(self,suite): self.settings=self.suite.ecFlow self.indent=self.settings.get('indent',' ') self.sched=scheduler - self.clock=copy(self.suite.Clock) + self.clock=None self.undated=OrderedDict() - self.graph=Graph(self.suite,self.clock) - if 'cycles_to_generate' in self.suite.ecFlow: - self.cycles_to_generate=self.suite.ecFlow.cycles_to_generate - else: - self.cycles_to_generate=copy(self.clock) - - def _select_cycle(self,cycle): - invalidate_cache(self.suite,recurse=True) - self.suite.Clock.now = cycle - - def _foreach_cycle(self): - """!Iterates over all cycles, ensuring self.suite is correctly set up - to handle a cycle within during each iteration.""" - clock=copy(self.suite.Clock) - # Cannot iterate over self.suite.Clock because - # self.suite.Clock is not a Clock. It is an object that - # generates a Clock. Hence, invalidate_cache causes a new - # clock to be generated. - for clock in clock.iternow(): - self._select_cycle(clock.now) - yield clock.now - - def _initialize_graph(self): - self._populate_job_graph() - self._simplify_job_graph() - - def _populate_job_graph(self): - for cycle in self._foreach_cycle(): - self.graph.add_cycle(cycle) - - def _simplify_job_graph(self): - for cycle in self._foreach_cycle(): - self.graph.simplify_cycle(cycle) + self.suite_name=None - def _walk_job_graph(self,cycle,skip_fun=None,enter_fun=None,exit_fun=None): - self._select_cycle(cycle) - for node in self.graph.depth_first_traversal( - cycle,skip_fun,enter_fun,exit_fun): - yield node - - def _make_suite_def(self,cycle): - clock=self.suite.Clock - suite_name=cycle.strftime(self.suite.ecFlow.suite_name) - undated=OrderedDict() - sio=StringIO() - sio.write(f'suite {suite_name}\n') - if 'ecflow_def' in self.suite: - for line in self.suite.ecflow_def.splitlines(): - sio.write(f'{self.indent}{line.rstrip()}\n') - - def exit_fun(node): - indent=max(0,len(node.path)-1)*self.indent - nodetype='task' if node.is_task() else 'family' - sio.write(f'{indent}end{nodetype}\n') - - def skip_fun(node): - return not node.might_complete() - - for node in self._walk_job_graph(cycle,skip_fun=skip_fun,exit_fun=exit_fun): - if 'ecflow_def' in node: - for line in node.ecflow_def.splitlines(): - sio.write(f'{indent}{line.rstrip()}\n') - - indent0=max(0,len(node.path)-1)*self.indent - indent1=max(0,len(node.path))*self.indent - nodetype='task' if node.is_task() else 'family' - sio.write(f'{indent0}{nodetype} {node.path[-1]}\n') + #################################################################### + + # ecflow suite definition generation + + def _add_ecflow_def_meat(self,fd,node,indent): + ecflow_def_more=node.get('ecflow_def','') + if ecflow_def_more: + for line in str(node.get('ecflow_def','')).splitlines(): + fd.write(f'{indent}{line.rstrip()}\n') + if 'Trigger' in node: + typecheck(node.task_path_var+'.Trigger',node.Trigger, + LogicalDependency,'!Depend') + fd.write(f'{indent}trigger ') + ecdep=dep_to_ecflow( + fd,node,node.Trigger, + self.suite.Clock,self.suite.ecFlow.suite_name,self.undated) + fd.write('\n') + if 'Complete' in node: + typecheck(node.task_path_var+'.Complete',node.Complete, + LogicalDependency,'!Depend') + fd.write(f'{indent}complete ') + ecdep=dep_to_ecflow( + fd,node,node.Complete, + self.suite.Clock,self.suite.ecFlow.suite_name,self.undated) + fd.write('\n') + if 'Time' in node: + typecheck(node.task_path_var+'.Time',node.Time, + datetime.timedelta,'!timedelta') + dt=to_timedelta(node.Time) + when=self.suite.Clock.now+dt + #ecdate=when.strftime('%d.%m.%Y') + ectime=when.strftime('%H:%M') + fd.write(f'{indent}time {ectime}\n') + #fd.write(f'{indent}date {ecdate}\n{indent}time {ectime}\n') - if node.trigger not in [FALSE_DEPENDENCY,TRUE_DEPENDENCY]: - sio.write(f'{indent1}trigger ') - dep_to_ecflow(sio,node,node.trigger,clock,suite_name,undated) - sio.write('\n') - if node.complete not in [FALSE_DEPENDENCY,TRUE_DEPENDENCY]: - sio.write(f'{indent1}complete ') - dep_to_ecflow(sio,node,node.complete,clock,suite_name,undated) - sio.write('\n') - if node.time>ZERO_DT: - ectime=when.strftime('%H:%M') - sio.write(f'{indent1}time {ectime}\n') - - event_number=1 - if node.is_task(): - for item in node.view.child_iter(): - if item.is_event(): - sio.write(f'{indent1} event {event_number} ' - f'{item.path[-1]}\n') + def _make_task_def(self,fd,task): + indent=max(0,len(task.path)-1)*self.indent + fd.write(f'{indent}task {task.path[-1]}\n') + event_number=1 + for item in task.child_iter(): + if item.is_event(): + fd.write(f'{indent} event {event_number} {item.path[-1]}\n') event_number+=1 - - sio.write('endsuite\n') - suite_def_without_externs=sio.getvalue() - sio.close() - sio=StringIO() - if undated: - for d in undated.keys(): - sio.write(f'extern {d}\n') - sio.write(suite_def_without_externs) - suite_def=sio.getvalue() - sio.close() - else: - suite_def=suite_def_without_externs - return suite_name, suite_def + self._add_ecflow_def_meat(fd,task,indent+self.indent) + fd.write(f'{indent}endtask\n') + + def _make_family_def(self,fd,family): + indent=max(0,len(family.path)-1)*self.indent + fd.write(f'{indent}family {family.path[-1]}\n') + self._add_ecflow_def_meat(fd,family,indent+self.indent) + for item in family.child_iter(): + if item.is_task(): + self._make_task_def(fd,item) + elif item.is_family(): + self._make_family_def(fd,item) + fd.write(f'{indent}endfamily\n') + + def _make_suite_def_for_one_cycle(self,fd): + fd.write(f'suite {self.suite_name}\n') + if 'ecflow_def' in self.suite: + for line in self.suite.ecflow_def.splitlines(): + fd.write(f'{self.indent}{line.rstrip()}\n') + for item in self.suite.child_iter(): + if item.is_task(): + self._make_task_def(fd,item) + elif item.is_family(): + self._make_family_def(fd,item) + fd.write('endsuite\n') + return self.suite_name + + def _make_externs(self,fd): + for d in self.undated.keys(): + fd.write(f'extern {d}\n') #################################################################### @@ -276,18 +274,27 @@ def _make_ecf_files_for_one_cycle(self,ecf_files): def to_ecflow(self): suite_def_files=dict() ecf_files=collections.defaultdict(dict) - self._initialize_graph() - for cycle in self._foreach_cycle(): + clock=copy(self.suite.Clock) + # Cannot iterate over self.suite.Clock because + # self.suite.Clock is not a CLock. It is an object that + # generates a Clock. Hence, invalidate_cache causes a new + # clock to be generated. + for clock in clock.iternow(): + invalidate_cache(self.suite,recurse=True) + self.suite.Clock.now = clock.now # Figure our where we are making the suite definition file: - filename=cycle.strftime(self.suite.ecFlow.suite_def_filename) + filename=clock.now.strftime(self.suite.ecFlow.suite_def_filename) if filename in suite_def_files: # We already processed a cycle whose suite definition # is the same as this one's. Skip. continue - suite_name, suite_def = self._make_suite_def(cycle) - assert(isinstance(suite_name,str)) - assert(isinstance(suite_def,str)) - suite_def_files[filename]={ 'name':suite_name, 'def':suite_def } + self.suite_name=clock.now.strftime(self.suite.ecFlow.suite_name) + with StringIO() as sio: + def_name = self._make_suite_def_for_one_cycle(sio) + suite_def_files[filename]=( def_name, sio.getvalue() ) + with StringIO() as sio: + self._make_externs(sio) + suite_def_files[filename]=( def_name, sio.getvalue()+suite_def_files[filename][1] ) self._make_ecf_files_for_one_cycle(ecf_files) del self.suite return suite_def_files,ecf_files diff --git a/crow/metascheduler/graph.py b/crow/metascheduler/graph.py deleted file mode 100644 index 21d98d3..0000000 --- a/crow/metascheduler/graph.py +++ /dev/null @@ -1,162 +0,0 @@ -"""!In-place simplification of cyclic graphs whose connections are -boolean algebra dependencies suitable to pass ot -crow.metascheduler.algebra. Given a specific cycle, this code can -remove all jobs that would not run for that cycle.""" - -f'This module requires python 3.6 or newer.' - -import datetime,copy,collections -from collections import OrderedDict - -from .algebra import simplify as algebra_simplify -from .algebra import assume as algebra_assume -from crow.config import TRUE_DEPENDENCY,FALSE_DEPENDENCY,Suite -from crow.tools import NamedConstant,Clock,typecheck,MISSING,ZERO_DT - -def depth_first_traversal(tree,skip_fun=None,enter_fun=None, - exit_fun=None,memo=None): - if memo is None: memo=set() - if id(tree) in memo: return - memo.add(id(tree)) - if skip_fun and skip_fun(tree): - return - if enter_fun: enter_fun(tree) - yield tree - for child in tree: - for item in depth_first_traversal( - child,skip_fun,enter_fun,exit_fun,memo): - yield item - if exit_fun: exit_fun(tree) - -class Node(object): - def __init__(self,view,cycle): - self.view=view - self.trigger=TRUE_DEPENDENCY - self.complete=FALSE_DEPENDENCY - self.time=ZERO_DT - self.cycle=cycle - self.alarm=view.get_alarm() - if 'Trigger' in view: - self.trigger=view.Trigger.copy_dependencies() - if 'Complete' in view: - self.complete=view.Complete.copy_dependencies() - if 'Time' in view: - self.time=copy(view.Time) - self.children=collections.OrderedDict() - - def __iter__(self): - for value in self.children.values(): - yield value - - def assume(self,clock,assume_complete=None,assume_never_run=None): - typecheck('self.alarm',self.alarm,Clock) - if self.cycle not in self.alarm: - self.trigger=FALSE_DEPENDENCY - self.complete=FALSE_DEPENDENCY - else: - self.trigger=algebra_simplify(algebra_assume( - self.trigger,clock,self.cycle,assume_complete,assume_never_run)) - self.complete=algebra_simplify(algebra_assume( - self.complete,clock,self.cycle,assume_complete,assume_never_run)) - - def is_family(self): return self.view.is_family() - def is_task(self): return self.view.is_task() - def has_trigger(self): - return self.trigger not in [ FALSE_DEPENDENCY, TRUE_DEPENDENCY ] - def has_complete(self): - return self.trigger not in [ FALSE_DEPENDENCY, TRUE_DEPENDENCY ] - - @property - def path(self): - return self.view.path - def can_never_complete(self): - return self.trigger==FALSE_DEPENDENCY and self.complete==FALSE_DEPENDENCY - def is_always_complete(self): - return self.complete==TRUE_DEPENDENCY - def might_complete(self): - return self.trigger is not FALSE_DEPENDENCY or \ - self.complete is not FALSE_DEPENDENCY - def is_empty(self): - return self.is_family() and not self.children - def __copy__(self): - n=Node(self.view,self.cycle) - n.trigger, n.complete, n.time, n.alarm = \ - self.trigger, self.complete, self.time, self.alarm - n.children=copy.copy(self.children) - return n - def __deepcopy__(self,memo): - n=copy.copy(self) - for name,child in n.children.items(): - n[name]=copy.deepcopy(child,memo) - -class Graph(object): - def __init__(self,suite,clock): - typecheck('clock',clock,Clock) - typecheck('suite',suite,Suite) - self.__clock=copy.copy(clock) - self.__suite=suite - self.__nodes=collections.defaultdict(dict) - self.__cycles=collections.defaultdict(OrderedDict) - def simplify_cycle(self,cycle): - if cycle not in self.__clock: - raise ValueError( - f'{cycle:%F %T}: cycle does not exist in clock {self.__clock}') - if cycle not in self.__cycles: - raise KeyError( - f'{cycle:%F %T}: have not called add_cycle for this cycle yet.') - changed=True - always_complete=set() - never_run=set() - def fun_assume_complete(path): - return path in always_complete - def fun_assume_never_run(path): - return path in never_run - - while changed: - changed=False - for node in self.__nodes[cycle].values(): - if node.might_complete(): - node.assume(self.__clock,fun_assume_complete, - fun_assume_never_run) - if node.can_never_complete(): - for descendent in depth_first_traversal(node): - never_run.add(descendent.path) - changed=True - elif node.is_always_complete(): - for descendent in depth_first_traversal(node): - always_complete.add(descendent.path) - changed=True - - def depth_first_traversal(self,cycle,skip_fun,enter_fun,exit_fun): - if cycle not in self.__cycles: - raise KeyError(f'{cycle}: have not added this ' - 'cycle yet (add_cycle())') - memo=set() - for key,child in self.__cycles[cycle].items(): - for node in depth_first_traversal( - self.__cycles[cycle][key],skip_fun,enter_fun,exit_fun,memo): - yield node - - def add_cycle(self,cycle): - self.__clock.now=cycle - memo=set() - for child_view in self.__suite.child_iter(): - if child_view.is_family() or child_view.is_task(): - child_name=child_view.path[-1] - self.__cycles[cycle][child_name] = \ - self._add_child(cycle,child_view,None,memo) - - def _add_child(self,cycle,child_view,parent_node,memo): - if child_view.path in memo: return - child_node=Node(child_view,self.__clock.now) - if parent_node is not None: - parent_node.children[child_node.path[-1]]=child_node - child_cycle=cycle+child_node.path[0] - self.__nodes[child_cycle][child_node.path]=child_node - if child_view.is_family(): - for grandchild_view in child_view.child_iter(): - if grandchild_view.is_family() or\ - grandchild_view.is_task(): - self._add_child(cycle,grandchild_view,child_node,memo) - return child_node - diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index 25ab72a..c025b53 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -13,7 +13,7 @@ Family, Cycle, RUNNING, COMPLETED, FAILED, \ TRUE_DEPENDENCY, FALSE_DEPENDENCY, SuitePath, \ CycleExistsDependency -from crow.metascheduler.algebra import simplify +from crow.metascheduler.simplify import simplify __all__=['to_rocoto','RocotoConfigError','ToRocoto', 'SelfReferentialDependency' ] diff --git a/crow/metascheduler/algebra.py b/crow/metascheduler/simplify.py similarity index 78% rename from crow/metascheduler/algebra.py rename to crow/metascheduler/simplify.py index ce067da..b886441 100644 --- a/crow/metascheduler/algebra.py +++ b/crow/metascheduler/simplify.py @@ -3,50 +3,10 @@ import crow.config from crow.config import OrDependency,AndDependency,NotDependency, \ - TRUE_DEPENDENCY, FALSE_DEPENDENCY, LogicalDependency,\ - CycleExistsDependency,TaskExistsDependency, StateDependency, \ - EventDependency, RUNNING, COMPLETED, FAILED, TaskExistsDependency -from crow.tools import typecheck, NamedConstant + TRUE_DEPENDENCY, FALSE_DEPENDENCY, LogicalDependency +from crow.tools import typecheck -__all__=[ 'complexity', 'simplify', 'assume' ] - -def assume(tree,existing_cycles,current_cycle,assume_complete=None, - assume_never_run=None): - typecheck('tree',tree,LogicalDependency) - if isinstance(tree,CycleExistsDependency): - if tree.dt in existing_cycles: - return TRUE_DEPENDENCY - return FALSE_DEPENDENCY - elif isinstance(tree,TaskExistsDependency): - cycle=current_cycle+tree.view.path[0] - if assume_complete and assume_complete(tree.view) or \ - assume_never_run and assume_never_run(tree.view): - return FALSE_DEPENDENCY - alarm=tree.view.get_alarm(default=existing_cycles) - if cycle in alarm: - return TRUE_DEPENDENCY - else: - return FALSE_DEPENDENCY - elif isinstance(tree,AndDependency) or isinstance(tree,OrDependency): - return type(tree)( *[ - assume(d,existing_cycles,current_cycle) for d in tree ]) - elif isinstance(tree,NotDependency): - return NotDependency(assume(tree.depend,existing_cycles,current_cycle)) - elif isinstance(tree,StateDependency): - if assume_never_run and assume_never_run(tree.path): - return FALSE_DEPENDENCY - if assume_complete and assume_complete(tree.path): - return TRUE_DEPENDENCY if tree.state==COMPLETED \ - else FALSE_DEPENDENCY - return tree - elif isinstance(tree,EventDependency): - if assume_never_run and assume_never_run(tree.event.parent.path): - return FALSE_DEPENDENCY - if assume_complete and assume_complete(tree.event.parent.path): - return TRUE_DEPENDENCY - return tree - - return tree +__all__=[ 'complexity', 'simplify' ] def complexity(tree): if isinstance(tree,AndDependency) or isinstance(tree,OrDependency): diff --git a/crow/tools.py b/crow/tools.py index 5eb86ec..2de16e5 100644 --- a/crow/tools.py +++ b/crow/tools.py @@ -4,11 +4,7 @@ from contextlib import suppress from collections.abc import Mapping -__all__=['panasas_gb','gpfs_gb','to_timedelta','deliver_file','NamedConstant', - 'Clock','str_timedelta','memory_in_bytes','to_printf_octal', - 'str_to_posix_sh','typecheck','ZER_DT','shell_to_python_type', - 'MISSING'] - +__all__=['panasas_gb','gpfs_gb','to_timedelta','deliver_file'] _logger=logging.getLogger('crow.tools') def deliver_file(from_file: str,to_file: str,*,blocksize: int=1048576, @@ -133,21 +129,6 @@ def to_timedelta(s): raise ValueError(s+': invalid timedelta specification (12:34, ' '12:34:56, 9d12h, 9d12:34, 9d12:34:56)') -ZERO_DT=timedelta(0) -def str_timedelta(dt): - sign='+' - if dtself.end: return False # other clock stops after me - return True elif isinstance(when,datetime.datetime): if self.end and when>self.end: return False if when -echo ${JOBgfs}/JGFS_TASK3 -%include diff --git a/examples/ecflow/family2/family3/task32.ecf b/examples/ecflow/family2/family3/task32.ecf deleted file mode 100644 index c316320..0000000 --- a/examples/ecflow/family2/family3/task32.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P GFS-T2O -#BSUB -J family2.family3.task32 -#BSUB -o /ptmp/%U/family2.family3.task32 -#BSUB -W 0:05 -#BSUB -R rusage[mem=2000] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/JGFS_TASK3 -%include diff --git a/examples/ecflow/family2/family3/task33.ecf b/examples/ecflow/family2/family3/task33.ecf deleted file mode 100644 index dff10f3..0000000 --- a/examples/ecflow/family2/family3/task33.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P GFS-T2O -#BSUB -J family2.family3.task33 -#BSUB -o /ptmp/%U/family2.family3.task33 -#BSUB -W 0:05 -#BSUB -R rusage[mem=2000] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/JGFS_TASK3 -%include diff --git a/examples/ecflow/prod00.def b/examples/ecflow/prod00.def index 2069529..7783e61 100644 --- a/examples/ecflow/prod00.def +++ b/examples/ecflow/prod00.def @@ -1,3 +1,4 @@ +extern /prod18/family2/task21 suite prod00 repeat day 1 edit ECF_TRIES '1' @@ -6,10 +7,14 @@ suite prod00 task task0 endtask family family1 - trigger ./family2/task21 == complete and ./task0 == complete + edit SOMEVAR '1' + edit ANOTHERVAR '2' + trigger /prod18/family2/task21 == complete and ./task0 == complete task task11 - event 1 some_event - event 1 another_event + event 1 some_event + event 2 another_event + edit WHATEVER '333' + event manually_written_event endtask task task12 trigger ./task11:some_event @@ -21,15 +26,9 @@ suite prod00 trigger ../family1/task12 == complete endtask family family3 - task task31 + task task3 trigger ../task21 == complete endtask - task task32 - trigger ./task31 == complete - endtask - task task33 - trigger ./task31 == complete - endtask endfamily task task22 trigger ./task21 == complete diff --git a/examples/ecflow/prod06.def b/examples/ecflow/prod06.def index b2ee8c7..58f7801 100644 --- a/examples/ecflow/prod06.def +++ b/examples/ecflow/prod06.def @@ -1,3 +1,5 @@ +extern /prod18/family2/task21 +extern /prod00/family2/task21 suite prod06 repeat day 1 edit ECF_TRIES '1' @@ -6,10 +8,14 @@ suite prod06 task task0 endtask family family1 - trigger ./family2/task21 == complete and ./task0 == complete + edit SOMEVAR '1' + edit ANOTHERVAR '2' + trigger /prod00/family2/task21 == complete and ./task0 == complete task task11 - event 1 some_event - event 1 another_event + event 1 some_event + event 2 another_event + edit WHATEVER '333' + event manually_written_event endtask task task12 trigger ./task11:some_event @@ -21,11 +27,16 @@ suite prod06 trigger ../family1/task12 == complete endtask family family3 - task task32 + task task3 + trigger ../task21 == complete endtask endfamily + task task22 + trigger ./task21 == complete + endtask task task23 trigger ./task21 == complete + complete ./task22 == complete endtask endfamily endsuite diff --git a/examples/ecflow/prod12.def b/examples/ecflow/prod12.def index 3bc6df2..0df90ca 100644 --- a/examples/ecflow/prod12.def +++ b/examples/ecflow/prod12.def @@ -1,3 +1,6 @@ +extern /prod18/family2/task21 +extern /prod00/family2/task21 +extern /prod06/family2/task21 suite prod12 repeat day 1 edit ECF_TRIES '1' @@ -6,10 +9,14 @@ suite prod12 task task0 endtask family family1 - trigger ./family2/task21 == complete and ./task0 == complete + edit SOMEVAR '1' + edit ANOTHERVAR '2' + trigger /prod06/family2/task21 == complete and ./task0 == complete task task11 - event 1 some_event - event 1 another_event + event 1 some_event + event 2 another_event + edit WHATEVER '333' + event manually_written_event endtask task task12 trigger ./task11:some_event @@ -21,18 +28,16 @@ suite prod12 trigger ../family1/task12 == complete endtask family family3 - task task31 + task task3 trigger ../task21 == complete endtask - task task32 - trigger ./task31 == complete - endtask - task task33 - trigger ./task31 == complete - endtask endfamily + task task22 + trigger ./task21 == complete + endtask task task23 trigger ./task21 == complete + complete ./task22 == complete endtask endfamily endsuite diff --git a/examples/ecflow/prod18.def b/examples/ecflow/prod18.def index cf41355..7743de5 100644 --- a/examples/ecflow/prod18.def +++ b/examples/ecflow/prod18.def @@ -1,3 +1,7 @@ +extern /prod18/family2/task21 +extern /prod00/family2/task21 +extern /prod06/family2/task21 +extern /prod12/family2/task21 suite prod18 repeat day 1 edit ECF_TRIES '1' @@ -6,10 +10,14 @@ suite prod18 task task0 endtask family family1 - trigger ./family2/task21 == complete and ./task0 == complete + edit SOMEVAR '1' + edit ANOTHERVAR '2' + trigger /prod12/family2/task21 == complete and ./task0 == complete task task11 - event 1 some_event - event 1 another_event + event 1 some_event + event 2 another_event + edit WHATEVER '333' + event manually_written_event endtask task task12 trigger ./task11:some_event @@ -21,11 +29,16 @@ suite prod18 trigger ../family1/task12 == complete endtask family family3 - task task32 + task task3 + trigger ../task21 == complete endtask endfamily + task task22 + trigger ./task21 == complete + endtask task task23 trigger ./task21 == complete + complete ./task22 == complete endtask endfamily endsuite diff --git a/examples/ecflow/task0.ecf b/examples/ecflow/task0.ecf index 1a937d7..c99fec3 100644 --- a/examples/ecflow/task0.ecf +++ b/examples/ecflow/task0.ecf @@ -5,8 +5,8 @@ #BSUB -o /ptmp/%U/task0 #BSUB -W 0:05 #BSUB -R rusage[mem=2000] -#BSUB -n 1 - +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include echo ${JOBgfs}/JGFS_TASK0 %include From d69558fef2078fe7e32cedc67cec3a4caa916cc4 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 8 Feb 2018 16:08:32 +0000 Subject: [PATCH 303/487] merge capabilities of having arrays of nearly-identical tasks and running different tasks in different cycles --- crow/config/__init__.py | 5 +- crow/config/from_yaml.py | 10 +- crow/config/tasks.py | 213 +++++++++++----- crow/config/to_yaml.py | 2 + .../metascheduler/{simplify.py => algebra.py} | 46 +++- crow/metascheduler/ecflow.py | 236 +++++++++--------- crow/metascheduler/graph.py | 162 ++++++++++++ crow/metascheduler/rocoto.py | 2 +- crow/tools.py | 69 ++++- examples/ecflow/ecftest.py | 2 +- examples/ecflow/ecftest.yaml | 30 ++- examples/ecflow/family1/task11.ecf | 1 - examples/ecflow/family2/family3/task31.ecf | 12 + examples/ecflow/family2/family3/task32.ecf | 12 + examples/ecflow/family2/family3/task33.ecf | 12 + examples/ecflow/prod00.def | 19 +- examples/ecflow/prod06.def | 19 +- examples/ecflow/prod12.def | 25 +- examples/ecflow/prod18.def | 21 +- examples/ecflow/task0.ecf | 4 +- examples/taskarray/doit.py | 34 +++ examples/taskarray/my_array/num_n1.ecf | 13 + examples/taskarray/my_array/num_n2.ecf | 13 + examples/taskarray/my_array/num_n3.ecf | 13 + examples/taskarray/my_array/task_n1_la.ecf | 13 + examples/taskarray/my_array/task_n1_lb.ecf | 13 + examples/taskarray/my_array/task_n1_lc.ecf | 13 + examples/taskarray/my_array/task_n2_la.ecf | 13 + examples/taskarray/my_array/task_n2_lb.ecf | 13 + examples/taskarray/my_array/task_n2_lc.ecf | 13 + examples/taskarray/my_array/task_n3_la.ecf | 13 + examples/taskarray/my_array/task_n3_lb.ecf | 13 + examples/taskarray/my_array/task_n3_lc.ecf | 13 + examples/taskarray/my_array/tusk_n1_la.ecf | 13 + examples/taskarray/my_array/tusk_n1_lb.ecf | 13 + examples/taskarray/my_array/tusk_n1_lc.ecf | 13 + examples/taskarray/my_array/tusk_n2_la.ecf | 13 + examples/taskarray/my_array/tusk_n2_lb.ecf | 13 + examples/taskarray/my_array/tusk_n2_lc.ecf | 13 + examples/taskarray/my_array/tusk_n3_la.ecf | 13 + examples/taskarray/my_array/tusk_n3_lb.ecf | 13 + examples/taskarray/my_array/tusk_n3_lc.ecf | 13 + examples/taskarray/prod00.def | 61 +++++ examples/taskarray/prod06.def | 61 +++++ examples/taskarray/prod12.def | 61 +++++ examples/taskarray/prod18.def | 61 +++++ examples/taskarray/simple_task.ecf | 12 + examples/taskarray/taskarray.yaml | 96 +++++++ model/ecflow_fv3gfs/defs/prod06.def | 4 +- model/ecflow_fv3gfs/defs/prod12.def | 5 +- model/ecflow_fv3gfs/defs/prod18.def | 6 +- .../{doit.py => make-ecflow-suite.py} | 4 +- model/ecflow_fv3gfs/suite_def.yaml | 236 ++---------------- 53 files changed, 1334 insertions(+), 482 deletions(-) rename crow/metascheduler/{simplify.py => algebra.py} (78%) create mode 100644 crow/metascheduler/graph.py create mode 100644 examples/ecflow/family2/family3/task31.ecf create mode 100644 examples/ecflow/family2/family3/task32.ecf create mode 100644 examples/ecflow/family2/family3/task33.ecf create mode 100755 examples/taskarray/doit.py create mode 100644 examples/taskarray/my_array/num_n1.ecf create mode 100644 examples/taskarray/my_array/num_n2.ecf create mode 100644 examples/taskarray/my_array/num_n3.ecf create mode 100644 examples/taskarray/my_array/task_n1_la.ecf create mode 100644 examples/taskarray/my_array/task_n1_lb.ecf create mode 100644 examples/taskarray/my_array/task_n1_lc.ecf create mode 100644 examples/taskarray/my_array/task_n2_la.ecf create mode 100644 examples/taskarray/my_array/task_n2_lb.ecf create mode 100644 examples/taskarray/my_array/task_n2_lc.ecf create mode 100644 examples/taskarray/my_array/task_n3_la.ecf create mode 100644 examples/taskarray/my_array/task_n3_lb.ecf create mode 100644 examples/taskarray/my_array/task_n3_lc.ecf create mode 100644 examples/taskarray/my_array/tusk_n1_la.ecf create mode 100644 examples/taskarray/my_array/tusk_n1_lb.ecf create mode 100644 examples/taskarray/my_array/tusk_n1_lc.ecf create mode 100644 examples/taskarray/my_array/tusk_n2_la.ecf create mode 100644 examples/taskarray/my_array/tusk_n2_lb.ecf create mode 100644 examples/taskarray/my_array/tusk_n2_lc.ecf create mode 100644 examples/taskarray/my_array/tusk_n3_la.ecf create mode 100644 examples/taskarray/my_array/tusk_n3_lb.ecf create mode 100644 examples/taskarray/my_array/tusk_n3_lc.ecf create mode 100644 examples/taskarray/prod00.def create mode 100644 examples/taskarray/prod06.def create mode 100644 examples/taskarray/prod12.def create mode 100644 examples/taskarray/prod18.def create mode 100644 examples/taskarray/simple_task.ecf create mode 100644 examples/taskarray/taskarray.yaml rename model/ecflow_fv3gfs/{doit.py => make-ecflow-suite.py} (93%) diff --git a/crow/config/__init__.py b/crow/config/__init__.py index 78f7fa2..911ade0 100644 --- a/crow/config/__init__.py +++ b/crow/config/__init__.py @@ -10,7 +10,7 @@ Taskable, Task, Family, Cycle, LogicalDependency, SuiteView, \ RUNNING, COMPLETED, FAILED, TRUE_DEPENDENCY, FALSE_DEPENDENCY, \ CycleExistsDependency, InputSlot, OutputSlot, EventDependency, \ - Event, DataEvent, ShellEvent + Event, DataEvent, ShellEvent, TaskExistsDependency from .to_yaml import to_yaml from .eval_tools import invalidate_cache from .eval_tools import evaluate_immediates as _evaluate_immediates @@ -20,7 +20,8 @@ 'TaskStateAnd', 'TaskStateOr', 'TaskStateNot', 'TaskStateIs', 'Taskable', 'Task', 'Family', 'CycleAt', 'CycleTime', 'Cycle', 'Trigger', 'Depend', 'Timespec', 'SuitePath', 'ShellEvent', 'Event', - 'DataEvent', 'CycleExistsDependency', 'validate', 'EventDependency' ] + 'DataEvent', 'CycleExistsDependency', 'validate', 'EventDependency', + 'TaskExistsDependency' ] def to_py(obj): return obj._to_py() if hasattr(obj,'_to_py') else obj diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index 7e94f84..5121a9a 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -43,6 +43,8 @@ class ShellCommandYAML(dict): pass class DataEventYAML(dict): pass class ShellEventYAML(dict): pass class TaskYAML(OrderedDict): pass +class TaskArrayYAML(OrderedDict): pass +class TaskElementYAML(OrderedDict): pass class FamilyYAML(OrderedDict): pass class CycleYAML(OrderedDict): pass class TemplateYAML(OrderedDict): pass @@ -61,7 +63,9 @@ class JobResourceSpecMakerYAML(list): pass CycleYAML: [ Cycle, OrderedDict, None ], FamilyYAML: [ Family, OrderedDict, None ], DataEventYAML: [ DataEvent, dict, None ], - ShellEventYAML: [ ShellEvent, dict, None ] + ShellEventYAML: [ ShellEvent, dict, None ], + TaskElementYAML: [ TaskElement, OrderedDict, None ], + TaskArrayYAML: [ TaskArray, OrderedDict, None ] } def type_for(t,path): @@ -176,6 +180,8 @@ def constructor(loader,node): add_yaml_ordered_dict(u'!Cycle',CycleYAML) add_yaml_ordered_dict(u'!Template',TemplateYAML) add_yaml_ordered_dict(u'!Task',TaskYAML) +add_yaml_ordered_dict(u'!TaskArray',TaskArrayYAML) +add_yaml_ordered_dict(u'!TaskElement',TaskElementYAML) add_yaml_ordered_dict(u'!Family',FamilyYAML) SUITE={ EvalYAML: Eval, @@ -185,6 +191,8 @@ def constructor(loader,node): DataEventYAML: DataEvent, ShellEventYAML: ShellEvent, FamilyYAML: Family, + TaskArrayYAML: TaskArray, + TaskElementYAML: TaskElement, ClockYAML:ClockMaker, OutputSlotYAML: OutputSlot, InputSlotYAML: InputSlot} diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 8d4ae6e..3c3283c 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -10,7 +10,7 @@ """ from functools import reduce -import operator, io, logging +import operator, io, logging, itertools from datetime import timedelta from abc import abstractmethod from collections import namedtuple, OrderedDict, Sequence @@ -18,7 +18,7 @@ from copy import copy, deepcopy from crow.config.exceptions import * from crow.config.eval_tools import dict_eval, strcalc, multidict, from_config -from crow.tools import to_timedelta, typecheck +from crow.tools import to_timedelta, typecheck, NamedConstant, MISSING __all__=[ 'SuiteView', 'Suite', 'Depend', 'LogicalDependency', 'AndDependency', 'OrDependency', 'NotDependency', @@ -27,30 +27,34 @@ 'TRUE_DEPENDENCY', 'FALSE_DEPENDENCY', 'SuitePath', 'CycleExistsDependency', 'FamilyView', 'TaskView', 'CycleView', 'Slot', 'InputSlot', 'OutputSlot', 'Message', - 'Event', 'DataEvent', 'ShellEvent', 'EventDependency' ] + 'Event', 'DataEvent', 'ShellEvent', 'EventDependency', + 'TaskExistsDependency', 'TaskArray', 'TaskElement' ] class Event(dict_eval): pass class DataEvent(Event): pass class ShellEvent(Event): pass -class StateConstant(object): - def __init__(self,name): - self.name=name - def __repr__(self): return self.name - def __str__(self): return self.name -RUNNING=StateConstant('RUNNING') -COMPLETED=StateConstant('COMPLETED') -FAILED=StateConstant('FAILED') +RUNNING=NamedConstant('RUNNING') +COMPLETED=NamedConstant('COMPLETED') +FAILED=NamedConstant('FAILED') _logger=logging.getLogger('crow.config') -MISSING=object() VALID_STATES=[ 'RUNNING', 'FAILED', 'COMPLETED' ] ZERO_DT=timedelta() EMPTY_DICT={} SUITE_SPECIAL_KEYS=set([ 'parent', 'up', 'task_path', 'task_path_var', - 'task_path_str', 'task_path_list' ]) + 'task_path_str', 'task_path_list', 'this' ]) SLOT_SPECIALS = SUITE_SPECIAL_KEYS|set([ 'slot', 'flow', 'actor', 'meta', 'Out', 'Loc']) +def subdict_iter(d): + typecheck('d',d,Mapping) + dkeys=[k for k in d.keys()] + vallist=[v for v in d.values()] + piter=itertools.product(*vallist) + dvalues=[p for p in piter] + for j in range(len(dvalues)): + yield dict([i for i in zip(dkeys,dvalues[j])]) + class SuitePath(list): """!Simply a list that can be hashed.""" def __hash__(self): @@ -62,18 +66,25 @@ def __hash__(self): class SuiteView(Mapping): LOCALS=set(['suite','viewed','path','parent','__cache','__globals', '_more_globals']) - def __init__(self,suite,viewed,path,parent): + def __init__(self,suite,viewed,path,parent, + task_array_dimensions=None, + task_array_indices=None): # assert(isinstance(suite,Suite)) # assert(isinstance(viewed,dict_eval)) assert(hasattr(self,'_iter_raw')) assert(isinstance(parent,SuiteView)) assert(not isinstance(viewed,SuiteView)) + if task_array_dimensions: + self.task_array_dimensions=OrderedDict( + task_array_dimensions) + else: + self.task_array_dimensions=OrderedDict() + if task_array_indices: + self.task_array_indices=OrderedDict( + task_array_indices) + else: + self.task_array_indices=OrderedDict() self.suite=suite - # if isinstance(viewed,Task) and 'fcst' in '-'.join([str(s) for s in path]): - # print(path) - # print(viewed.keys()) - # assert('Template' in viewed) - # assert('testvar' not in viewed) self.viewed=viewed self.viewed.task_path_list=path[1:] self.viewed.task_path_str='/'+'/'.join(path[1:]) @@ -87,6 +98,7 @@ def __init__(self,suite,viewed,path,parent): self.viewed[k]=v if type(self.viewed) in SUITE_CLASS_MAP: self.viewed.up=parent + self.viewed.this=self self.path=SuitePath(path) self.parent=parent self._is_suite_view=True @@ -139,6 +151,16 @@ def __str__(self): s=f'dt=[{self.path[0]}]:'+s return s + def depend(self,string,**kwargs): + for k,v in kwargs.items(): + if not isinstance(v,Sequence): + kwargs[k]=[v] + deps=TRUE_DEPENDENCY + for d in subdict_iter(kwargs): + name=eval(f"f'''{string}'''",self.viewed._globals(),d) + deps = deps & self[name] + return deps + def get_trigger_dep(self): return self.get('Trigger',TRUE_DEPENDENCY) @@ -153,9 +175,9 @@ def child_iter(self): children of this family, yielding a SuiteView of each.""" for var,rawval in self.viewed._raw_child().items(): if var=='up': continue + if var=='this': continue if hasattr(rawval,'_as_dependency'): continue val=self[var] - #print(f'Yield {type(val).__name__} for child {var}') if hasattr(val,'_is_suite_view'): yield val @@ -195,13 +217,16 @@ def __getattr__(self,key): def __getitem__(self,key): assert(isinstance(key,str)) if key in self.__cache: return self.__cache[key] - if key not in self.viewed: raise KeyError(key) + if key not in self.viewed: + raise KeyError(f'{key}: not in {", ".join([k for k in self.keys()])}') val=self.viewed[key] if hasattr(val,'_is_suite_view'): return val elif type(val) in SUITE_CLASS_MAP: val=self.__wrap(key,val) + elif isinstance(val,TaskArray): + val=self.__wrap(key,val) elif hasattr(val,'_as_dependency'): locals=multidict(self.parent,self) val=self.__wrap(key,val._as_dependency( @@ -215,6 +240,8 @@ def __wrap(self,key,obj): obj=copy(obj) self.viewed[key]=obj return CycleView(self.suite,obj,self.path[:1],self) + elif isinstance(obj,TaskArray): + return self.__wrap(key,obj._generate(self)) elif type(obj) in SUITE_CLASS_MAP: view_class=SUITE_CLASS_MAP[type(obj)] obj=copy(obj) @@ -241,6 +268,18 @@ def is_failed(self): return StateDependency(self,FAILED) def is_completed(self): return StateDependency(self,COMPLETED) + def exists(self): + return TaskExistsDependency(self) + + def get_alarm(self,default=MISSING): + if 'AlarmName' not in self: + if default==MISSING: + return self.suite.Clock + return default + try: + return self.suite.get_alarm_with_name(self.AlarmName) + except KeyError as ke: + raise ValueError(f'{self.task_path_var}: no alarm with name {self.AlarmName} in suite.') class EventView(SuiteView): pass @@ -310,8 +349,9 @@ def is_failed(self): raise TypeError('data cannot run') def is_completed(self): raise TypeError('data cannot run') class CycleView(SuiteView): pass -class TaskView(SuiteView): pass -class FamilyView(SuiteView): pass +class TaskableView(SuiteView): pass +class TaskView(TaskableView): pass +class FamilyView(TaskableView): pass class InputSlotView(SlotView): def get_output_slot(self,meta): result=self.viewed._raw('Out') @@ -352,6 +392,8 @@ def update_globals(self,*args,**kwargs): globals=self.viewed._get_globals() globals.update(*args,**kwargs) self.viewed._recursively_set_globals(globals) + def get_alarm_with_name(self,alarm_name): + return self["Alarms"][alarm_name] class Message(str): def _as_dependency(self,globals,locals,path): @@ -399,6 +441,9 @@ def __or__(self,other): dep=as_dependency(other) if dep is NotImplemented: raise TypeError(other) return OrDependency(self,dep) + def __iter__(self): + return + yield self # ensure this is an iterator. @abstractmethod def copy_dependencies(self): pass @abstractmethod @@ -491,6 +536,24 @@ def copy_dependencies(self): return CycleExistsDependency(self.dt) def __eq__(self,other): return isinstance(other,CycleExistsDependency) and self.dt==other.dt +class TaskExistsDependency(LogicalDependency): + def __init__(self,view): + typecheck('view',view,TaskableView,'Task or Tamily') + self.view=view + @property + def path(self): return self.view.path + def is_task(self): return self.view.is_task() + def __hash__(self): return hash(self.view.path) + def copy_dependencies(self): return TaskExistsDependency(self.view) + def add_time(self,dt): + self.view=copy(self.view) + self.view.path[0]+=dt + def __repr__(self): + return f'/{"/".join([str(s) for s in self.view.path])} exists' + def __eq__(self,other): + return isinstance(other,StateDependency) \ + and other.view.path==self.view.path + class StateDependency(LogicalDependency): def __init__(self,view,state): if state not in [ COMPLETED, RUNNING, FAILED ]: @@ -589,43 +652,73 @@ class Task(Taskable): pass class Family(Taskable): pass class Cycle(dict_eval): pass -class TaskArray(Taskable): - def __init__(self,*args,**kwargs): - super().init(*args,**kwargs) - Index=self['Index'] - varname=Index[0] - if not isinstance(varname,str): - raise TypeError('Index first argument should be a string variable ' - 'name not a %s'%(type(varname.__name__),)) - values=Index[1] - if not isinstance(values,Sequence): - raise TypeError('Index second argument should be a sequence ' - 'name not a %s'%(type(values.__name__),)) - self.__instances=[MISSING]*len(values) - @property - def index_name(self): - return self['Index'][0] - @property - def index_count(self): - return len(self['Index'][1]) - def index_keys(self): - keys=self['Index'][1] - for k in keys: yield k - def index_items(self): - varname=self.index_name - keys=self['Index'][1] - for i in len(keys): - yield keys[i],self.__for_index(i,varname,key) - def for_index(self,i): - if self.__instances[i] is not MISSING: - return self.__instances[i] - varname=self.index_name - keys=self['Index'][1] - return self.__for_index(i,varname,key) - def __for_index(self,i,varname,key): - the_copy=Family(self._raw_child()) - the_copy[varname]=key +class TaskElement(dict_eval): + def _duplicate(self,dimensions,indices): + for more_indices in subdict_iter(dimensions): + child_indices=copy(indices) + child_indices.update(more_indices) + t=Task(self._raw_child(),globals=self._globals()) + t['idx']=dict_eval(child_indices) + name=t.Name + t._path=f'{self._path}.{name}' + yield name,t + +class TaskArray(dict_eval): + def _generate(self,parent_view): + f=Family(self._raw_child(),path=self._path,globals=self._globals()) + dimensions=copy(parent_view.task_array_dimensions) + indices=copy(parent_view.task_array_indices) + child_dimensions=self.Dimensions + dimensions.update(child_dimensions) + for dimname,dimlist in child_dimensions.items(): + if not isinstance(dimlist,Sequence): + raise TypeError(f'{self._path}: dimension {dimname} is not a list (is type {type(dimlist).__name__}).') + for k,v in self._raw_child().items(): + if hasattr(v,'_duplicate'): + for name,content in v._duplicate(child_dimensions,indices): + f[name]=content + else: + f[k]=v + return f + + +# class TaskArray(TaskableGenerator): +# def __init__(self,*args,**kwargs): +# super().init(*args,**kwargs) +# Indices=self.Indices +# varname=Index[0] +# if not isinstance(varname,str): +# raise TypeError('Index first argument should be a string variable ' +# 'name not a %s'%(type(varname.__name__),)) +# values=Index[1] +# if not isinstance(values,Sequence): +# raise TypeError('Index second argument should be a sequence ' +# 'name not a %s'%(type(values.__name__),)) +# self.__instances=[MISSING]*len(values) +# @property +# def index_name(self): +# return self['Index'][0] +# @property +# def index_count(self): +# return len(self['Index'][1]) +# def index_keys(self): +# keys=self['Index'][1] +# for k in keys: yield k +# def index_items(self): +# varname=self.index_name +# keys=self['Index'][1] +# for i in len(keys): +# yield keys[i],self.__for_index(i,varname,key) +# def for_index(self,i): +# if self.__instances[i] is not MISSING: +# return self.__instances[i] +# varname=self.index_name +# keys=self['Index'][1] +# return self.__for_index(i,varname,key) +# def __for_index(self,i,varname,key): +# the_copy=Family(self._raw_child()) +# the_copy[varname]=key SUITE_CLASS_MAP={ Task:TaskView, Family: FamilyView, Event: EventView, DataEvent: EventView, ShellEvent: EventView, - OutputSlot: OutputSlotView, InputSlot:InputSlotView } + OutputSlot: OutputSlotView, InputSlot:InputSlotView} diff --git a/crow/config/to_yaml.py b/crow/config/to_yaml.py index a5e593f..bd000a3 100644 --- a/crow/config/to_yaml.py +++ b/crow/config/to_yaml.py @@ -119,6 +119,8 @@ def representer(dumper,data): add_yaml_taskable(u'!ShellEvent',ShellEvent) add_yaml_taskable(u'!Task',Task) add_yaml_taskable(u'!Family',Family) +add_yaml_taskable(u'!TaskArray',TaskArray) +add_yaml_taskable(u'!TaskElement',TaskElement) add_yaml_taskable(u'!Cycle',Cycle) add_yaml_taskable(u'!Template',Template) diff --git a/crow/metascheduler/simplify.py b/crow/metascheduler/algebra.py similarity index 78% rename from crow/metascheduler/simplify.py rename to crow/metascheduler/algebra.py index b886441..ce067da 100644 --- a/crow/metascheduler/simplify.py +++ b/crow/metascheduler/algebra.py @@ -3,10 +3,50 @@ import crow.config from crow.config import OrDependency,AndDependency,NotDependency, \ - TRUE_DEPENDENCY, FALSE_DEPENDENCY, LogicalDependency -from crow.tools import typecheck + TRUE_DEPENDENCY, FALSE_DEPENDENCY, LogicalDependency,\ + CycleExistsDependency,TaskExistsDependency, StateDependency, \ + EventDependency, RUNNING, COMPLETED, FAILED, TaskExistsDependency +from crow.tools import typecheck, NamedConstant -__all__=[ 'complexity', 'simplify' ] +__all__=[ 'complexity', 'simplify', 'assume' ] + +def assume(tree,existing_cycles,current_cycle,assume_complete=None, + assume_never_run=None): + typecheck('tree',tree,LogicalDependency) + if isinstance(tree,CycleExistsDependency): + if tree.dt in existing_cycles: + return TRUE_DEPENDENCY + return FALSE_DEPENDENCY + elif isinstance(tree,TaskExistsDependency): + cycle=current_cycle+tree.view.path[0] + if assume_complete and assume_complete(tree.view) or \ + assume_never_run and assume_never_run(tree.view): + return FALSE_DEPENDENCY + alarm=tree.view.get_alarm(default=existing_cycles) + if cycle in alarm: + return TRUE_DEPENDENCY + else: + return FALSE_DEPENDENCY + elif isinstance(tree,AndDependency) or isinstance(tree,OrDependency): + return type(tree)( *[ + assume(d,existing_cycles,current_cycle) for d in tree ]) + elif isinstance(tree,NotDependency): + return NotDependency(assume(tree.depend,existing_cycles,current_cycle)) + elif isinstance(tree,StateDependency): + if assume_never_run and assume_never_run(tree.path): + return FALSE_DEPENDENCY + if assume_complete and assume_complete(tree.path): + return TRUE_DEPENDENCY if tree.state==COMPLETED \ + else FALSE_DEPENDENCY + return tree + elif isinstance(tree,EventDependency): + if assume_never_run and assume_never_run(tree.event.parent.path): + return FALSE_DEPENDENCY + if assume_complete and assume_complete(tree.event.parent.path): + return TRUE_DEPENDENCY + return tree + + return tree def complexity(tree): if isinstance(tree,AndDependency) or isinstance(tree,OrDependency): diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py index 4decd8d..7473808 100644 --- a/crow/metascheduler/ecflow.py +++ b/crow/metascheduler/ecflow.py @@ -5,8 +5,9 @@ import crow.tools from copy import copy -from crow.tools import to_timedelta, typecheck -from crow.metascheduler.simplify import simplify +from crow.tools import to_timedelta, typecheck, ZERO_DT +from crow.metascheduler.algebra import simplify, assume +from crow.metascheduler.graph import Graph from crow.config import SuiteView, Suite, Depend, LogicalDependency, \ AndDependency, OrDependency, NotDependency, \ StateDependency, Dependable, Taskable, Task, \ @@ -55,20 +56,6 @@ def undate_path(relative_time,format,suite_path,undated): return result,True return suite_path,False -def remove_cyc_exist(task,dep,clock,undated): - assert(isinstance(undated,OrderedDict)) - typecheck('dep',dep,LogicalDependency) - if isinstance(dep,CycleExistsDependency): - if dep.dt in clock: - return TRUE_DEPENDENCY - return FALSE_DEPENDENCY - if isinstance(dep,AndDependency) or isinstance(dep,OrDependency): - return type(dep)( *[ - remove_cyc_exist(task,d,clock,undated) for d in dep ]) - if isinstance(dep,NotDependency): - return NotDependency(remove_cyc_exist(task,dep.depend,clock,undated)) - return dep - def convert_state_dep(fd,task,dep,clock,time_format,negate,undated): assert(isinstance(undated,OrderedDict)) typecheck('clock',clock,crow.tools.Clock) @@ -90,7 +77,7 @@ def convert_event_dep(fd,task,dep_path,event_name,clock,time_format,negate,undat undated[rel_path]=1 fd.write(f'{rel_path}:{event_name}{" is clear" if negate else ""}') -def _convert_dep(fd,task,dep,clock,time_format,undated): +def dep_to_ecflow(fd,task,dep,clock,time_format,undated): assert(isinstance(undated,OrderedDict)) first=True if isinstance(dep,OrDependency): @@ -98,13 +85,13 @@ def _convert_dep(fd,task,dep,clock,time_format,undated): if not first: fd.write(' or ') first=False - _convert_dep(fd,task,subdep,clock,time_format,undated) + dep_to_ecflow(fd,task,subdep,clock,time_format,undated) elif isinstance(dep,AndDependency): for subdep in dep: if not first: fd.write(' and ') first=False - _convert_dep(fd,task,subdep,clock,time_format,undated) + dep_to_ecflow(fd,task,subdep,clock,time_format,undated) elif isinstance(dep,NotDependency): fd.write('not ') if isinstance(dep.depend,StateDependency): @@ -115,24 +102,13 @@ def _convert_dep(fd,task,dep,clock,time_format,undated): dep.event.path[-1],clock,time_format,True, undated) else: - _convert_dep(fd,task,dep.depend,undated) + dep_to_ecflow(fd,task,dep.depend,clock,time_format,undated) elif isinstance(dep,StateDependency): convert_state_dep(fd,task,dep,clock,time_format,False,undated) elif isinstance(dep,EventDependency): convert_event_dep(fd,task,dep.event.path[:-1], dep.event.path[-1],clock,time_format,False,undated) -def dep_to_ecflow(fd,task,dep,clock,time_format,undated): - assert(isinstance(undated,OrderedDict)) - # Walk the tree, removing CycleExistsDependency objects: - dep=remove_cyc_exist(task,dep,clock,undated) - - # Apply boolean algebra simplification algorithms. This will - # remove the true/false dependencies added by remove_cyc_exist. - dep=simplify(dep) - - _convert_dep(fd,task,dep,clock,time_format,undated) - class ToEcflow(object): def __init__(self,suite): if not isinstance(suite,Suite): @@ -157,83 +133,112 @@ def __init__(self,suite): self.settings=self.suite.ecFlow self.indent=self.settings.get('indent',' ') self.sched=scheduler - self.clock=None + self.clock=copy(self.suite.Clock) self.undated=OrderedDict() - self.suite_name=None + self.graph=Graph(self.suite,self.clock) + if 'cycles_to_generate' in self.suite.ecFlow: + self.cycles_to_generate=self.suite.ecFlow.cycles_to_generate + else: + self.cycles_to_generate=copy(self.clock) - #################################################################### - - # ecflow suite definition generation - - def _add_ecflow_def_meat(self,fd,node,indent): - ecflow_def_more=node.get('ecflow_def','') - if ecflow_def_more: - for line in str(node.get('ecflow_def','')).splitlines(): - fd.write(f'{indent}{line.rstrip()}\n') - if 'Trigger' in node: - typecheck(node.task_path_var+'.Trigger',node.Trigger, - LogicalDependency,'!Depend') - fd.write(f'{indent}trigger ') - ecdep=dep_to_ecflow( - fd,node,node.Trigger, - self.suite.Clock,self.suite.ecFlow.suite_name,self.undated) - fd.write('\n') - if 'Complete' in node: - typecheck(node.task_path_var+'.Complete',node.Complete, - LogicalDependency,'!Depend') - fd.write(f'{indent}complete ') - ecdep=dep_to_ecflow( - fd,node,node.Complete, - self.suite.Clock,self.suite.ecFlow.suite_name,self.undated) - fd.write('\n') - if 'Time' in node: - typecheck(node.task_path_var+'.Time',node.Time, - datetime.timedelta,'!timedelta') - dt=to_timedelta(node.Time) - when=self.suite.Clock.now+dt - #ecdate=when.strftime('%d.%m.%Y') - ectime=when.strftime('%H:%M') - fd.write(f'{indent}time {ectime}\n') - #fd.write(f'{indent}date {ecdate}\n{indent}time {ectime}\n') - - def _make_task_def(self,fd,task): - indent=max(0,len(task.path)-1)*self.indent - fd.write(f'{indent}task {task.path[-1]}\n') - event_number=1 - for item in task.child_iter(): - if item.is_event(): - fd.write(f'{indent} event {event_number} {item.path[-1]}\n') - event_number+=1 - self._add_ecflow_def_meat(fd,task,indent+self.indent) - fd.write(f'{indent}endtask\n') - - def _make_family_def(self,fd,family): - indent=max(0,len(family.path)-1)*self.indent - fd.write(f'{indent}family {family.path[-1]}\n') - self._add_ecflow_def_meat(fd,family,indent+self.indent) - for item in family.child_iter(): - if item.is_task(): - self._make_task_def(fd,item) - elif item.is_family(): - self._make_family_def(fd,item) - fd.write(f'{indent}endfamily\n') - - def _make_suite_def_for_one_cycle(self,fd): - fd.write(f'suite {self.suite_name}\n') + def _select_cycle(self,cycle): + invalidate_cache(self.suite,recurse=True) + self.suite.Clock.now = cycle + + def _foreach_cycle(self): + """!Iterates over all cycles, ensuring self.suite is correctly set up + to handle a cycle within during each iteration.""" + clock=copy(self.suite.Clock) + # Cannot iterate over self.suite.Clock because + # self.suite.Clock is not a Clock. It is an object that + # generates a Clock. Hence, invalidate_cache causes a new + # clock to be generated. + for clock in clock.iternow(): + self._select_cycle(clock.now) + yield clock.now + + def _initialize_graph(self): + self._populate_job_graph() + self._simplify_job_graph() + + def _populate_job_graph(self): + for cycle in self._foreach_cycle(): + self.graph.add_cycle(cycle) + + def _simplify_job_graph(self): + for cycle in self._foreach_cycle(): + self.graph.simplify_cycle(cycle) + + def _walk_job_graph(self,cycle,skip_fun=None,enter_fun=None,exit_fun=None): + self._select_cycle(cycle) + for node in self.graph.depth_first_traversal( + cycle,skip_fun,enter_fun,exit_fun): + yield node + + def _make_suite_def(self,cycle): + self._select_cycle(cycle) + clock=self.suite.Clock + + suite_name_format=self.suite.ecFlow.suite_name + suite_name=cycle.strftime(suite_name_format) + undated=OrderedDict() + sio=StringIO() + sio.write(f'suite {suite_name}\n') if 'ecflow_def' in self.suite: for line in self.suite.ecflow_def.splitlines(): - fd.write(f'{self.indent}{line.rstrip()}\n') - for item in self.suite.child_iter(): - if item.is_task(): - self._make_task_def(fd,item) - elif item.is_family(): - self._make_family_def(fd,item) - fd.write('endsuite\n') - return self.suite_name - - def _make_externs(self,fd): - for d in self.undated.keys(): - fd.write(f'extern {d}\n') + sio.write(f'{self.indent}{line.rstrip()}\n') + + def exit_fun(node): + indent=max(0,len(node.path)-1)*self.indent + nodetype='task' if node.is_task() else 'family' + sio.write(f'{indent}end{nodetype}\n') + + def skip_fun(node): + return not node.might_complete() + + for node in self._walk_job_graph(cycle,skip_fun=skip_fun,exit_fun=exit_fun): + if 'ecflow_def' in node: + for line in node.ecflow_def.splitlines(): + sio.write(f'{indent}{line.rstrip()}\n') + + indent0=max(0,len(node.path)-1)*self.indent + indent1=max(0,len(node.path))*self.indent + nodetype='task' if node.is_task() else 'family' + sio.write(f'{indent0}{nodetype} {node.path[-1]}\n') + + if node.trigger not in [FALSE_DEPENDENCY,TRUE_DEPENDENCY]: + sio.write(f'{indent1}trigger ') + dep_to_ecflow(sio,node,node.trigger,clock,suite_name_format,undated) + sio.write('\n') + if node.complete not in [FALSE_DEPENDENCY,TRUE_DEPENDENCY]: + sio.write(f'{indent1}complete ') + dep_to_ecflow(sio,node,node.complete,clock,suite_name_format,undated) + sio.write('\n') + if node.time>ZERO_DT: + ectime=when.strftime('%H:%M') + sio.write(f'{indent1}time {ectime}\n') + + event_number=1 + if node.is_task(): + for item in node.view.child_iter(): + if item.is_event(): + sio.write(f'{indent1} event {event_number} ' + f'{item.path[-1]}\n') + event_number+=1 + + sio.write('endsuite\n') + suite_def_without_externs=sio.getvalue() + sio.close() + sio=StringIO() + if undated: + for d in undated.keys(): + sio.write(f'extern {d}\n') + sio.write(suite_def_without_externs) + suite_def=sio.getvalue() + sio.close() + else: + suite_def=suite_def_without_externs + return suite_name, suite_def #################################################################### @@ -274,27 +279,18 @@ def _make_ecf_files_for_one_cycle(self,ecf_files): def to_ecflow(self): suite_def_files=dict() ecf_files=collections.defaultdict(dict) - clock=copy(self.suite.Clock) - # Cannot iterate over self.suite.Clock because - # self.suite.Clock is not a CLock. It is an object that - # generates a Clock. Hence, invalidate_cache causes a new - # clock to be generated. - for clock in clock.iternow(): - invalidate_cache(self.suite,recurse=True) - self.suite.Clock.now = clock.now + self._initialize_graph() + for cycle in self._foreach_cycle(): # Figure our where we are making the suite definition file: - filename=clock.now.strftime(self.suite.ecFlow.suite_def_filename) + filename=cycle.strftime(self.suite.ecFlow.suite_def_filename) if filename in suite_def_files: # We already processed a cycle whose suite definition # is the same as this one's. Skip. continue - self.suite_name=clock.now.strftime(self.suite.ecFlow.suite_name) - with StringIO() as sio: - def_name = self._make_suite_def_for_one_cycle(sio) - suite_def_files[filename]=( def_name, sio.getvalue() ) - with StringIO() as sio: - self._make_externs(sio) - suite_def_files[filename]=( def_name, sio.getvalue()+suite_def_files[filename][1] ) + suite_name, suite_def = self._make_suite_def(cycle) + assert(isinstance(suite_name,str)) + assert(isinstance(suite_def,str)) + suite_def_files[filename]={ 'name':suite_name, 'def':suite_def } self._make_ecf_files_for_one_cycle(ecf_files) del self.suite return suite_def_files,ecf_files diff --git a/crow/metascheduler/graph.py b/crow/metascheduler/graph.py new file mode 100644 index 0000000..21d98d3 --- /dev/null +++ b/crow/metascheduler/graph.py @@ -0,0 +1,162 @@ +"""!In-place simplification of cyclic graphs whose connections are +boolean algebra dependencies suitable to pass ot +crow.metascheduler.algebra. Given a specific cycle, this code can +remove all jobs that would not run for that cycle.""" + +f'This module requires python 3.6 or newer.' + +import datetime,copy,collections +from collections import OrderedDict + +from .algebra import simplify as algebra_simplify +from .algebra import assume as algebra_assume +from crow.config import TRUE_DEPENDENCY,FALSE_DEPENDENCY,Suite +from crow.tools import NamedConstant,Clock,typecheck,MISSING,ZERO_DT + +def depth_first_traversal(tree,skip_fun=None,enter_fun=None, + exit_fun=None,memo=None): + if memo is None: memo=set() + if id(tree) in memo: return + memo.add(id(tree)) + if skip_fun and skip_fun(tree): + return + if enter_fun: enter_fun(tree) + yield tree + for child in tree: + for item in depth_first_traversal( + child,skip_fun,enter_fun,exit_fun,memo): + yield item + if exit_fun: exit_fun(tree) + +class Node(object): + def __init__(self,view,cycle): + self.view=view + self.trigger=TRUE_DEPENDENCY + self.complete=FALSE_DEPENDENCY + self.time=ZERO_DT + self.cycle=cycle + self.alarm=view.get_alarm() + if 'Trigger' in view: + self.trigger=view.Trigger.copy_dependencies() + if 'Complete' in view: + self.complete=view.Complete.copy_dependencies() + if 'Time' in view: + self.time=copy(view.Time) + self.children=collections.OrderedDict() + + def __iter__(self): + for value in self.children.values(): + yield value + + def assume(self,clock,assume_complete=None,assume_never_run=None): + typecheck('self.alarm',self.alarm,Clock) + if self.cycle not in self.alarm: + self.trigger=FALSE_DEPENDENCY + self.complete=FALSE_DEPENDENCY + else: + self.trigger=algebra_simplify(algebra_assume( + self.trigger,clock,self.cycle,assume_complete,assume_never_run)) + self.complete=algebra_simplify(algebra_assume( + self.complete,clock,self.cycle,assume_complete,assume_never_run)) + + def is_family(self): return self.view.is_family() + def is_task(self): return self.view.is_task() + def has_trigger(self): + return self.trigger not in [ FALSE_DEPENDENCY, TRUE_DEPENDENCY ] + def has_complete(self): + return self.trigger not in [ FALSE_DEPENDENCY, TRUE_DEPENDENCY ] + + @property + def path(self): + return self.view.path + def can_never_complete(self): + return self.trigger==FALSE_DEPENDENCY and self.complete==FALSE_DEPENDENCY + def is_always_complete(self): + return self.complete==TRUE_DEPENDENCY + def might_complete(self): + return self.trigger is not FALSE_DEPENDENCY or \ + self.complete is not FALSE_DEPENDENCY + def is_empty(self): + return self.is_family() and not self.children + def __copy__(self): + n=Node(self.view,self.cycle) + n.trigger, n.complete, n.time, n.alarm = \ + self.trigger, self.complete, self.time, self.alarm + n.children=copy.copy(self.children) + return n + def __deepcopy__(self,memo): + n=copy.copy(self) + for name,child in n.children.items(): + n[name]=copy.deepcopy(child,memo) + +class Graph(object): + def __init__(self,suite,clock): + typecheck('clock',clock,Clock) + typecheck('suite',suite,Suite) + self.__clock=copy.copy(clock) + self.__suite=suite + self.__nodes=collections.defaultdict(dict) + self.__cycles=collections.defaultdict(OrderedDict) + def simplify_cycle(self,cycle): + if cycle not in self.__clock: + raise ValueError( + f'{cycle:%F %T}: cycle does not exist in clock {self.__clock}') + if cycle not in self.__cycles: + raise KeyError( + f'{cycle:%F %T}: have not called add_cycle for this cycle yet.') + changed=True + always_complete=set() + never_run=set() + def fun_assume_complete(path): + return path in always_complete + def fun_assume_never_run(path): + return path in never_run + + while changed: + changed=False + for node in self.__nodes[cycle].values(): + if node.might_complete(): + node.assume(self.__clock,fun_assume_complete, + fun_assume_never_run) + if node.can_never_complete(): + for descendent in depth_first_traversal(node): + never_run.add(descendent.path) + changed=True + elif node.is_always_complete(): + for descendent in depth_first_traversal(node): + always_complete.add(descendent.path) + changed=True + + def depth_first_traversal(self,cycle,skip_fun,enter_fun,exit_fun): + if cycle not in self.__cycles: + raise KeyError(f'{cycle}: have not added this ' + 'cycle yet (add_cycle())') + memo=set() + for key,child in self.__cycles[cycle].items(): + for node in depth_first_traversal( + self.__cycles[cycle][key],skip_fun,enter_fun,exit_fun,memo): + yield node + + def add_cycle(self,cycle): + self.__clock.now=cycle + memo=set() + for child_view in self.__suite.child_iter(): + if child_view.is_family() or child_view.is_task(): + child_name=child_view.path[-1] + self.__cycles[cycle][child_name] = \ + self._add_child(cycle,child_view,None,memo) + + def _add_child(self,cycle,child_view,parent_node,memo): + if child_view.path in memo: return + child_node=Node(child_view,self.__clock.now) + if parent_node is not None: + parent_node.children[child_node.path[-1]]=child_node + child_cycle=cycle+child_node.path[0] + self.__nodes[child_cycle][child_node.path]=child_node + if child_view.is_family(): + for grandchild_view in child_view.child_iter(): + if grandchild_view.is_family() or\ + grandchild_view.is_task(): + self._add_child(cycle,grandchild_view,child_node,memo) + return child_node + diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index c025b53..25ab72a 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -13,7 +13,7 @@ Family, Cycle, RUNNING, COMPLETED, FAILED, \ TRUE_DEPENDENCY, FALSE_DEPENDENCY, SuitePath, \ CycleExistsDependency -from crow.metascheduler.simplify import simplify +from crow.metascheduler.algebra import simplify __all__=['to_rocoto','RocotoConfigError','ToRocoto', 'SelfReferentialDependency' ] diff --git a/crow/tools.py b/crow/tools.py index 2de16e5..5eb86ec 100644 --- a/crow/tools.py +++ b/crow/tools.py @@ -4,7 +4,11 @@ from contextlib import suppress from collections.abc import Mapping -__all__=['panasas_gb','gpfs_gb','to_timedelta','deliver_file'] +__all__=['panasas_gb','gpfs_gb','to_timedelta','deliver_file','NamedConstant', + 'Clock','str_timedelta','memory_in_bytes','to_printf_octal', + 'str_to_posix_sh','typecheck','ZER_DT','shell_to_python_type', + 'MISSING'] + _logger=logging.getLogger('crow.tools') def deliver_file(from_file: str,to_file: str,*,blocksize: int=1048576, @@ -129,6 +133,21 @@ def to_timedelta(s): raise ValueError(s+': invalid timedelta specification (12:34, ' '12:34:56, 9d12h, 9d12:34, 9d12:34:56)') +ZERO_DT=timedelta(0) +def str_timedelta(dt): + sign='+' + if dtself.end: return False # other clock stops after me + return True elif isinstance(when,datetime.datetime): if self.end and when>self.end: return False if when +echo ${JOBgfs}/JGFS_TASK3 +%include diff --git a/examples/ecflow/family2/family3/task32.ecf b/examples/ecflow/family2/family3/task32.ecf new file mode 100644 index 0000000..c316320 --- /dev/null +++ b/examples/ecflow/family2/family3/task32.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J family2.family3.task32 +#BSUB -o /ptmp/%U/family2.family3.task32 +#BSUB -W 0:05 +#BSUB -R rusage[mem=2000] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_TASK3 +%include diff --git a/examples/ecflow/family2/family3/task33.ecf b/examples/ecflow/family2/family3/task33.ecf new file mode 100644 index 0000000..dff10f3 --- /dev/null +++ b/examples/ecflow/family2/family3/task33.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J family2.family3.task33 +#BSUB -o /ptmp/%U/family2.family3.task33 +#BSUB -W 0:05 +#BSUB -R rusage[mem=2000] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_TASK3 +%include diff --git a/examples/ecflow/prod00.def b/examples/ecflow/prod00.def index 7783e61..2069529 100644 --- a/examples/ecflow/prod00.def +++ b/examples/ecflow/prod00.def @@ -1,4 +1,3 @@ -extern /prod18/family2/task21 suite prod00 repeat day 1 edit ECF_TRIES '1' @@ -7,14 +6,10 @@ suite prod00 task task0 endtask family family1 - edit SOMEVAR '1' - edit ANOTHERVAR '2' - trigger /prod18/family2/task21 == complete and ./task0 == complete + trigger ./family2/task21 == complete and ./task0 == complete task task11 - event 1 some_event - event 2 another_event - edit WHATEVER '333' - event manually_written_event + event 1 some_event + event 1 another_event endtask task task12 trigger ./task11:some_event @@ -26,9 +21,15 @@ suite prod00 trigger ../family1/task12 == complete endtask family family3 - task task3 + task task31 trigger ../task21 == complete endtask + task task32 + trigger ./task31 == complete + endtask + task task33 + trigger ./task31 == complete + endtask endfamily task task22 trigger ./task21 == complete diff --git a/examples/ecflow/prod06.def b/examples/ecflow/prod06.def index 58f7801..b2ee8c7 100644 --- a/examples/ecflow/prod06.def +++ b/examples/ecflow/prod06.def @@ -1,5 +1,3 @@ -extern /prod18/family2/task21 -extern /prod00/family2/task21 suite prod06 repeat day 1 edit ECF_TRIES '1' @@ -8,14 +6,10 @@ suite prod06 task task0 endtask family family1 - edit SOMEVAR '1' - edit ANOTHERVAR '2' - trigger /prod00/family2/task21 == complete and ./task0 == complete + trigger ./family2/task21 == complete and ./task0 == complete task task11 - event 1 some_event - event 2 another_event - edit WHATEVER '333' - event manually_written_event + event 1 some_event + event 1 another_event endtask task task12 trigger ./task11:some_event @@ -27,16 +21,11 @@ suite prod06 trigger ../family1/task12 == complete endtask family family3 - task task3 - trigger ../task21 == complete + task task32 endtask endfamily - task task22 - trigger ./task21 == complete - endtask task task23 trigger ./task21 == complete - complete ./task22 == complete endtask endfamily endsuite diff --git a/examples/ecflow/prod12.def b/examples/ecflow/prod12.def index 0df90ca..3bc6df2 100644 --- a/examples/ecflow/prod12.def +++ b/examples/ecflow/prod12.def @@ -1,6 +1,3 @@ -extern /prod18/family2/task21 -extern /prod00/family2/task21 -extern /prod06/family2/task21 suite prod12 repeat day 1 edit ECF_TRIES '1' @@ -9,14 +6,10 @@ suite prod12 task task0 endtask family family1 - edit SOMEVAR '1' - edit ANOTHERVAR '2' - trigger /prod06/family2/task21 == complete and ./task0 == complete + trigger ./family2/task21 == complete and ./task0 == complete task task11 - event 1 some_event - event 2 another_event - edit WHATEVER '333' - event manually_written_event + event 1 some_event + event 1 another_event endtask task task12 trigger ./task11:some_event @@ -28,16 +21,18 @@ suite prod12 trigger ../family1/task12 == complete endtask family family3 - task task3 + task task31 trigger ../task21 == complete endtask + task task32 + trigger ./task31 == complete + endtask + task task33 + trigger ./task31 == complete + endtask endfamily - task task22 - trigger ./task21 == complete - endtask task task23 trigger ./task21 == complete - complete ./task22 == complete endtask endfamily endsuite diff --git a/examples/ecflow/prod18.def b/examples/ecflow/prod18.def index 7743de5..cf41355 100644 --- a/examples/ecflow/prod18.def +++ b/examples/ecflow/prod18.def @@ -1,7 +1,3 @@ -extern /prod18/family2/task21 -extern /prod00/family2/task21 -extern /prod06/family2/task21 -extern /prod12/family2/task21 suite prod18 repeat day 1 edit ECF_TRIES '1' @@ -10,14 +6,10 @@ suite prod18 task task0 endtask family family1 - edit SOMEVAR '1' - edit ANOTHERVAR '2' - trigger /prod12/family2/task21 == complete and ./task0 == complete + trigger ./family2/task21 == complete and ./task0 == complete task task11 - event 1 some_event - event 2 another_event - edit WHATEVER '333' - event manually_written_event + event 1 some_event + event 1 another_event endtask task task12 trigger ./task11:some_event @@ -29,16 +21,11 @@ suite prod18 trigger ../family1/task12 == complete endtask family family3 - task task3 - trigger ../task21 == complete + task task32 endtask endfamily - task task22 - trigger ./task21 == complete - endtask task task23 trigger ./task21 == complete - complete ./task22 == complete endtask endfamily endsuite diff --git a/examples/ecflow/task0.ecf b/examples/ecflow/task0.ecf index c99fec3..1a937d7 100644 --- a/examples/ecflow/task0.ecf +++ b/examples/ecflow/task0.ecf @@ -5,8 +5,8 @@ #BSUB -o /ptmp/%U/task0 #BSUB -W 0:05 #BSUB -R rusage[mem=2000] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +#BSUB -n 1 + %include echo ${JOBgfs}/JGFS_TASK0 %include diff --git a/examples/taskarray/doit.py b/examples/taskarray/doit.py new file mode 100755 index 0000000..90848bf --- /dev/null +++ b/examples/taskarray/doit.py @@ -0,0 +1,34 @@ +#! /usr/bin/env python3 +f'This script requires Python 3.6 or newer.' + +import os +from crow.metascheduler import to_ecflow +from crow.config import from_file, Suite + +conf=from_file('taskarray.yaml') +suite=Suite(conf.suite) +suite_defs, ecf_files = to_ecflow(suite) + +for defname in suite_defs: + #print(f'=== contents of suite def {defname}\n{suite_defs[defname]}') + filename=defname + print(filename) + dirname=os.path.dirname(filename) + if dirname and not os.path.exists(dirname): + os.makedirs(os.path.dirname(filename)) + with open(filename,'wt') as fd: + fd.write(suite_defs[defname]['def']) + +for setname in ecf_files: + print(f'ecf file set {setname}:\n') + for filename in ecf_files[setname]: + print(f' file {filename}') + dirname=os.path.dirname(filename) + if dirname and not os.path.exists(dirname): + os.makedirs(os.path.dirname(filename)) + with open(filename+".ecf",'wt') as fd: + fd.write(ecf_files[setname][filename]) + + #for line in ecf_files[setname][filename].splitlines(): + #print(f' {line.rstrip()}') + diff --git a/examples/taskarray/my_array/num_n1.ecf b/examples/taskarray/my_array/num_n1.ecf new file mode 100644 index 0000000..b05ce04 --- /dev/null +++ b/examples/taskarray/my_array/num_n1.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.num_n1 +#BSUB -o my_array.num_n1 +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_NUM_N1 + +%include diff --git a/examples/taskarray/my_array/num_n2.ecf b/examples/taskarray/my_array/num_n2.ecf new file mode 100644 index 0000000..5b1c2e2 --- /dev/null +++ b/examples/taskarray/my_array/num_n2.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.num_n2 +#BSUB -o my_array.num_n2 +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_NUM_N2 + +%include diff --git a/examples/taskarray/my_array/num_n3.ecf b/examples/taskarray/my_array/num_n3.ecf new file mode 100644 index 0000000..82702ba --- /dev/null +++ b/examples/taskarray/my_array/num_n3.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.num_n3 +#BSUB -o my_array.num_n3 +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_NUM_N3 + +%include diff --git a/examples/taskarray/my_array/task_n1_la.ecf b/examples/taskarray/my_array/task_n1_la.ecf new file mode 100644 index 0000000..9043626 --- /dev/null +++ b/examples/taskarray/my_array/task_n1_la.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.task_n1_la +#BSUB -o my_array.task_n1_la +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TASK_N1_La + +%include diff --git a/examples/taskarray/my_array/task_n1_lb.ecf b/examples/taskarray/my_array/task_n1_lb.ecf new file mode 100644 index 0000000..1c3adb7 --- /dev/null +++ b/examples/taskarray/my_array/task_n1_lb.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.task_n1_lb +#BSUB -o my_array.task_n1_lb +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TASK_N1_Lb + +%include diff --git a/examples/taskarray/my_array/task_n1_lc.ecf b/examples/taskarray/my_array/task_n1_lc.ecf new file mode 100644 index 0000000..b4ff8fb --- /dev/null +++ b/examples/taskarray/my_array/task_n1_lc.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.task_n1_lc +#BSUB -o my_array.task_n1_lc +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TASK_N1_Lc + +%include diff --git a/examples/taskarray/my_array/task_n2_la.ecf b/examples/taskarray/my_array/task_n2_la.ecf new file mode 100644 index 0000000..e64b51f --- /dev/null +++ b/examples/taskarray/my_array/task_n2_la.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.task_n2_la +#BSUB -o my_array.task_n2_la +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TASK_N2_La + +%include diff --git a/examples/taskarray/my_array/task_n2_lb.ecf b/examples/taskarray/my_array/task_n2_lb.ecf new file mode 100644 index 0000000..0236981 --- /dev/null +++ b/examples/taskarray/my_array/task_n2_lb.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.task_n2_lb +#BSUB -o my_array.task_n2_lb +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TASK_N2_Lb + +%include diff --git a/examples/taskarray/my_array/task_n2_lc.ecf b/examples/taskarray/my_array/task_n2_lc.ecf new file mode 100644 index 0000000..ee31e68 --- /dev/null +++ b/examples/taskarray/my_array/task_n2_lc.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.task_n2_lc +#BSUB -o my_array.task_n2_lc +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TASK_N2_Lc + +%include diff --git a/examples/taskarray/my_array/task_n3_la.ecf b/examples/taskarray/my_array/task_n3_la.ecf new file mode 100644 index 0000000..fb6fd2c --- /dev/null +++ b/examples/taskarray/my_array/task_n3_la.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.task_n3_la +#BSUB -o my_array.task_n3_la +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TASK_N3_La + +%include diff --git a/examples/taskarray/my_array/task_n3_lb.ecf b/examples/taskarray/my_array/task_n3_lb.ecf new file mode 100644 index 0000000..8984d10 --- /dev/null +++ b/examples/taskarray/my_array/task_n3_lb.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.task_n3_lb +#BSUB -o my_array.task_n3_lb +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TASK_N3_Lb + +%include diff --git a/examples/taskarray/my_array/task_n3_lc.ecf b/examples/taskarray/my_array/task_n3_lc.ecf new file mode 100644 index 0000000..7949920 --- /dev/null +++ b/examples/taskarray/my_array/task_n3_lc.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.task_n3_lc +#BSUB -o my_array.task_n3_lc +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TASK_N3_Lc + +%include diff --git a/examples/taskarray/my_array/tusk_n1_la.ecf b/examples/taskarray/my_array/tusk_n1_la.ecf new file mode 100644 index 0000000..1da423f --- /dev/null +++ b/examples/taskarray/my_array/tusk_n1_la.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.tusk_n1_la +#BSUB -o my_array.tusk_n1_la +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TUSK_N1_La + +%include diff --git a/examples/taskarray/my_array/tusk_n1_lb.ecf b/examples/taskarray/my_array/tusk_n1_lb.ecf new file mode 100644 index 0000000..eec1b28 --- /dev/null +++ b/examples/taskarray/my_array/tusk_n1_lb.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.tusk_n1_lb +#BSUB -o my_array.tusk_n1_lb +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TUSK_N1_Lb + +%include diff --git a/examples/taskarray/my_array/tusk_n1_lc.ecf b/examples/taskarray/my_array/tusk_n1_lc.ecf new file mode 100644 index 0000000..7620fe2 --- /dev/null +++ b/examples/taskarray/my_array/tusk_n1_lc.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.tusk_n1_lc +#BSUB -o my_array.tusk_n1_lc +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TUSK_N1_Lc + +%include diff --git a/examples/taskarray/my_array/tusk_n2_la.ecf b/examples/taskarray/my_array/tusk_n2_la.ecf new file mode 100644 index 0000000..13e44d2 --- /dev/null +++ b/examples/taskarray/my_array/tusk_n2_la.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.tusk_n2_la +#BSUB -o my_array.tusk_n2_la +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TUSK_N2_La + +%include diff --git a/examples/taskarray/my_array/tusk_n2_lb.ecf b/examples/taskarray/my_array/tusk_n2_lb.ecf new file mode 100644 index 0000000..a783187 --- /dev/null +++ b/examples/taskarray/my_array/tusk_n2_lb.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.tusk_n2_lb +#BSUB -o my_array.tusk_n2_lb +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TUSK_N2_Lb + +%include diff --git a/examples/taskarray/my_array/tusk_n2_lc.ecf b/examples/taskarray/my_array/tusk_n2_lc.ecf new file mode 100644 index 0000000..fee6924 --- /dev/null +++ b/examples/taskarray/my_array/tusk_n2_lc.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.tusk_n2_lc +#BSUB -o my_array.tusk_n2_lc +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TUSK_N2_Lc + +%include diff --git a/examples/taskarray/my_array/tusk_n3_la.ecf b/examples/taskarray/my_array/tusk_n3_la.ecf new file mode 100644 index 0000000..27cb743 --- /dev/null +++ b/examples/taskarray/my_array/tusk_n3_la.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.tusk_n3_la +#BSUB -o my_array.tusk_n3_la +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TUSK_N3_La + +%include diff --git a/examples/taskarray/my_array/tusk_n3_lb.ecf b/examples/taskarray/my_array/tusk_n3_lb.ecf new file mode 100644 index 0000000..a179c34 --- /dev/null +++ b/examples/taskarray/my_array/tusk_n3_lb.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.tusk_n3_lb +#BSUB -o my_array.tusk_n3_lb +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TUSK_N3_Lb + +%include diff --git a/examples/taskarray/my_array/tusk_n3_lc.ecf b/examples/taskarray/my_array/tusk_n3_lc.ecf new file mode 100644 index 0000000..7dd7537 --- /dev/null +++ b/examples/taskarray/my_array/tusk_n3_lc.ecf @@ -0,0 +1,13 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J my_array.tusk_n3_lc +#BSUB -o my_array.tusk_n3_lc +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TUSK_N3_Lc + +%include diff --git a/examples/taskarray/prod00.def b/examples/taskarray/prod00.def new file mode 100644 index 0000000..e4ef350 --- /dev/null +++ b/examples/taskarray/prod00.def @@ -0,0 +1,61 @@ +suite prod00 + task simple_task + endtask + family my_array + trigger ./simple_task == complete + task task_n1_la + endtask + task task_n1_lb + endtask + task task_n1_lc + endtask + task task_n2_la + endtask + task task_n2_lb + endtask + task task_n2_lc + endtask + task task_n3_la + endtask + task task_n3_lb + endtask + task task_n3_lc + endtask + task tusk_n1_la + trigger ./task_n1_la == complete + endtask + task tusk_n1_lb + trigger ./task_n1_lb == complete + endtask + task tusk_n1_lc + trigger ./task_n1_lc == complete + endtask + task tusk_n2_la + trigger ./task_n2_la == complete + endtask + task tusk_n2_lb + trigger ./task_n2_lb == complete + endtask + task tusk_n2_lc + trigger ./task_n2_lc == complete + endtask + task tusk_n3_la + trigger ./task_n3_la == complete + endtask + task tusk_n3_lb + trigger ./task_n3_lb == complete + endtask + task tusk_n3_lc + trigger ./task_n3_lc == complete + endtask + task num_n1 + trigger ./task_n1_la == complete and ./task_n1_lb == complete and ./task_n1_lc == complete + endtask + task num_n2 + trigger ./task_n2_la == complete and ./task_n2_lb == complete and ./task_n2_lc == complete + endtask + task num_n3 + trigger ./task_n3_la == complete and ./task_n3_lb == complete and ./task_n3_lc == complete + endtask + endfamily +endsuite diff --git a/examples/taskarray/prod06.def b/examples/taskarray/prod06.def new file mode 100644 index 0000000..ed0c6ad --- /dev/null +++ b/examples/taskarray/prod06.def @@ -0,0 +1,61 @@ +suite prod06 + task simple_task + endtask + family my_array + trigger ./simple_task == complete + task task_n1_la + endtask + task task_n1_lb + endtask + task task_n1_lc + endtask + task task_n2_la + endtask + task task_n2_lb + endtask + task task_n2_lc + endtask + task task_n3_la + endtask + task task_n3_lb + endtask + task task_n3_lc + endtask + task tusk_n1_la + trigger ./task_n1_la == complete + endtask + task tusk_n1_lb + trigger ./task_n1_lb == complete + endtask + task tusk_n1_lc + trigger ./task_n1_lc == complete + endtask + task tusk_n2_la + trigger ./task_n2_la == complete + endtask + task tusk_n2_lb + trigger ./task_n2_lb == complete + endtask + task tusk_n2_lc + trigger ./task_n2_lc == complete + endtask + task tusk_n3_la + trigger ./task_n3_la == complete + endtask + task tusk_n3_lb + trigger ./task_n3_lb == complete + endtask + task tusk_n3_lc + trigger ./task_n3_lc == complete + endtask + task num_n1 + trigger ./task_n1_la == complete and ./task_n1_lb == complete and ./task_n1_lc == complete + endtask + task num_n2 + trigger ./task_n2_la == complete and ./task_n2_lb == complete and ./task_n2_lc == complete + endtask + task num_n3 + trigger ./task_n3_la == complete and ./task_n3_lb == complete and ./task_n3_lc == complete + endtask + endfamily +endsuite diff --git a/examples/taskarray/prod12.def b/examples/taskarray/prod12.def new file mode 100644 index 0000000..bd11980 --- /dev/null +++ b/examples/taskarray/prod12.def @@ -0,0 +1,61 @@ +suite prod12 + task simple_task + endtask + family my_array + trigger ./simple_task == complete + task task_n1_la + endtask + task task_n1_lb + endtask + task task_n1_lc + endtask + task task_n2_la + endtask + task task_n2_lb + endtask + task task_n2_lc + endtask + task task_n3_la + endtask + task task_n3_lb + endtask + task task_n3_lc + endtask + task tusk_n1_la + trigger ./task_n1_la == complete + endtask + task tusk_n1_lb + trigger ./task_n1_lb == complete + endtask + task tusk_n1_lc + trigger ./task_n1_lc == complete + endtask + task tusk_n2_la + trigger ./task_n2_la == complete + endtask + task tusk_n2_lb + trigger ./task_n2_lb == complete + endtask + task tusk_n2_lc + trigger ./task_n2_lc == complete + endtask + task tusk_n3_la + trigger ./task_n3_la == complete + endtask + task tusk_n3_lb + trigger ./task_n3_lb == complete + endtask + task tusk_n3_lc + trigger ./task_n3_lc == complete + endtask + task num_n1 + trigger ./task_n1_la == complete and ./task_n1_lb == complete and ./task_n1_lc == complete + endtask + task num_n2 + trigger ./task_n2_la == complete and ./task_n2_lb == complete and ./task_n2_lc == complete + endtask + task num_n3 + trigger ./task_n3_la == complete and ./task_n3_lb == complete and ./task_n3_lc == complete + endtask + endfamily +endsuite diff --git a/examples/taskarray/prod18.def b/examples/taskarray/prod18.def new file mode 100644 index 0000000..201ec92 --- /dev/null +++ b/examples/taskarray/prod18.def @@ -0,0 +1,61 @@ +suite prod18 + task simple_task + endtask + family my_array + trigger ./simple_task == complete + task task_n1_la + endtask + task task_n1_lb + endtask + task task_n1_lc + endtask + task task_n2_la + endtask + task task_n2_lb + endtask + task task_n2_lc + endtask + task task_n3_la + endtask + task task_n3_lb + endtask + task task_n3_lc + endtask + task tusk_n1_la + trigger ./task_n1_la == complete + endtask + task tusk_n1_lb + trigger ./task_n1_lb == complete + endtask + task tusk_n1_lc + trigger ./task_n1_lc == complete + endtask + task tusk_n2_la + trigger ./task_n2_la == complete + endtask + task tusk_n2_lb + trigger ./task_n2_lb == complete + endtask + task tusk_n2_lc + trigger ./task_n2_lc == complete + endtask + task tusk_n3_la + trigger ./task_n3_la == complete + endtask + task tusk_n3_lb + trigger ./task_n3_lb == complete + endtask + task tusk_n3_lc + trigger ./task_n3_lc == complete + endtask + task num_n1 + trigger ./task_n1_la == complete and ./task_n1_lb == complete and ./task_n1_lc == complete + endtask + task num_n2 + trigger ./task_n2_la == complete and ./task_n2_lb == complete and ./task_n2_lc == complete + endtask + task num_n3 + trigger ./task_n3_la == complete and ./task_n3_lb == complete and ./task_n3_lc == complete + endtask + endfamily +endsuite diff --git a/examples/taskarray/simple_task.ecf b/examples/taskarray/simple_task.ecf new file mode 100644 index 0000000..b650495 --- /dev/null +++ b/examples/taskarray/simple_task.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P GFS-T2O +#BSUB -J simple_task +#BSUB -o simple_task +#BSUB -W 0:02 +#BSUB -R rusage[mem=5] +#BSUB -extsched CRAYLINUX[] +export NODES=2 +%include +echo ${JOBgfs}/JGFS_TASK23 +%include diff --git a/examples/taskarray/taskarray.yaml b/examples/taskarray/taskarray.yaml new file mode 100644 index 0000000..f143e70 --- /dev/null +++ b/examples/taskarray/taskarray.yaml @@ -0,0 +1,96 @@ +resources: &resources + - exe: placeholder + OMP_NUM_THREADS: 4 + mpi_ranks: 12 + walltime: 00:02:00 + memory: "5M" + +ecf_file_template: &ecf_file_template !expand | + #! /bin/sh + {sched.batch_accounting(doc.accounting,jobname=task_path_var,outerr=task_path_var) + }{sched.batch_resources(resources)} + %include + echo ${{JOBgfs}}/{J_JOB} + %include + +scheduler_settings: + name: LSFAlps + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + +scheduler: !calc | + tools.get_scheduler(doc.scheduler_settings.name, + doc.scheduler_settings) + +accounting: + queue: '%QUEUE%' + project: GFS-T2O + +suite: !Cycle + Clock: !Clock + start: 2018-01-01T18:00:00 + end: 2018-01-02T18:00:00 + step: !timedelta "6:00:00" + + Alarms: + twelve_hourly: !Clock + start: 2018-01-01T00:00:00 + end: 2018-01-02T12:00:00 + step: !timedelta "12:00:00" + zero_utc: !Clock + start: 2018-01-01T00:00:00 + end: 2018-01-02T00:00:00 + step: !timedelta "24:00:00" + + ecFlow: + suite_def_filename: "prod%H.def" + suite_name: "prod%H" + scheduler: !calc doc.scheduler + + simple_task: !Task + resources: *resources + ecf_file: *ecf_file_template + J_JOB: JGFS_TASK23 + + my_array: !TaskArray + Trigger: !Depend simple_task + Dimensions: + NUMBER: [ 1, 2, 3 ] + LETTER: [ a, b, c ] + + one_task: !TaskElement + Name: !expand 'task_n{idx.NUMBER}_l{idx.LETTER}' + ecflow_def: | + edit NUMBER '{Index.NUMBER}' + edit LETTER '{Index.LETTER}' + resources: *resources + ecf_file: *ecf_file_template + J_JOB: !expand | + JGFS_TASK_N{idx.NUMBER}_L{idx.LETTER} + Foreach: [ NUMBER, LETTER ] + + two_task: !TaskElement + Name: !expand 'tusk_n{idx.NUMBER}_l{idx.LETTER}' + ecflow_def: | + edit NUMBER '{Index.NUMBER}' + edit LETTER '{Index.LETTER}' + resources: *resources + ecf_file: *ecf_file_template + J_JOB: !expand | + JGFS_TUSK_N{idx.NUMBER}_L{idx.LETTER} + Foreach: [ NUMBER, LETTER ] + Trigger: !Depend this.depend("task_n{N}_l{L}",N=idx.NUMBER,L=idx.LETTER) + #Trigger: !Depend this.get(f"task_n{idx.NUMBER}_l{idx.LETTER}") + # Trigger: !Depend locals()[] + + num_task: !TaskElement + Name: !expand 'num_n{idx.NUMBER}' + ecflow_def: | + edit NUMBER '{Index.NUMBER}' + resources: *resources + Trigger: !Depend this.depend("task_n{N}_l{L}",N=idx.NUMBER,L=Dimensions.LETTER) + ecf_file: *ecf_file_template + J_JOB: !expand | + JGFS_NUM_N{idx.NUMBER} + Foreach: [ NUMBER ] diff --git a/model/ecflow_fv3gfs/defs/prod06.def b/model/ecflow_fv3gfs/defs/prod06.def index 6454582..379bf08 100644 --- a/model/ecflow_fv3gfs/defs/prod06.def +++ b/model/ecflow_fv3gfs/defs/prod06.def @@ -1,5 +1,5 @@ -extern /prod18/gdas/enkf/jgdas_enkf_post -extern /prod00/gdas/enkf/jgdas_enkf_post +extern /prod00/gdas/post +extern /prod00/gdas/enkf/epos suite prod06 repeat day 1 edit ECF_TRIES '1' diff --git a/model/ecflow_fv3gfs/defs/prod12.def b/model/ecflow_fv3gfs/defs/prod12.def index 27ad238..480ba63 100644 --- a/model/ecflow_fv3gfs/defs/prod12.def +++ b/model/ecflow_fv3gfs/defs/prod12.def @@ -1,6 +1,5 @@ -extern /prod18/gdas/enkf/jgdas_enkf_post -extern /prod00/gdas/enkf/jgdas_enkf_post -extern /prod06/gdas/enkf/jgdas_enkf_post +extern /prod06/gdas/post +extern /prod06/gdas/enkf/epos suite prod12 repeat day 1 edit ECF_TRIES '1' diff --git a/model/ecflow_fv3gfs/defs/prod18.def b/model/ecflow_fv3gfs/defs/prod18.def index 25d4647..0579f90 100644 --- a/model/ecflow_fv3gfs/defs/prod18.def +++ b/model/ecflow_fv3gfs/defs/prod18.def @@ -1,7 +1,5 @@ -extern /prod18/gdas/enkf/jgdas_enkf_post -extern /prod00/gdas/enkf/jgdas_enkf_post -extern /prod06/gdas/enkf/jgdas_enkf_post -extern /prod12/gdas/enkf/jgdas_enkf_post +extern /prod12/gdas/post +extern /prod12/gdas/enkf/epos suite prod18 repeat day 1 edit ECF_TRIES '1' diff --git a/model/ecflow_fv3gfs/doit.py b/model/ecflow_fv3gfs/make-ecflow-suite.py similarity index 93% rename from model/ecflow_fv3gfs/doit.py rename to model/ecflow_fv3gfs/make-ecflow-suite.py index 139d444..e25674f 100755 --- a/model/ecflow_fv3gfs/doit.py +++ b/model/ecflow_fv3gfs/make-ecflow-suite.py @@ -15,11 +15,11 @@ def make_parent_dir(filename): os.makedirs(os.path.dirname(filename)) for deffile in suite_defs.keys(): - defname,defcontents = suite_defs[deffile] + defname = suite_defs[deffile]['name'] + defcontents = suite_defs[deffile]['def'] #print(f'=== contents of suite def {defname}\n{suite_defs[defname]}') filename=os.path.join('defs',deffile) make_parent_dir(filename) - print(filename) dirname=os.path.dirname(filename) if dirname and not os.path.exists(dirname): os.makedirs(os.path.dirname(filename)) diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index eac6c82..f0f9dc9 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -149,77 +149,18 @@ suite: !Cycle accounting: *exclusive_accounting J_JOB: eobs - innovate: !Family + innovate: !TaskArray Trigger: !Depend jgdas_enkf_select_obs - grp1: !Task + Dimensions: + groupid: !calc tools.seq(1,8,1) + grp: !TaskElement + Foreach: [ group ] + Name: !expand "grp{idx.groupid}" ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_eomg ) accounting: *exclusive_accounting J_JOB: eomg - grp2: !FirstTrue - - when: !calc "( doc.settings.NMEM_ENKF>=20 )" - do: !Task - ecf_file: *ecf_file_template - resources: !calc ( doc.resource_demo.run_eomg ) - accounting: *exclusive_accounting - J_JOB: eomg - - otherwise: null - - grp3: !FirstTrue - - when: !calc "( doc.settings.NMEM_ENKF>=30 )" - do: !Task - ecf_file: *ecf_file_template - resources: !calc ( doc.resource_demo.run_eomg ) - accounting: *exclusive_accounting - J_JOB: eomg - - otherwise: null - - grp4: !FirstTrue - - when: !calc "( doc.settings.NMEM_ENKF>=40 )" - do: !Task - ecf_file: *ecf_file_template - resources: !calc ( doc.resource_demo.run_eomg ) - accounting: *exclusive_accounting - J_JOB: eomg - - otherwise: null - - grp5: !FirstTrue - - when: !calc "( doc.settings.NMEM_ENKF>=50 )" - do: !Task - ecf_file: *ecf_file_template - resources: !calc ( doc.resource_demo.run_eomg ) - accounting: *exclusive_accounting - J_JOB: eomg - - otherwise: null - - grp6: !FirstTrue - - when: !calc "( doc.settings.NMEM_ENKF>=60 )" - do: !Task - ecf_file: *ecf_file_template - resources: !calc ( doc.resource_demo.run_eomg ) - accounting: *exclusive_accounting - J_JOB: eomg - - otherwise: null - - grp7: !FirstTrue - - when: !calc "( doc.settings.NMEM_ENKF>=70 )" - do: !Task - ecf_file: *ecf_file_template - resources: !calc ( doc.resource_demo.run_eomg ) - accounting: *exclusive_accounting - J_JOB: eomg - - otherwise: null - - grp8: !FirstTrue - - when: !calc "( doc.settings.NMEM_ENKF>=80 )" - do: !Task - ecf_file: *ecf_file_template - resources: !calc ( doc.resource_demo.run_eomg ) - accounting: *exclusive_accounting - J_JOB: eomg - - otherwise: null - jgdas_enkf_update: !Task ecflow_def: | edit ECF_PASS 'FREE' @@ -236,97 +177,18 @@ suite: !Cycle accounting: *exclusive_accounting J_JOB: ecen -# efcs: !TaskArray -# Trigger: !Depend ecen -# Indices: -# GROUP_NUMBER_INDEX: [ 1, 2, 3, 4, 5, 6, 7, 8 ] -# OTHER_INDEX: [ a, b, c, d ] -# Names: -# grp: !expand grp{indices.GROUP_NUMBER_INDEX:%d}_{indices.OTHER_INDEX} -# other: !expand other{indices.OTHER_INDEX}_{indices.GROUP_NUMBER_INDEX} -# Contents: -# other: !Task -# ... -# grp: !Task -# Perform: -# <<: *efcs_action -# NMEM_ENKF: *NMEM_ENKF -# NMEM_ENKF_GRP_EFMN: *NMEM_ENKF_GRP_EFMN -# GROUP_NUMBER: !calc indices.GROUP_NUMBER_INDEX # Convert to ENSGRP %02d -# task_template: *task_template -# ens_more: *ens_task_template -# Rocoto: !expand "{task_template}{ens_more}" - - forecast: !Family + forecast: !TaskArray Trigger: !Depend up.enkf.jgdas_enkf_inflate_recenter - grp1: !Task + Dimensions: + groupid: !calc tools.seq(1,8,1) + grp: !TaskElement + Foreach: [ group ] + Name: !expand "grp{idx.groupid}" ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_efcs ) accounting: *exclusive_accounting J_JOB: efcs - grp2: !FirstTrue - - when: !calc "( doc.settings.NMEM_ENKF>=20 )" - do: !Task - ecf_file: *ecf_file_template - resources: !calc ( doc.resource_demo.run_efcs ) - accounting: *exclusive_accounting - J_JOB: efcs - - otherwise: null - - grp3: !FirstTrue - - when: !calc "( doc.settings.NMEM_ENKF>=30 )" - do: !Task - ecf_file: *ecf_file_template - resources: !calc ( doc.resource_demo.run_efcs ) - accounting: *exclusive_accounting - J_JOB: efcs - - otherwise: null - - grp4: !FirstTrue - - when: !calc "( doc.settings.NMEM_ENKF>=40 )" - do: !Task - ecf_file: *ecf_file_template - resources: !calc ( doc.resource_demo.run_efcs ) - accounting: *exclusive_accounting - J_JOB: efcs - - otherwise: null - - grp5: !FirstTrue - - when: !calc "( doc.settings.NMEM_ENKF>=50 )" - do: !Task - ecf_file: *ecf_file_template - J_JOB: efcs - resources: !calc ( doc.resource_demo.run_efcs ) - accounting: *exclusive_accounting - - otherwise: null - - grp6: !FirstTrue - - when: !calc "( doc.settings.NMEM_ENKF>=60 )" - do: !Task - ecf_file: *ecf_file_template - J_JOB: efcs - resources: !calc ( doc.resource_demo.run_efcs ) - accounting: *exclusive_accounting - - otherwise: null - - grp7: !FirstTrue - - when: !calc "( doc.settings.NMEM_ENKF>=70 )" - do: !Task - ecf_file: *ecf_file_template - J_JOB: efcs - resources: !calc ( doc.resource_demo.run_efcs ) - accounting: *exclusive_accounting - - otherwise: null - - grp8: !FirstTrue - - when: !calc "( doc.settings.NMEM_ENKF>=80 )" - do: !Task - ecf_file: *ecf_file_template - resources: !calc ( doc.resource_demo.run_efcs ) - accounting: *exclusive_accounting - J_JOB: efcs - - otherwise: null jgdas_enkf_post: !Task Trigger: !Depend forecast @@ -461,77 +323,17 @@ suite: !Cycle accounting: *shared_accounting J_JOB: gfsarch - earc: !Family - Trigger: !Depend up.gdas.enkf.jgdas_enkf_post - grp1: !Task + earc: !TaskArray + Trigger: !Depend up.gdas.enkf.epos + Dimensions: + groupid: !calc tools.seq(1,8,1) + grp: !TaskElement + Foreach: [ group ] + Name: !expand "grp{idx.groupid}" ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_arch ) accounting: *shared_accounting J_JOB: earc - grp2: !FirstTrue - - when: !calc "( doc.settings.NMEM_ENKF>=20 )" - do: !Task - ecf_file: *ecf_file_template - resources: !calc ( doc.resource_demo.run_arch ) - accounting: *shared_accounting - J_JOB: earc - - otherwise: null - grp3: !FirstTrue - - when: !calc "( doc.settings.NMEM_ENKF>=30 )" - do: !Task - ecf_file: *ecf_file_template - J_JOB: earc - resources: !calc ( doc.resource_demo.run_arch ) - accounting: *shared_accounting - - otherwise: null - grp4: !FirstTrue - - when: !calc "( doc.settings.NMEM_ENKF>=40 )" - do: !Task - ecf_file: *ecf_file_template - J_JOB: earc - resources: !calc ( doc.resource_demo.run_arch ) - accounting: *shared_accounting - - otherwise: null - grp5: !FirstTrue - - when: !calc "( doc.settings.NMEM_ENKF>=50 )" - do: !Task - ecf_file: *ecf_file_template - J_JOB: earc - resources: !calc ( doc.resource_demo.run_arch ) - accounting: *shared_accounting - - otherwise: null - grp6: !FirstTrue - - when: !calc "( doc.settings.NMEM_ENKF>=60 )" - do: !Task - ecf_file: *ecf_file_template - J_JOB: earc - resources: !calc ( doc.resource_demo.run_arch ) - accounting: *shared_accounting - - otherwise: null - grp7: !FirstTrue - - when: !calc "( doc.settings.NMEM_ENKF==70 )" - do: !Task - ecf_file: *ecf_file_template - J_JOB: earc - resources: !calc ( doc.resource_demo.run_arch ) - accounting: *shared_accounting - - otherwise: null - grp7: !FirstTrue - - when: !calc "( doc.settings.NMEM_ENKF>=70 )" - do: !Task - ecf_file: *ecf_file_template - J_JOB: earc - resources: !calc ( doc.resource_demo.run_arch ) - accounting: *shared_accounting - - otherwise: null - grp8: !FirstTrue - - when: !calc "( doc.settings.NMEM_ENKF>=80 )" - do: !Task - ecf_file: *ecf_file_template - J_JOB: earc - accounting: *shared_accounting - resources: !calc ( doc.resource_demo.run_arch ) - - otherwise: null #final: !Task # ecf_file: *ecf_file_template From ce9e3af301345510b16254857886d6ccc195662c Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 8 Feb 2018 16:09:27 +0000 Subject: [PATCH 304/487] re-resolve conflicts --- model/ecflow_fv3gfs/suite_def.yaml | 1 - 1 file changed, 1 deletion(-) diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index f0f9dc9..996c0d1 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -189,7 +189,6 @@ suite: !Cycle accounting: *exclusive_accounting J_JOB: efcs - jgdas_enkf_post: !Task Trigger: !Depend forecast ecf_file: *ecf_file_template From 5158ba23ce56450813c463920db8987d8ac43012 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 8 Feb 2018 16:17:24 +0000 Subject: [PATCH 305/487] fix dependency name: jgdas_enkf_post instead of epos --- model/ecflow_fv3gfs/defs/prod00.def | 18 ++++++---------- model/ecflow_fv3gfs/defs/prod06.def | 21 +++++++------------ model/ecflow_fv3gfs/defs/prod12.def | 21 +++++++------------ model/ecflow_fv3gfs/defs/prod18.def | 21 +++++++------------ .../scripts/prod00/gdas/dump/jgdas_dump.ecf | 11 ++++++++++ .../scripts/prod00/gdas/dump/jgdas_ics.ecf | 11 ++++++++++ .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 11 ++++++++++ .../prod00/gdas/enkf/forecast/grp1.ecf | 12 +++++++++++ .../prod00/gdas/enkf/forecast/grp2.ecf | 12 +++++++++++ .../prod00/gdas/enkf/forecast/grp3.ecf | 12 +++++++++++ .../prod00/gdas/enkf/forecast/grp4.ecf | 12 +++++++++++ .../prod00/gdas/enkf/forecast/grp5.ecf | 12 +++++++++++ .../prod00/gdas/enkf/forecast/grp6.ecf | 12 +++++++++++ .../prod00/gdas/enkf/forecast/grp7.ecf | 12 +++++++++++ .../prod00/gdas/enkf/forecast/grp8.ecf | 12 +++++++++++ .../prod00/gdas/enkf/innovate/grp1.ecf | 12 +++++++++++ .../prod00/gdas/enkf/innovate/grp2.ecf | 12 +++++++++++ .../prod00/gdas/enkf/innovate/grp3.ecf | 12 +++++++++++ .../prod00/gdas/enkf/innovate/grp4.ecf | 12 +++++++++++ .../prod00/gdas/enkf/innovate/grp5.ecf | 12 +++++++++++ .../prod00/gdas/enkf/innovate/grp6.ecf | 12 +++++++++++ .../prod00/gdas/enkf/innovate/grp7.ecf | 12 +++++++++++ .../prod00/gdas/enkf/innovate/grp8.ecf | 12 +++++++++++ .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 12 +++++++++++ .../prod00/gdas/enkf/jgdas_enkf_post.ecf | 12 +++++++++++ .../gdas/enkf/jgdas_enkf_select_obs.ecf | 12 +++++++++++ .../prod00/gdas/enkf/jgdas_enkf_update.ecf | 12 +++++++++++ .../scripts/prod00/gdas/jgdas_analysis.ecf | 12 +++++++++++ .../scripts/prod00/gdas/jgdas_forecast.ecf | 12 +++++++++++ .../scripts/prod00/gdas/jgdas_verfrad.ecf | 11 ++++++++++ .../scripts/prod00/gdas/jgdas_vminmon.ecf | 11 ++++++++++ .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 11 ++++++++++ .../scripts/prod00/gdas/prep/jgdas_prep.ecf | 12 +++++++++++ .../prod00/gdas/prep/jgdas_prep_post.ecf | 11 ++++++++++ .../scripts/prod00/gfs/dump/jgfs_dump.ecf | 11 ++++++++++ .../prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 11 ++++++++++ .../scripts/prod00/gfs/jgfs_analysis.ecf | 12 +++++++++++ .../scripts/prod00/gfs/jgfs_forecast.ecf | 12 +++++++++++ .../scripts/prod00/gfs/jgfs_post.ecf | 12 +++++++++++ .../scripts/prod00/gfs/jgfs_vminmon.ecf | 11 ++++++++++ .../scripts/prod00/gfs/jgfs_vrfy.ecf | 12 +++++++++++ .../prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 11 ++++++++++ .../scripts/prod00/gfs/prep/jgfs_prep.ecf | 12 +++++++++++ .../prod00/gfs/prep/jgfs_prep_post.ecf | 11 ++++++++++ .../scripts/prod06/gdas/dump/jgdas_dump.ecf | 11 ++++++++++ .../scripts/prod06/gdas/dump/jgdas_ics.ecf | 11 ++++++++++ .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 11 ++++++++++ .../prod06/gdas/enkf/forecast/grp1.ecf | 12 +++++++++++ .../prod06/gdas/enkf/forecast/grp2.ecf | 12 +++++++++++ .../prod06/gdas/enkf/forecast/grp3.ecf | 12 +++++++++++ .../prod06/gdas/enkf/forecast/grp4.ecf | 12 +++++++++++ .../prod06/gdas/enkf/forecast/grp5.ecf | 12 +++++++++++ .../prod06/gdas/enkf/forecast/grp6.ecf | 12 +++++++++++ .../prod06/gdas/enkf/forecast/grp7.ecf | 12 +++++++++++ .../prod06/gdas/enkf/forecast/grp8.ecf | 12 +++++++++++ .../prod06/gdas/enkf/innovate/grp1.ecf | 12 +++++++++++ .../prod06/gdas/enkf/innovate/grp2.ecf | 12 +++++++++++ .../prod06/gdas/enkf/innovate/grp3.ecf | 12 +++++++++++ .../prod06/gdas/enkf/innovate/grp4.ecf | 12 +++++++++++ .../prod06/gdas/enkf/innovate/grp5.ecf | 12 +++++++++++ .../prod06/gdas/enkf/innovate/grp6.ecf | 12 +++++++++++ .../prod06/gdas/enkf/innovate/grp7.ecf | 12 +++++++++++ .../prod06/gdas/enkf/innovate/grp8.ecf | 12 +++++++++++ .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 12 +++++++++++ .../prod06/gdas/enkf/jgdas_enkf_post.ecf | 12 +++++++++++ .../gdas/enkf/jgdas_enkf_select_obs.ecf | 12 +++++++++++ .../prod06/gdas/enkf/jgdas_enkf_update.ecf | 12 +++++++++++ .../scripts/prod06/gdas/jgdas_analysis.ecf | 12 +++++++++++ .../scripts/prod06/gdas/jgdas_forecast.ecf | 12 +++++++++++ .../scripts/prod06/gdas/jgdas_verfrad.ecf | 11 ++++++++++ .../scripts/prod06/gdas/jgdas_vminmon.ecf | 11 ++++++++++ .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 11 ++++++++++ .../scripts/prod06/gdas/prep/jgdas_prep.ecf | 12 +++++++++++ .../prod06/gdas/prep/jgdas_prep_post.ecf | 11 ++++++++++ .../scripts/prod06/gfs/dump/jgfs_dump.ecf | 11 ++++++++++ .../prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 11 ++++++++++ .../scripts/prod06/gfs/jgfs_analysis.ecf | 12 +++++++++++ .../scripts/prod06/gfs/jgfs_forecast.ecf | 12 +++++++++++ .../scripts/prod06/gfs/jgfs_post.ecf | 12 +++++++++++ .../scripts/prod06/gfs/jgfs_vminmon.ecf | 11 ++++++++++ .../scripts/prod06/gfs/jgfs_vrfy.ecf | 12 +++++++++++ .../prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 11 ++++++++++ .../scripts/prod06/gfs/prep/jgfs_prep.ecf | 12 +++++++++++ .../prod06/gfs/prep/jgfs_prep_post.ecf | 11 ++++++++++ .../scripts/prod12/gdas/dump/jgdas_dump.ecf | 11 ++++++++++ .../scripts/prod12/gdas/dump/jgdas_ics.ecf | 11 ++++++++++ .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 11 ++++++++++ .../prod12/gdas/enkf/forecast/grp1.ecf | 12 +++++++++++ .../prod12/gdas/enkf/forecast/grp2.ecf | 12 +++++++++++ .../prod12/gdas/enkf/forecast/grp3.ecf | 12 +++++++++++ .../prod12/gdas/enkf/forecast/grp4.ecf | 12 +++++++++++ .../prod12/gdas/enkf/forecast/grp5.ecf | 12 +++++++++++ .../prod12/gdas/enkf/forecast/grp6.ecf | 12 +++++++++++ .../prod12/gdas/enkf/forecast/grp7.ecf | 12 +++++++++++ .../prod12/gdas/enkf/forecast/grp8.ecf | 12 +++++++++++ .../prod12/gdas/enkf/innovate/grp1.ecf | 12 +++++++++++ .../prod12/gdas/enkf/innovate/grp2.ecf | 12 +++++++++++ .../prod12/gdas/enkf/innovate/grp3.ecf | 12 +++++++++++ .../prod12/gdas/enkf/innovate/grp4.ecf | 12 +++++++++++ .../prod12/gdas/enkf/innovate/grp5.ecf | 12 +++++++++++ .../prod12/gdas/enkf/innovate/grp6.ecf | 12 +++++++++++ .../prod12/gdas/enkf/innovate/grp7.ecf | 12 +++++++++++ .../prod12/gdas/enkf/innovate/grp8.ecf | 12 +++++++++++ .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 12 +++++++++++ .../prod12/gdas/enkf/jgdas_enkf_post.ecf | 12 +++++++++++ .../gdas/enkf/jgdas_enkf_select_obs.ecf | 12 +++++++++++ .../prod12/gdas/enkf/jgdas_enkf_update.ecf | 12 +++++++++++ .../scripts/prod12/gdas/jgdas_analysis.ecf | 12 +++++++++++ .../scripts/prod12/gdas/jgdas_forecast.ecf | 12 +++++++++++ .../scripts/prod12/gdas/jgdas_verfrad.ecf | 11 ++++++++++ .../scripts/prod12/gdas/jgdas_vminmon.ecf | 11 ++++++++++ .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 11 ++++++++++ .../scripts/prod12/gdas/prep/jgdas_prep.ecf | 12 +++++++++++ .../prod12/gdas/prep/jgdas_prep_post.ecf | 11 ++++++++++ .../scripts/prod12/gfs/dump/jgfs_dump.ecf | 11 ++++++++++ .../prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 11 ++++++++++ .../scripts/prod12/gfs/jgfs_analysis.ecf | 12 +++++++++++ .../scripts/prod12/gfs/jgfs_forecast.ecf | 12 +++++++++++ .../scripts/prod12/gfs/jgfs_post.ecf | 12 +++++++++++ .../scripts/prod12/gfs/jgfs_vminmon.ecf | 11 ++++++++++ .../scripts/prod12/gfs/jgfs_vrfy.ecf | 12 +++++++++++ .../prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 11 ++++++++++ .../scripts/prod12/gfs/prep/jgfs_prep.ecf | 12 +++++++++++ .../prod12/gfs/prep/jgfs_prep_post.ecf | 11 ++++++++++ .../scripts/prod18/gdas/dump/jgdas_dump.ecf | 11 ++++++++++ .../scripts/prod18/gdas/dump/jgdas_ics.ecf | 11 ++++++++++ .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 11 ++++++++++ .../prod18/gdas/enkf/forecast/grp1.ecf | 12 +++++++++++ .../prod18/gdas/enkf/forecast/grp2.ecf | 12 +++++++++++ .../prod18/gdas/enkf/forecast/grp3.ecf | 12 +++++++++++ .../prod18/gdas/enkf/forecast/grp4.ecf | 12 +++++++++++ .../prod18/gdas/enkf/forecast/grp5.ecf | 12 +++++++++++ .../prod18/gdas/enkf/forecast/grp6.ecf | 12 +++++++++++ .../prod18/gdas/enkf/forecast/grp7.ecf | 12 +++++++++++ .../prod18/gdas/enkf/forecast/grp8.ecf | 12 +++++++++++ .../prod18/gdas/enkf/innovate/grp1.ecf | 12 +++++++++++ .../prod18/gdas/enkf/innovate/grp2.ecf | 12 +++++++++++ .../prod18/gdas/enkf/innovate/grp3.ecf | 12 +++++++++++ .../prod18/gdas/enkf/innovate/grp4.ecf | 12 +++++++++++ .../prod18/gdas/enkf/innovate/grp5.ecf | 12 +++++++++++ .../prod18/gdas/enkf/innovate/grp6.ecf | 12 +++++++++++ .../prod18/gdas/enkf/innovate/grp7.ecf | 12 +++++++++++ .../prod18/gdas/enkf/innovate/grp8.ecf | 12 +++++++++++ .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 12 +++++++++++ .../prod18/gdas/enkf/jgdas_enkf_post.ecf | 12 +++++++++++ .../gdas/enkf/jgdas_enkf_select_obs.ecf | 12 +++++++++++ .../prod18/gdas/enkf/jgdas_enkf_update.ecf | 12 +++++++++++ .../scripts/prod18/gdas/jgdas_analysis.ecf | 12 +++++++++++ .../scripts/prod18/gdas/jgdas_forecast.ecf | 12 +++++++++++ .../scripts/prod18/gdas/jgdas_verfrad.ecf | 11 ++++++++++ .../scripts/prod18/gdas/jgdas_vminmon.ecf | 11 ++++++++++ .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 11 ++++++++++ .../scripts/prod18/gdas/prep/jgdas_prep.ecf | 12 +++++++++++ .../prod18/gdas/prep/jgdas_prep_post.ecf | 11 ++++++++++ .../scripts/prod18/gfs/dump/jgfs_dump.ecf | 11 ++++++++++ .../prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 11 ++++++++++ .../scripts/prod18/gfs/jgfs_analysis.ecf | 12 +++++++++++ .../scripts/prod18/gfs/jgfs_forecast.ecf | 12 +++++++++++ .../scripts/prod18/gfs/jgfs_post.ecf | 12 +++++++++++ .../scripts/prod18/gfs/jgfs_vminmon.ecf | 11 ++++++++++ .../scripts/prod18/gfs/jgfs_vrfy.ecf | 12 +++++++++++ .../prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 11 ++++++++++ .../scripts/prod18/gfs/prep/jgfs_prep.ecf | 12 +++++++++++ .../prod18/gfs/prep/jgfs_prep_post.ecf | 11 ++++++++++ model/ecflow_fv3gfs/suite_def.yaml | 2 +- 165 files changed, 1900 insertions(+), 55 deletions(-) create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp1.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp8.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp1.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp8.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_analysis.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_forecast.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_forecast.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_post.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vrfy.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp1.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp8.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp1.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp8.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_analysis.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_forecast.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_forecast.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_post.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vrfy.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp1.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp8.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp1.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp8.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_analysis.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_forecast.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_forecast.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_post.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vrfy.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp1.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp8.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp1.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp8.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_analysis.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_forecast.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_forecast.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_post.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vrfy.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf diff --git a/model/ecflow_fv3gfs/defs/prod00.def b/model/ecflow_fv3gfs/defs/prod00.def index 412d7cb..7c6806d 100644 --- a/model/ecflow_fv3gfs/defs/prod00.def +++ b/model/ecflow_fv3gfs/defs/prod00.def @@ -21,9 +21,6 @@ suite prod00 edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' family gdas - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - edit PROJ '%PROJENVIR%' task jgdas_verfrad trigger ./enkf == complete endtask @@ -32,13 +29,13 @@ suite prod00 endtask family dump task jgdas_ics - event 1 release_gdas00_ics + event 1 release_gdas00_ics endtask task jgdas_tropcy_qc_reloc trigger ./jgdas_dump == complete endtask task jgdas_dump - event 1 release_sfcprep + event 1 release_sfcprep endtask endfamily family prep @@ -76,7 +73,6 @@ suite prod00 endtask endfamily task jgdas_enkf_update - edit ECF_PASS 'FREE' trigger ./innovate == complete endtask task jgdas_enkf_inflate_recenter @@ -106,12 +102,12 @@ suite prod00 endtask endfamily task jgdas_analysis - event 1 release_fcst trigger ./prep/jgdas_prep == complete and ./prep/jgdas_emcsfc_sfc_prep == complete and /prod18/gdas/enkf/jgdas_enkf_post == complete + event 1 release_fcst endtask task jgdas_forecast - event 1 release_fcst trigger ./jgdas_analysis:release_fcst and ./enkf/innovate == complete + event 1 release_fcst endtask task post trigger ./jgdas_forecast == complete @@ -121,15 +117,13 @@ suite prod00 endtask endfamily family gfs - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' - edit PROJ '%PROJENVIR%' family dump task jgfs_tropcy_qc_reloc - event 1 jtwc_bull_email trigger ./jgfs_dump == complete + event 1 jtwc_bull_email endtask task jgfs_dump - event 1 release_sfcprep + event 1 release_sfcprep endtask endfamily family prep diff --git a/model/ecflow_fv3gfs/defs/prod06.def b/model/ecflow_fv3gfs/defs/prod06.def index 379bf08..e9dfc23 100644 --- a/model/ecflow_fv3gfs/defs/prod06.def +++ b/model/ecflow_fv3gfs/defs/prod06.def @@ -1,5 +1,4 @@ -extern /prod00/gdas/post -extern /prod00/gdas/enkf/epos +extern /prod00/gdas/enkf/jgdas_enkf_post suite prod06 repeat day 1 edit ECF_TRIES '1' @@ -22,9 +21,6 @@ suite prod06 edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' family gdas - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - edit PROJ '%PROJENVIR%' task jgdas_verfrad trigger ./enkf == complete endtask @@ -33,13 +29,13 @@ suite prod06 endtask family dump task jgdas_ics - event 1 release_gdas00_ics + event 1 release_gdas00_ics endtask task jgdas_tropcy_qc_reloc trigger ./jgdas_dump == complete endtask task jgdas_dump - event 1 release_sfcprep + event 1 release_sfcprep endtask endfamily family prep @@ -77,7 +73,6 @@ suite prod06 endtask endfamily task jgdas_enkf_update - edit ECF_PASS 'FREE' trigger ./innovate == complete endtask task jgdas_enkf_inflate_recenter @@ -107,12 +102,12 @@ suite prod06 endtask endfamily task jgdas_analysis - event 1 release_fcst trigger ./prep/jgdas_prep == complete and ./prep/jgdas_emcsfc_sfc_prep == complete and /prod00/gdas/enkf/jgdas_enkf_post == complete + event 1 release_fcst endtask task jgdas_forecast - event 1 release_fcst trigger ./jgdas_analysis:release_fcst and ./enkf/innovate == complete + event 1 release_fcst endtask task post trigger ./jgdas_forecast == complete @@ -122,15 +117,13 @@ suite prod06 endtask endfamily family gfs - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' - edit PROJ '%PROJENVIR%' family dump task jgfs_tropcy_qc_reloc - event 1 jtwc_bull_email trigger ./jgfs_dump == complete + event 1 jtwc_bull_email endtask task jgfs_dump - event 1 release_sfcprep + event 1 release_sfcprep endtask endfamily family prep diff --git a/model/ecflow_fv3gfs/defs/prod12.def b/model/ecflow_fv3gfs/defs/prod12.def index 480ba63..972d3e0 100644 --- a/model/ecflow_fv3gfs/defs/prod12.def +++ b/model/ecflow_fv3gfs/defs/prod12.def @@ -1,5 +1,4 @@ -extern /prod06/gdas/post -extern /prod06/gdas/enkf/epos +extern /prod06/gdas/enkf/jgdas_enkf_post suite prod12 repeat day 1 edit ECF_TRIES '1' @@ -22,9 +21,6 @@ suite prod12 edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' family gdas - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - edit PROJ '%PROJENVIR%' task jgdas_verfrad trigger ./enkf == complete endtask @@ -33,13 +29,13 @@ suite prod12 endtask family dump task jgdas_ics - event 1 release_gdas00_ics + event 1 release_gdas00_ics endtask task jgdas_tropcy_qc_reloc trigger ./jgdas_dump == complete endtask task jgdas_dump - event 1 release_sfcprep + event 1 release_sfcprep endtask endfamily family prep @@ -77,7 +73,6 @@ suite prod12 endtask endfamily task jgdas_enkf_update - edit ECF_PASS 'FREE' trigger ./innovate == complete endtask task jgdas_enkf_inflate_recenter @@ -107,12 +102,12 @@ suite prod12 endtask endfamily task jgdas_analysis - event 1 release_fcst trigger ./prep/jgdas_prep == complete and ./prep/jgdas_emcsfc_sfc_prep == complete and /prod06/gdas/enkf/jgdas_enkf_post == complete + event 1 release_fcst endtask task jgdas_forecast - event 1 release_fcst trigger ./jgdas_analysis:release_fcst and ./enkf/innovate == complete + event 1 release_fcst endtask task post trigger ./jgdas_forecast == complete @@ -122,15 +117,13 @@ suite prod12 endtask endfamily family gfs - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' - edit PROJ '%PROJENVIR%' family dump task jgfs_tropcy_qc_reloc - event 1 jtwc_bull_email trigger ./jgfs_dump == complete + event 1 jtwc_bull_email endtask task jgfs_dump - event 1 release_sfcprep + event 1 release_sfcprep endtask endfamily family prep diff --git a/model/ecflow_fv3gfs/defs/prod18.def b/model/ecflow_fv3gfs/defs/prod18.def index 0579f90..4c9bb29 100644 --- a/model/ecflow_fv3gfs/defs/prod18.def +++ b/model/ecflow_fv3gfs/defs/prod18.def @@ -1,5 +1,4 @@ -extern /prod12/gdas/post -extern /prod12/gdas/enkf/epos +extern /prod12/gdas/enkf/jgdas_enkf_post suite prod18 repeat day 1 edit ECF_TRIES '1' @@ -22,9 +21,6 @@ suite prod18 edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' family gdas - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - edit PROJ '%PROJENVIR%' task jgdas_verfrad trigger ./enkf == complete endtask @@ -33,13 +29,13 @@ suite prod18 endtask family dump task jgdas_ics - event 1 release_gdas00_ics + event 1 release_gdas00_ics endtask task jgdas_tropcy_qc_reloc trigger ./jgdas_dump == complete endtask task jgdas_dump - event 1 release_sfcprep + event 1 release_sfcprep endtask endfamily family prep @@ -77,7 +73,6 @@ suite prod18 endtask endfamily task jgdas_enkf_update - edit ECF_PASS 'FREE' trigger ./innovate == complete endtask task jgdas_enkf_inflate_recenter @@ -107,12 +102,12 @@ suite prod18 endtask endfamily task jgdas_analysis - event 1 release_fcst trigger ./prep/jgdas_prep == complete and ./prep/jgdas_emcsfc_sfc_prep == complete and /prod12/gdas/enkf/jgdas_enkf_post == complete + event 1 release_fcst endtask task jgdas_forecast - event 1 release_fcst trigger ./jgdas_analysis:release_fcst and ./enkf/innovate == complete + event 1 release_fcst endtask task post trigger ./jgdas_forecast == complete @@ -122,15 +117,13 @@ suite prod18 endtask endfamily family gfs - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' - edit PROJ '%PROJENVIR%' family dump task jgfs_tropcy_qc_reloc - event 1 jtwc_bull_email trigger ./jgfs_dump == complete + event 1 jtwc_bull_email endtask task jgfs_dump - event 1 release_sfcprep + event 1 release_sfcprep endtask endfamily family prep diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf new file mode 100644 index 0000000..56b062f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.dump.jgdas_dump +#BSUB -o %ECF_OUT%/gdas.dump.jgdas_dump_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf new file mode 100644 index 0000000..5302cbf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.dump.jgdas_ics +#BSUB -o %ECF_OUT%/gdas.dump.jgdas_ics_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf new file mode 100644 index 0000000..abfdaa9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.dump.jgdas_tropcy_qc_reloc +#BSUB -o %ECF_OUT%/gdas.dump.jgdas_tropcy_qc_reloc_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp1.ecf new file mode 100644 index 0000000..4b4354a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp1.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp1 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp1_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp2.ecf new file mode 100644 index 0000000..f842597 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp2.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp2 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp2_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp3.ecf new file mode 100644 index 0000000..d4dc893 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp3.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp3 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp3_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp4.ecf new file mode 100644 index 0000000..6799ffc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp4.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp4 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp4_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp5.ecf new file mode 100644 index 0000000..f612de6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp5.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp5_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp6.ecf new file mode 100644 index 0000000..1f4b2a8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp6.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp6_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp7.ecf new file mode 100644 index 0000000..3ef31c0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp7.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp7_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp8.ecf new file mode 100644 index 0000000..6e8e3cc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp8.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp8_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp1.ecf new file mode 100644 index 0000000..e138555 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp1.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp1 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp1_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp2.ecf new file mode 100644 index 0000000..bba3a14 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp2.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp2 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp2_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp3.ecf new file mode 100644 index 0000000..1e61128 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp3.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp3 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp3_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp4.ecf new file mode 100644 index 0000000..7c24cbe --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp4.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp4 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp4_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp5.ecf new file mode 100644 index 0000000..f4ec4f6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp5.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp5_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp6.ecf new file mode 100644 index 0000000..02c5b93 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp6.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp6_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp7.ecf new file mode 100644 index 0000000..a02618a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp7.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp7_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp8.ecf new file mode 100644 index 0000000..941d9a3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp8.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp8_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf new file mode 100644 index 0000000..47a0271 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_inflate_recenter +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_inflate_recenter_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=20 +%include +echo ${JOBgfs}/ecen +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf new file mode 100644 index 0000000..029f996 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_post +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_post_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=20 +%include +echo ${JOBgfs}/epos +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf new file mode 100644 index 0000000..3acc2c5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_select_obs +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_select_obs_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eobs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf new file mode 100644 index 0000000..7887c94 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_update +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_update_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=40 +%include +echo ${JOBgfs}/eupd +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_analysis.ecf new file mode 100644 index 0000000..4406318 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_analysis.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.jgdas_analysis +#BSUB -o %ECF_OUT%/gdas.jgdas_analysis_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=60 +%include +echo ${JOBgfs}/anal +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_forecast.ecf new file mode 100644 index 0000000..6dba9b8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_forecast.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.jgdas_forecast +#BSUB -o %ECF_OUT%/gdas.jgdas_forecast_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/fcst +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf new file mode 100644 index 0000000..3b10606 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.jgdas_verfrad +#BSUB -o %ECF_OUT%/gdas.jgdas_verfrad_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf new file mode 100644 index 0000000..4e5904c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.jgdas_vminmon +#BSUB -o %ECF_OUT%/gdas.jgdas_vminmon_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf new file mode 100644 index 0000000..be92d94 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep.jgdas_emcsfc_sfc_prep +#BSUB -o %ECF_OUT%/gdas.prep.jgdas_emcsfc_sfc_prep_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf new file mode 100644 index 0000000..15a99e2 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep.jgdas_prep +#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=3 +%include +echo ${JOBgfs}/prep +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf new file mode 100644 index 0000000..1d27960 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep.jgdas_prep_post +#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_post_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf new file mode 100644 index 0000000..68c1740 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.dump.jgfs_dump +#BSUB -o %ECF_OUT%/gfs.dump.jgfs_dump_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf new file mode 100644 index 0000000..b990fef --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.dump.jgfs_tropcy_qc_reloc +#BSUB -o %ECF_OUT%/gfs.dump.jgfs_tropcy_qc_reloc_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf new file mode 100644 index 0000000..40d4964 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.jgfs_analysis +#BSUB -o %ECF_OUT%/gfs.jgfs_analysis_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=60 +%include +echo ${JOBgfs}/anal +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_forecast.ecf new file mode 100644 index 0000000..bba7b29 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_forecast.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.jgfs_forecast +#BSUB -o %ECF_OUT%/gfs.jgfs_forecast_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/fcst +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_post.ecf new file mode 100644 index 0000000..8f614c7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_post.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.jgfs_post +#BSUB -o %ECF_OUT%/gfs.jgfs_post_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +echo ${JOBgfs}/post +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf new file mode 100644 index 0000000..3726491 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.jgfs_vminmon +#BSUB -o %ECF_OUT%/gfs.jgfs_vminmon_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vrfy.ecf new file mode 100644 index 0000000..59ef605 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vrfy.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.jgfs_vrfy +#BSUB -o %ECF_OUT%/gfs.jgfs_vrfy_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/vrfy +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf new file mode 100644 index 0000000..260ddea --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep.jgfs_emcsfc_sfc_prep +#BSUB -o %ECF_OUT%/gfs.prep.jgfs_emcsfc_sfc_prep_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf new file mode 100644 index 0000000..62b7f7c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep.jgfs_prep +#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=3 +%include +echo ${JOBgfs}/prep +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf new file mode 100644 index 0000000..055f5d9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep.jgfs_prep_post +#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_post_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf new file mode 100644 index 0000000..56b062f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.dump.jgdas_dump +#BSUB -o %ECF_OUT%/gdas.dump.jgdas_dump_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf new file mode 100644 index 0000000..5302cbf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.dump.jgdas_ics +#BSUB -o %ECF_OUT%/gdas.dump.jgdas_ics_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf new file mode 100644 index 0000000..abfdaa9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.dump.jgdas_tropcy_qc_reloc +#BSUB -o %ECF_OUT%/gdas.dump.jgdas_tropcy_qc_reloc_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp1.ecf new file mode 100644 index 0000000..4b4354a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp1.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp1 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp1_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp2.ecf new file mode 100644 index 0000000..f842597 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp2.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp2 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp2_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp3.ecf new file mode 100644 index 0000000..d4dc893 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp3.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp3 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp3_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp4.ecf new file mode 100644 index 0000000..6799ffc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp4.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp4 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp4_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp5.ecf new file mode 100644 index 0000000..f612de6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp5.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp5_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp6.ecf new file mode 100644 index 0000000..1f4b2a8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp6.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp6_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp7.ecf new file mode 100644 index 0000000..3ef31c0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp7.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp7_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp8.ecf new file mode 100644 index 0000000..6e8e3cc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp8.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp8_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp1.ecf new file mode 100644 index 0000000..e138555 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp1.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp1 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp1_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp2.ecf new file mode 100644 index 0000000..bba3a14 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp2.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp2 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp2_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp3.ecf new file mode 100644 index 0000000..1e61128 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp3.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp3 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp3_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp4.ecf new file mode 100644 index 0000000..7c24cbe --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp4.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp4 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp4_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp5.ecf new file mode 100644 index 0000000..f4ec4f6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp5.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp5_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp6.ecf new file mode 100644 index 0000000..02c5b93 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp6.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp6_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp7.ecf new file mode 100644 index 0000000..a02618a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp7.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp7_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp8.ecf new file mode 100644 index 0000000..941d9a3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp8.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp8_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf new file mode 100644 index 0000000..47a0271 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_inflate_recenter +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_inflate_recenter_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=20 +%include +echo ${JOBgfs}/ecen +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf new file mode 100644 index 0000000..029f996 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_post +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_post_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=20 +%include +echo ${JOBgfs}/epos +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf new file mode 100644 index 0000000..3acc2c5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_select_obs +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_select_obs_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eobs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf new file mode 100644 index 0000000..7887c94 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_update +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_update_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=40 +%include +echo ${JOBgfs}/eupd +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_analysis.ecf new file mode 100644 index 0000000..4406318 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_analysis.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.jgdas_analysis +#BSUB -o %ECF_OUT%/gdas.jgdas_analysis_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=60 +%include +echo ${JOBgfs}/anal +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_forecast.ecf new file mode 100644 index 0000000..6dba9b8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_forecast.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.jgdas_forecast +#BSUB -o %ECF_OUT%/gdas.jgdas_forecast_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/fcst +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf new file mode 100644 index 0000000..3b10606 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.jgdas_verfrad +#BSUB -o %ECF_OUT%/gdas.jgdas_verfrad_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf new file mode 100644 index 0000000..4e5904c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.jgdas_vminmon +#BSUB -o %ECF_OUT%/gdas.jgdas_vminmon_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf new file mode 100644 index 0000000..be92d94 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep.jgdas_emcsfc_sfc_prep +#BSUB -o %ECF_OUT%/gdas.prep.jgdas_emcsfc_sfc_prep_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf new file mode 100644 index 0000000..15a99e2 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep.jgdas_prep +#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=3 +%include +echo ${JOBgfs}/prep +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf new file mode 100644 index 0000000..1d27960 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep.jgdas_prep_post +#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_post_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf new file mode 100644 index 0000000..68c1740 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.dump.jgfs_dump +#BSUB -o %ECF_OUT%/gfs.dump.jgfs_dump_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf new file mode 100644 index 0000000..b990fef --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.dump.jgfs_tropcy_qc_reloc +#BSUB -o %ECF_OUT%/gfs.dump.jgfs_tropcy_qc_reloc_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf new file mode 100644 index 0000000..40d4964 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.jgfs_analysis +#BSUB -o %ECF_OUT%/gfs.jgfs_analysis_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=60 +%include +echo ${JOBgfs}/anal +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_forecast.ecf new file mode 100644 index 0000000..bba7b29 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_forecast.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.jgfs_forecast +#BSUB -o %ECF_OUT%/gfs.jgfs_forecast_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/fcst +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_post.ecf new file mode 100644 index 0000000..8f614c7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_post.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.jgfs_post +#BSUB -o %ECF_OUT%/gfs.jgfs_post_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +echo ${JOBgfs}/post +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf new file mode 100644 index 0000000..3726491 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.jgfs_vminmon +#BSUB -o %ECF_OUT%/gfs.jgfs_vminmon_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vrfy.ecf new file mode 100644 index 0000000..59ef605 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vrfy.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.jgfs_vrfy +#BSUB -o %ECF_OUT%/gfs.jgfs_vrfy_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/vrfy +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf new file mode 100644 index 0000000..260ddea --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep.jgfs_emcsfc_sfc_prep +#BSUB -o %ECF_OUT%/gfs.prep.jgfs_emcsfc_sfc_prep_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf new file mode 100644 index 0000000..62b7f7c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep.jgfs_prep +#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=3 +%include +echo ${JOBgfs}/prep +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf new file mode 100644 index 0000000..055f5d9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep.jgfs_prep_post +#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_post_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf new file mode 100644 index 0000000..56b062f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.dump.jgdas_dump +#BSUB -o %ECF_OUT%/gdas.dump.jgdas_dump_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf new file mode 100644 index 0000000..5302cbf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.dump.jgdas_ics +#BSUB -o %ECF_OUT%/gdas.dump.jgdas_ics_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf new file mode 100644 index 0000000..abfdaa9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.dump.jgdas_tropcy_qc_reloc +#BSUB -o %ECF_OUT%/gdas.dump.jgdas_tropcy_qc_reloc_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp1.ecf new file mode 100644 index 0000000..4b4354a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp1.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp1 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp1_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp2.ecf new file mode 100644 index 0000000..f842597 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp2.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp2 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp2_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp3.ecf new file mode 100644 index 0000000..d4dc893 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp3.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp3 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp3_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp4.ecf new file mode 100644 index 0000000..6799ffc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp4.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp4 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp4_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp5.ecf new file mode 100644 index 0000000..f612de6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp5.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp5_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp6.ecf new file mode 100644 index 0000000..1f4b2a8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp6.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp6_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp7.ecf new file mode 100644 index 0000000..3ef31c0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp7.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp7_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp8.ecf new file mode 100644 index 0000000..6e8e3cc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp8.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp8_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp1.ecf new file mode 100644 index 0000000..e138555 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp1.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp1 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp1_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp2.ecf new file mode 100644 index 0000000..bba3a14 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp2.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp2 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp2_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp3.ecf new file mode 100644 index 0000000..1e61128 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp3.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp3 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp3_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp4.ecf new file mode 100644 index 0000000..7c24cbe --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp4.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp4 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp4_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp5.ecf new file mode 100644 index 0000000..f4ec4f6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp5.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp5_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp6.ecf new file mode 100644 index 0000000..02c5b93 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp6.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp6_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp7.ecf new file mode 100644 index 0000000..a02618a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp7.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp7_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp8.ecf new file mode 100644 index 0000000..941d9a3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp8.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp8_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf new file mode 100644 index 0000000..47a0271 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_inflate_recenter +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_inflate_recenter_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=20 +%include +echo ${JOBgfs}/ecen +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf new file mode 100644 index 0000000..029f996 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_post +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_post_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=20 +%include +echo ${JOBgfs}/epos +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf new file mode 100644 index 0000000..3acc2c5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_select_obs +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_select_obs_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eobs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf new file mode 100644 index 0000000..7887c94 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_update +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_update_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=40 +%include +echo ${JOBgfs}/eupd +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_analysis.ecf new file mode 100644 index 0000000..4406318 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_analysis.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.jgdas_analysis +#BSUB -o %ECF_OUT%/gdas.jgdas_analysis_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=60 +%include +echo ${JOBgfs}/anal +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_forecast.ecf new file mode 100644 index 0000000..6dba9b8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_forecast.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.jgdas_forecast +#BSUB -o %ECF_OUT%/gdas.jgdas_forecast_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/fcst +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf new file mode 100644 index 0000000..3b10606 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.jgdas_verfrad +#BSUB -o %ECF_OUT%/gdas.jgdas_verfrad_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf new file mode 100644 index 0000000..4e5904c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.jgdas_vminmon +#BSUB -o %ECF_OUT%/gdas.jgdas_vminmon_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf new file mode 100644 index 0000000..be92d94 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep.jgdas_emcsfc_sfc_prep +#BSUB -o %ECF_OUT%/gdas.prep.jgdas_emcsfc_sfc_prep_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf new file mode 100644 index 0000000..15a99e2 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep.jgdas_prep +#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=3 +%include +echo ${JOBgfs}/prep +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf new file mode 100644 index 0000000..1d27960 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep.jgdas_prep_post +#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_post_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf new file mode 100644 index 0000000..68c1740 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.dump.jgfs_dump +#BSUB -o %ECF_OUT%/gfs.dump.jgfs_dump_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf new file mode 100644 index 0000000..b990fef --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.dump.jgfs_tropcy_qc_reloc +#BSUB -o %ECF_OUT%/gfs.dump.jgfs_tropcy_qc_reloc_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf new file mode 100644 index 0000000..40d4964 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.jgfs_analysis +#BSUB -o %ECF_OUT%/gfs.jgfs_analysis_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=60 +%include +echo ${JOBgfs}/anal +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_forecast.ecf new file mode 100644 index 0000000..bba7b29 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_forecast.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.jgfs_forecast +#BSUB -o %ECF_OUT%/gfs.jgfs_forecast_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/fcst +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_post.ecf new file mode 100644 index 0000000..8f614c7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_post.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.jgfs_post +#BSUB -o %ECF_OUT%/gfs.jgfs_post_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +echo ${JOBgfs}/post +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf new file mode 100644 index 0000000..3726491 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.jgfs_vminmon +#BSUB -o %ECF_OUT%/gfs.jgfs_vminmon_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vrfy.ecf new file mode 100644 index 0000000..59ef605 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vrfy.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.jgfs_vrfy +#BSUB -o %ECF_OUT%/gfs.jgfs_vrfy_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/vrfy +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf new file mode 100644 index 0000000..260ddea --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep.jgfs_emcsfc_sfc_prep +#BSUB -o %ECF_OUT%/gfs.prep.jgfs_emcsfc_sfc_prep_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf new file mode 100644 index 0000000..62b7f7c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep.jgfs_prep +#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=3 +%include +echo ${JOBgfs}/prep +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf new file mode 100644 index 0000000..055f5d9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep.jgfs_prep_post +#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_post_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf new file mode 100644 index 0000000..56b062f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.dump.jgdas_dump +#BSUB -o %ECF_OUT%/gdas.dump.jgdas_dump_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf new file mode 100644 index 0000000..5302cbf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.dump.jgdas_ics +#BSUB -o %ECF_OUT%/gdas.dump.jgdas_ics_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf new file mode 100644 index 0000000..abfdaa9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.dump.jgdas_tropcy_qc_reloc +#BSUB -o %ECF_OUT%/gdas.dump.jgdas_tropcy_qc_reloc_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp1.ecf new file mode 100644 index 0000000..4b4354a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp1.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp1 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp1_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp2.ecf new file mode 100644 index 0000000..f842597 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp2.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp2 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp2_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp3.ecf new file mode 100644 index 0000000..d4dc893 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp3.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp3 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp3_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp4.ecf new file mode 100644 index 0000000..6799ffc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp4.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp4 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp4_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp5.ecf new file mode 100644 index 0000000..f612de6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp5.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp5_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp6.ecf new file mode 100644 index 0000000..1f4b2a8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp6.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp6_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp7.ecf new file mode 100644 index 0000000..3ef31c0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp7.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp7_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp8.ecf new file mode 100644 index 0000000..6e8e3cc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp8.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp8_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/efcs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp1.ecf new file mode 100644 index 0000000..e138555 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp1.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp1 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp1_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp2.ecf new file mode 100644 index 0000000..bba3a14 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp2.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp2 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp2_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp3.ecf new file mode 100644 index 0000000..1e61128 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp3.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp3 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp3_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp4.ecf new file mode 100644 index 0000000..7c24cbe --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp4.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp4 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp4_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp5.ecf new file mode 100644 index 0000000..f4ec4f6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp5.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp5_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp6.ecf new file mode 100644 index 0000000..02c5b93 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp6.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp6_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp7.ecf new file mode 100644 index 0000000..a02618a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp7.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp7_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp8.ecf new file mode 100644 index 0000000..941d9a3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp8.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp8_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eomg +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf new file mode 100644 index 0000000..47a0271 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_inflate_recenter +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_inflate_recenter_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=20 +%include +echo ${JOBgfs}/ecen +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf new file mode 100644 index 0000000..029f996 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_post +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_post_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=20 +%include +echo ${JOBgfs}/epos +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf new file mode 100644 index 0000000..3acc2c5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_select_obs +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_select_obs_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/eobs +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf new file mode 100644 index 0000000..7887c94 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_update +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_update_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=40 +%include +echo ${JOBgfs}/eupd +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_analysis.ecf new file mode 100644 index 0000000..4406318 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_analysis.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.jgdas_analysis +#BSUB -o %ECF_OUT%/gdas.jgdas_analysis_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=60 +%include +echo ${JOBgfs}/anal +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_forecast.ecf new file mode 100644 index 0000000..6dba9b8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_forecast.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.jgdas_forecast +#BSUB -o %ECF_OUT%/gdas.jgdas_forecast_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/fcst +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf new file mode 100644 index 0000000..3b10606 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.jgdas_verfrad +#BSUB -o %ECF_OUT%/gdas.jgdas_verfrad_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf new file mode 100644 index 0000000..4e5904c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.jgdas_vminmon +#BSUB -o %ECF_OUT%/gdas.jgdas_vminmon_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf new file mode 100644 index 0000000..be92d94 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep.jgdas_emcsfc_sfc_prep +#BSUB -o %ECF_OUT%/gdas.prep.jgdas_emcsfc_sfc_prep_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf new file mode 100644 index 0000000..15a99e2 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep.jgdas_prep +#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=3 +%include +echo ${JOBgfs}/prep +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf new file mode 100644 index 0000000..1d27960 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep.jgdas_prep_post +#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_post_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf new file mode 100644 index 0000000..68c1740 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.dump.jgfs_dump +#BSUB -o %ECF_OUT%/gfs.dump.jgfs_dump_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf new file mode 100644 index 0000000..b990fef --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.dump.jgfs_tropcy_qc_reloc +#BSUB -o %ECF_OUT%/gfs.dump.jgfs_tropcy_qc_reloc_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf new file mode 100644 index 0000000..40d4964 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.jgfs_analysis +#BSUB -o %ECF_OUT%/gfs.jgfs_analysis_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=60 +%include +echo ${JOBgfs}/anal +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_forecast.ecf new file mode 100644 index 0000000..bba7b29 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_forecast.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.jgfs_forecast +#BSUB -o %ECF_OUT%/gfs.jgfs_forecast_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/fcst +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_post.ecf new file mode 100644 index 0000000..8f614c7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_post.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.jgfs_post +#BSUB -o %ECF_OUT%/gfs.jgfs_post_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +echo ${JOBgfs}/post +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf new file mode 100644 index 0000000..3726491 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.jgfs_vminmon +#BSUB -o %ECF_OUT%/gfs.jgfs_vminmon_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vrfy.ecf new file mode 100644 index 0000000..59ef605 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vrfy.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.jgfs_vrfy +#BSUB -o %ECF_OUT%/gfs.jgfs_vrfy_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/vrfy +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf new file mode 100644 index 0000000..260ddea --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep.jgfs_emcsfc_sfc_prep +#BSUB -o %ECF_OUT%/gfs.prep.jgfs_emcsfc_sfc_prep_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf new file mode 100644 index 0000000..62b7f7c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep.jgfs_prep +#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=3 +%include +echo ${JOBgfs}/prep +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf new file mode 100644 index 0000000..055f5d9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep.jgfs_prep_post +#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_post_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/nothing +%include diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index 996c0d1..d73e9f0 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -323,7 +323,7 @@ suite: !Cycle J_JOB: gfsarch earc: !TaskArray - Trigger: !Depend up.gdas.enkf.epos + Trigger: !Depend up.gdas.enkf.jgdas_enkf_post Dimensions: groupid: !calc tools.seq(1,8,1) grp: !TaskElement From c2005d379f4e8140cf45833384366af518a37601 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 8 Feb 2018 18:29:20 +0000 Subject: [PATCH 306/487] model/ecflow_fv3gfs: add support for rocoto workflow generation on WCOSS Cray --- crow/metascheduler/ecflow.py | 14 +- crow/metascheduler/graph.py | 12 +- crow/metascheduler/rocoto.py | 44 +- crow/sysenv/schedulers/LSFAlps.py | 84 +- model/ecflow_fv3gfs/make-ecflow-suite.py | 2 +- model/ecflow_fv3gfs/make-rocoto-xml.py | 12 + model/ecflow_fv3gfs/rocoto.yaml | 31 + model/ecflow_fv3gfs/scripts/prod00/final.ecf | 11 +- model/ecflow_fv3gfs/scripts/prod06/final.ecf | 11 +- model/ecflow_fv3gfs/scripts/prod12/final.ecf | 11 +- model/ecflow_fv3gfs/scripts/prod18/final.ecf | 11 +- model/ecflow_fv3gfs/settings.yaml | 11 + model/ecflow_fv3gfs/suite_def.yaml | 71 +- model/ecflow_fv3gfs/workflow.xml | 872 +++++++++++++++++++ 14 files changed, 1117 insertions(+), 80 deletions(-) create mode 100755 model/ecflow_fv3gfs/make-rocoto-xml.py create mode 100644 model/ecflow_fv3gfs/rocoto.yaml create mode 100644 model/ecflow_fv3gfs/settings.yaml create mode 100644 model/ecflow_fv3gfs/workflow.xml diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py index 7473808..57214da 100644 --- a/crow/metascheduler/ecflow.py +++ b/crow/metascheduler/ecflow.py @@ -123,7 +123,8 @@ def __init__(self,suite): 'A Suite must define an ecFlow section containing ' 'scheduler, and suite_name; and the suite must have a Clock') - update_globals={ 'sched':scheduler, 'to_ecflow':self, 'clock':clock } + update_globals={ 'sched':scheduler, 'to_ecflow':self, 'clock':clock, + 'metasched':self } if 'parallelism' in suite.ecFlow: update_globals['parallelism']=suite.ecFlow.parallelism @@ -141,6 +142,9 @@ def __init__(self,suite): else: self.cycles_to_generate=copy(self.clock) + def varref(self,name): + return f'%{name}%' + def _select_cycle(self,cycle): invalidate_cache(self.suite,recurse=True) self.suite.Clock.now = cycle @@ -157,8 +161,16 @@ def _foreach_cycle(self): self._select_cycle(clock.now) yield clock.now + def _remove_final_task(self): + if 'final' not in self.suite or not self.suite.final.is_task() \ + and not self.suite.final.is_family(): return + for cycle in self.clock: + dt=cycle-self.clock.start + self.graph.force_never_run(self.suite.final.at(dt).path) + def _initialize_graph(self): self._populate_job_graph() + self._remove_final_task() self._simplify_job_graph() def _populate_job_graph(self): diff --git a/crow/metascheduler/graph.py b/crow/metascheduler/graph.py index 21d98d3..75fb79c 100644 --- a/crow/metascheduler/graph.py +++ b/crow/metascheduler/graph.py @@ -48,6 +48,10 @@ def __iter__(self): for value in self.children.values(): yield value + def force_never_run(self): + self.trigger=FALSE_DEPENDENCY + self.complete=FALSE_DEPENDENCY + def assume(self,clock,assume_complete=None,assume_never_run=None): typecheck('self.alarm',self.alarm,Clock) if self.cycle not in self.alarm: @@ -137,6 +141,12 @@ def depth_first_traversal(self,cycle,skip_fun,enter_fun,exit_fun): self.__cycles[cycle][key],skip_fun,enter_fun,exit_fun,memo): yield node + def force_never_run(self,path): + cycle=self.__clock.start+path[0] + timeless_path=copy.copy(path) + timeless_path[0]=ZERO_DT + self.__nodes[cycle][timeless_path].force_never_run() + def add_cycle(self,cycle): self.__clock.now=cycle memo=set() @@ -150,7 +160,7 @@ def _add_child(self,cycle,child_view,parent_node,memo): if child_view.path in memo: return child_node=Node(child_view,self.__clock.now) if parent_node is not None: - parent_node.children[child_node.path[-1]]=child_node + parent_node.children[child_node.path]=child_node child_cycle=cycle+child_node.path[0] self.__nodes[child_cycle][child_node.path]=child_node if child_view.is_family(): diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index 25ab72a..b481030 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -11,8 +11,8 @@ AndDependency, OrDependency, NotDependency, \ StateDependency, Dependable, Taskable, Task, \ Family, Cycle, RUNNING, COMPLETED, FAILED, \ - TRUE_DEPENDENCY, FALSE_DEPENDENCY, SuitePath, \ - CycleExistsDependency + TRUE_DEPENDENCY, FALSE_DEPENDENCY, SuitePath, TaskExistsDependency, \ + CycleExistsDependency, DataEvent, ShellEvent, EventDependency from crow.metascheduler.algebra import simplify __all__=['to_rocoto','RocotoConfigError','ToRocoto', @@ -59,6 +59,8 @@ def _to_rocoto_dep_impl(dep,fd,indent): fd.write(f'{" "*indent}<{tag}>\n') for d in dep: _to_rocoto_dep_impl(d,fd,indent+1) fd.write(f'{" "*indent}\n') + elif isinstance(dep,TaskExistsDependency): + fd.write(f'{" "*indent} \n') elif isinstance(dep,StateDependency): path='.'.join(dep.path[1:]) more='' @@ -74,6 +76,27 @@ def _to_rocoto_dep_impl(dep,fd,indent): elif isinstance(dep,CycleExistsDependency): dt=_cycle_offset(dep.dt) fd.write(f'{" "*indent}\n') + elif isinstance(dep,EventDependency): + event=dep.event + if event.is_shell_event(): + if not 'command' in event: + fd.write(f'{" "*indent}\n') + else: + fd.write(f'{" "*indent}{event.command}\n') + elif event.is_data_event(): + if not 'file' in event: + fd.write(f'{" "*indent}\n') + return + fd.write(f'{" "*indent}{event.file}\n') + else: + raise TypeError(f'Unexpected {type(event).__name__} event type in an EventDependency in _to_rocoto_dep') else: raise TypeError(f'Unexpected {type(dep).__name__} in _to_rocoto_dep') @@ -98,14 +121,18 @@ def __init__(self,suite): try: settings=suite.Rocoto.scheduler scheduler=suite.Rocoto.scheduler - parallelism=suite.Rocoto.parallelism except(AttributeError,IndexError,TypeError,ValueError) as e: raise ValueError('A Suite must define a Rocoto section containing ' 'a "parallelism" and a "scheduler."') + update_globals={ 'sched':scheduler, 'to_rocoto':self, + 'metasched':self } + if 'parallelism' in suite.Rocoto: + update_globals['parallelism']=suite.Rocoto.parallelism + + self.type='rocoto' self.suite=suite - self.suite.update_globals(sched=scheduler,to_rocoto=self, - runner=parallelism) + self.suite.update_globals(**update_globals) self.settings=self.suite.Rocoto self.sched=scheduler self.__all_defined=set() @@ -119,6 +146,9 @@ def __init__(self,suite): self.__dummy_var_count=0 self.__families_with_completes=set() + def varref(self,name): + return f'&{name};' + def make_time_xml(self,indent=1): clock=copy(self.suite.Clock) start_time=clock.start.strftime('%Y%m%d%H%M') @@ -244,7 +274,7 @@ def _record_item(self,view,complete): self.__families.add(SuitePath(view.path[1:-1])) for key,child in view.items(): - if key=='up': continue + if key in [ 'up', 'this' ]: continue if not isinstance(child,SuiteView): continue if child.path[1:] == ['final']: @@ -278,7 +308,7 @@ def _convert_item(self,fd,indent,view,trigger,complete,time): {space*indent} DUMMY_VALUE ''') for key,child in view.items(): - if key=='up': continue + if key in [ 'up', 'this' ]: continue if not isinstance(child,SuiteView): continue if child.path[1:] == ['final']: diff --git a/crow/sysenv/schedulers/LSFAlps.py b/crow/sysenv/schedulers/LSFAlps.py index dfd2f08..49bd1a9 100644 --- a/crow/sysenv/schedulers/LSFAlps.py +++ b/crow/sysenv/schedulers/LSFAlps.py @@ -118,48 +118,82 @@ def batch_resources(self,spec,**kwargs): # Generation of Rocoto XML - def rocoto_accounting(self,spec,indent=0): + def rocoto_accounting(self,spec,indent=0,**kwargs): + if kwargs: + spec=dict(spec,**kwargs) space=self.indent_text sio=StringIO() if 'queue' in spec: - sio.write(f'{indent*space}{spec.queue!s}\n') + sio.write(f'{indent*space}{spec["queue"]!s}\n') if 'account' in spec: - sio.write(f'{indent*space}{spec.account!s}\n') + sio.write(f'{indent*space}{spec["account"]!s}\n') if 'project' in spec: - sio.write(f'{indent*space}{spec.project!s}\n') - if 'partition' in spec: - sio.write(f'{indent*space}-l partition=' - f'{spec.partition!s}\n') + sio.write(f'{indent*space}{spec["project"]!s}\n') if 'account' in spec: - sio.write(f'{indent*space}{spec.account!s}\n') + sio.write(f'{indent*space}{spec["account"]!s}\n') + if 'jobname' in spec: + sio.write(f'{indent*space}{spec["jobname"]!s}\n') + if 'outerr' in spec: + sio.write(f'{indent*space}{spec["outerr"]}\n') + else: + if 'stdout' in spec: + sio.write('{indent*space}{spec["stdout"]}\n') + if 'stderr' in spec: + sio.write('{indent*space}{spec["stderr"]}\n') ret=sio.getvalue() sio.close() return ret - def rocoto_resources(self,spec,indent=0): + def rocoto_resources(self,spec,indent=0,**kwargs): + if kwargs: + spec=dict(spec,**kwargs) + sio=StringIO() space=self.indent_text if not isinstance(spec,JobResourceSpec): spec=JobResourceSpec(spec) - if spec.is_pure_serial(): - if spec[0].is_exclusive() in [True,None]: - return indent*space+'1:ppn=2\n' - else: - return indent*space+'1\n' - elif spec.is_pure_openmp(): - # Pure threaded. Treat as exclusive serial. - return indent*space+'1:ppn=2\n' + if spec[0].get('walltime',''): + dt=tools.to_timedelta(spec[0]['walltime']) + dt=dt.total_seconds() + hours=int(dt//3600) + minutes=int((dt%3600)//60) + seconds=int(math.floor(dt%60)) + sio.write(f'{indent*space}{hours}:{minutes:02d}:{seconds:02d}\n') + + if spec[0].get('memory',''): + memory=spec[0]['memory'] + bytes=tools.memory_in_bytes(memory) + megabytes=int(math.ceil(bytes/1048576.)) + sio.write(f'{indent*space}{megabytes:d}M\n') + else: + sio.write(f'{indent*space}{megabytes:d}M\n') + + if 'outerr' in spec: + sio.write(f'{indent*space}{spec["outerr"]}\n') + else: + if 'stdout' in spec: + sio.write('{indent*space}{spec["stdout"]}\n') + if 'stderr' in spec: + sio.write('{indent*space}{spec["stderr"]}\n') - # This is an MPI program. - # Split into (nodes,ranks_per_node) pairs. Ignore differeing - # executables between ranks while merging them (del_exe): - nodes_ranks=self.nodes.to_nodes_ppn( - spec,can_merge_ranks=self.nodes.same_except_exe) + nodesize=max([ self.nodes.node_size(r) for r in spec ]) + requested_nodes=1 - return indent*space+'' \ - + '+'.join([f'{n}:ppn={p}' for n,p in nodes_ranks ]) \ - + '\n' + if spec[0].is_exclusive() is False: + # Shared program. This requires a different batch card syntax + nranks=max(1,spec.total_ranks()) + sio.write(f'{indent*space}{spec.total_ranks()}\n' + f'{indent*space}\n') + else: + if not spec.is_pure_serial() and not spec.is_pure_openmp(): + # This is an MPI program. + nodes_ranks=self.nodes.to_nodes_ppn(spec) + requested_nodes=sum([ n for n,p in nodes_ranks ]) + sio.write(f'{indent*space}{requested_nodes}:ppn={nodesize}') + ret=sio.getvalue() + sio.close() + return ret def test(): settings={ 'physical_cores_per_node':24, diff --git a/model/ecflow_fv3gfs/make-ecflow-suite.py b/model/ecflow_fv3gfs/make-ecflow-suite.py index e25674f..229e0bd 100755 --- a/model/ecflow_fv3gfs/make-ecflow-suite.py +++ b/model/ecflow_fv3gfs/make-ecflow-suite.py @@ -5,7 +5,7 @@ from crow.metascheduler import to_ecflow from crow.config import from_file, Suite -conf=from_file('suite_def.yaml','resources.yaml') +conf=from_file('rocoto.yaml','settings.yaml','suite_def.yaml','resources.yaml') suite=Suite(conf.suite) suite_defs, ecf_files = to_ecflow(suite) diff --git a/model/ecflow_fv3gfs/make-rocoto-xml.py b/model/ecflow_fv3gfs/make-rocoto-xml.py new file mode 100755 index 0000000..474e21d --- /dev/null +++ b/model/ecflow_fv3gfs/make-rocoto-xml.py @@ -0,0 +1,12 @@ +#! /usr/bin/env python3 +f'This script requires Python 3.6 or newer.' + +import os +from crow.metascheduler import to_rocoto +from crow.config import from_file, Suite + +conf=from_file('resources.yaml','rocoto.yaml','suite_def.yaml','settings.yaml') +suite=Suite(conf.suite) +with open('workflow.xml','wt') as fd: + print('workflow.xml') + fd.write(to_rocoto(suite)) diff --git a/model/ecflow_fv3gfs/rocoto.yaml b/model/ecflow_fv3gfs/rocoto.yaml new file mode 100644 index 0000000..225534b --- /dev/null +++ b/model/ecflow_fv3gfs/rocoto.yaml @@ -0,0 +1,31 @@ +Rocoto: &Rocoto + scheduler: !calc doc.scheduler + max_tries: 1 + + workflow_xml: !expand | + + + + + + + + + ]> + + &LOG_DIR;/rocoto_@Y@m@d@H.log + {to_rocoto.make_time_xml(indent=1)} + {to_rocoto.make_task_xml(indent=1)} + + +rocoto_task_template: &rocoto_task_template !expand | + {doc.settings.ROCOTO_HOMEgfs}/jobs/{J_JOB} + {sched.rocoto_accounting(accounting, + jobname=task_path_var, + outerr="&LOG_DIR;/"+task_path_var+"_t"+"@H"+"z.log")} + {sched.rocoto_resources(resources)} + CDATE@Y@m@d@H diff --git a/model/ecflow_fv3gfs/scripts/prod00/final.ecf b/model/ecflow_fv3gfs/scripts/prod00/final.ecf index f729200..5205e24 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/final.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/final.ecf @@ -1,12 +1,11 @@ #! /bin/sh -#BSUB -q %QUEUE% +#BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J final -#BSUB -o %LOG%final.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - +#BSUB -o %ECF_OUT%/final_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include echo ${JOBgfs}/final %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/final.ecf b/model/ecflow_fv3gfs/scripts/prod06/final.ecf index f729200..5205e24 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/final.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/final.ecf @@ -1,12 +1,11 @@ #! /bin/sh -#BSUB -q %QUEUE% +#BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J final -#BSUB -o %LOG%final.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - +#BSUB -o %ECF_OUT%/final_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include echo ${JOBgfs}/final %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/final.ecf b/model/ecflow_fv3gfs/scripts/prod12/final.ecf index f729200..5205e24 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/final.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/final.ecf @@ -1,12 +1,11 @@ #! /bin/sh -#BSUB -q %QUEUE% +#BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J final -#BSUB -o %LOG%final.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - +#BSUB -o %ECF_OUT%/final_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include echo ${JOBgfs}/final %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/final.ecf b/model/ecflow_fv3gfs/scripts/prod18/final.ecf index f729200..5205e24 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/final.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/final.ecf @@ -1,12 +1,11 @@ #! /bin/sh -#BSUB -q %QUEUE% +#BSUB -q %QUEUESERV% #BSUB -P %PROJECT% #BSUB -J final -#BSUB -o %LOG%final.log -#BSUB -W 0:05 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - +#BSUB -o %ECF_OUT%/final_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include echo ${JOBgfs}/final %include diff --git a/model/ecflow_fv3gfs/settings.yaml b/model/ecflow_fv3gfs/settings.yaml new file mode 100644 index 0000000..427cf70 --- /dev/null +++ b/model/ecflow_fv3gfs/settings.yaml @@ -0,0 +1,11 @@ +settings: + NMEM_ENKF: 80 + ECF_HOME: '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs' + ROCOTO_HOMEgfs: !calc ECF_HOME # Would be different in the real execution + ROCOTO_HOME: !calc ECF_HOME + layout_x: 8 + layout_y: 16 + experiment_name: gfs.v15.0.0 + QUEUE: debug + PROJECT: GFS-T2O + QUEUESERV: dev_transfer diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index d73e9f0..5c04665 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -8,19 +8,13 @@ scheduler: !calc | tools.get_scheduler(doc.scheduler_settings.name, doc.scheduler_settings) -settings: - NMEM_ENKF: 80 - ECF_HOME: '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs' - layout_x: 8 - layout_y: 16 - shared_accounting: &shared_accounting - queue: '%QUEUESERV%' - project: '%PROJECT%' + queue: !calc metasched.varref('QUEUESERV') + project: !calc metasched.varref('PROJECT') exclusive_accounting: &exclusive_accounting - queue: '%QUEUE%' - project: '%PROJECT%' + queue: !calc metasched.varref('QUEUE') + project: !calc metasched.varref('PROJECT') ecf_file_template: &ecf_file_template !expand | #! /bin/sh @@ -41,6 +35,8 @@ suite: !Cycle suite_name: "prod%H" scheduler: !calc doc.scheduler + Rocoto: *Rocoto + ecflow_def: !expand | repeat day 1 edit ECF_TRIES '1' @@ -53,11 +49,11 @@ suite: !Cycle edit ENVIR 'prod' edit E 'jecffv3' #edit QUEUE 'dev' - edit QUEUE 'debug' - edit PROJENVIR 'GFS-T2O' + edit QUEUE '{doc.settings.QUEUE}' + edit PROJENVIR '{doc.settings.PROJECT}' edit EMCPEN 'ecfgfsfv3' edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' - edit QUEUESERV 'dev_transfer' + edit QUEUESERV '{doc.settings.QUEUESERV}' edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/%EMCPEN%' edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' edit ECF_OUT '{doc.settings.ECF_HOME}/output' @@ -75,6 +71,7 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_nothing ) accounting: *exclusive_accounting J_JOB: nothing + Rocoto: *rocoto_task_template jgdas_vminmon: !Task Trigger: !Depend jgdas_analysis @@ -82,6 +79,7 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_nothing ) accounting: *exclusive_accounting J_JOB: nothing + Rocoto: *rocoto_task_template dump: !Family jgdas_ics: !Task @@ -90,6 +88,7 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_nothing ) accounting: *exclusive_accounting J_JOB: nothing + Rocoto: *rocoto_task_template #jgdas_dump_post: !Task # Trigger: !Depend jgdas_dump @@ -107,6 +106,7 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_nothing ) accounting: *exclusive_accounting J_JOB: nothing + Rocoto: *rocoto_task_template #Replaced by emc version of dump job #This dump job should be using NCO version when delivery to NCO @@ -117,6 +117,7 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_nothing ) accounting: *exclusive_accounting J_JOB: nothing + Rocoto: *rocoto_task_template prep: !Family jgdas_emcsfc_sfc_prep: !Task @@ -125,6 +126,7 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_nothing ) accounting: *exclusive_accounting J_JOB: nothing + Rocoto: *rocoto_task_template jgdas_prep: !Task #Trigger: !Depend (up.dump.jgdas_dump & up.dump.jgdas_tropcy_qc_reloc & up.gdas.post.at('-6:00:00') ) @@ -133,6 +135,7 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_prep ) accounting: *exclusive_accounting J_JOB: prep + Rocoto: *rocoto_task_template jgdas_prep_post: !Task Trigger: !Depend up.jgdas_analysis @@ -140,6 +143,7 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_nothing ) accounting: *exclusive_accounting J_JOB: nothing + Rocoto: *rocoto_task_template enkf: !Family jgdas_enkf_select_obs: !Task @@ -148,6 +152,7 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_eobs ) accounting: *exclusive_accounting J_JOB: eobs + Rocoto: *rocoto_task_template innovate: !TaskArray Trigger: !Depend jgdas_enkf_select_obs @@ -160,6 +165,7 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_eomg ) accounting: *exclusive_accounting J_JOB: eomg + Rocoto: *rocoto_task_template jgdas_enkf_update: !Task ecflow_def: | @@ -169,6 +175,7 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_eupd ) accounting: *exclusive_accounting J_JOB: eupd + Rocoto: *rocoto_task_template jgdas_enkf_inflate_recenter: !Task Trigger: !Depend ( jgdas_enkf_update & up.jgdas_analysis ) @@ -176,6 +183,7 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_ecen ) accounting: *exclusive_accounting J_JOB: ecen + Rocoto: *rocoto_task_template forecast: !TaskArray Trigger: !Depend up.enkf.jgdas_enkf_inflate_recenter @@ -188,13 +196,16 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_efcs ) accounting: *exclusive_accounting J_JOB: efcs - + Rocoto: *rocoto_task_template + jgdas_enkf_post: !Task Trigger: !Depend forecast ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_epos ) accounting: *exclusive_accounting J_JOB: epos + Rocoto: *rocoto_task_template + jgdas_analysis: !Task Trigger: !Depend ( prep.jgdas_prep & prep.jgdas_emcsfc_sfc_prep & enkf.jgdas_enkf_post.at('-6:00:00') ) @@ -203,6 +214,7 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_anal ) accounting: *exclusive_accounting J_JOB: anal + Rocoto: *rocoto_task_template jgdas_forecast: !Task Trigger: !Depend ( jgdas_analysis.release_fcst & enkf.innovate ) @@ -211,6 +223,7 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_gdasfcst ) accounting: *exclusive_accounting J_JOB: fcst + Rocoto: *rocoto_task_template post: !Task Trigger: !Depend jgdas_forecast @@ -218,6 +231,7 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_gdaspost ) accounting: *exclusive_accounting J_JOB: post + Rocoto: *rocoto_task_template vrfy: !Task Trigger: !Depend post @@ -225,6 +239,7 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_vrfy ) accounting: *exclusive_accounting J_JOB: vrfy + Rocoto: *rocoto_task_template gfs: !Family ecflow_def: | @@ -239,6 +254,7 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_nothing ) accounting: *exclusive_accounting J_JOB: nothing + Rocoto: *rocoto_task_template #Replaced by emc version of dump job #This dump job should be using NCO version when delivery to NCO @@ -249,6 +265,7 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_nothing ) accounting: *exclusive_accounting J_JOB: nothing + Rocoto: *rocoto_task_template prep: !Family jgfs_emcsfc_sfc_prep: !Task @@ -257,6 +274,7 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_nothing ) accounting: *exclusive_accounting J_JOB: nothing + Rocoto: *rocoto_task_template jgfs_prep: !Task Trigger: !Depend up.dump @@ -264,13 +282,15 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_prep ) accounting: *exclusive_accounting J_JOB: prep - + Rocoto: *rocoto_task_template + jgfs_prep_post: !Task Trigger: !Depend up.jgfs_analysis ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_nothing ) accounting: *exclusive_accounting J_JOB: nothing + Rocoto: *rocoto_task_template jgfs_analysis: !Task Trigger: !Depend ( prep.jgfs_prep & prep.jgfs_emcsfc_sfc_prep & up.gdas.enkf.jgdas_enkf_post.at('-6:00:00') ) @@ -278,6 +298,7 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_anal ) accounting: *exclusive_accounting J_JOB: anal + Rocoto: *rocoto_task_template jgfs_vminmon: !Task Trigger: !Depend jgfs_analysis @@ -285,6 +306,7 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_nothing ) accounting: *exclusive_accounting J_JOB: nothing + Rocoto: *rocoto_task_template jgfs_forecast: !Task Trigger: !Depend jgfs_analysis @@ -292,6 +314,7 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_gfsfcst ) accounting: *exclusive_accounting J_JOB: fcst + Rocoto: *rocoto_task_template jgfs_post: !Task Trigger: !Depend jgfs_forecast @@ -299,6 +322,7 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_gfspost ) accounting: *exclusive_accounting J_JOB: post + Rocoto: *rocoto_task_template jgfs_vrfy: !Task Trigger: !Depend jgfs_post @@ -306,6 +330,7 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_vrfy ) accounting: *exclusive_accounting J_JOB: vrfy + Rocoto: *rocoto_task_template archive: !Family gdasarch: !Task @@ -314,6 +339,7 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_arch ) accounting: *shared_accounting J_JOB: gdasarch + Rocoto: *rocoto_task_template gfsarch: !Task Trigger: !Depend up.gfs.jgfs_vrfy @@ -321,6 +347,7 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_arch ) accounting: *shared_accounting J_JOB: gfsarch + Rocoto: *rocoto_task_template earc: !TaskArray Trigger: !Depend up.gdas.enkf.jgdas_enkf_post @@ -333,10 +360,12 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_arch ) accounting: *shared_accounting J_JOB: earc - - #final: !Task - # ecf_file: *ecf_file_template - # resources: *sample_shared_serial - # accounting: *shared_accounting - # J_JOB: final + Rocoto: *rocoto_task_template + + final: !Task + ecf_file: *ecf_file_template + resources: !calc ( doc.resource_demo.run_nothing ) + accounting: *shared_accounting + J_JOB: final + Rocoto: *rocoto_task_template diff --git a/model/ecflow_fv3gfs/workflow.xml b/model/ecflow_fv3gfs/workflow.xml new file mode 100644 index 0000000..bff7c4e --- /dev/null +++ b/model/ecflow_fv3gfs/workflow.xml @@ -0,0 +1,872 @@ + + + + + + + + +]> + + &LOG_DIR;/rocoto_@Y@m@d@H.log + 201801010000 201801011800 06:00:00 + + + DUMMY_VALUE + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/nothing + &QUEUE; + &PROJECT; + gdas.jgdas_verfrad + &LOG_DIR;/gdas.jgdas_verfrad_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/nothing + &QUEUE; + &PROJECT; + gdas.jgdas_vminmon + &LOG_DIR;/gdas.jgdas_vminmon_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + + + + + + DUMMY_VALUE + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/nothing + &QUEUE; + &PROJECT; + gdas.dump.jgdas_ics + &LOG_DIR;/gdas.dump.jgdas_ics_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/nothing + &QUEUE; + &PROJECT; + gdas.dump.jgdas_tropcy_qc_reloc + &LOG_DIR;/gdas.dump.jgdas_tropcy_qc_reloc_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/nothing + &QUEUE; + &PROJECT; + gdas.dump.jgdas_dump + &LOG_DIR;/gdas.dump.jgdas_dump_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + + + + + DUMMY_VALUE + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/nothing + &QUEUE; + &PROJECT; + gdas.prep.jgdas_emcsfc_sfc_prep + &LOG_DIR;/gdas.prep.jgdas_emcsfc_sfc_prep_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/prep + &QUEUE; + &PROJECT; + gdas.prep.jgdas_prep + &LOG_DIR;/gdas.prep.jgdas_prep_t@Hz.log + + 0:02:00 + 3072M + 3:ppn=24 + CDATE@Y@m@d@H + + + + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/nothing + &QUEUE; + &PROJECT; + gdas.prep.jgdas_prep_post + &LOG_DIR;/gdas.prep.jgdas_prep_post_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + + + + + + + DUMMY_VALUE + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/eobs + &QUEUE; + &PROJECT; + gdas.enkf.jgdas_enkf_select_obs + &LOG_DIR;/gdas.enkf.jgdas_enkf_select_obs_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + + + + + + + + + DUMMY_VALUE + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/eomg + &QUEUE; + &PROJECT; + gdas.enkf.innovate.grp1 + &LOG_DIR;/gdas.enkf.innovate.grp1_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/eomg + &QUEUE; + &PROJECT; + gdas.enkf.innovate.grp2 + &LOG_DIR;/gdas.enkf.innovate.grp2_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/eomg + &QUEUE; + &PROJECT; + gdas.enkf.innovate.grp3 + &LOG_DIR;/gdas.enkf.innovate.grp3_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/eomg + &QUEUE; + &PROJECT; + gdas.enkf.innovate.grp4 + &LOG_DIR;/gdas.enkf.innovate.grp4_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/eomg + &QUEUE; + &PROJECT; + gdas.enkf.innovate.grp5 + &LOG_DIR;/gdas.enkf.innovate.grp5_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/eomg + &QUEUE; + &PROJECT; + gdas.enkf.innovate.grp6 + &LOG_DIR;/gdas.enkf.innovate.grp6_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/eomg + &QUEUE; + &PROJECT; + gdas.enkf.innovate.grp7 + &LOG_DIR;/gdas.enkf.innovate.grp7_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/eomg + &QUEUE; + &PROJECT; + gdas.enkf.innovate.grp8 + &LOG_DIR;/gdas.enkf.innovate.grp8_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/eupd + &QUEUE; + &PROJECT; + gdas.enkf.jgdas_enkf_update + &LOG_DIR;/gdas.enkf.jgdas_enkf_update_t@Hz.log + + 0:02:00 + 3072M + 40:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/ecen + &QUEUE; + &PROJECT; + gdas.enkf.jgdas_enkf_inflate_recenter + &LOG_DIR;/gdas.enkf.jgdas_enkf_inflate_recenter_t@Hz.log + + 0:02:00 + 3072M + 20:ppn=24 + CDATE@Y@m@d@H + + + + + + + + + DUMMY_VALUE + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/efcs + &QUEUE; + &PROJECT; + gdas.enkf.forecast.grp1 + &LOG_DIR;/gdas.enkf.forecast.grp1_t@Hz.log + + 0:02:00 + 254M + 64:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/efcs + &QUEUE; + &PROJECT; + gdas.enkf.forecast.grp2 + &LOG_DIR;/gdas.enkf.forecast.grp2_t@Hz.log + + 0:02:00 + 254M + 64:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/efcs + &QUEUE; + &PROJECT; + gdas.enkf.forecast.grp3 + &LOG_DIR;/gdas.enkf.forecast.grp3_t@Hz.log + + 0:02:00 + 254M + 64:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/efcs + &QUEUE; + &PROJECT; + gdas.enkf.forecast.grp4 + &LOG_DIR;/gdas.enkf.forecast.grp4_t@Hz.log + + 0:02:00 + 254M + 64:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/efcs + &QUEUE; + &PROJECT; + gdas.enkf.forecast.grp5 + &LOG_DIR;/gdas.enkf.forecast.grp5_t@Hz.log + + 0:02:00 + 254M + 64:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/efcs + &QUEUE; + &PROJECT; + gdas.enkf.forecast.grp6 + &LOG_DIR;/gdas.enkf.forecast.grp6_t@Hz.log + + 0:02:00 + 254M + 64:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/efcs + &QUEUE; + &PROJECT; + gdas.enkf.forecast.grp7 + &LOG_DIR;/gdas.enkf.forecast.grp7_t@Hz.log + + 0:02:00 + 254M + 64:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/efcs + &QUEUE; + &PROJECT; + gdas.enkf.forecast.grp8 + &LOG_DIR;/gdas.enkf.forecast.grp8_t@Hz.log + + 0:02:00 + 254M + 64:ppn=24 + CDATE@Y@m@d@H + + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/epos + &QUEUE; + &PROJECT; + gdas.enkf.jgdas_enkf_post + &LOG_DIR;/gdas.enkf.jgdas_enkf_post_t@Hz.log + + 0:02:00 + 254M + 20:ppn=24 + CDATE@Y@m@d@H + + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/anal + &QUEUE; + &PROJECT; + gdas.jgdas_analysis + &LOG_DIR;/gdas.jgdas_analysis_t@Hz.log + + 0:02:00 + 3072M + 60:ppn=24 + CDATE@Y@m@d@H + + + + + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/fcst + &QUEUE; + &PROJECT; + gdas.jgdas_forecast + &LOG_DIR;/gdas.jgdas_forecast_t@Hz.log + + 0:02:00 + 1024M + 64:ppn=24 + CDATE@Y@m@d@H + + + + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/post + &QUEUE; + &PROJECT; + gdas.post + &LOG_DIR;/gdas.post_t@Hz.log + + 0:02:00 + 3072M + 6:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/vrfy + &QUEUE; + &PROJECT; + gdas.vrfy + &LOG_DIR;/gdas.vrfy_t@Hz.log + + 0:02:00 + 3072M + 1:ppn=24 + CDATE@Y@m@d@H + + + + + + + DUMMY_VALUE + + DUMMY_VALUE + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/nothing + &QUEUE; + &PROJECT; + gfs.dump.jgfs_tropcy_qc_reloc + &LOG_DIR;/gfs.dump.jgfs_tropcy_qc_reloc_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/nothing + &QUEUE; + &PROJECT; + gfs.dump.jgfs_dump + &LOG_DIR;/gfs.dump.jgfs_dump_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + + + + + DUMMY_VALUE + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/nothing + &QUEUE; + &PROJECT; + gfs.prep.jgfs_emcsfc_sfc_prep + &LOG_DIR;/gfs.prep.jgfs_emcsfc_sfc_prep_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/prep + &QUEUE; + &PROJECT; + gfs.prep.jgfs_prep + &LOG_DIR;/gfs.prep.jgfs_prep_t@Hz.log + + 0:02:00 + 3072M + 3:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/nothing + &QUEUE; + &PROJECT; + gfs.prep.jgfs_prep_post + &LOG_DIR;/gfs.prep.jgfs_prep_post_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/anal + &QUEUE; + &PROJECT; + gfs.jgfs_analysis + &LOG_DIR;/gfs.jgfs_analysis_t@Hz.log + + 0:02:00 + 3072M + 60:ppn=24 + CDATE@Y@m@d@H + + + + + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/nothing + &QUEUE; + &PROJECT; + gfs.jgfs_vminmon + &LOG_DIR;/gfs.jgfs_vminmon_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/fcst + &QUEUE; + &PROJECT; + gfs.jgfs_forecast + &LOG_DIR;/gfs.jgfs_forecast_t@Hz.log + + 0:02:00 + 1024M + 64:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/post + &QUEUE; + &PROJECT; + gfs.jgfs_post + &LOG_DIR;/gfs.jgfs_post_t@Hz.log + + 0:02:00 + 3072M + 6:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/vrfy + &QUEUE; + &PROJECT; + gfs.jgfs_vrfy + &LOG_DIR;/gfs.jgfs_vrfy_t@Hz.log + + 0:02:00 + 3072M + 1:ppn=24 + CDATE@Y@m@d@H + + + + + + + DUMMY_VALUE + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/gdasarch + &QUEUESERV; + &PROJECT; + archive.gdasarch + &LOG_DIR;/archive.gdasarch_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/gfsarch + &QUEUESERV; + &PROJECT; + archive.gfsarch + &LOG_DIR;/archive.gfsarch_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + + + + + + DUMMY_VALUE + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp1 + &LOG_DIR;/archive.earc.grp1_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp2 + &LOG_DIR;/archive.earc.grp2_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp3 + &LOG_DIR;/archive.earc.grp3_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp4 + &LOG_DIR;/archive.earc.grp4_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp5 + &LOG_DIR;/archive.earc.grp5_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp6 + &LOG_DIR;/archive.earc.grp6_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp7 + &LOG_DIR;/archive.earc.grp7_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp8 + &LOG_DIR;/archive.earc.grp8_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + + + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/final + &QUEUESERV; + &PROJECT; + final + &LOG_DIR;/final_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + + + + + + + + + + From 93aaa25982442978f73e8315c52cc0f79c8b9783 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 8 Feb 2018 18:42:54 +0000 Subject: [PATCH 307/487] remove final tasks when making an ecflow suite definition --- crow/metascheduler/ecflow.py | 9 ++++++++- crow/metascheduler/graph.py | 11 +++++++++-- model/ecflow_fv3gfs/scripts/prod00/final.ecf | 11 ----------- model/ecflow_fv3gfs/scripts/prod06/final.ecf | 11 ----------- model/ecflow_fv3gfs/scripts/prod12/final.ecf | 11 ----------- model/ecflow_fv3gfs/scripts/prod18/final.ecf | 11 ----------- 6 files changed, 17 insertions(+), 47 deletions(-) delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/final.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/final.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/final.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/final.ecf diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py index 57214da..4b0851f 100644 --- a/crow/metascheduler/ecflow.py +++ b/crow/metascheduler/ecflow.py @@ -163,7 +163,8 @@ def _foreach_cycle(self): def _remove_final_task(self): if 'final' not in self.suite or not self.suite.final.is_task() \ - and not self.suite.final.is_family(): return + and not self.suite.final.is_family(): + print('no final') for cycle in self.clock: dt=cycle-self.clock.start self.graph.force_never_run(self.suite.final.at(dt).path) @@ -258,6 +259,9 @@ def skip_fun(node): def _make_task_ecf_files(self,ecf_files,ecf_file_set, ecf_file_path,task): + dt=self.suite.Clock.now-self.suite.Clock.start + if not self.graph.might_complete(task.at(dt).path): + return ecf_file_set=task.get('ecf_file_set',ecf_file_set) ecf_file_path=ecf_file_path+[task.path[-1]] path_string='/'.join(ecf_file_path) @@ -267,6 +271,9 @@ def _make_task_ecf_files(self,ecf_files,ecf_file_set, def _make_family_ecf_files(self,ecf_files,ecf_file_set, ecf_file_path,family): + dt=self.suite.Clock.now-self.suite.Clock.start + if not self.graph.might_complete(family.at(dt).path): + return ecf_file_set=family.get('ecf_file_set',ecf_file_set) ecf_file_path=ecf_file_path+[family.path[-1]] for t in family.child_iter(): diff --git a/crow/metascheduler/graph.py b/crow/metascheduler/graph.py index 75fb79c..dbc6151 100644 --- a/crow/metascheduler/graph.py +++ b/crow/metascheduler/graph.py @@ -141,11 +141,18 @@ def depth_first_traversal(self,cycle,skip_fun,enter_fun,exit_fun): self.__cycles[cycle][key],skip_fun,enter_fun,exit_fun,memo): yield node - def force_never_run(self,path): + def get_node(self,path): cycle=self.__clock.start+path[0] timeless_path=copy.copy(path) timeless_path[0]=ZERO_DT - self.__nodes[cycle][timeless_path].force_never_run() + return self.__nodes[cycle][timeless_path] + + def force_never_run(self,path): + node=self.get_node(path) + node.force_never_run() + + def might_complete(self,path): + return self.get_node(path).might_complete() def add_cycle(self,cycle): self.__clock.now=cycle diff --git a/model/ecflow_fv3gfs/scripts/prod00/final.ecf b/model/ecflow_fv3gfs/scripts/prod00/final.ecf deleted file mode 100644 index 5205e24..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/final.ecf +++ /dev/null @@ -1,11 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J final -#BSUB -o %ECF_OUT%/final_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/final -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/final.ecf b/model/ecflow_fv3gfs/scripts/prod06/final.ecf deleted file mode 100644 index 5205e24..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/final.ecf +++ /dev/null @@ -1,11 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J final -#BSUB -o %ECF_OUT%/final_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/final -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/final.ecf b/model/ecflow_fv3gfs/scripts/prod12/final.ecf deleted file mode 100644 index 5205e24..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/final.ecf +++ /dev/null @@ -1,11 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J final -#BSUB -o %ECF_OUT%/final_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/final -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/final.ecf b/model/ecflow_fv3gfs/scripts/prod18/final.ecf deleted file mode 100644 index 5205e24..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/final.ecf +++ /dev/null @@ -1,11 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J final -#BSUB -o %ECF_OUT%/final_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/final -%include From 900c249890575bdebb609f2cac7400cd5ec9fc3f Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 8 Feb 2018 18:52:00 +0000 Subject: [PATCH 308/487] Update MoabTorque rocoto workflow generation to have the same capabilities as the LSFAlps --- crow/sysenv/schedulers/LSFAlps.py | 4 +- crow/sysenv/schedulers/MoabTorque.py | 79 +++++++++++++++++++++------- model/ecflow_fv3gfs/suite_def.yaml | 10 +++- 3 files changed, 69 insertions(+), 24 deletions(-) diff --git a/crow/sysenv/schedulers/LSFAlps.py b/crow/sysenv/schedulers/LSFAlps.py index 49bd1a9..3f5837f 100644 --- a/crow/sysenv/schedulers/LSFAlps.py +++ b/crow/sysenv/schedulers/LSFAlps.py @@ -144,9 +144,7 @@ def rocoto_accounting(self,spec,indent=0,**kwargs): sio.close() return ret - def rocoto_resources(self,spec,indent=0,**kwargs): - if kwargs: - spec=dict(spec,**kwargs) + def rocoto_resources(self,spec,indent=0): sio=StringIO() space=self.indent_text if not isinstance(spec,JobResourceSpec): diff --git a/crow/sysenv/schedulers/MoabTorque.py b/crow/sysenv/schedulers/MoabTorque.py index 6d0e248..4542432 100644 --- a/crow/sysenv/schedulers/MoabTorque.py +++ b/crow/sysenv/schedulers/MoabTorque.py @@ -102,48 +102,87 @@ def batch_resources(self,spec,**kwargs): # Rocoto XML generation - def rocoto_accounting(self,spec,indent=0): + def rocoto_accounting(self,spec,indent=0,**kwargs): + if kwargs: + spec=dict(spec,**kwargs) space=self.indent_text sio=StringIO() if 'queue' in spec: - sio.write(f'{indent*space}{spec.queue!s}\n') + sio.write(f'{indent*space}{spec["queue"]!s}\n') if 'account' in spec: - sio.write(f'{indent*space}{spec.account!s}\n') + sio.write(f'{indent*space}{spec["account"]!s}\n') if 'project' in spec: - sio.write(f'{indent*space}{spec.project!s}\n') + sio.write(f'{indent*space}{spec["project"]!s}\n') if 'partition' in spec: sio.write(f'{indent*space}-l partition=' - f'{spec.partition!s}\n') + f'{spec["partition"]!s}\n') if 'account' in spec: - sio.write(f'{indent*space}{spec.account!s}\n') + sio.write(f'{indent*space}{spec["account"]!s}\n') + if 'jobname' in spec: + sio.write(f'{indent*space}{spec["jobname"]!s}\n') + if 'outerr' in spec: + sio.write(f'{indent*space}{spec["outerr"]}\n') + else: + if 'stdout' in spec: + sio.write('{indent*space}{spec["stdout"]}\n') + if 'stderr' in spec: + sio.write('{indent*space}{spec["stderr"]}\n') ret=sio.getvalue() sio.close() return ret def rocoto_resources(self,spec,indent=0): + sio=StringIO() space=self.indent_text if not isinstance(spec,JobResourceSpec): spec=JobResourceSpec(spec) + if spec[0].get('walltime',''): + dt=tools.to_timedelta(spec[0]['walltime']) + dt=dt.total_seconds() + hours=int(dt//3600) + minutes=int((dt%3600)//60) + seconds=int(math.floor(dt%60)) + sio.write(f'{indent*space}{hours}:{minutes:02d}:{seconds:02d}\n') + + if spec[0].get('memory',''): + memory=spec[0]['memory'] + bytes=tools.memory_in_bytes(memory) + megabytes=int(math.ceil(bytes/1048576.)) + sio.write(f'{indent*space}{megabytes:d}M\n') + else: + sio.write(f'{indent*space}{megabytes:d}M\n') + + if 'outerr' in spec: + sio.write(f'{indent*space}{spec["outerr"]}\n') + else: + if 'stdout' in spec: + sio.write('{indent*space}{spec["stdout"]}\n') + if 'stderr' in spec: + sio.write('{indent*space}{spec["stderr"]}\n') + if spec.is_pure_serial(): if spec[0].is_exclusive() in [True,None]: - return indent*space+'1:ppn=2\n' + sio.write(indent*space+'1:ppn=2\n') else: - return indent*space+'1\n' + sio.write(indent*space+'1\n') elif spec.is_pure_openmp(): # Pure threaded. Treat as exclusive serial. - return indent*space+'1:ppn=2\n' - - # This is an MPI program. - - # Split into (nodes,ranks_per_node) pairs. Ignore differeing - # executables between ranks while merging them (del_exe): - nodes_ranks=self.nodes.to_nodes_ppn( - spec,can_merge_ranks=self.nodes.same_except_exe) - - return indent*space+'' \ - + '+'.join([f'{n}:ppn={p}' for n,p in nodes_ranks ]) \ - + '\n' + sio.write(indent*space+'1:ppn=2\n') + else: + # This is an MPI program. + + # Split into (nodes,ranks_per_node) pairs. Ignore differeing + # executables between ranks while merging them (del_exe): + nodes_ranks=self.nodes.to_nodes_ppn( + spec,can_merge_ranks=self.nodes.same_except_exe) + + sio.write(indent*space+'' \ + + '+'.join([f'{n}:ppn={p}' for n,p in nodes_ranks ]) \ + + '\n') + ret=sio.getvalue() + sio.close() + return ret def test(): settings={ 'physical_cores_per_node':24, diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index 5c04665..311d8c7 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -1,9 +1,17 @@ -scheduler_settings: +wcoss_cray_scheduler_settings: name: LSFAlps physical_cores_per_node: 24 logical_cpus_per_core: 2 hyperthreading_allowed: true + +theia_scheduler_settings: + name: MoabTorque + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true +scheduler_settings: !calc wcoss_cray_scheduler_settings + scheduler: !calc | tools.get_scheduler(doc.scheduler_settings.name, doc.scheduler_settings) From 4aba372a6051c0b1d2973eeadc7a8ecb658d1a3d Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 8 Feb 2018 20:12:46 +0000 Subject: [PATCH 309/487] simplify ecflow suuuioyaml --- crow/config/from_yaml.py | 20 ++- crow/config/tools.py | 2 + .../scripts/prod00/gdas/dump/jgdas_dump.ecf | 2 +- .../scripts/prod00/gdas/dump/jgdas_ics.ecf | 2 +- .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 2 +- .../prod00/gdas/enkf/forecast/grp1.ecf | 2 +- .../prod00/gdas/enkf/forecast/grp2.ecf | 2 +- .../prod00/gdas/enkf/forecast/grp3.ecf | 2 +- .../prod00/gdas/enkf/forecast/grp4.ecf | 2 +- .../prod00/gdas/enkf/forecast/grp5.ecf | 2 +- .../prod00/gdas/enkf/forecast/grp6.ecf | 2 +- .../prod00/gdas/enkf/forecast/grp7.ecf | 2 +- .../prod00/gdas/enkf/forecast/grp8.ecf | 2 +- .../prod00/gdas/enkf/innovate/grp1.ecf | 2 +- .../prod00/gdas/enkf/innovate/grp2.ecf | 2 +- .../prod00/gdas/enkf/innovate/grp3.ecf | 2 +- .../prod00/gdas/enkf/innovate/grp4.ecf | 2 +- .../prod00/gdas/enkf/innovate/grp5.ecf | 2 +- .../prod00/gdas/enkf/innovate/grp6.ecf | 2 +- .../prod00/gdas/enkf/innovate/grp7.ecf | 2 +- .../prod00/gdas/enkf/innovate/grp8.ecf | 2 +- .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 2 +- .../prod00/gdas/enkf/jgdas_enkf_post.ecf | 2 +- .../gdas/enkf/jgdas_enkf_select_obs.ecf | 2 +- .../prod00/gdas/enkf/jgdas_enkf_update.ecf | 2 +- .../scripts/prod00/gdas/jgdas_analysis.ecf | 2 +- .../scripts/prod00/gdas/jgdas_forecast.ecf | 2 +- .../scripts/prod00/gdas/jgdas_verfrad.ecf | 2 +- .../scripts/prod00/gdas/jgdas_vminmon.ecf | 2 +- .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 2 +- .../scripts/prod00/gdas/prep/jgdas_prep.ecf | 2 +- .../prod00/gdas/prep/jgdas_prep_post.ecf | 2 +- .../scripts/prod00/gfs/dump/jgfs_dump.ecf | 2 +- .../prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 2 +- .../scripts/prod00/gfs/jgfs_analysis.ecf | 2 +- .../scripts/prod00/gfs/jgfs_forecast.ecf | 2 +- .../scripts/prod00/gfs/jgfs_post.ecf | 2 +- .../scripts/prod00/gfs/jgfs_vminmon.ecf | 2 +- .../scripts/prod00/gfs/jgfs_vrfy.ecf | 2 +- .../prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 2 +- .../scripts/prod00/gfs/prep/jgfs_prep.ecf | 2 +- .../prod00/gfs/prep/jgfs_prep_post.ecf | 2 +- .../scripts/prod06/gdas/dump/jgdas_dump.ecf | 2 +- .../scripts/prod06/gdas/dump/jgdas_ics.ecf | 2 +- .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 2 +- .../prod06/gdas/enkf/forecast/grp1.ecf | 2 +- .../prod06/gdas/enkf/forecast/grp2.ecf | 2 +- .../prod06/gdas/enkf/forecast/grp3.ecf | 2 +- .../prod06/gdas/enkf/forecast/grp4.ecf | 2 +- .../prod06/gdas/enkf/forecast/grp5.ecf | 2 +- .../prod06/gdas/enkf/forecast/grp6.ecf | 2 +- .../prod06/gdas/enkf/forecast/grp7.ecf | 2 +- .../prod06/gdas/enkf/forecast/grp8.ecf | 2 +- .../prod06/gdas/enkf/innovate/grp1.ecf | 2 +- .../prod06/gdas/enkf/innovate/grp2.ecf | 2 +- .../prod06/gdas/enkf/innovate/grp3.ecf | 2 +- .../prod06/gdas/enkf/innovate/grp4.ecf | 2 +- .../prod06/gdas/enkf/innovate/grp5.ecf | 2 +- .../prod06/gdas/enkf/innovate/grp6.ecf | 2 +- .../prod06/gdas/enkf/innovate/grp7.ecf | 2 +- .../prod06/gdas/enkf/innovate/grp8.ecf | 2 +- .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 2 +- .../prod06/gdas/enkf/jgdas_enkf_post.ecf | 2 +- .../gdas/enkf/jgdas_enkf_select_obs.ecf | 2 +- .../prod06/gdas/enkf/jgdas_enkf_update.ecf | 2 +- .../scripts/prod06/gdas/jgdas_analysis.ecf | 2 +- .../scripts/prod06/gdas/jgdas_forecast.ecf | 2 +- .../scripts/prod06/gdas/jgdas_verfrad.ecf | 2 +- .../scripts/prod06/gdas/jgdas_vminmon.ecf | 2 +- .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 2 +- .../scripts/prod06/gdas/prep/jgdas_prep.ecf | 2 +- .../prod06/gdas/prep/jgdas_prep_post.ecf | 2 +- .../scripts/prod06/gfs/dump/jgfs_dump.ecf | 2 +- .../prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 2 +- .../scripts/prod06/gfs/jgfs_analysis.ecf | 2 +- .../scripts/prod06/gfs/jgfs_forecast.ecf | 2 +- .../scripts/prod06/gfs/jgfs_post.ecf | 2 +- .../scripts/prod06/gfs/jgfs_vminmon.ecf | 2 +- .../scripts/prod06/gfs/jgfs_vrfy.ecf | 2 +- .../prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 2 +- .../scripts/prod06/gfs/prep/jgfs_prep.ecf | 2 +- .../prod06/gfs/prep/jgfs_prep_post.ecf | 2 +- .../scripts/prod12/gdas/dump/jgdas_dump.ecf | 2 +- .../scripts/prod12/gdas/dump/jgdas_ics.ecf | 2 +- .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 2 +- .../prod12/gdas/enkf/forecast/grp1.ecf | 2 +- .../prod12/gdas/enkf/forecast/grp2.ecf | 2 +- .../prod12/gdas/enkf/forecast/grp3.ecf | 2 +- .../prod12/gdas/enkf/forecast/grp4.ecf | 2 +- .../prod12/gdas/enkf/forecast/grp5.ecf | 2 +- .../prod12/gdas/enkf/forecast/grp6.ecf | 2 +- .../prod12/gdas/enkf/forecast/grp7.ecf | 2 +- .../prod12/gdas/enkf/forecast/grp8.ecf | 2 +- .../prod12/gdas/enkf/innovate/grp1.ecf | 2 +- .../prod12/gdas/enkf/innovate/grp2.ecf | 2 +- .../prod12/gdas/enkf/innovate/grp3.ecf | 2 +- .../prod12/gdas/enkf/innovate/grp4.ecf | 2 +- .../prod12/gdas/enkf/innovate/grp5.ecf | 2 +- .../prod12/gdas/enkf/innovate/grp6.ecf | 2 +- .../prod12/gdas/enkf/innovate/grp7.ecf | 2 +- .../prod12/gdas/enkf/innovate/grp8.ecf | 2 +- .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 2 +- .../prod12/gdas/enkf/jgdas_enkf_post.ecf | 2 +- .../gdas/enkf/jgdas_enkf_select_obs.ecf | 2 +- .../prod12/gdas/enkf/jgdas_enkf_update.ecf | 2 +- .../scripts/prod12/gdas/jgdas_analysis.ecf | 2 +- .../scripts/prod12/gdas/jgdas_forecast.ecf | 2 +- .../scripts/prod12/gdas/jgdas_verfrad.ecf | 2 +- .../scripts/prod12/gdas/jgdas_vminmon.ecf | 2 +- .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 2 +- .../scripts/prod12/gdas/prep/jgdas_prep.ecf | 2 +- .../prod12/gdas/prep/jgdas_prep_post.ecf | 2 +- .../scripts/prod12/gfs/dump/jgfs_dump.ecf | 2 +- .../prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 2 +- .../scripts/prod12/gfs/jgfs_analysis.ecf | 2 +- .../scripts/prod12/gfs/jgfs_forecast.ecf | 2 +- .../scripts/prod12/gfs/jgfs_post.ecf | 2 +- .../scripts/prod12/gfs/jgfs_vminmon.ecf | 2 +- .../scripts/prod12/gfs/jgfs_vrfy.ecf | 2 +- .../prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 2 +- .../scripts/prod12/gfs/prep/jgfs_prep.ecf | 2 +- .../prod12/gfs/prep/jgfs_prep_post.ecf | 2 +- .../scripts/prod18/gdas/dump/jgdas_dump.ecf | 2 +- .../scripts/prod18/gdas/dump/jgdas_ics.ecf | 2 +- .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 2 +- .../prod18/gdas/enkf/forecast/grp1.ecf | 2 +- .../prod18/gdas/enkf/forecast/grp2.ecf | 2 +- .../prod18/gdas/enkf/forecast/grp3.ecf | 2 +- .../prod18/gdas/enkf/forecast/grp4.ecf | 2 +- .../prod18/gdas/enkf/forecast/grp5.ecf | 2 +- .../prod18/gdas/enkf/forecast/grp6.ecf | 2 +- .../prod18/gdas/enkf/forecast/grp7.ecf | 2 +- .../prod18/gdas/enkf/forecast/grp8.ecf | 2 +- .../prod18/gdas/enkf/innovate/grp1.ecf | 2 +- .../prod18/gdas/enkf/innovate/grp2.ecf | 2 +- .../prod18/gdas/enkf/innovate/grp3.ecf | 2 +- .../prod18/gdas/enkf/innovate/grp4.ecf | 2 +- .../prod18/gdas/enkf/innovate/grp5.ecf | 2 +- .../prod18/gdas/enkf/innovate/grp6.ecf | 2 +- .../prod18/gdas/enkf/innovate/grp7.ecf | 2 +- .../prod18/gdas/enkf/innovate/grp8.ecf | 2 +- .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 2 +- .../prod18/gdas/enkf/jgdas_enkf_post.ecf | 2 +- .../gdas/enkf/jgdas_enkf_select_obs.ecf | 2 +- .../prod18/gdas/enkf/jgdas_enkf_update.ecf | 2 +- .../scripts/prod18/gdas/jgdas_analysis.ecf | 2 +- .../scripts/prod18/gdas/jgdas_forecast.ecf | 2 +- .../scripts/prod18/gdas/jgdas_verfrad.ecf | 2 +- .../scripts/prod18/gdas/jgdas_vminmon.ecf | 2 +- .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 2 +- .../scripts/prod18/gdas/prep/jgdas_prep.ecf | 2 +- .../prod18/gdas/prep/jgdas_prep_post.ecf | 2 +- .../scripts/prod18/gfs/dump/jgfs_dump.ecf | 2 +- .../prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 2 +- .../scripts/prod18/gfs/jgfs_analysis.ecf | 2 +- .../scripts/prod18/gfs/jgfs_forecast.ecf | 2 +- .../scripts/prod18/gfs/jgfs_post.ecf | 2 +- .../scripts/prod18/gfs/jgfs_vminmon.ecf | 2 +- .../scripts/prod18/gfs/jgfs_vrfy.ecf | 2 +- .../prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 2 +- .../scripts/prod18/gfs/prep/jgfs_prep.ecf | 2 +- .../prod18/gfs/prep/jgfs_prep_post.ecf | 2 +- model/ecflow_fv3gfs/suite_def.yaml | 169 +++++------------- 163 files changed, 227 insertions(+), 284 deletions(-) diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index 5121a9a..4a855d7 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -11,9 +11,11 @@ from datetime import timedelta from collections import namedtuple, OrderedDict +import collections import re import yaml from yaml import YAMLObject +from yaml.nodes import MappingNode from crow.config.eval_tools import * from crow.config.represent import * @@ -163,13 +165,29 @@ def constructor(loader,node): ######################################################################## +def construct_ordered_dict(loader, node, deep=False): + if not isinstance(node, MappingNode): + raise ConstructorError(None, None, + "expected a mapping node, but found %s" % node.id, + node.start_mark) + mapping = OrderedDict() + loader.flatten_mapping(node) + for key_node, value_node in node.value: + key = loader.construct_object(key_node, deep=deep) + if not isinstance(key, collections.Hashable): + raise ConstructorError("while constructing a mapping", node.start_mark, + "found unhashable key", key_node.start_mark) + value = loader.construct_object(value_node, deep=deep) + mapping[key] = value + return mapping + def add_yaml_ordered_dict(key,cls): """!Generates and registers representers and constructors for custom YAML map types """ def representer(dumper,data): return dumper.represent_ordered_dict(key,data) def constructor(loader,node): - return cls(loader.construct_pairs(node)) + return cls(construct_ordered_dict(loader,node)) #yaml.add_representer(cls,representer) yaml.add_constructor(key,constructor) diff --git a/crow/config/tools.py b/crow/config/tools.py index ed5d2fc..838f807 100644 --- a/crow/config/tools.py +++ b/crow/config/tools.py @@ -83,6 +83,8 @@ def command_without_exe(parallelism,jobspec,exe): 'fort':fort, 'seq':seq, 'crow_install_dir':crow_install_dir, + 'to_upper':(lambda s: s.upper()), + 'to_lower':(lambda s: s.lower()), 'panasas_gb':crow.tools.panasas_gb, 'gpfs_gb':crow.tools.gpfs_gb, 'basename':os.path.basename, diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf index 56b062f..62dc8d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/P %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf index 5302cbf..01d85c9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/S %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf index abfdaa9..5206f0a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/C %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp1.ecf index 4b4354a..dcfc825 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp1.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp2.ecf index f842597..1479b04 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp2.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp3.ecf index d4dc893..29d5aba 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp3.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp4.ecf index 6799ffc..d5aa2db 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp4.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp5.ecf index f612de6..0e981a8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp5.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp6.ecf index 1f4b2a8..5be49a3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp6.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp7.ecf index 3ef31c0..8143cb4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp7.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp8.ecf index 6e8e3cc..bd49a1e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp8.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp1.ecf index e138555..b98e233 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp1.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp2.ecf index bba3a14..91221b6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp2.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp3.ecf index 1e61128..e221cd7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp3.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp4.ecf index 7c24cbe..9103832 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp4.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp5.ecf index f4ec4f6..5d4b1b3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp5.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp6.ecf index 02c5b93..9972618 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp6.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp7.ecf index a02618a..20da55c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp7.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp8.ecf index 941d9a3..1594197 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp8.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf index 47a0271..b277446 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=20 %include -echo ${JOBgfs}/ecen +echo ${JOBgfs}/R %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf index 029f996..bc6d574 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=20 %include -echo ${JOBgfs}/epos +echo ${JOBgfs}/T %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf index 3acc2c5..36d2169 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eobs +echo ${JOBgfs}/S %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf index 7887c94..af4cdae 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=40 %include -echo ${JOBgfs}/eupd +echo ${JOBgfs}/E %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_analysis.ecf index 4406318..3f0f59f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_analysis.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=60 %include -echo ${JOBgfs}/anal +echo ${JOBgfs}/S %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_forecast.ecf index 6dba9b8..168a126 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_forecast.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_forecast.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/fcst +echo ${JOBgfs}/T %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf index 3b10606..e68399b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/D %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf index 4e5904c..a1cf1c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/N %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf index be92d94..aa2d67e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/P %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf index 15a99e2..b2aeb26 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=3 %include -echo ${JOBgfs}/prep +echo ${JOBgfs}/P %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf index 1d27960..b70b73e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/T %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf index 68c1740..566c42d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/P %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf index b990fef..671139d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/C %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf index 40d4964..7f17855 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=60 %include -echo ${JOBgfs}/anal +echo ${JOBgfs}/S %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_forecast.ecf index bba7b29..3c30c23 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_forecast.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_forecast.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/fcst +echo ${JOBgfs}/T %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_post.ecf index 8f614c7..8502583 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_post.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=6 %include -echo ${JOBgfs}/post +echo ${JOBgfs}/T %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf index 3726491..43d6d8d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/N %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vrfy.ecf index 59ef605..34b7b70 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vrfy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vrfy.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/vrfy +echo ${JOBgfs}/Y %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf index 260ddea..94d7493 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/P %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf index 62b7f7c..e58e78a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=3 %include -echo ${JOBgfs}/prep +echo ${JOBgfs}/P %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf index 055f5d9..d1c1ca3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/T %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf index 56b062f..62dc8d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/P %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf index 5302cbf..01d85c9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/S %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf index abfdaa9..5206f0a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/C %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp1.ecf index 4b4354a..dcfc825 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp1.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp2.ecf index f842597..1479b04 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp2.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp3.ecf index d4dc893..29d5aba 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp3.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp4.ecf index 6799ffc..d5aa2db 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp4.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp5.ecf index f612de6..0e981a8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp5.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp6.ecf index 1f4b2a8..5be49a3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp6.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp7.ecf index 3ef31c0..8143cb4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp7.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp8.ecf index 6e8e3cc..bd49a1e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp8.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp1.ecf index e138555..b98e233 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp1.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp2.ecf index bba3a14..91221b6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp2.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp3.ecf index 1e61128..e221cd7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp3.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp4.ecf index 7c24cbe..9103832 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp4.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp5.ecf index f4ec4f6..5d4b1b3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp5.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp6.ecf index 02c5b93..9972618 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp6.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp7.ecf index a02618a..20da55c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp7.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp8.ecf index 941d9a3..1594197 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp8.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf index 47a0271..b277446 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=20 %include -echo ${JOBgfs}/ecen +echo ${JOBgfs}/R %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf index 029f996..bc6d574 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=20 %include -echo ${JOBgfs}/epos +echo ${JOBgfs}/T %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf index 3acc2c5..36d2169 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eobs +echo ${JOBgfs}/S %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf index 7887c94..af4cdae 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=40 %include -echo ${JOBgfs}/eupd +echo ${JOBgfs}/E %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_analysis.ecf index 4406318..3f0f59f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_analysis.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=60 %include -echo ${JOBgfs}/anal +echo ${JOBgfs}/S %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_forecast.ecf index 6dba9b8..168a126 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_forecast.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_forecast.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/fcst +echo ${JOBgfs}/T %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf index 3b10606..e68399b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/D %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf index 4e5904c..a1cf1c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/N %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf index be92d94..aa2d67e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/P %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf index 15a99e2..b2aeb26 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=3 %include -echo ${JOBgfs}/prep +echo ${JOBgfs}/P %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf index 1d27960..b70b73e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/T %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf index 68c1740..566c42d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/P %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf index b990fef..671139d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/C %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf index 40d4964..7f17855 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=60 %include -echo ${JOBgfs}/anal +echo ${JOBgfs}/S %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_forecast.ecf index bba7b29..3c30c23 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_forecast.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_forecast.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/fcst +echo ${JOBgfs}/T %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_post.ecf index 8f614c7..8502583 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_post.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=6 %include -echo ${JOBgfs}/post +echo ${JOBgfs}/T %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf index 3726491..43d6d8d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/N %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vrfy.ecf index 59ef605..34b7b70 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vrfy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vrfy.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/vrfy +echo ${JOBgfs}/Y %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf index 260ddea..94d7493 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/P %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf index 62b7f7c..e58e78a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=3 %include -echo ${JOBgfs}/prep +echo ${JOBgfs}/P %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf index 055f5d9..d1c1ca3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/T %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf index 56b062f..62dc8d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/P %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf index 5302cbf..01d85c9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/S %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf index abfdaa9..5206f0a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/C %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp1.ecf index 4b4354a..dcfc825 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp1.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp2.ecf index f842597..1479b04 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp2.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp3.ecf index d4dc893..29d5aba 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp3.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp4.ecf index 6799ffc..d5aa2db 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp4.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp5.ecf index f612de6..0e981a8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp5.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp6.ecf index 1f4b2a8..5be49a3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp6.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp7.ecf index 3ef31c0..8143cb4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp7.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp8.ecf index 6e8e3cc..bd49a1e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp8.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp1.ecf index e138555..b98e233 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp1.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp2.ecf index bba3a14..91221b6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp2.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp3.ecf index 1e61128..e221cd7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp3.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp4.ecf index 7c24cbe..9103832 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp4.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp5.ecf index f4ec4f6..5d4b1b3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp5.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp6.ecf index 02c5b93..9972618 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp6.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp7.ecf index a02618a..20da55c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp7.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp8.ecf index 941d9a3..1594197 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp8.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf index 47a0271..b277446 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=20 %include -echo ${JOBgfs}/ecen +echo ${JOBgfs}/R %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf index 029f996..bc6d574 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=20 %include -echo ${JOBgfs}/epos +echo ${JOBgfs}/T %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf index 3acc2c5..36d2169 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eobs +echo ${JOBgfs}/S %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf index 7887c94..af4cdae 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=40 %include -echo ${JOBgfs}/eupd +echo ${JOBgfs}/E %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_analysis.ecf index 4406318..3f0f59f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_analysis.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=60 %include -echo ${JOBgfs}/anal +echo ${JOBgfs}/S %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_forecast.ecf index 6dba9b8..168a126 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_forecast.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_forecast.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/fcst +echo ${JOBgfs}/T %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf index 3b10606..e68399b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/D %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf index 4e5904c..a1cf1c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/N %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf index be92d94..aa2d67e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/P %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf index 15a99e2..b2aeb26 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=3 %include -echo ${JOBgfs}/prep +echo ${JOBgfs}/P %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf index 1d27960..b70b73e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/T %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf index 68c1740..566c42d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/P %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf index b990fef..671139d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/C %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf index 40d4964..7f17855 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=60 %include -echo ${JOBgfs}/anal +echo ${JOBgfs}/S %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_forecast.ecf index bba7b29..3c30c23 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_forecast.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_forecast.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/fcst +echo ${JOBgfs}/T %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_post.ecf index 8f614c7..8502583 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_post.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=6 %include -echo ${JOBgfs}/post +echo ${JOBgfs}/T %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf index 3726491..43d6d8d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/N %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vrfy.ecf index 59ef605..34b7b70 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vrfy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vrfy.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/vrfy +echo ${JOBgfs}/Y %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf index 260ddea..94d7493 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/P %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf index 62b7f7c..e58e78a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=3 %include -echo ${JOBgfs}/prep +echo ${JOBgfs}/P %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf index 055f5d9..d1c1ca3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/T %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf index 56b062f..62dc8d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/P %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf index 5302cbf..01d85c9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/S %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf index abfdaa9..5206f0a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/C %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp1.ecf index 4b4354a..dcfc825 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp1.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp2.ecf index f842597..1479b04 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp2.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp3.ecf index d4dc893..29d5aba 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp3.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp4.ecf index 6799ffc..d5aa2db 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp4.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp5.ecf index f612de6..0e981a8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp5.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp6.ecf index 1f4b2a8..5be49a3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp6.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp7.ecf index 3ef31c0..8143cb4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp7.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp8.ecf index 6e8e3cc..bd49a1e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp8.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/efcs +echo ${JOBgfs}/jgfs_forecast %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp1.ecf index e138555..b98e233 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp1.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp2.ecf index bba3a14..91221b6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp2.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp3.ecf index 1e61128..e221cd7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp3.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp4.ecf index 7c24cbe..9103832 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp4.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp5.ecf index f4ec4f6..5d4b1b3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp5.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp6.ecf index 02c5b93..9972618 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp6.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp7.ecf index a02618a..20da55c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp7.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp8.ecf index 941d9a3..1594197 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp8.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eomg +echo ${JOBgfs}/jgdas_innovate %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf index 47a0271..b277446 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=20 %include -echo ${JOBgfs}/ecen +echo ${JOBgfs}/R %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf index 029f996..bc6d574 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=20 %include -echo ${JOBgfs}/epos +echo ${JOBgfs}/T %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf index 3acc2c5..36d2169 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/eobs +echo ${JOBgfs}/S %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf index 7887c94..af4cdae 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=40 %include -echo ${JOBgfs}/eupd +echo ${JOBgfs}/E %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_analysis.ecf index 4406318..3f0f59f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_analysis.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=60 %include -echo ${JOBgfs}/anal +echo ${JOBgfs}/S %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_forecast.ecf index 6dba9b8..168a126 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_forecast.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_forecast.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/fcst +echo ${JOBgfs}/T %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf index 3b10606..e68399b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/D %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf index 4e5904c..a1cf1c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/N %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf index be92d94..aa2d67e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/P %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf index 15a99e2..b2aeb26 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=3 %include -echo ${JOBgfs}/prep +echo ${JOBgfs}/P %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf index 1d27960..b70b73e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/T %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf index 68c1740..566c42d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/P %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf index b990fef..671139d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/C %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf index 40d4964..7f17855 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=60 %include -echo ${JOBgfs}/anal +echo ${JOBgfs}/S %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_forecast.ecf index bba7b29..3c30c23 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_forecast.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_forecast.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/fcst +echo ${JOBgfs}/T %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_post.ecf index 8f614c7..8502583 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_post.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=6 %include -echo ${JOBgfs}/post +echo ${JOBgfs}/T %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf index 3726491..43d6d8d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/N %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vrfy.ecf index 59ef605..34b7b70 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vrfy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vrfy.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/vrfy +echo ${JOBgfs}/Y %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf index 260ddea..94d7493 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/P %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf index 62b7f7c..e58e78a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=3 %include -echo ${JOBgfs}/prep +echo ${JOBgfs}/P %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf index 055f5d9..d1c1ca3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/nothing +echo ${JOBgfs}/T %include diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index 311d8c7..c023b77 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -32,6 +32,18 @@ ecf_file_template: &ecf_file_template !expand | echo ${{JOBgfs}}/{J_JOB} %include +shared_task_template: &shared_task_template + ecf_file: *ecf_file_template + accounting: *shared_accounting + J_JOB: !calc tools.to_upper(task_path_var[-1]) + Rocoto: *rocoto_task_template + +exclusive_task_template: &exclusive_task_template + ecf_file: *ecf_file_template + accounting: *exclusive_accounting + J_JOB: !calc tools.to_upper(task_path_var[-1]) + Rocoto: *rocoto_task_template + suite: !Cycle Clock: !Clock start: 2018-01-01T00:00:00 @@ -74,29 +86,20 @@ suite: !Cycle edit PROJ '%PROJENVIR%' jgdas_verfrad: !Task + <<: *exclusive_task_template Trigger: !Depend enkf - ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_nothing ) - accounting: *exclusive_accounting - J_JOB: nothing - Rocoto: *rocoto_task_template jgdas_vminmon: !Task + <<: *exclusive_task_template Trigger: !Depend jgdas_analysis - ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_nothing ) - accounting: *exclusive_accounting - J_JOB: nothing - Rocoto: *rocoto_task_template dump: !Family jgdas_ics: !Task + <<: *exclusive_task_template release_gdas00_ics: !DataEvent {file="/dev/null"} - ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_nothing ) - accounting: *exclusive_accounting - J_JOB: nothing - Rocoto: *rocoto_task_template #jgdas_dump_post: !Task # Trigger: !Depend jgdas_dump @@ -108,146 +111,105 @@ suite: !Cycle # J_JOB: nothing jgdas_tropcy_qc_reloc: !Task + <<: *exclusive_task_template Trigger: !Depend jgdas_dump #Time: !timedelta +5:50:00 - ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_nothing ) - accounting: *exclusive_accounting - J_JOB: nothing - Rocoto: *rocoto_task_template #Replaced by emc version of dump job #This dump job should be using NCO version when delivery to NCO jgdas_dump: !Task + <<: *exclusive_task_template release_sfcprep: !DataEvent {file="/dev/null"} #Time: !timedelta +6:20:00 - ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_nothing ) - accounting: *exclusive_accounting - J_JOB: nothing - Rocoto: *rocoto_task_template prep: !Family jgdas_emcsfc_sfc_prep: !Task + <<: *exclusive_task_template Trigger: !Depend up.dump.jgdas_dump.release_sfcprep - ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_nothing ) - accounting: *exclusive_accounting - J_JOB: nothing - Rocoto: *rocoto_task_template jgdas_prep: !Task #Trigger: !Depend (up.dump.jgdas_dump & up.dump.jgdas_tropcy_qc_reloc & up.gdas.post.at('-6:00:00') ) + <<: *exclusive_task_template Trigger: !Depend ( up.dump.jgdas_dump & up.dump.jgdas_tropcy_qc_reloc ) - ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_prep ) - accounting: *exclusive_accounting - J_JOB: prep - Rocoto: *rocoto_task_template jgdas_prep_post: !Task + <<: *exclusive_task_template Trigger: !Depend up.jgdas_analysis - ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_nothing ) - accounting: *exclusive_accounting - J_JOB: nothing - Rocoto: *rocoto_task_template enkf: !Family jgdas_enkf_select_obs: !Task + <<: *exclusive_task_template Trigger: !Depend ( up.prep.jgdas_prep & jgdas_enkf_post.at('-6:00:00') ) - ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_eobs ) - accounting: *exclusive_accounting - J_JOB: eobs - Rocoto: *rocoto_task_template innovate: !TaskArray Trigger: !Depend jgdas_enkf_select_obs Dimensions: groupid: !calc tools.seq(1,8,1) grp: !TaskElement + <<: *exclusive_task_template Foreach: [ group ] Name: !expand "grp{idx.groupid}" - ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_eomg ) - accounting: *exclusive_accounting - J_JOB: eomg - Rocoto: *rocoto_task_template + J_JOB: jgdas_innovate jgdas_enkf_update: !Task + <<: *exclusive_task_template ecflow_def: | edit ECF_PASS 'FREE' Trigger: !Depend innovate - ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_eupd ) - accounting: *exclusive_accounting - J_JOB: eupd - Rocoto: *rocoto_task_template jgdas_enkf_inflate_recenter: !Task + <<: *exclusive_task_template Trigger: !Depend ( jgdas_enkf_update & up.jgdas_analysis ) - ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_ecen ) - accounting: *exclusive_accounting - J_JOB: ecen - Rocoto: *rocoto_task_template forecast: !TaskArray Trigger: !Depend up.enkf.jgdas_enkf_inflate_recenter Dimensions: groupid: !calc tools.seq(1,8,1) grp: !TaskElement + <<: *exclusive_task_template Foreach: [ group ] Name: !expand "grp{idx.groupid}" - ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_efcs ) - accounting: *exclusive_accounting - J_JOB: efcs - Rocoto: *rocoto_task_template + J_JOB: jgfs_forecast jgdas_enkf_post: !Task + <<: *exclusive_task_template Trigger: !Depend forecast - ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_epos ) - accounting: *exclusive_accounting - J_JOB: epos - Rocoto: *rocoto_task_template jgdas_analysis: !Task + <<: *exclusive_task_template Trigger: !Depend ( prep.jgdas_prep & prep.jgdas_emcsfc_sfc_prep & enkf.jgdas_enkf_post.at('-6:00:00') ) release_fcst: !DataEvent {file="/dev/null"} - ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_anal ) - accounting: *exclusive_accounting - J_JOB: anal - Rocoto: *rocoto_task_template jgdas_forecast: !Task + <<: *exclusive_task_template Trigger: !Depend ( jgdas_analysis.release_fcst & enkf.innovate ) release_fcst: !DataEvent {file="/dev/null"} - ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_gdasfcst ) - accounting: *exclusive_accounting - J_JOB: fcst - Rocoto: *rocoto_task_template post: !Task + <<: *exclusive_task_template Trigger: !Depend jgdas_forecast - ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_gdaspost ) - accounting: *exclusive_accounting J_JOB: post - Rocoto: *rocoto_task_template vrfy: !Task + <<: *exclusive_task_template Trigger: !Depend post - ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_vrfy ) - accounting: *exclusive_accounting J_JOB: vrfy - Rocoto: *rocoto_task_template gfs: !Family ecflow_def: | @@ -256,124 +218,85 @@ suite: !Cycle dump: !Family jgfs_tropcy_qc_reloc: !Task + <<: *exclusive_task_template Trigger: !Depend jgfs_dump jtwc_bull_email: !DataEvent {file="/dev/null"} - ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_nothing ) - accounting: *exclusive_accounting - J_JOB: nothing - Rocoto: *rocoto_task_template #Replaced by emc version of dump job #This dump job should be using NCO version when delivery to NCO jgfs_dump: !Task + <<: *exclusive_task_template release_sfcprep: !DataEvent {file="/dev/null"} #Time: !timedelta +3:50:00 - ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_nothing ) - accounting: *exclusive_accounting - J_JOB: nothing - Rocoto: *rocoto_task_template prep: !Family jgfs_emcsfc_sfc_prep: !Task + <<: *exclusive_task_template Trigger: !Depend up.dump.jgfs_dump.release_sfcprep - ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_nothing ) - accounting: *exclusive_accounting - J_JOB: nothing - Rocoto: *rocoto_task_template jgfs_prep: !Task + <<: *exclusive_task_template Trigger: !Depend up.dump - ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_prep ) - accounting: *exclusive_accounting - J_JOB: prep - Rocoto: *rocoto_task_template jgfs_prep_post: !Task + <<: *exclusive_task_template Trigger: !Depend up.jgfs_analysis - ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_nothing ) - accounting: *exclusive_accounting - J_JOB: nothing - Rocoto: *rocoto_task_template jgfs_analysis: !Task + <<: *exclusive_task_template Trigger: !Depend ( prep.jgfs_prep & prep.jgfs_emcsfc_sfc_prep & up.gdas.enkf.jgdas_enkf_post.at('-6:00:00') ) - ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_anal ) - accounting: *exclusive_accounting - J_JOB: anal - Rocoto: *rocoto_task_template jgfs_vminmon: !Task + <<: *exclusive_task_template Trigger: !Depend jgfs_analysis - ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_nothing ) - accounting: *exclusive_accounting - J_JOB: nothing - Rocoto: *rocoto_task_template jgfs_forecast: !Task + <<: *exclusive_task_template Trigger: !Depend jgfs_analysis - ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_gfsfcst ) - accounting: *exclusive_accounting - J_JOB: fcst - Rocoto: *rocoto_task_template jgfs_post: !Task + <<: *exclusive_task_template Trigger: !Depend jgfs_forecast - ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_gfspost ) - accounting: *exclusive_accounting - J_JOB: post - Rocoto: *rocoto_task_template jgfs_vrfy: !Task + <<: *exclusive_task_template Trigger: !Depend jgfs_post - ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_vrfy ) - accounting: *exclusive_accounting - J_JOB: vrfy - Rocoto: *rocoto_task_template archive: !Family gdasarch: !Task + <<: *shared_task_template Trigger: !Depend up.gdas.jgdas_verfrad - ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_arch ) - accounting: *shared_accounting J_JOB: gdasarch - Rocoto: *rocoto_task_template gfsarch: !Task + <<: *shared_task_template Trigger: !Depend up.gfs.jgfs_vrfy - ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_arch ) - accounting: *shared_accounting J_JOB: gfsarch - Rocoto: *rocoto_task_template earc: !TaskArray Trigger: !Depend up.gdas.enkf.jgdas_enkf_post Dimensions: groupid: !calc tools.seq(1,8,1) grp: !TaskElement + <<: *shared_task_template Foreach: [ group ] Name: !expand "grp{idx.groupid}" - ecf_file: *ecf_file_template resources: !calc ( doc.resource_demo.run_arch ) - accounting: *shared_accounting J_JOB: earc - Rocoto: *rocoto_task_template final: !Task - ecf_file: *ecf_file_template + <<: *shared_task_template resources: !calc ( doc.resource_demo.run_nothing ) - accounting: *shared_accounting - J_JOB: final - Rocoto: *rocoto_task_template From 64a5e81c4523d31e6d17d7c55d051f6cacd6eb17 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 8 Feb 2018 20:54:12 +0000 Subject: [PATCH 310/487] various bug fixes to ecflow suite definition --- model/ecflow_fv3gfs/defs/prod00.def | 4 +- model/ecflow_fv3gfs/defs/prod06.def | 4 +- model/ecflow_fv3gfs/defs/prod12.def | 4 +- model/ecflow_fv3gfs/defs/prod18.def | 4 +- .../scripts/prod00/gdas/dump/jgdas_dump.ecf | 2 +- .../scripts/prod00/gdas/dump/jgdas_ics.ecf | 2 +- .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 2 +- .../prod00/gdas/enkf/forecast/grp1.ecf | 2 +- .../prod00/gdas/enkf/forecast/grp2.ecf | 2 +- .../prod00/gdas/enkf/forecast/grp3.ecf | 2 +- .../prod00/gdas/enkf/forecast/grp4.ecf | 2 +- .../prod00/gdas/enkf/forecast/grp5.ecf | 2 +- .../prod00/gdas/enkf/forecast/grp6.ecf | 2 +- .../prod00/gdas/enkf/forecast/grp7.ecf | 2 +- .../prod00/gdas/enkf/forecast/grp8.ecf | 2 +- .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 2 +- .../prod00/gdas/enkf/jgdas_enkf_post.ecf | 2 +- .../gdas/enkf/jgdas_enkf_select_obs.ecf | 2 +- .../prod00/gdas/enkf/jgdas_enkf_update.ecf | 2 +- .../scripts/prod00/gdas/jgdas_analysis.ecf | 2 +- .../scripts/prod00/gdas/jgdas_forecast.ecf | 2 +- .../scripts/prod00/gdas/jgdas_verfrad.ecf | 2 +- .../scripts/prod00/gdas/jgdas_vminmon.ecf | 2 +- .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 2 +- .../scripts/prod00/gdas/prep/jgdas_prep.ecf | 2 +- .../prod00/gdas/prep/jgdas_prep_post.ecf | 2 +- .../scripts/prod00/gfs/dump/jgfs_dump.ecf | 2 +- .../prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 2 +- .../scripts/prod00/gfs/jgfs_analysis.ecf | 2 +- .../scripts/prod00/gfs/jgfs_forecast.ecf | 2 +- .../scripts/prod00/gfs/jgfs_post.ecf | 2 +- .../scripts/prod00/gfs/jgfs_vminmon.ecf | 2 +- .../scripts/prod00/gfs/jgfs_vrfy.ecf | 2 +- .../prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 2 +- .../scripts/prod00/gfs/prep/jgfs_prep.ecf | 2 +- .../prod00/gfs/prep/jgfs_prep_post.ecf | 2 +- .../scripts/prod06/gdas/dump/jgdas_dump.ecf | 2 +- .../scripts/prod06/gdas/dump/jgdas_ics.ecf | 2 +- .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 2 +- .../prod06/gdas/enkf/forecast/grp1.ecf | 2 +- .../prod06/gdas/enkf/forecast/grp2.ecf | 2 +- .../prod06/gdas/enkf/forecast/grp3.ecf | 2 +- .../prod06/gdas/enkf/forecast/grp4.ecf | 2 +- .../prod06/gdas/enkf/forecast/grp5.ecf | 2 +- .../prod06/gdas/enkf/forecast/grp6.ecf | 2 +- .../prod06/gdas/enkf/forecast/grp7.ecf | 2 +- .../prod06/gdas/enkf/forecast/grp8.ecf | 2 +- .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 2 +- .../prod06/gdas/enkf/jgdas_enkf_post.ecf | 2 +- .../gdas/enkf/jgdas_enkf_select_obs.ecf | 2 +- .../prod06/gdas/enkf/jgdas_enkf_update.ecf | 2 +- .../scripts/prod06/gdas/jgdas_analysis.ecf | 2 +- .../scripts/prod06/gdas/jgdas_forecast.ecf | 2 +- .../scripts/prod06/gdas/jgdas_verfrad.ecf | 2 +- .../scripts/prod06/gdas/jgdas_vminmon.ecf | 2 +- .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 2 +- .../scripts/prod06/gdas/prep/jgdas_prep.ecf | 2 +- .../prod06/gdas/prep/jgdas_prep_post.ecf | 2 +- .../scripts/prod06/gfs/dump/jgfs_dump.ecf | 2 +- .../prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 2 +- .../scripts/prod06/gfs/jgfs_analysis.ecf | 2 +- .../scripts/prod06/gfs/jgfs_forecast.ecf | 2 +- .../scripts/prod06/gfs/jgfs_post.ecf | 2 +- .../scripts/prod06/gfs/jgfs_vminmon.ecf | 2 +- .../scripts/prod06/gfs/jgfs_vrfy.ecf | 2 +- .../prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 2 +- .../scripts/prod06/gfs/prep/jgfs_prep.ecf | 2 +- .../prod06/gfs/prep/jgfs_prep_post.ecf | 2 +- .../scripts/prod12/gdas/dump/jgdas_dump.ecf | 2 +- .../scripts/prod12/gdas/dump/jgdas_ics.ecf | 2 +- .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 2 +- .../prod12/gdas/enkf/forecast/grp1.ecf | 2 +- .../prod12/gdas/enkf/forecast/grp2.ecf | 2 +- .../prod12/gdas/enkf/forecast/grp3.ecf | 2 +- .../prod12/gdas/enkf/forecast/grp4.ecf | 2 +- .../prod12/gdas/enkf/forecast/grp5.ecf | 2 +- .../prod12/gdas/enkf/forecast/grp6.ecf | 2 +- .../prod12/gdas/enkf/forecast/grp7.ecf | 2 +- .../prod12/gdas/enkf/forecast/grp8.ecf | 2 +- .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 2 +- .../prod12/gdas/enkf/jgdas_enkf_post.ecf | 2 +- .../gdas/enkf/jgdas_enkf_select_obs.ecf | 2 +- .../prod12/gdas/enkf/jgdas_enkf_update.ecf | 2 +- .../scripts/prod12/gdas/jgdas_analysis.ecf | 2 +- .../scripts/prod12/gdas/jgdas_forecast.ecf | 2 +- .../scripts/prod12/gdas/jgdas_verfrad.ecf | 2 +- .../scripts/prod12/gdas/jgdas_vminmon.ecf | 2 +- .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 2 +- .../scripts/prod12/gdas/prep/jgdas_prep.ecf | 2 +- .../prod12/gdas/prep/jgdas_prep_post.ecf | 2 +- .../scripts/prod12/gfs/dump/jgfs_dump.ecf | 2 +- .../prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 2 +- .../scripts/prod12/gfs/jgfs_analysis.ecf | 2 +- .../scripts/prod12/gfs/jgfs_forecast.ecf | 2 +- .../scripts/prod12/gfs/jgfs_post.ecf | 2 +- .../scripts/prod12/gfs/jgfs_vminmon.ecf | 2 +- .../scripts/prod12/gfs/jgfs_vrfy.ecf | 2 +- .../prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 2 +- .../scripts/prod12/gfs/prep/jgfs_prep.ecf | 2 +- .../prod12/gfs/prep/jgfs_prep_post.ecf | 2 +- .../scripts/prod18/gdas/dump/jgdas_dump.ecf | 2 +- .../scripts/prod18/gdas/dump/jgdas_ics.ecf | 2 +- .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 2 +- .../prod18/gdas/enkf/forecast/grp1.ecf | 2 +- .../prod18/gdas/enkf/forecast/grp2.ecf | 2 +- .../prod18/gdas/enkf/forecast/grp3.ecf | 2 +- .../prod18/gdas/enkf/forecast/grp4.ecf | 2 +- .../prod18/gdas/enkf/forecast/grp5.ecf | 2 +- .../prod18/gdas/enkf/forecast/grp6.ecf | 2 +- .../prod18/gdas/enkf/forecast/grp7.ecf | 2 +- .../prod18/gdas/enkf/forecast/grp8.ecf | 2 +- .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 2 +- .../prod18/gdas/enkf/jgdas_enkf_post.ecf | 2 +- .../gdas/enkf/jgdas_enkf_select_obs.ecf | 2 +- .../prod18/gdas/enkf/jgdas_enkf_update.ecf | 2 +- .../scripts/prod18/gdas/jgdas_analysis.ecf | 2 +- .../scripts/prod18/gdas/jgdas_forecast.ecf | 2 +- .../scripts/prod18/gdas/jgdas_verfrad.ecf | 2 +- .../scripts/prod18/gdas/jgdas_vminmon.ecf | 2 +- .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 2 +- .../scripts/prod18/gdas/prep/jgdas_prep.ecf | 2 +- .../prod18/gdas/prep/jgdas_prep_post.ecf | 2 +- .../scripts/prod18/gfs/dump/jgfs_dump.ecf | 2 +- .../prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 2 +- .../scripts/prod18/gfs/jgfs_analysis.ecf | 2 +- .../scripts/prod18/gfs/jgfs_forecast.ecf | 2 +- .../scripts/prod18/gfs/jgfs_post.ecf | 2 +- .../scripts/prod18/gfs/jgfs_vminmon.ecf | 2 +- .../scripts/prod18/gfs/jgfs_vrfy.ecf | 2 +- .../prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 2 +- .../scripts/prod18/gfs/prep/jgfs_prep.ecf | 2 +- .../prod18/gfs/prep/jgfs_prep_post.ecf | 2 +- model/ecflow_fv3gfs/settings.yaml | 12 ++- model/ecflow_fv3gfs/suite_def.yaml | 18 ++-- model/ecflow_fv3gfs/workflow.xml | 82 +++++++++---------- 135 files changed, 198 insertions(+), 186 deletions(-) diff --git a/model/ecflow_fv3gfs/defs/prod00.def b/model/ecflow_fv3gfs/defs/prod00.def index 7c6806d..f4ab266 100644 --- a/model/ecflow_fv3gfs/defs/prod00.def +++ b/model/ecflow_fv3gfs/defs/prod00.def @@ -14,9 +14,9 @@ suite prod00 edit QUEUE 'debug' edit PROJENVIR 'GFS-T2O' edit EMCPEN 'ecfgfsfv3' - edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' + edit COM '/gpfs/hps2/ptmp/emc.glopara/ecfgfsfv3/com' edit QUEUESERV 'dev_transfer' - edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/%EMCPEN%' + edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/ecfgfsfv3' edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' diff --git a/model/ecflow_fv3gfs/defs/prod06.def b/model/ecflow_fv3gfs/defs/prod06.def index e9dfc23..2bc2f40 100644 --- a/model/ecflow_fv3gfs/defs/prod06.def +++ b/model/ecflow_fv3gfs/defs/prod06.def @@ -14,9 +14,9 @@ suite prod06 edit QUEUE 'debug' edit PROJENVIR 'GFS-T2O' edit EMCPEN 'ecfgfsfv3' - edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' + edit COM '/gpfs/hps2/ptmp/emc.glopara/ecfgfsfv3/com' edit QUEUESERV 'dev_transfer' - edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/%EMCPEN%' + edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/ecfgfsfv3' edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' diff --git a/model/ecflow_fv3gfs/defs/prod12.def b/model/ecflow_fv3gfs/defs/prod12.def index 972d3e0..c383e2d 100644 --- a/model/ecflow_fv3gfs/defs/prod12.def +++ b/model/ecflow_fv3gfs/defs/prod12.def @@ -14,9 +14,9 @@ suite prod12 edit QUEUE 'debug' edit PROJENVIR 'GFS-T2O' edit EMCPEN 'ecfgfsfv3' - edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' + edit COM '/gpfs/hps2/ptmp/emc.glopara/ecfgfsfv3/com' edit QUEUESERV 'dev_transfer' - edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/%EMCPEN%' + edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/ecfgfsfv3' edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' diff --git a/model/ecflow_fv3gfs/defs/prod18.def b/model/ecflow_fv3gfs/defs/prod18.def index 4c9bb29..3593572 100644 --- a/model/ecflow_fv3gfs/defs/prod18.def +++ b/model/ecflow_fv3gfs/defs/prod18.def @@ -14,9 +14,9 @@ suite prod18 edit QUEUE 'debug' edit PROJENVIR 'GFS-T2O' edit EMCPEN 'ecfgfsfv3' - edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' + edit COM '/gpfs/hps2/ptmp/emc.glopara/ecfgfsfv3/com' edit QUEUESERV 'dev_transfer' - edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/%EMCPEN%' + edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/ecfgfsfv3' edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf index 62dc8d4..007ef46 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/P +echo ${JOBgfs}/JGDAS_DUMP %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf index 01d85c9..028184c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/S +echo ${JOBgfs}/JGDAS_ICS %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf index 5206f0a..153c823 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/C +echo ${JOBgfs}/JGDAS_TROPCY_QC_RELOC %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp1.ecf index dcfc825..d02c680 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp1.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp2.ecf index 1479b04..def9339 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp2.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp3.ecf index 29d5aba..94c9279 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp3.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp4.ecf index d5aa2db..a3658fe 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp4.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp5.ecf index 0e981a8..cd4c884 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp5.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp6.ecf index 5be49a3..8b53b54 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp6.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp7.ecf index 8143cb4..94d4c43 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp7.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp8.ecf index bd49a1e..d0c2055 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp8.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf index b277446..148f357 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=20 %include -echo ${JOBgfs}/R +echo ${JOBgfs}/JGDAS_ENKF_INFLATE_RECENTER %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf index bc6d574..dea827f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=20 %include -echo ${JOBgfs}/T +echo ${JOBgfs}/JGDAS_ENKF_POST %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf index 36d2169..69ebc26 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/S +echo ${JOBgfs}/JGDAS_ENKF_SELECT_OBS %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf index af4cdae..31eed27 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=40 %include -echo ${JOBgfs}/E +echo ${JOBgfs}/JGDAS_ENKF_UPDATE %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_analysis.ecf index 3f0f59f..e0c300d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_analysis.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=60 %include -echo ${JOBgfs}/S +echo ${JOBgfs}/JGDAS_ANALYSIS %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_forecast.ecf index 168a126..5c37be8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_forecast.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_forecast.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/T +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf index e68399b..02d9ddf 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/D +echo ${JOBgfs}/JGDAS_VERFRAD %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf index a1cf1c6..3c67ea6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/N +echo ${JOBgfs}/JGDAS_VMINMON %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf index aa2d67e..5d5a392 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/P +echo ${JOBgfs}/JGDAS_EMCSFC_SFC_PREP %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf index b2aeb26..f76c25e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=3 %include -echo ${JOBgfs}/P +echo ${JOBgfs}/JGDAS_PREP %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf index b70b73e..9d87497 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/T +echo ${JOBgfs}/JGDAS_PREP_POST %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf index 566c42d..e6a3ce3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/P +echo ${JOBgfs}/JGFS_DUMP %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf index 671139d..1712817 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/C +echo ${JOBgfs}/JGFS_TROPCY_QC_RELOC %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf index 7f17855..3f3eef2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=60 %include -echo ${JOBgfs}/S +echo ${JOBgfs}/JGFS_ANALYSIS %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_forecast.ecf index 3c30c23..e30d56e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_forecast.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_forecast.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/T +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_post.ecf index 8502583..49bc7ab 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_post.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=6 %include -echo ${JOBgfs}/T +echo ${JOBgfs}/JGFS_POST %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf index 43d6d8d..a101bd8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/N +echo ${JOBgfs}/JGFS_VMINMON %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vrfy.ecf index 34b7b70..14e2ecf 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vrfy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vrfy.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/Y +echo ${JOBgfs}/JGFS_VRFY %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf index 94d7493..1918888 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/P +echo ${JOBgfs}/JGFS_EMCSFC_SFC_PREP %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf index e58e78a..c040ef2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=3 %include -echo ${JOBgfs}/P +echo ${JOBgfs}/JGFS_PREP %include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf index d1c1ca3..16762fe 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/T +echo ${JOBgfs}/JGFS_PREP_POST %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf index 62dc8d4..007ef46 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/P +echo ${JOBgfs}/JGDAS_DUMP %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf index 01d85c9..028184c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/S +echo ${JOBgfs}/JGDAS_ICS %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf index 5206f0a..153c823 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/C +echo ${JOBgfs}/JGDAS_TROPCY_QC_RELOC %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp1.ecf index dcfc825..d02c680 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp1.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp2.ecf index 1479b04..def9339 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp2.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp3.ecf index 29d5aba..94c9279 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp3.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp4.ecf index d5aa2db..a3658fe 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp4.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp5.ecf index 0e981a8..cd4c884 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp5.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp6.ecf index 5be49a3..8b53b54 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp6.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp7.ecf index 8143cb4..94d4c43 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp7.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp8.ecf index bd49a1e..d0c2055 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp8.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf index b277446..148f357 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=20 %include -echo ${JOBgfs}/R +echo ${JOBgfs}/JGDAS_ENKF_INFLATE_RECENTER %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf index bc6d574..dea827f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=20 %include -echo ${JOBgfs}/T +echo ${JOBgfs}/JGDAS_ENKF_POST %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf index 36d2169..69ebc26 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/S +echo ${JOBgfs}/JGDAS_ENKF_SELECT_OBS %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf index af4cdae..31eed27 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=40 %include -echo ${JOBgfs}/E +echo ${JOBgfs}/JGDAS_ENKF_UPDATE %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_analysis.ecf index 3f0f59f..e0c300d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_analysis.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=60 %include -echo ${JOBgfs}/S +echo ${JOBgfs}/JGDAS_ANALYSIS %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_forecast.ecf index 168a126..5c37be8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_forecast.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_forecast.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/T +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf index e68399b..02d9ddf 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/D +echo ${JOBgfs}/JGDAS_VERFRAD %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf index a1cf1c6..3c67ea6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/N +echo ${JOBgfs}/JGDAS_VMINMON %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf index aa2d67e..5d5a392 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/P +echo ${JOBgfs}/JGDAS_EMCSFC_SFC_PREP %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf index b2aeb26..f76c25e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=3 %include -echo ${JOBgfs}/P +echo ${JOBgfs}/JGDAS_PREP %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf index b70b73e..9d87497 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/T +echo ${JOBgfs}/JGDAS_PREP_POST %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf index 566c42d..e6a3ce3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/P +echo ${JOBgfs}/JGFS_DUMP %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf index 671139d..1712817 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/C +echo ${JOBgfs}/JGFS_TROPCY_QC_RELOC %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf index 7f17855..3f3eef2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=60 %include -echo ${JOBgfs}/S +echo ${JOBgfs}/JGFS_ANALYSIS %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_forecast.ecf index 3c30c23..e30d56e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_forecast.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_forecast.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/T +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_post.ecf index 8502583..49bc7ab 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_post.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=6 %include -echo ${JOBgfs}/T +echo ${JOBgfs}/JGFS_POST %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf index 43d6d8d..a101bd8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/N +echo ${JOBgfs}/JGFS_VMINMON %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vrfy.ecf index 34b7b70..14e2ecf 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vrfy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vrfy.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/Y +echo ${JOBgfs}/JGFS_VRFY %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf index 94d7493..1918888 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/P +echo ${JOBgfs}/JGFS_EMCSFC_SFC_PREP %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf index e58e78a..c040ef2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=3 %include -echo ${JOBgfs}/P +echo ${JOBgfs}/JGFS_PREP %include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf index d1c1ca3..16762fe 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/T +echo ${JOBgfs}/JGFS_PREP_POST %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf index 62dc8d4..007ef46 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/P +echo ${JOBgfs}/JGDAS_DUMP %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf index 01d85c9..028184c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/S +echo ${JOBgfs}/JGDAS_ICS %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf index 5206f0a..153c823 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/C +echo ${JOBgfs}/JGDAS_TROPCY_QC_RELOC %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp1.ecf index dcfc825..d02c680 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp1.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp2.ecf index 1479b04..def9339 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp2.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp3.ecf index 29d5aba..94c9279 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp3.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp4.ecf index d5aa2db..a3658fe 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp4.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp5.ecf index 0e981a8..cd4c884 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp5.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp6.ecf index 5be49a3..8b53b54 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp6.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp7.ecf index 8143cb4..94d4c43 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp7.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp8.ecf index bd49a1e..d0c2055 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp8.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf index b277446..148f357 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=20 %include -echo ${JOBgfs}/R +echo ${JOBgfs}/JGDAS_ENKF_INFLATE_RECENTER %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf index bc6d574..dea827f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=20 %include -echo ${JOBgfs}/T +echo ${JOBgfs}/JGDAS_ENKF_POST %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf index 36d2169..69ebc26 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/S +echo ${JOBgfs}/JGDAS_ENKF_SELECT_OBS %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf index af4cdae..31eed27 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=40 %include -echo ${JOBgfs}/E +echo ${JOBgfs}/JGDAS_ENKF_UPDATE %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_analysis.ecf index 3f0f59f..e0c300d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_analysis.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=60 %include -echo ${JOBgfs}/S +echo ${JOBgfs}/JGDAS_ANALYSIS %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_forecast.ecf index 168a126..5c37be8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_forecast.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_forecast.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/T +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf index e68399b..02d9ddf 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/D +echo ${JOBgfs}/JGDAS_VERFRAD %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf index a1cf1c6..3c67ea6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/N +echo ${JOBgfs}/JGDAS_VMINMON %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf index aa2d67e..5d5a392 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/P +echo ${JOBgfs}/JGDAS_EMCSFC_SFC_PREP %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf index b2aeb26..f76c25e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=3 %include -echo ${JOBgfs}/P +echo ${JOBgfs}/JGDAS_PREP %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf index b70b73e..9d87497 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/T +echo ${JOBgfs}/JGDAS_PREP_POST %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf index 566c42d..e6a3ce3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/P +echo ${JOBgfs}/JGFS_DUMP %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf index 671139d..1712817 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/C +echo ${JOBgfs}/JGFS_TROPCY_QC_RELOC %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf index 7f17855..3f3eef2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=60 %include -echo ${JOBgfs}/S +echo ${JOBgfs}/JGFS_ANALYSIS %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_forecast.ecf index 3c30c23..e30d56e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_forecast.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_forecast.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/T +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_post.ecf index 8502583..49bc7ab 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_post.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=6 %include -echo ${JOBgfs}/T +echo ${JOBgfs}/JGFS_POST %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf index 43d6d8d..a101bd8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/N +echo ${JOBgfs}/JGFS_VMINMON %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vrfy.ecf index 34b7b70..14e2ecf 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vrfy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vrfy.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/Y +echo ${JOBgfs}/JGFS_VRFY %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf index 94d7493..1918888 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/P +echo ${JOBgfs}/JGFS_EMCSFC_SFC_PREP %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf index e58e78a..c040ef2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=3 %include -echo ${JOBgfs}/P +echo ${JOBgfs}/JGFS_PREP %include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf index d1c1ca3..16762fe 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/T +echo ${JOBgfs}/JGFS_PREP_POST %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf index 62dc8d4..007ef46 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/P +echo ${JOBgfs}/JGDAS_DUMP %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf index 01d85c9..028184c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/S +echo ${JOBgfs}/JGDAS_ICS %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf index 5206f0a..153c823 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/C +echo ${JOBgfs}/JGDAS_TROPCY_QC_RELOC %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp1.ecf index dcfc825..d02c680 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp1.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp2.ecf index 1479b04..def9339 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp2.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp3.ecf index 29d5aba..94c9279 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp3.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp4.ecf index d5aa2db..a3658fe 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp4.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp5.ecf index 0e981a8..cd4c884 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp5.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp6.ecf index 5be49a3..8b53b54 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp6.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp7.ecf index 8143cb4..94d4c43 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp7.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp8.ecf index bd49a1e..d0c2055 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp8.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/jgfs_forecast +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf index b277446..148f357 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=20 %include -echo ${JOBgfs}/R +echo ${JOBgfs}/JGDAS_ENKF_INFLATE_RECENTER %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf index bc6d574..dea827f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=20 %include -echo ${JOBgfs}/T +echo ${JOBgfs}/JGDAS_ENKF_POST %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf index 36d2169..69ebc26 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/S +echo ${JOBgfs}/JGDAS_ENKF_SELECT_OBS %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf index af4cdae..31eed27 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=40 %include -echo ${JOBgfs}/E +echo ${JOBgfs}/JGDAS_ENKF_UPDATE %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_analysis.ecf index 3f0f59f..e0c300d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_analysis.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=60 %include -echo ${JOBgfs}/S +echo ${JOBgfs}/JGDAS_ANALYSIS %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_forecast.ecf index 168a126..5c37be8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_forecast.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_forecast.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/T +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf index e68399b..02d9ddf 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/D +echo ${JOBgfs}/JGDAS_VERFRAD %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf index a1cf1c6..3c67ea6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/N +echo ${JOBgfs}/JGDAS_VMINMON %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf index aa2d67e..5d5a392 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/P +echo ${JOBgfs}/JGDAS_EMCSFC_SFC_PREP %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf index b2aeb26..f76c25e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=3 %include -echo ${JOBgfs}/P +echo ${JOBgfs}/JGDAS_PREP %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf index b70b73e..9d87497 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/T +echo ${JOBgfs}/JGDAS_PREP_POST %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf index 566c42d..e6a3ce3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/P +echo ${JOBgfs}/JGFS_DUMP %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf index 671139d..1712817 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/C +echo ${JOBgfs}/JGFS_TROPCY_QC_RELOC %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf index 7f17855..3f3eef2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=60 %include -echo ${JOBgfs}/S +echo ${JOBgfs}/JGFS_ANALYSIS %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_forecast.ecf index 3c30c23..e30d56e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_forecast.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_forecast.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/T +echo ${JOBgfs}/JGLOBAL_FORECAST %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_post.ecf index 8502583..49bc7ab 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_post.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=6 %include -echo ${JOBgfs}/T +echo ${JOBgfs}/JGFS_POST %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf index 43d6d8d..a101bd8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/N +echo ${JOBgfs}/JGFS_VMINMON %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vrfy.ecf index 34b7b70..14e2ecf 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vrfy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vrfy.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/Y +echo ${JOBgfs}/JGFS_VRFY %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf index 94d7493..1918888 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/P +echo ${JOBgfs}/JGFS_EMCSFC_SFC_PREP %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf index e58e78a..c040ef2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf @@ -8,5 +8,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=3 %include -echo ${JOBgfs}/P +echo ${JOBgfs}/JGFS_PREP %include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf index d1c1ca3..16762fe 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf @@ -7,5 +7,5 @@ #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/T +echo ${JOBgfs}/JGFS_PREP_POST %include diff --git a/model/ecflow_fv3gfs/settings.yaml b/model/ecflow_fv3gfs/settings.yaml index 427cf70..847a1f3 100644 --- a/model/ecflow_fv3gfs/settings.yaml +++ b/model/ecflow_fv3gfs/settings.yaml @@ -1,11 +1,21 @@ settings: NMEM_ENKF: 80 + ENKF_GROUPS: 8 + + ENKF_INNOVATE_GROUPS: !calc ENKF_GROUPS + ENKF_FORECAST_GROUPS: !calc ENKF_GROUPS + ENKF_ARCHIVE_GROUPS: !calc ENKF_GROUPS + ECF_HOME: '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs' ROCOTO_HOMEgfs: !calc ECF_HOME # Would be different in the real execution ROCOTO_HOME: !calc ECF_HOME layout_x: 8 layout_y: 16 - experiment_name: gfs.v15.0.0 + experiment_name: ecfgfsfv3 QUEUE: debug PROJECT: GFS-T2O QUEUESERV: dev_transfer + + # NOTE TO SELF: replace experiment_name with %EMCPEN% + COM: !expand '/gpfs/hps2/ptmp/emc.glopara/{experiment_name}/com' + DATAROOT: !expand '/gpfs/hps2/stmp/emc.glopara/{experiment_name}' diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index c023b77..608acf9 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -41,7 +41,7 @@ shared_task_template: &shared_task_template exclusive_task_template: &exclusive_task_template ecf_file: *ecf_file_template accounting: *exclusive_accounting - J_JOB: !calc tools.to_upper(task_path_var[-1]) + J_JOB: !calc tools.to_upper(task_path_list[-1]) Rocoto: *rocoto_task_template suite: !Cycle @@ -71,10 +71,10 @@ suite: !Cycle #edit QUEUE 'dev' edit QUEUE '{doc.settings.QUEUE}' edit PROJENVIR '{doc.settings.PROJECT}' - edit EMCPEN 'ecfgfsfv3' - edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' + edit EMCPEN '{doc.settings.experiment_name}' + edit COM '{doc.settings.COM}' edit QUEUESERV '{doc.settings.QUEUESERV}' - edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/%EMCPEN%' + edit DATAROOT '{doc.settings.DATAROOT}' edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' edit ECF_OUT '{doc.settings.ECF_HOME}/output' edit ECF_LOG '{doc.settings.ECF_HOME}/ecf.log' @@ -150,7 +150,7 @@ suite: !Cycle innovate: !TaskArray Trigger: !Depend jgdas_enkf_select_obs Dimensions: - groupid: !calc tools.seq(1,8,1) + groupid: !calc tools.seq(1,doc.settings.ENKF_INNOVATE_GROUPS,1) grp: !TaskElement <<: *exclusive_task_template Foreach: [ group ] @@ -173,13 +173,13 @@ suite: !Cycle forecast: !TaskArray Trigger: !Depend up.enkf.jgdas_enkf_inflate_recenter Dimensions: - groupid: !calc tools.seq(1,8,1) + groupid: !calc tools.seq(1,doc.settings.ENKF_FORECAST_GROUPS,1) grp: !TaskElement <<: *exclusive_task_template Foreach: [ group ] Name: !expand "grp{idx.groupid}" resources: !calc ( doc.resource_demo.run_efcs ) - J_JOB: jgfs_forecast + J_JOB: JGLOBAL_FORECAST jgdas_enkf_post: !Task <<: *exclusive_task_template @@ -198,6 +198,7 @@ suite: !Cycle Trigger: !Depend ( jgdas_analysis.release_fcst & enkf.innovate ) release_fcst: !DataEvent {file="/dev/null"} resources: !calc ( doc.resource_demo.run_gdasfcst ) + J_JOB: JGLOBAL_FORECAST post: !Task <<: *exclusive_task_template @@ -261,6 +262,7 @@ suite: !Cycle <<: *exclusive_task_template Trigger: !Depend jgfs_analysis resources: !calc ( doc.resource_demo.run_gfsfcst ) + J_JOB: JGLOBAL_FORECAST jgfs_post: !Task <<: *exclusive_task_template @@ -288,7 +290,7 @@ suite: !Cycle earc: !TaskArray Trigger: !Depend up.gdas.enkf.jgdas_enkf_post Dimensions: - groupid: !calc tools.seq(1,8,1) + groupid: !calc tools.seq(1,doc.settings.ENKF_ARCHIVE_GROUPS,1) grp: !TaskElement <<: *shared_task_template Foreach: [ group ] diff --git a/model/ecflow_fv3gfs/workflow.xml b/model/ecflow_fv3gfs/workflow.xml index bff7c4e..f3b3aed 100644 --- a/model/ecflow_fv3gfs/workflow.xml +++ b/model/ecflow_fv3gfs/workflow.xml @@ -18,7 +18,7 @@ DUMMY_VALUE - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/nothing + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_VERFRAD &QUEUE; &PROJECT; gdas.jgdas_verfrad @@ -32,7 +32,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/nothing + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_VMINMON &QUEUE; &PROJECT; gdas.jgdas_vminmon @@ -48,7 +48,7 @@ DUMMY_VALUE - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/nothing + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_ICS &QUEUE; &PROJECT; gdas.dump.jgdas_ics @@ -60,7 +60,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/nothing + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_TROPCY_QC_RELOC &QUEUE; &PROJECT; gdas.dump.jgdas_tropcy_qc_reloc @@ -74,7 +74,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/nothing + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_DUMP &QUEUE; &PROJECT; gdas.dump.jgdas_dump @@ -89,7 +89,7 @@ DUMMY_VALUE - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/nothing + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_EMCSFC_SFC_PREP &QUEUE; &PROJECT; gdas.prep.jgdas_emcsfc_sfc_prep @@ -103,7 +103,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/prep + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_PREP &QUEUE; &PROJECT; gdas.prep.jgdas_prep @@ -121,7 +121,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/nothing + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_PREP_POST &QUEUE; &PROJECT; gdas.prep.jgdas_prep_post @@ -138,7 +138,7 @@ DUMMY_VALUE - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/eobs + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_ENKF_SELECT_OBS &QUEUE; &PROJECT; gdas.enkf.jgdas_enkf_select_obs @@ -158,7 +158,7 @@ DUMMY_VALUE - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/eomg + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate &QUEUE; &PROJECT; gdas.enkf.innovate.grp1 @@ -173,7 +173,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/eomg + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate &QUEUE; &PROJECT; gdas.enkf.innovate.grp2 @@ -188,7 +188,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/eomg + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate &QUEUE; &PROJECT; gdas.enkf.innovate.grp3 @@ -203,7 +203,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/eomg + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate &QUEUE; &PROJECT; gdas.enkf.innovate.grp4 @@ -218,7 +218,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/eomg + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate &QUEUE; &PROJECT; gdas.enkf.innovate.grp5 @@ -233,7 +233,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/eomg + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate &QUEUE; &PROJECT; gdas.enkf.innovate.grp6 @@ -248,7 +248,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/eomg + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate &QUEUE; &PROJECT; gdas.enkf.innovate.grp7 @@ -263,7 +263,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/eomg + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate &QUEUE; &PROJECT; gdas.enkf.innovate.grp8 @@ -279,7 +279,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/eupd + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_ENKF_UPDATE &QUEUE; &PROJECT; gdas.enkf.jgdas_enkf_update @@ -294,7 +294,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/ecen + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_ENKF_INFLATE_RECENTER &QUEUE; &PROJECT; gdas.enkf.jgdas_enkf_inflate_recenter @@ -314,7 +314,7 @@ DUMMY_VALUE - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/efcs + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST &QUEUE; &PROJECT; gdas.enkf.forecast.grp1 @@ -329,7 +329,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/efcs + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST &QUEUE; &PROJECT; gdas.enkf.forecast.grp2 @@ -344,7 +344,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/efcs + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST &QUEUE; &PROJECT; gdas.enkf.forecast.grp3 @@ -359,7 +359,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/efcs + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST &QUEUE; &PROJECT; gdas.enkf.forecast.grp4 @@ -374,7 +374,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/efcs + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST &QUEUE; &PROJECT; gdas.enkf.forecast.grp5 @@ -389,7 +389,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/efcs + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST &QUEUE; &PROJECT; gdas.enkf.forecast.grp6 @@ -404,7 +404,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/efcs + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST &QUEUE; &PROJECT; gdas.enkf.forecast.grp7 @@ -419,7 +419,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/efcs + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST &QUEUE; &PROJECT; gdas.enkf.forecast.grp8 @@ -435,7 +435,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/epos + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_ENKF_POST &QUEUE; &PROJECT; gdas.enkf.jgdas_enkf_post @@ -451,7 +451,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/anal + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_ANALYSIS &QUEUE; &PROJECT; gdas.jgdas_analysis @@ -470,7 +470,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/fcst + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST &QUEUE; &PROJECT; gdas.jgdas_forecast @@ -523,7 +523,7 @@ DUMMY_VALUE - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/nothing + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_TROPCY_QC_RELOC &QUEUE; &PROJECT; gfs.dump.jgfs_tropcy_qc_reloc @@ -537,7 +537,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/nothing + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_DUMP &QUEUE; &PROJECT; gfs.dump.jgfs_dump @@ -552,7 +552,7 @@ DUMMY_VALUE - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/nothing + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_EMCSFC_SFC_PREP &QUEUE; &PROJECT; gfs.prep.jgfs_emcsfc_sfc_prep @@ -566,7 +566,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/prep + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_PREP &QUEUE; &PROJECT; gfs.prep.jgfs_prep @@ -581,7 +581,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/nothing + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_PREP_POST &QUEUE; &PROJECT; gfs.prep.jgfs_prep_post @@ -596,7 +596,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/anal + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_ANALYSIS &QUEUE; &PROJECT; gfs.jgfs_analysis @@ -615,7 +615,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/nothing + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_VMINMON &QUEUE; &PROJECT; gfs.jgfs_vminmon @@ -629,7 +629,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/fcst + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST &QUEUE; &PROJECT; gfs.jgfs_forecast @@ -644,7 +644,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/post + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_POST &QUEUE; &PROJECT; gfs.jgfs_post @@ -659,7 +659,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/vrfy + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_VRFY &QUEUE; &PROJECT; gfs.jgfs_vrfy @@ -851,7 +851,7 @@ - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/final + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/L &QUEUESERV; &PROJECT; final From 13a26d942937efd0e7c32417930a96dfcf3db836 Mon Sep 17 00:00:00 2001 From: "Tery.McGuinness" Date: Thu, 8 Feb 2018 23:21:14 +0000 Subject: [PATCH 311/487] added case for flat (could not push my own branch - need to fix permissions) --- tests/regression/fv3gfs_regression_flat.sh | 635 +++++++++++++++++++++ 1 file changed, 635 insertions(+) create mode 100755 tests/regression/fv3gfs_regression_flat.sh diff --git a/tests/regression/fv3gfs_regression_flat.sh b/tests/regression/fv3gfs_regression_flat.sh new file mode 100755 index 0000000..8fa1dda --- /dev/null +++ b/tests/regression/fv3gfs_regression_flat.sh @@ -0,0 +1,635 @@ +#!/bin/bash + +usage () { + echo -e "\033[1mUSAGE:\033[0m\n $0 [[baseline]] [[compare]] [[--non-interactive]]\n" + echo -e "\tno arguments : creates a baseline with sorc and exp dir in \$PWD named fvgfs_sorc_baseline fv3gfs_exp_basline respectivly" + echo -e "\tone argument (string) : creates a baseline with sorc and exp dir in \$PWD named fvgfs_sorc_\${string} fv3gfs_exp_\${string} respectivly\n\n" + echo -e "\tone argument (dir) : creates a test run with sorc and exp dir in \$PWD named fvgfs_sorc_test_run fv3gfs_exp_test_run respectivly \n\t\t\t\t and then compares the results against the comrot found in the directory \${dir}" + echo -e "\ttwo arguments (dir) (str) : creates a test_run with sorc and exp dir in \$PWD named fvgfs_sorc_\${string} fv3gfs_exp_\${srting} respectivly \n\t\t\t\t and then compares the results against the comrot found in the directory \${dir} " + echo -e "\ttwo arguments (dir) (dir) : does a bitwise compare on the gfs files from the first dir to the second\n" + echo -e "\tthird optional argument is used when acctually running the script so no promps are given, otherwize the script will report on the settings.\n\n" + echo -e "\033[1mEXAMPLE:\033[0m\n" + echo -e "\tnohup ./fv3gfs_regression.sh baseline --non-interactive > & fv3gfs_regression_baseline_run.log &\n" + exit +} + +INTERACTIVE='TRUE' +for arg + do + if [[ $arg == "--non-interactive" ]]; then + INTERACTIVE='FALSE' + break + fi +done + +# Traps that only allow the above inputs specified in the usage + +if [[ "$#" -gt "4" ]] || [[ $1 == '--help' ]]; then + usage +fi + +if [[ "$#" -ge "3" ]]; then + if [[ ! -d $1 ]]; then + usage + fi +fi + +if [[ -f $1 ]] || [[ -f $2 ]]; then + usage +fi + +log_message () { + logtime=`date +"%F %T"` + echo -e "$1 : bash : $logtime : LOG : $2" + if [[ $1 == "CRITICAL" ]]; then + exit -1 + fi +} + +CHECKOUT_DIR=$PWD +ROCOTO_WAIT_FRQUANCY='5m' + +CHECKOUT=${CHECKOUT:-'TRUE'} +CREATE_EXP=${CREATE_EXP:-'TRUE'} +BUILD=${BUILD:-'TRUE'} +CREATE_EXP=${CREATE_EXP:-'TRUE'} +RUNROCOTO=${RUNROCOTO:-'TRUE'} +JOB_LEVEL_CHECK=${JOB_LEVEL_CHECK:-'FALSE'} +RZDM_RESULTS=${RZDM_RESULTS:-'FALSE'} +PYTHON_FILE_COMPARE=${PYTHON_FILE_COMPARE:-'TRUE'} +REGRESSSION_COMROT_BASENAME='fv3gfs_regression_experments' + +#CHECKOUT='FALSE' +#CREATE_EXP='FALSE' +#BUILD='FALSE' +#RUNROCOTO='FALSE' +#JOB_LEVEL_CHECK='TRUE' +#RZDM_RESULTS='TRUE' +#PYTHON_FILE_COMPARE='FALSE' + +idate='2018012306' +edate='2018012312' + +fv3gfs_git_branch='master' +# Leave fv3gfs_svn_url blank to use git branch in fv3gfs_git_branch +fv3gfs_svn_url='' +load_rocoto='rocoto/1.2.4' + +ICS_dir_cray='/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/ICS' +PTMP_cray='/gpfs/hps3/ptmp' +ICS_dir_theia='/scratch4/NCEPDEV/global/noscrub/glopara/ICS/FV3GFS' +PTMP_theia='/scratch4/NCEPDEV/stmp4' + +# system dependent +#----------------- +if [[ -d /scratch4/NCEPDEV ]]; then + system="theia" +elif [[ -d /gpfs/hps3 ]]; then + system="cray" +else + log_message "CRITICAL" "Unknown machine $system, not supported" +fi + +if [[ $system == "cray" ]]; then + ICS_dir=$ICS_dir_cray + PTMP=$PTMP_cray +else + ICS_dir=$ICS_dir_theia + PTMP=$PTMP_theia +fi + +module load $load_rocoto +rocotoruncmd=`which rocotorun` +if [[ -z ${rocotoruncmd} ]]; then + log_message "CRITICAL" "module load for rocoto ($load_rocoto) on system failed" +fi + +rocotover=`$rocotoruncmd --version` +log_message "INFO" "using rocoto version $rocotover" +rocotostatcmd=`which rocotostat` +if [[ -z ${rocotostatcmd} ]]; then + log_message "CRITICAL" "($rocotostatcmd) not found on system" +fi + +fv3gfs_ver='v15.0.0' +num_expected_exec='29' + +if [[ ! -d $1 ]] && [[ ! -f $1 ]]; then + if [[ -z $1 || $1 == "--non-interactive" ]]; then + regressionID='baseline' + log_message "INFO" "No arguments given assuming to make new baseline with default ID: $regressionID" + else + regressionID=$1 + log_message "INFO" "only the baseline will be created with ID: $regressionID" + fi +fi + +pslot_basename='fv3gfs' +checkout_dir_basename="${pslot_basename}_sorc_${regressionID}" +pslot="${pslot_basename}_exp_${regressionID}" + +username=`echo ${USER} | tr '[:upper:]' '[:lower:]'` +setup_expt=${CHECKOUT_DIR}/${checkout_dir_basename}/gfs_workflow.${fv3gfs_ver}/ush/setup_expt.py +setup_workflow=${CHECKOUT_DIR}/${checkout_dir_basename}/gfs_workflow.${fv3gfs_ver}/ush/setup_workflow.py +config_dir=${CHECKOUT_DIR}/${checkout_dir_basename}/gfs_workflow.${fv3gfs_ver}/config + +comrot=${CHECKOUT_DIR}/${REGRESSSION_COMROT_BASENAME} +comrot_test_dir=${comrot}/${pslot} +exp_dir_fullpath=${CHECKOUT_DIR}/${pslot} +exp_setup_string="--pslot ${pslot} --icsdir $ICS_dir --configdir ${config_dir} --comrot ${comrot} --idate $idate --edate $edate --expdir ${CHECKOUT_DIR} --resdet 192 --resens 192 --nens 20 --gfs_cyc 4" + +# Kate's orginal flat snapshot +#--pslot crowflatlow --configdir /gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_flat/fv3gfs_flat/parm/config/ --idate 2018012306 --edate 2018012312 --icsdir /gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/ICS --comrot /gpfs/hps2/ptmp/emc.glopara/ROTDIRS_CROW --expdir /gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_flat/ --resdet 192 --resens 192 --nens 20 --gfs_cyc 4 + +# If RZDM is set then the viewer will attempt to post the state of the workflow in html on the rzdm server +RZDM='tmcguinness@emcrzdm.ncep.noaa.gov:/home/www/emc/htdocs/gc_wmb/tmcguinness' +ROCOTOVIEWER='/u/Terry.McGuinness/bin/rocoto_viewer.py' + +find_data_dir () { + + local _check_baseline_dir=$1 + + STARTTIME=$(date +%s) + while IFS= read -r -d '' file + do + gfsfile=`basename $file | cut -f 1 -d"."` + if [[ $gfsfile == "enkf" ]]; then + check_real_base_dir=`dirname $file` + if ls $check_real_base_dir/gdas.* 1> /dev/null 2>&1; then + real_base_dir=$check_real_base_dir + break + fi + fi + if [[ $(($ENDTIME - $STARTTIME)) > 65 ]]; then + log_message "CRITICAL" "looking for valid baseline directory put then gave up after a minute" + exit -1 + fi + ENDTIME=$(date +%s) + done < <(find $_check_baseline_dir -print0 ) + + if [[ -z $real_base_dir ]]; then + exit -1 + fi + _check_baseline_dir=`dirname $file` + echo $_check_baseline_dir +} + +log_message "INFO" "running regression script on host $HOST" + +COMPARE_BASE='FALSE' +JUST_COMPARE_TWO_DIRS='FALSE' +if [[ -d $1 ]] && [[ -d $2 ]]; then + CHECKOUT='FALSE' + BUILD='FALSE' + CREATE_EXP='FALSE' + RUNROCOTO='FALSE' + check_baseline_dir=`readlink -f $1` + check_baseline_dir_get=$( find_data_dir $check_baseline_dir ) + if [[ -z $check_baseline_dir_get ]]; then + log_message "CRITICAL" "$check_baseline_dir_get is not a directory with a baseline to test in it" + fi + if [[ $check_baseline_dir != $check_baseline_dir_get ]]; then + check_baseline_dir=$check_baseline_dir_get + log_message "WARNING" "given directory did not have gfs data, but a subsequent subdirectory was found that did:\n$check_baseline_dir" + fi + check_baseline_dir_with_this_dir=`readlink -f $2` + check_baseline_dir_with_this_dir_get=$( find_data_dir $check_baseline_dir_with_this_dir ) + if [[ -z $check_baseline_dir_with_this_dir_get ]]; then + log_message "CRITICAL" "$check_baseline_dir_with_this_get is not a directory with a baseline to test in it" + fi + if [[ $check_baseline_dir_with_this_dir_get != $check_baseline_dir_with_this_dir ]]; then + check_baseline_dir_with_this_dir=$check_baseline_dir_with_this_get + log_message "WARNING" "given directory did not have gfs data, but a subsequent subdirectory was found that did:\n$check_baseline_dir_with_this_dir" + fi + log_message "INFO" "simply doing a diff on these two directories:\n $check_baseline_dir \n $check_baseline_dir_with_this_dir" + JUST_COMPARE_TWO_DIRS='TRUE' + COMPARE_BASE='TRUE' + if [[ -z $3 ]]; then + regressionID='compare' + else + if [[ $3 != "--non-interactive" ]]; then + regressionID=$3 + else + regressionID='compare' + fi + fi +elif [[ -d $1 && ! -d $2 ]]; then + check_baseline_dir=`readlink -f $1` + if [[ -z $2 ]]; then + regressionID='test_run' + else + if [[ $2 == "--non-interactive" ]]; then + regressionID='test_run' + else + if [[ `echo $2 | cut -c1-2` == "--" ]]; then + log_message "CRITICAL" "an errounous option was given ($2), --non-interactive is the only allowable option" + else + regressionID=$2 + fi + fi + fi + log_message "INFO" "running test run ($regressionID) agaist regression baseline in directory $check_baseline_dir" + COMPARE_BASE='TRUE' + check_baseline_dir_get=$( find_data_dir $check_baseline_dir ) + if [[ -z $check_baseline_dir_get ]]; then + log_message "CRITICAL" "$check_baseline_dir_get is not a directory with a baseline to test in it" + fi + if [[ $check_baseline_dir != $check_baseline_dir_get ]]; then + check_baseline_dir=$check_baseline_dir_get + log_message "WARNING" "given directory did not have gfs data, but a subsequent subdirectory was found that did:\n$check_baseline_dir" + fi + log_message "INFO" "found baseline fv3gfs gfs data found in directory: $check_baseline_dir" +fi + +if [[ -z $ROCOTOVIEWER ]]; then + RZDM_RESULTS="FALSE" +fi + +echo -e "Current Settings are:\n" +echo "regressionID = $regressionID" +echo "git branch = $fv3gfs_git_branch" +echo "idate = $idate" +echo "edate = $edate" +echo "CHECKOUT_DIR = $CHECKOUT_DIR" +echo "CHECKOUT = $CHECKOUT" +echo "CREATE_EXP = $CREATE_EXP" +echo "COMPARE_BASE = $COMPARE_BASE" +echo "RZDM_RESULTS = $RZDM_RESULTS" +echo -e "RUNROCOTO = $RUNROCOTO\n" +echo "PYTHON_FILE_COMPARE = $PYTHON_FILE_COMPARE" +echo -e "JOB_LEVEL_CHECK = $JOB_LEVEL_CHECK\n" + +if [[ $INTERACTIVE == "TRUE" ]]; then + while read -n1 -r -p "Are these the correct settings (y/n): " answer + do + if [[ $answer == "n" ]]; then + echo -e "\n" + exit + fi + if [[ $answer == "y" ]]; then + echo -e "\n" + break + fi + echo "" + done +fi + +SCRIPT_STARTTIME=$(date +%s) + + +if [[ $CHECKOUT == 'TRUE' ]]; then + cd ${CHECKOUT_DIR} + if [[ ! -z ${fv3gfs_svn_url} ]]; then + + if [[ -d ${checkout_dir_basename} ]]; then + rm -Rf ${checkout_dir_basename} + fi + log_message "INFO" "checking out fv3gfs from svn $fv3gfs_svn_url" + svn co $fv3gfs_svn_url ${checkout_dir_basename} + + else + + log_message "INFO" "cloning fvgfs from git with branch $fv3gfs_git_branch" + log_message "INFO" "git clone ssh://${username}@vlab.ncep.noaa.gov:29418/fv3gfs ${checkout_dir_basename}" + git clone ssh://${username}@vlab.ncep.noaa.gov:29418/fv3gfs ${checkout_dir_basename} + + if [[ ! -z "${fv3gfs_git_branch}// }" ]]; then + cd ${checkout_dir_basename} + git checkout remotes/origin/${fv3gfs_git_branch} -b ${fv3gfs_git_branch} + git rev-parse HEAD | xargs git show --stat + cd ${CHECKOUT_DIR} + fi + + fi +fi + + + +if [[ $CREATE_EXP == 'TRUE' ]]; then + + log_message "INFO" "setting up experiment: ${setup_expt} ${exp_setup_string}" + removed='' + if [[ -d $exp_dir_fullpath ]]; then + removed='it was present but now has been removed' + fi + rm -Rf $exp_dir_fullpath + log_message "INFO" "experiment directory is $exp_dir_fullpath $removed" + removed='' + if [[ -d $comrot_test_dir ]]; then + removed='it was present but now has been removed' + fi + rm -Rf $comrot_test_dir + log_message "INFO" "comrot directory is $comrot_test_dir $removed" + + yes | ${setup_expt} ${exp_setup_string} + log_message "INFO" "setting up workflow: ${setup_workflow} --expdir $exp_dir_fullpath" + yes | ${setup_workflow} --expdir $exp_dir_fullpath + sed -i 's/^export VRFYGENESIS=.*/export VRFYGENESIS=\"NO\" \# WARNING changed by regression script/' $exp_dir_fullpath/config.vrfy + log_message "WARNING" "modified config.vrfy with VRFYGENESIS=NO because geneses tracker is currently failing" + sed -i 's/^export VRFYG2OBS=.*/export VRFYG2OBS=\"NO\" \# WARNING changed by regression script/' $exp_dir_fullpath/config.vrfy + log_message "WARNING" "modified config.vrfy with VRFYG2OBS=NO because it do not make sense for it to be on for only one cycle" +fi + +if [[ $BUILD == 'TRUE' ]]; then + cd ${checkout_dir_basename}/global_shared.${fv3gfs_ver}/sorc + + sed -i 's/cd gsi.fd/cd gsi.fd\n checkout DA-FV3-IMPL/' checkout.sh + log_message "WARNING" "just updated checkout.sh script and added line to checkout DA-FV3-IMPL branch for gsi instead of master" + + log_message "INFO" "running checkout script: $PWD/checkout.sh $username" + ./checkout.sh $username + log_message "INFO" "running build script: $PWD/build_all.sh $system" + ./build_all.sh ${system} + num_shared_exec=`ls -1 ../exec | wc -l` + if [[ $num_shared_exec != $num_expected_exec ]]; then + log_message "WARNING" "number of executables in shared exec: $num_shared_exec was found and was expecting $num_expected_exec" + filepath='../exe' + fullpath=`echo $(cd $(dirname $filepath ) ; pwd ) /$(basename $filepath )` + log_message "WARNING" "check the executables found in: $fullpath" + else + log_message "INFO" "number of executables in shared exec: $num_shared_exec" + fi +fi + +run_file_compare_python () { + + total_number_files=`find $check_baseline_dir -type f | wc -l` + if [[ $JUST_COMPARE_TWO_DIRS == 'TRUE' ]]; then + comrot_test_dir=$check_baseline_dir_with_this_dir + fi + log_message "INFO" "doing the diff compare in $check_baseline_dir against $comrot_test_dir" + if [[ ! -d $check_baseline_dir ]] || [[ ! -d $comrot_test_dir ]]; then + log_message "CRITICAL" "one of the target directories does not exist" + fi + + log_message "INFO" "running: compare_folders.py $check_baseline_dir $comrot_test_dir -n $regressionID" + compare_folders.py --cmp_dirs $check_baseline_dir $comrot_test_dir -n $regressionID + +} + +run_file_compare () { + + log_message "INFO" "doing job level comparing with job $regressionID" + if [[ $COMPARE_BASE == 'TRUE' ]]; then + PWD_start=$PWD + diff_file_name="${CHECKOUT_DIR}/diff_file_list_${regressionID}.lst" + total_number_files=`find $check_baseline_dir -type f | wc -l` + if [[ $system == "theia" ]]; then + module load nccmp + NCCMP=`which nccmp` + else + NCCMP=/gpfs/hps3/emc/nems/noscrub/emc.nemspara/FV3GFS_V0_RELEASE/util/nccmp + fi + + if [[ $JUST_COMPARE_TWO_DIRS == 'TRUE' ]]; then + comrot_test_dir=$check_baseline_dir_with_this_dir + fi + log_message "INFO" "doing the diff compare in $check_baseline_dir against $comrot_test_dir" + if [[ ! -d $check_baseline_dir ]] || [[ ! -d $comrot_test_dir ]]; then + log_message "CRITICAL" "one of the target directories does not exist" + fi + log_message "INFO" "moving to directory $comrot_test_dir to do the compare" + if [[ -d $comrot_test_dir ]]; then + cd $comrot_test_dir/.. + else + log_message "CRITICAL" "The directory $comrot_test_dir does not exsist" + fi + check_baseline_dir_basename=`basename $check_baseline_dir` + comrot_test_dir_basename=`basename $comrot_test_dir` + + log_message "INFO" "running command: diff --brief -Nr --exclude \"*.log*\" --exclude \"*.nc\" --exclude \"*.nc?\" $check_baseline_dir_basename $comrot_test_dir_basename >& $diff_file_name" + diff --brief -Nr --exclude "*.log*" --exclude "*.nc" --exclude "*.nc?" $check_baseline_dir_basename $comrot_test_dir_basename >> ${diff_file_name} 2>&1 + + num_different_files=`wc -l < $diff_file_name` + log_message "INFO" "checking of the $num_different_files differing files (not including NetCDF) for which ones are tar and/or compressed files for differences" + rm -f ${diff_file_name}_diff + counter_diffed=0 + counter_regularfiles=0 + counter_compressed=0 + while read line; do + set -- $line; + file1=$2; + file2=$4; + + if ( tar --exclude '*' -ztf $file1 ) ; then + #log_message "INFO" "$file1 is an compressed tar file" + counter_compressed=$((counter_compressed+1)) + if [[ $( tar -xzf $file1 -O | md5sum ) != $( tar -xzf $file2 -O | md5sum ) ]] ; then + #log_message "INFO" "found $file1 and $file2 gzipped tar files DO differ" + counter_diffed=$((counter_diffed+1)) + echo "compressed tar $line" >> ${diff_file_name}_diff + fi + elif ( tar --exclude '*' -tf $file1 ) ; then + counter_compressed=$((counter_compressed+1)) + #log_message "INFO" "$file1 is an uncompressed tar file" + if [[ $( tar -xf $file1 -O | md5sum ) != $( tar -xf $file2 -O | md5sum ) ]] ; then + #log_message "INFO" "found $file1 and $file2 tar files DO differ" + counter_diffed=$((counter_diffed+1)) + echo "tar $line" >> ${diff_file_name}_diff + fi + else + #log_message "INFO" "$file1 is not tar or tar.gz and still then differs" + counter_regularfiles=$((counter_regularfiles+1)) + echo $line >> ${diff_file_name}_diff + fi + + done < $diff_file_name + + log_message "INFO" "out of $num_different_files differing files $counter_compressed where tar or compressed and $counter_diffed of those differed" + + if [[ -f ${diff_file_name}_diff ]]; then + mv ${diff_file_name}_diff ${diff_file_name} + fi + + log_message "INFO" "checking if test case has correct number of files" + + baseline_tempfile=${check_baseline_dir_basename}_files.txt + comrot_tempfile=${comrot_test_dir_basename}_files.txt + cd $check_baseline_dir_basename + rm -f ../$baseline_tempfile + find * -type f > ../$baseline_tempfile + cd ../$comrot_test_dir_basename + rm -f ../$comrot_tempfile + find * -type f > ../$comrot_tempfile + cd .. + diff ${baseline_tempfile} ${comrot_tempfile} > /dev/null 2>&1 + if [[ $? != 0 ]]; then + num_missing_files=0 + while read line; do + ls ${comrot_test_dir_basename}/$line > /dev/null 2>&1 + if [[ $? != 0 ]]; then + echo "file $line is in ${check_baseline_dir_basename} but is missing in ${comrot_test_dir_basename}" >> ${diff_file_name} + num_missing_files=$((num_missing_files+1)) + fi + done < $baseline_tempfile + while read line; do + ls ${check_baseline_dir_basename}/$line > /dev/null 2>&1 + if [[ $? != 0 ]]; then + echo "file $line is in ${comrot_test_dir_basename} but is missing in $check_baseline_dir_basename" >> ${diff_file_name} + num_missing_files=$((num_missing_files+1)) + fi + done < $comrot_tempfile + if [[ $num_missing_files != 0 ]]; then + log_message "INFO" "$num_missing_files files where either missing or where unexpected in the test direcotry." + else + log_message "INFO" "all the files are accounted for are all the names match in the test directory" + fi + else + log_message "INFO" "all the files are accounted for are all the names match in the test directory" + fi + rm -f $baseline_tempfile + rm -f $comrot_tempfile + + log_message "INFO" "comparing NetCDF files ..." + find $check_baseline_dir_basename -type f \( -name "*.nc?" -o -name "*.nc" \) > netcdf_filelist.txt + num_cdf_files=`wc -l < netcdf_filelist.txt` + counter_identical=0 + counter_differed_nccmp=0 + counter_header_identical=0 + while IFS=/ read netcdf_file; do + comp_base=`basename $netcdf_file` + dir_name=`dirname $netcdf_file` + just_dir=`echo "$dir_name" | sed 's,^[^/]*/,,'` + file1=$check_baseline_dir_basename/$just_dir/$comp_base ; file2=$comrot_test_dir_basename/$just_dir/$comp_base + diff $file1 $file2 > /dev/null 2>&1 + if [[ $? != 0 ]]; then + nccmp_result=$( { $NCCMP --diff-count=4 --threads=4 --data $file1 $file2; } 2>&1) + if [[ $? != 0 ]]; then + counter_differed_nccmp=$((counter_differed_nccmp+1)) + echo "NetCDF file $file1 differs: $nccmp_result" >> $diff_file_name + else + counter_header_identical=$((counter_header_identical+1)) + fi + else + counter_identical=$((counter_identical+1)) + fi + done < netcdf_filelist.txt + log_message "INFO" "out off $num_cdf_files NetCDF files $counter_identical where completely identical, $counter_header_identical identical data but differed in the header, and $counter_differed_nccmp differed in the data" + number_diff=`wc -l < $diff_file_name` + log_message "INFO" "completed running diff for fv3gfs regression test ($regressionID) and found results in file: $diff_file_name" + log_message "INFO" "out of $total_number_files files, there where $number_diff that differed" + rm netcdf_filelist.txt + + cd $PWD_start + fi +} + + +regressionID_save=$regressionID +if [[ $RUNROCOTO == 'TRUE' ]]; then + if [[ ! -d ${exp_dir_fullpath} ]]; then + log_message "CRITICAL" "experiment directory $exp_dir_fullpath not found" + fi + log_message "INFO" "running regression script on host $HOST" + log_message "INTO" "moving to PWD $exp_dir_fullpath to run cycleing in experiment directory" + cd ${exp_dir_fullpath} + + log_message "INFO" "starting to run fv3gfs cycling regression test run using $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml" + log_message "INFO" "running $rocotoruncmd from $PWD" + + $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml + if [[ $? != 0 ]]; then + log_message "CRITICAL" "rocotorun failed on first attempt" + fi + if [[ -d ${pslot}.db ]]; then + log_message "CRITICAL" "rocotorun failed to create database file" + fi + log_message "INFO" "rocotorun successfully ran initial rocoorun to to create database file: ${pslot}.db" + + log_message "INFO" "running: $rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -s -c all | tail -1 | awk '{print \$1}'" + lastcycle=`$rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -s -c all | tail -1 | awk '{print $1}'` + if [[ $? != 0 ]]; then + log_message "CRITICAL" "rocotostat failed when determining last cycle in test run" + fi + log_message "INFO" "rocotostat determined that the last cycle in test is: $lastcycle" + + cycling_done="FALSE" + last_succeeded_checked="" + last_succeeded="" + while [ $cycling_done == "FALSE" ]; do + lastcycle_state=`$rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c $lastcycle -s | tail -1 | awk '{print $2}'` + if [[ $lastcycle_state == "Done" ]]; then + log_message "INFO" "last cycle $lastcycle just reported to be DONE by rocotostat .. exiting execution of workflow" + break + fi + #log_message "INFO" "running: $rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c all" + deadjobs=`$rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c all | awk '$4 == "DEAD" {print $2}'` + if [[ ! -z $deadjobs ]]; then + deadjobs=`echo $deadjobs | tr '\n' ' '` + log_message "CRITICAL" "the following jobs are DEAD: $deadjobs exiting script with error code (-1)" + exit -1 + fi + deadcycles=`$rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c $lastcycle -s | awk '$2 == "Dead" {print $1}'` + if [[ ! -z $deadcycles ]]; then + log_message "CRITICAL" "the following cycles are dead: $deadcycles exiting script with error code (-2)" + exit -2 + fi + $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml + if [[ $? == "0" ]]; then + last_succeeded=`$rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c all | awk '$4 == "SUCCEEDED" {print $1"_"$2}' | tail -1` + log_message "INFO" "Successfully ran: $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml" + #log_message "INFO" "using job level checking: last succeded task checked: $last_succeeded_checked" + #log_message "INFO" "using job level checking: last succeded task current: $last_succeeded" + if [[ ! -z $last_succeeded ]]; then + if [[ $last_succeeded != $last_succeeded_checked ]]; then + last_succeeded_checked=$last_succeeded + regressionID=$last_succeeded + log_message "INFO" "job $last_succeeded just completed successfully" + if [[ $JOB_LEVEL_CHECK == 'TRUE' ]]; then + if [[ $PYTHON_FILE_COMPARE == 'TRUE' ]]; then + log_message "WARNING" "python file compare set but does not support job level checking (reverting to bash shell version)" + run_file_compare + fi + else + run_file_compare_python + fi + fi + fi + else + log_message "WARNING" "FAILED: $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml" + fi + + # Wait here to before running rocotorun again ... + log_message "INFO" "Waiting here for $ROCOTO_WAIT_FRQUANCY ..." + sleep $ROCOTO_WAIT_FRQUANCY + + if [[ ! -z $RZDM ]]; then + viewer_arg_str="-d ${pslot}.db -w ${pslot}.xml --html=$RZDM" + cd ${exp_dir_fullpath} + $ROCOTOVIEWER $viewer_arg_str + if [[ $? == "0" ]]; then + log_message "INFO" "state of workflow posted at $RZDM" + else + log_message "WARNING" "attempt to write stats to the rzdm server failed" + fi + fi + + done + log_message "INFO" "rocotorun completed successfully" +fi + +regressionID=$regressionID_save +if [[ $COMPARE_BASE == 'TRUE' ]]; then + if [[ $PYTHON_FILE_COMPARE == 'TRUE' ]]; then + run_file_compare_python + else + run_file_compare + fi +fi + +DATE=`date` +if [[ $number_diff == 0 ]]; then + log_message "INFO" "regression tests script completed successfully on $DATE with no file differences" +else + if (( $number_diff > 500 )); then + some="many" + elif (( $number_diff < 100 )); then + some="some" + else + some="several" + fi + log_message "INFO" "regression tests script completed successfully on $DATE with $some file differences" +fi +SCRIPT_ENDTIME=$(date +%s) +PROCESSTIME=$(($SCRIPT_ENDTIME-$SCRIPT_STARTTIME)) +log_message "INFO" "total process time $PROCESSTIME seconds" From 36b6d088ed88575dcd167b18ca8b97036e352a7a Mon Sep 17 00:00:00 2001 From: "Tery.McGuinness" Date: Thu, 8 Feb 2018 23:33:28 +0000 Subject: [PATCH 312/487] need to change relative paths to flat --- tests/regression/fv3gfs_regression_flat.sh | 11 ++++++----- 1 file changed, 6 insertions(+), 5 deletions(-) diff --git a/tests/regression/fv3gfs_regression_flat.sh b/tests/regression/fv3gfs_regression_flat.sh index 8fa1dda..cefca4a 100755 --- a/tests/regression/fv3gfs_regression_flat.sh +++ b/tests/regression/fv3gfs_regression_flat.sh @@ -59,7 +59,7 @@ RZDM_RESULTS=${RZDM_RESULTS:-'FALSE'} PYTHON_FILE_COMPARE=${PYTHON_FILE_COMPARE:-'TRUE'} REGRESSSION_COMROT_BASENAME='fv3gfs_regression_experments' -#CHECKOUT='FALSE' +CHECKOUT='FALSE' #CREATE_EXP='FALSE' #BUILD='FALSE' #RUNROCOTO='FALSE' @@ -129,9 +129,9 @@ checkout_dir_basename="${pslot_basename}_sorc_${regressionID}" pslot="${pslot_basename}_exp_${regressionID}" username=`echo ${USER} | tr '[:upper:]' '[:lower:]'` -setup_expt=${CHECKOUT_DIR}/${checkout_dir_basename}/gfs_workflow.${fv3gfs_ver}/ush/setup_expt.py -setup_workflow=${CHECKOUT_DIR}/${checkout_dir_basename}/gfs_workflow.${fv3gfs_ver}/ush/setup_workflow.py -config_dir=${CHECKOUT_DIR}/${checkout_dir_basename}/gfs_workflow.${fv3gfs_ver}/config +setup_expt=${CHECKOUT_DIR}/${checkout_dir_basename}/ush/rocoto/setup_expt.py +setup_workflow=${CHECKOUT_DIR}/${checkout_dir_basename}/ush/rocoto/setup_workflow.py +config_dir=${CHECKOUT_DIR}/${checkout_dir_basename}/parm/config comrot=${CHECKOUT_DIR}/${REGRESSSION_COMROT_BASENAME} comrot_test_dir=${comrot}/${pslot} @@ -331,7 +331,8 @@ if [[ $CREATE_EXP == 'TRUE' ]]; then fi if [[ $BUILD == 'TRUE' ]]; then - cd ${checkout_dir_basename}/global_shared.${fv3gfs_ver}/sorc + + cd ${checkout_dir_basename}/sorc sed -i 's/cd gsi.fd/cd gsi.fd\n checkout DA-FV3-IMPL/' checkout.sh log_message "WARNING" "just updated checkout.sh script and added line to checkout DA-FV3-IMPL branch for gsi instead of master" From 827cb889615d9c55b67282216b61874e1042c5c3 Mon Sep 17 00:00:00 2001 From: Terry McGuinness Date: Mon, 12 Feb 2018 06:18:07 +0000 Subject: [PATCH 313/487] updated python compare_folders script: fixed grep2 header skip and checks against gzip files within tar balls made arguments easyer to understand --- tests/regression/fv3gfs_regression_flat.sh | 636 ----------------- .../regression/fv3gfs_regression_snapshot.sh | 639 ------------------ 2 files changed, 1275 deletions(-) delete mode 100755 tests/regression/fv3gfs_regression_flat.sh delete mode 100755 tests/regression/fv3gfs_regression_snapshot.sh diff --git a/tests/regression/fv3gfs_regression_flat.sh b/tests/regression/fv3gfs_regression_flat.sh deleted file mode 100755 index cefca4a..0000000 --- a/tests/regression/fv3gfs_regression_flat.sh +++ /dev/null @@ -1,636 +0,0 @@ -#!/bin/bash - -usage () { - echo -e "\033[1mUSAGE:\033[0m\n $0 [[baseline]] [[compare]] [[--non-interactive]]\n" - echo -e "\tno arguments : creates a baseline with sorc and exp dir in \$PWD named fvgfs_sorc_baseline fv3gfs_exp_basline respectivly" - echo -e "\tone argument (string) : creates a baseline with sorc and exp dir in \$PWD named fvgfs_sorc_\${string} fv3gfs_exp_\${string} respectivly\n\n" - echo -e "\tone argument (dir) : creates a test run with sorc and exp dir in \$PWD named fvgfs_sorc_test_run fv3gfs_exp_test_run respectivly \n\t\t\t\t and then compares the results against the comrot found in the directory \${dir}" - echo -e "\ttwo arguments (dir) (str) : creates a test_run with sorc and exp dir in \$PWD named fvgfs_sorc_\${string} fv3gfs_exp_\${srting} respectivly \n\t\t\t\t and then compares the results against the comrot found in the directory \${dir} " - echo -e "\ttwo arguments (dir) (dir) : does a bitwise compare on the gfs files from the first dir to the second\n" - echo -e "\tthird optional argument is used when acctually running the script so no promps are given, otherwize the script will report on the settings.\n\n" - echo -e "\033[1mEXAMPLE:\033[0m\n" - echo -e "\tnohup ./fv3gfs_regression.sh baseline --non-interactive > & fv3gfs_regression_baseline_run.log &\n" - exit -} - -INTERACTIVE='TRUE' -for arg - do - if [[ $arg == "--non-interactive" ]]; then - INTERACTIVE='FALSE' - break - fi -done - -# Traps that only allow the above inputs specified in the usage - -if [[ "$#" -gt "4" ]] || [[ $1 == '--help' ]]; then - usage -fi - -if [[ "$#" -ge "3" ]]; then - if [[ ! -d $1 ]]; then - usage - fi -fi - -if [[ -f $1 ]] || [[ -f $2 ]]; then - usage -fi - -log_message () { - logtime=`date +"%F %T"` - echo -e "$1 : bash : $logtime : LOG : $2" - if [[ $1 == "CRITICAL" ]]; then - exit -1 - fi -} - -CHECKOUT_DIR=$PWD -ROCOTO_WAIT_FRQUANCY='5m' - -CHECKOUT=${CHECKOUT:-'TRUE'} -CREATE_EXP=${CREATE_EXP:-'TRUE'} -BUILD=${BUILD:-'TRUE'} -CREATE_EXP=${CREATE_EXP:-'TRUE'} -RUNROCOTO=${RUNROCOTO:-'TRUE'} -JOB_LEVEL_CHECK=${JOB_LEVEL_CHECK:-'FALSE'} -RZDM_RESULTS=${RZDM_RESULTS:-'FALSE'} -PYTHON_FILE_COMPARE=${PYTHON_FILE_COMPARE:-'TRUE'} -REGRESSSION_COMROT_BASENAME='fv3gfs_regression_experments' - -CHECKOUT='FALSE' -#CREATE_EXP='FALSE' -#BUILD='FALSE' -#RUNROCOTO='FALSE' -#JOB_LEVEL_CHECK='TRUE' -#RZDM_RESULTS='TRUE' -#PYTHON_FILE_COMPARE='FALSE' - -idate='2018012306' -edate='2018012312' - -fv3gfs_git_branch='master' -# Leave fv3gfs_svn_url blank to use git branch in fv3gfs_git_branch -fv3gfs_svn_url='' -load_rocoto='rocoto/1.2.4' - -ICS_dir_cray='/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/ICS' -PTMP_cray='/gpfs/hps3/ptmp' -ICS_dir_theia='/scratch4/NCEPDEV/global/noscrub/glopara/ICS/FV3GFS' -PTMP_theia='/scratch4/NCEPDEV/stmp4' - -# system dependent -#----------------- -if [[ -d /scratch4/NCEPDEV ]]; then - system="theia" -elif [[ -d /gpfs/hps3 ]]; then - system="cray" -else - log_message "CRITICAL" "Unknown machine $system, not supported" -fi - -if [[ $system == "cray" ]]; then - ICS_dir=$ICS_dir_cray - PTMP=$PTMP_cray -else - ICS_dir=$ICS_dir_theia - PTMP=$PTMP_theia -fi - -module load $load_rocoto -rocotoruncmd=`which rocotorun` -if [[ -z ${rocotoruncmd} ]]; then - log_message "CRITICAL" "module load for rocoto ($load_rocoto) on system failed" -fi - -rocotover=`$rocotoruncmd --version` -log_message "INFO" "using rocoto version $rocotover" -rocotostatcmd=`which rocotostat` -if [[ -z ${rocotostatcmd} ]]; then - log_message "CRITICAL" "($rocotostatcmd) not found on system" -fi - -fv3gfs_ver='v15.0.0' -num_expected_exec='29' - -if [[ ! -d $1 ]] && [[ ! -f $1 ]]; then - if [[ -z $1 || $1 == "--non-interactive" ]]; then - regressionID='baseline' - log_message "INFO" "No arguments given assuming to make new baseline with default ID: $regressionID" - else - regressionID=$1 - log_message "INFO" "only the baseline will be created with ID: $regressionID" - fi -fi - -pslot_basename='fv3gfs' -checkout_dir_basename="${pslot_basename}_sorc_${regressionID}" -pslot="${pslot_basename}_exp_${regressionID}" - -username=`echo ${USER} | tr '[:upper:]' '[:lower:]'` -setup_expt=${CHECKOUT_DIR}/${checkout_dir_basename}/ush/rocoto/setup_expt.py -setup_workflow=${CHECKOUT_DIR}/${checkout_dir_basename}/ush/rocoto/setup_workflow.py -config_dir=${CHECKOUT_DIR}/${checkout_dir_basename}/parm/config - -comrot=${CHECKOUT_DIR}/${REGRESSSION_COMROT_BASENAME} -comrot_test_dir=${comrot}/${pslot} -exp_dir_fullpath=${CHECKOUT_DIR}/${pslot} -exp_setup_string="--pslot ${pslot} --icsdir $ICS_dir --configdir ${config_dir} --comrot ${comrot} --idate $idate --edate $edate --expdir ${CHECKOUT_DIR} --resdet 192 --resens 192 --nens 20 --gfs_cyc 4" - -# Kate's orginal flat snapshot -#--pslot crowflatlow --configdir /gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_flat/fv3gfs_flat/parm/config/ --idate 2018012306 --edate 2018012312 --icsdir /gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/ICS --comrot /gpfs/hps2/ptmp/emc.glopara/ROTDIRS_CROW --expdir /gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_flat/ --resdet 192 --resens 192 --nens 20 --gfs_cyc 4 - -# If RZDM is set then the viewer will attempt to post the state of the workflow in html on the rzdm server -RZDM='tmcguinness@emcrzdm.ncep.noaa.gov:/home/www/emc/htdocs/gc_wmb/tmcguinness' -ROCOTOVIEWER='/u/Terry.McGuinness/bin/rocoto_viewer.py' - -find_data_dir () { - - local _check_baseline_dir=$1 - - STARTTIME=$(date +%s) - while IFS= read -r -d '' file - do - gfsfile=`basename $file | cut -f 1 -d"."` - if [[ $gfsfile == "enkf" ]]; then - check_real_base_dir=`dirname $file` - if ls $check_real_base_dir/gdas.* 1> /dev/null 2>&1; then - real_base_dir=$check_real_base_dir - break - fi - fi - if [[ $(($ENDTIME - $STARTTIME)) > 65 ]]; then - log_message "CRITICAL" "looking for valid baseline directory put then gave up after a minute" - exit -1 - fi - ENDTIME=$(date +%s) - done < <(find $_check_baseline_dir -print0 ) - - if [[ -z $real_base_dir ]]; then - exit -1 - fi - _check_baseline_dir=`dirname $file` - echo $_check_baseline_dir -} - -log_message "INFO" "running regression script on host $HOST" - -COMPARE_BASE='FALSE' -JUST_COMPARE_TWO_DIRS='FALSE' -if [[ -d $1 ]] && [[ -d $2 ]]; then - CHECKOUT='FALSE' - BUILD='FALSE' - CREATE_EXP='FALSE' - RUNROCOTO='FALSE' - check_baseline_dir=`readlink -f $1` - check_baseline_dir_get=$( find_data_dir $check_baseline_dir ) - if [[ -z $check_baseline_dir_get ]]; then - log_message "CRITICAL" "$check_baseline_dir_get is not a directory with a baseline to test in it" - fi - if [[ $check_baseline_dir != $check_baseline_dir_get ]]; then - check_baseline_dir=$check_baseline_dir_get - log_message "WARNING" "given directory did not have gfs data, but a subsequent subdirectory was found that did:\n$check_baseline_dir" - fi - check_baseline_dir_with_this_dir=`readlink -f $2` - check_baseline_dir_with_this_dir_get=$( find_data_dir $check_baseline_dir_with_this_dir ) - if [[ -z $check_baseline_dir_with_this_dir_get ]]; then - log_message "CRITICAL" "$check_baseline_dir_with_this_get is not a directory with a baseline to test in it" - fi - if [[ $check_baseline_dir_with_this_dir_get != $check_baseline_dir_with_this_dir ]]; then - check_baseline_dir_with_this_dir=$check_baseline_dir_with_this_get - log_message "WARNING" "given directory did not have gfs data, but a subsequent subdirectory was found that did:\n$check_baseline_dir_with_this_dir" - fi - log_message "INFO" "simply doing a diff on these two directories:\n $check_baseline_dir \n $check_baseline_dir_with_this_dir" - JUST_COMPARE_TWO_DIRS='TRUE' - COMPARE_BASE='TRUE' - if [[ -z $3 ]]; then - regressionID='compare' - else - if [[ $3 != "--non-interactive" ]]; then - regressionID=$3 - else - regressionID='compare' - fi - fi -elif [[ -d $1 && ! -d $2 ]]; then - check_baseline_dir=`readlink -f $1` - if [[ -z $2 ]]; then - regressionID='test_run' - else - if [[ $2 == "--non-interactive" ]]; then - regressionID='test_run' - else - if [[ `echo $2 | cut -c1-2` == "--" ]]; then - log_message "CRITICAL" "an errounous option was given ($2), --non-interactive is the only allowable option" - else - regressionID=$2 - fi - fi - fi - log_message "INFO" "running test run ($regressionID) agaist regression baseline in directory $check_baseline_dir" - COMPARE_BASE='TRUE' - check_baseline_dir_get=$( find_data_dir $check_baseline_dir ) - if [[ -z $check_baseline_dir_get ]]; then - log_message "CRITICAL" "$check_baseline_dir_get is not a directory with a baseline to test in it" - fi - if [[ $check_baseline_dir != $check_baseline_dir_get ]]; then - check_baseline_dir=$check_baseline_dir_get - log_message "WARNING" "given directory did not have gfs data, but a subsequent subdirectory was found that did:\n$check_baseline_dir" - fi - log_message "INFO" "found baseline fv3gfs gfs data found in directory: $check_baseline_dir" -fi - -if [[ -z $ROCOTOVIEWER ]]; then - RZDM_RESULTS="FALSE" -fi - -echo -e "Current Settings are:\n" -echo "regressionID = $regressionID" -echo "git branch = $fv3gfs_git_branch" -echo "idate = $idate" -echo "edate = $edate" -echo "CHECKOUT_DIR = $CHECKOUT_DIR" -echo "CHECKOUT = $CHECKOUT" -echo "CREATE_EXP = $CREATE_EXP" -echo "COMPARE_BASE = $COMPARE_BASE" -echo "RZDM_RESULTS = $RZDM_RESULTS" -echo -e "RUNROCOTO = $RUNROCOTO\n" -echo "PYTHON_FILE_COMPARE = $PYTHON_FILE_COMPARE" -echo -e "JOB_LEVEL_CHECK = $JOB_LEVEL_CHECK\n" - -if [[ $INTERACTIVE == "TRUE" ]]; then - while read -n1 -r -p "Are these the correct settings (y/n): " answer - do - if [[ $answer == "n" ]]; then - echo -e "\n" - exit - fi - if [[ $answer == "y" ]]; then - echo -e "\n" - break - fi - echo "" - done -fi - -SCRIPT_STARTTIME=$(date +%s) - - -if [[ $CHECKOUT == 'TRUE' ]]; then - cd ${CHECKOUT_DIR} - if [[ ! -z ${fv3gfs_svn_url} ]]; then - - if [[ -d ${checkout_dir_basename} ]]; then - rm -Rf ${checkout_dir_basename} - fi - log_message "INFO" "checking out fv3gfs from svn $fv3gfs_svn_url" - svn co $fv3gfs_svn_url ${checkout_dir_basename} - - else - - log_message "INFO" "cloning fvgfs from git with branch $fv3gfs_git_branch" - log_message "INFO" "git clone ssh://${username}@vlab.ncep.noaa.gov:29418/fv3gfs ${checkout_dir_basename}" - git clone ssh://${username}@vlab.ncep.noaa.gov:29418/fv3gfs ${checkout_dir_basename} - - if [[ ! -z "${fv3gfs_git_branch}// }" ]]; then - cd ${checkout_dir_basename} - git checkout remotes/origin/${fv3gfs_git_branch} -b ${fv3gfs_git_branch} - git rev-parse HEAD | xargs git show --stat - cd ${CHECKOUT_DIR} - fi - - fi -fi - - - -if [[ $CREATE_EXP == 'TRUE' ]]; then - - log_message "INFO" "setting up experiment: ${setup_expt} ${exp_setup_string}" - removed='' - if [[ -d $exp_dir_fullpath ]]; then - removed='it was present but now has been removed' - fi - rm -Rf $exp_dir_fullpath - log_message "INFO" "experiment directory is $exp_dir_fullpath $removed" - removed='' - if [[ -d $comrot_test_dir ]]; then - removed='it was present but now has been removed' - fi - rm -Rf $comrot_test_dir - log_message "INFO" "comrot directory is $comrot_test_dir $removed" - - yes | ${setup_expt} ${exp_setup_string} - log_message "INFO" "setting up workflow: ${setup_workflow} --expdir $exp_dir_fullpath" - yes | ${setup_workflow} --expdir $exp_dir_fullpath - sed -i 's/^export VRFYGENESIS=.*/export VRFYGENESIS=\"NO\" \# WARNING changed by regression script/' $exp_dir_fullpath/config.vrfy - log_message "WARNING" "modified config.vrfy with VRFYGENESIS=NO because geneses tracker is currently failing" - sed -i 's/^export VRFYG2OBS=.*/export VRFYG2OBS=\"NO\" \# WARNING changed by regression script/' $exp_dir_fullpath/config.vrfy - log_message "WARNING" "modified config.vrfy with VRFYG2OBS=NO because it do not make sense for it to be on for only one cycle" -fi - -if [[ $BUILD == 'TRUE' ]]; then - - cd ${checkout_dir_basename}/sorc - - sed -i 's/cd gsi.fd/cd gsi.fd\n checkout DA-FV3-IMPL/' checkout.sh - log_message "WARNING" "just updated checkout.sh script and added line to checkout DA-FV3-IMPL branch for gsi instead of master" - - log_message "INFO" "running checkout script: $PWD/checkout.sh $username" - ./checkout.sh $username - log_message "INFO" "running build script: $PWD/build_all.sh $system" - ./build_all.sh ${system} - num_shared_exec=`ls -1 ../exec | wc -l` - if [[ $num_shared_exec != $num_expected_exec ]]; then - log_message "WARNING" "number of executables in shared exec: $num_shared_exec was found and was expecting $num_expected_exec" - filepath='../exe' - fullpath=`echo $(cd $(dirname $filepath ) ; pwd ) /$(basename $filepath )` - log_message "WARNING" "check the executables found in: $fullpath" - else - log_message "INFO" "number of executables in shared exec: $num_shared_exec" - fi -fi - -run_file_compare_python () { - - total_number_files=`find $check_baseline_dir -type f | wc -l` - if [[ $JUST_COMPARE_TWO_DIRS == 'TRUE' ]]; then - comrot_test_dir=$check_baseline_dir_with_this_dir - fi - log_message "INFO" "doing the diff compare in $check_baseline_dir against $comrot_test_dir" - if [[ ! -d $check_baseline_dir ]] || [[ ! -d $comrot_test_dir ]]; then - log_message "CRITICAL" "one of the target directories does not exist" - fi - - log_message "INFO" "running: compare_folders.py $check_baseline_dir $comrot_test_dir -n $regressionID" - compare_folders.py --cmp_dirs $check_baseline_dir $comrot_test_dir -n $regressionID - -} - -run_file_compare () { - - log_message "INFO" "doing job level comparing with job $regressionID" - if [[ $COMPARE_BASE == 'TRUE' ]]; then - PWD_start=$PWD - diff_file_name="${CHECKOUT_DIR}/diff_file_list_${regressionID}.lst" - total_number_files=`find $check_baseline_dir -type f | wc -l` - if [[ $system == "theia" ]]; then - module load nccmp - NCCMP=`which nccmp` - else - NCCMP=/gpfs/hps3/emc/nems/noscrub/emc.nemspara/FV3GFS_V0_RELEASE/util/nccmp - fi - - if [[ $JUST_COMPARE_TWO_DIRS == 'TRUE' ]]; then - comrot_test_dir=$check_baseline_dir_with_this_dir - fi - log_message "INFO" "doing the diff compare in $check_baseline_dir against $comrot_test_dir" - if [[ ! -d $check_baseline_dir ]] || [[ ! -d $comrot_test_dir ]]; then - log_message "CRITICAL" "one of the target directories does not exist" - fi - log_message "INFO" "moving to directory $comrot_test_dir to do the compare" - if [[ -d $comrot_test_dir ]]; then - cd $comrot_test_dir/.. - else - log_message "CRITICAL" "The directory $comrot_test_dir does not exsist" - fi - check_baseline_dir_basename=`basename $check_baseline_dir` - comrot_test_dir_basename=`basename $comrot_test_dir` - - log_message "INFO" "running command: diff --brief -Nr --exclude \"*.log*\" --exclude \"*.nc\" --exclude \"*.nc?\" $check_baseline_dir_basename $comrot_test_dir_basename >& $diff_file_name" - diff --brief -Nr --exclude "*.log*" --exclude "*.nc" --exclude "*.nc?" $check_baseline_dir_basename $comrot_test_dir_basename >> ${diff_file_name} 2>&1 - - num_different_files=`wc -l < $diff_file_name` - log_message "INFO" "checking of the $num_different_files differing files (not including NetCDF) for which ones are tar and/or compressed files for differences" - rm -f ${diff_file_name}_diff - counter_diffed=0 - counter_regularfiles=0 - counter_compressed=0 - while read line; do - set -- $line; - file1=$2; - file2=$4; - - if ( tar --exclude '*' -ztf $file1 ) ; then - #log_message "INFO" "$file1 is an compressed tar file" - counter_compressed=$((counter_compressed+1)) - if [[ $( tar -xzf $file1 -O | md5sum ) != $( tar -xzf $file2 -O | md5sum ) ]] ; then - #log_message "INFO" "found $file1 and $file2 gzipped tar files DO differ" - counter_diffed=$((counter_diffed+1)) - echo "compressed tar $line" >> ${diff_file_name}_diff - fi - elif ( tar --exclude '*' -tf $file1 ) ; then - counter_compressed=$((counter_compressed+1)) - #log_message "INFO" "$file1 is an uncompressed tar file" - if [[ $( tar -xf $file1 -O | md5sum ) != $( tar -xf $file2 -O | md5sum ) ]] ; then - #log_message "INFO" "found $file1 and $file2 tar files DO differ" - counter_diffed=$((counter_diffed+1)) - echo "tar $line" >> ${diff_file_name}_diff - fi - else - #log_message "INFO" "$file1 is not tar or tar.gz and still then differs" - counter_regularfiles=$((counter_regularfiles+1)) - echo $line >> ${diff_file_name}_diff - fi - - done < $diff_file_name - - log_message "INFO" "out of $num_different_files differing files $counter_compressed where tar or compressed and $counter_diffed of those differed" - - if [[ -f ${diff_file_name}_diff ]]; then - mv ${diff_file_name}_diff ${diff_file_name} - fi - - log_message "INFO" "checking if test case has correct number of files" - - baseline_tempfile=${check_baseline_dir_basename}_files.txt - comrot_tempfile=${comrot_test_dir_basename}_files.txt - cd $check_baseline_dir_basename - rm -f ../$baseline_tempfile - find * -type f > ../$baseline_tempfile - cd ../$comrot_test_dir_basename - rm -f ../$comrot_tempfile - find * -type f > ../$comrot_tempfile - cd .. - diff ${baseline_tempfile} ${comrot_tempfile} > /dev/null 2>&1 - if [[ $? != 0 ]]; then - num_missing_files=0 - while read line; do - ls ${comrot_test_dir_basename}/$line > /dev/null 2>&1 - if [[ $? != 0 ]]; then - echo "file $line is in ${check_baseline_dir_basename} but is missing in ${comrot_test_dir_basename}" >> ${diff_file_name} - num_missing_files=$((num_missing_files+1)) - fi - done < $baseline_tempfile - while read line; do - ls ${check_baseline_dir_basename}/$line > /dev/null 2>&1 - if [[ $? != 0 ]]; then - echo "file $line is in ${comrot_test_dir_basename} but is missing in $check_baseline_dir_basename" >> ${diff_file_name} - num_missing_files=$((num_missing_files+1)) - fi - done < $comrot_tempfile - if [[ $num_missing_files != 0 ]]; then - log_message "INFO" "$num_missing_files files where either missing or where unexpected in the test direcotry." - else - log_message "INFO" "all the files are accounted for are all the names match in the test directory" - fi - else - log_message "INFO" "all the files are accounted for are all the names match in the test directory" - fi - rm -f $baseline_tempfile - rm -f $comrot_tempfile - - log_message "INFO" "comparing NetCDF files ..." - find $check_baseline_dir_basename -type f \( -name "*.nc?" -o -name "*.nc" \) > netcdf_filelist.txt - num_cdf_files=`wc -l < netcdf_filelist.txt` - counter_identical=0 - counter_differed_nccmp=0 - counter_header_identical=0 - while IFS=/ read netcdf_file; do - comp_base=`basename $netcdf_file` - dir_name=`dirname $netcdf_file` - just_dir=`echo "$dir_name" | sed 's,^[^/]*/,,'` - file1=$check_baseline_dir_basename/$just_dir/$comp_base ; file2=$comrot_test_dir_basename/$just_dir/$comp_base - diff $file1 $file2 > /dev/null 2>&1 - if [[ $? != 0 ]]; then - nccmp_result=$( { $NCCMP --diff-count=4 --threads=4 --data $file1 $file2; } 2>&1) - if [[ $? != 0 ]]; then - counter_differed_nccmp=$((counter_differed_nccmp+1)) - echo "NetCDF file $file1 differs: $nccmp_result" >> $diff_file_name - else - counter_header_identical=$((counter_header_identical+1)) - fi - else - counter_identical=$((counter_identical+1)) - fi - done < netcdf_filelist.txt - log_message "INFO" "out off $num_cdf_files NetCDF files $counter_identical where completely identical, $counter_header_identical identical data but differed in the header, and $counter_differed_nccmp differed in the data" - number_diff=`wc -l < $diff_file_name` - log_message "INFO" "completed running diff for fv3gfs regression test ($regressionID) and found results in file: $diff_file_name" - log_message "INFO" "out of $total_number_files files, there where $number_diff that differed" - rm netcdf_filelist.txt - - cd $PWD_start - fi -} - - -regressionID_save=$regressionID -if [[ $RUNROCOTO == 'TRUE' ]]; then - if [[ ! -d ${exp_dir_fullpath} ]]; then - log_message "CRITICAL" "experiment directory $exp_dir_fullpath not found" - fi - log_message "INFO" "running regression script on host $HOST" - log_message "INTO" "moving to PWD $exp_dir_fullpath to run cycleing in experiment directory" - cd ${exp_dir_fullpath} - - log_message "INFO" "starting to run fv3gfs cycling regression test run using $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml" - log_message "INFO" "running $rocotoruncmd from $PWD" - - $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml - if [[ $? != 0 ]]; then - log_message "CRITICAL" "rocotorun failed on first attempt" - fi - if [[ -d ${pslot}.db ]]; then - log_message "CRITICAL" "rocotorun failed to create database file" - fi - log_message "INFO" "rocotorun successfully ran initial rocoorun to to create database file: ${pslot}.db" - - log_message "INFO" "running: $rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -s -c all | tail -1 | awk '{print \$1}'" - lastcycle=`$rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -s -c all | tail -1 | awk '{print $1}'` - if [[ $? != 0 ]]; then - log_message "CRITICAL" "rocotostat failed when determining last cycle in test run" - fi - log_message "INFO" "rocotostat determined that the last cycle in test is: $lastcycle" - - cycling_done="FALSE" - last_succeeded_checked="" - last_succeeded="" - while [ $cycling_done == "FALSE" ]; do - lastcycle_state=`$rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c $lastcycle -s | tail -1 | awk '{print $2}'` - if [[ $lastcycle_state == "Done" ]]; then - log_message "INFO" "last cycle $lastcycle just reported to be DONE by rocotostat .. exiting execution of workflow" - break - fi - #log_message "INFO" "running: $rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c all" - deadjobs=`$rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c all | awk '$4 == "DEAD" {print $2}'` - if [[ ! -z $deadjobs ]]; then - deadjobs=`echo $deadjobs | tr '\n' ' '` - log_message "CRITICAL" "the following jobs are DEAD: $deadjobs exiting script with error code (-1)" - exit -1 - fi - deadcycles=`$rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c $lastcycle -s | awk '$2 == "Dead" {print $1}'` - if [[ ! -z $deadcycles ]]; then - log_message "CRITICAL" "the following cycles are dead: $deadcycles exiting script with error code (-2)" - exit -2 - fi - $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml - if [[ $? == "0" ]]; then - last_succeeded=`$rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c all | awk '$4 == "SUCCEEDED" {print $1"_"$2}' | tail -1` - log_message "INFO" "Successfully ran: $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml" - #log_message "INFO" "using job level checking: last succeded task checked: $last_succeeded_checked" - #log_message "INFO" "using job level checking: last succeded task current: $last_succeeded" - if [[ ! -z $last_succeeded ]]; then - if [[ $last_succeeded != $last_succeeded_checked ]]; then - last_succeeded_checked=$last_succeeded - regressionID=$last_succeeded - log_message "INFO" "job $last_succeeded just completed successfully" - if [[ $JOB_LEVEL_CHECK == 'TRUE' ]]; then - if [[ $PYTHON_FILE_COMPARE == 'TRUE' ]]; then - log_message "WARNING" "python file compare set but does not support job level checking (reverting to bash shell version)" - run_file_compare - fi - else - run_file_compare_python - fi - fi - fi - else - log_message "WARNING" "FAILED: $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml" - fi - - # Wait here to before running rocotorun again ... - log_message "INFO" "Waiting here for $ROCOTO_WAIT_FRQUANCY ..." - sleep $ROCOTO_WAIT_FRQUANCY - - if [[ ! -z $RZDM ]]; then - viewer_arg_str="-d ${pslot}.db -w ${pslot}.xml --html=$RZDM" - cd ${exp_dir_fullpath} - $ROCOTOVIEWER $viewer_arg_str - if [[ $? == "0" ]]; then - log_message "INFO" "state of workflow posted at $RZDM" - else - log_message "WARNING" "attempt to write stats to the rzdm server failed" - fi - fi - - done - log_message "INFO" "rocotorun completed successfully" -fi - -regressionID=$regressionID_save -if [[ $COMPARE_BASE == 'TRUE' ]]; then - if [[ $PYTHON_FILE_COMPARE == 'TRUE' ]]; then - run_file_compare_python - else - run_file_compare - fi -fi - -DATE=`date` -if [[ $number_diff == 0 ]]; then - log_message "INFO" "regression tests script completed successfully on $DATE with no file differences" -else - if (( $number_diff > 500 )); then - some="many" - elif (( $number_diff < 100 )); then - some="some" - else - some="several" - fi - log_message "INFO" "regression tests script completed successfully on $DATE with $some file differences" -fi -SCRIPT_ENDTIME=$(date +%s) -PROCESSTIME=$(($SCRIPT_ENDTIME-$SCRIPT_STARTTIME)) -log_message "INFO" "total process time $PROCESSTIME seconds" diff --git a/tests/regression/fv3gfs_regression_snapshot.sh b/tests/regression/fv3gfs_regression_snapshot.sh deleted file mode 100755 index 07c00e4..0000000 --- a/tests/regression/fv3gfs_regression_snapshot.sh +++ /dev/null @@ -1,639 +0,0 @@ -#!/bin/bash - -usage () { - echo -e "\033[1mUSAGE:\033[0m\n $0 [[baseline]] [[compare]] [[--non-interactive]]\n" - echo -e "\tno arguments : creates a baseline with sorc and exp dir in \$PWD named fvgfs_sorc_baseline fv3gfs_exp_basline respectivly" - echo -e "\tone argument (string) : creates a baseline with sorc and exp dir in \$PWD named fvgfs_sorc_\${string} fv3gfs_exp_\${string} respectivly\n\n" - echo -e "\tone argument (dir) : creates a test run with sorc and exp dir in \$PWD named fvgfs_sorc_test_run fv3gfs_exp_test_run respectivly \n\t\t\t\t and then compares the results against the comrot found in the directory \${dir}" - echo -e "\ttwo arguments (dir) (str) : creates a test_run with sorc and exp dir in \$PWD named fvgfs_sorc_\${string} fv3gfs_exp_\${srting} respectivly \n\t\t\t\t and then compares the results against the comrot found in the directory \${dir} " - echo -e "\ttwo arguments (dir) (dir) : does a bitwise compare on the gfs files from the first dir to the second\n" - echo -e "\tthird optional argument is used when acctually running the script so no promps are given, otherwize the script will report on the settings.\n\n" - echo -e "\033[1mEXAMPLE:\033[0m\n" - echo -e "\tnohup ./fv3gfs_regression.sh baseline --non-interactive > & fv3gfs_regression_baseline_run.log &\n" - exit -} - -INTERACTIVE='TRUE' -for arg - do - if [[ $arg == "--non-interactive" ]]; then - INTERACTIVE='FALSE' - break - fi -done - -# Traps that only allow the above inputs specified in the usage - -if [[ "$#" -gt "4" ]] || [[ $1 == '--help' ]]; then - usage -fi - -if [[ "$#" -ge "3" ]]; then - if [[ ! -d $1 ]]; then - usage - fi -fi - -if [[ -f $1 ]] || [[ -f $2 ]]; then - usage -fi - -log_message () { - logtime=`date +"%F %T"` - echo -e "$1 : bash : $logtime : LOG : $2" - if [[ $1 == "CRITICAL" ]]; then - exit -1 - fi -} - -CHECKOUT_DIR=$PWD -ROCOTO_WAIT_FRQUANCY='5m' - -CHECKOUT=${CHECKOUT:-'TRUE'} -CREATE_EXP=${CREATE_EXP:-'TRUE'} -BUILD=${BUILD:-'TRUE'} -CREATE_EXP=${CREATE_EXP:-'TRUE'} -RUNROCOTO=${RUNROCOTO:-'TRUE'} -JOB_LEVEL_CHECK=${JOB_LEVEL_CHECK:-'FALSE'} -RZDM_RESULTS=${RZDM_RESULTS:-'FALSE'} -PYTHON_FILE_COMPARE=${PYTHON_FILE_COMPARE:-'TRUE'} - -CHECKOUT='FALSE' -CREATE_EXP='FALSE' -BUILD='FALSE' -#RUNROCOTO='FALSE' -#JOB_LEVEL_CHECK='TRUE' -#RZDM_RESULTS='TRUE' -#PYTHON_FILE_COMPARE='FALSE' - -idate='2017073118' -edate='2017080100' - -fv3gfs_git_branch='master' -# Leave fv3gfs_svn_url blank to use git branch in fv3gfs_git_branch -fv3gfs_svn_url='' -load_rocoto='rocoto/1.2.4' - -ICS_dir_cray='/gpfs/hps3/emc/global/noscrub/emc.glopara/ICS' -PTMP_cray='/gpfs/hps3/ptmp' -ICS_dir_theia='/scratch4/NCEPDEV/global/noscrub/glopara/ICS/FV3GFS' -PTMP_theia='/scratch4/NCEPDEV/stmp4' - -# If RZDM is set then the viewer will attempt to post the state of the workflow in html on the rzdm server -RZDM='tmcguinness@emcrzdm.ncep.noaa.gov:/home/www/emc/htdocs/gc_wmb/tmcguinness' -ROCOTOVIEWER='/u/Terry.McGuinness/bin/rocoto_viewer.py' - -find_data_dir () { - - local _check_baseline_dir=$1 - - STARTTIME=$(date +%s) - while IFS= read -r -d '' file - do - gfsfile=`basename $file | cut -f 1 -d"."` - if [[ $gfsfile == "enkf" ]]; then - check_real_base_dir=`dirname $file` - if ls $check_real_base_dir/gdas.* 1> /dev/null 2>&1; then - real_base_dir=$check_real_base_dir - break - fi - fi - if [[ $(($ENDTIME - $STARTTIME)) > 65 ]]; then - log_message "CRITICAL" "looking for valid baseline directory put then gave up after a minute" - exit -1 - fi - ENDTIME=$(date +%s) - done < <(find $_check_baseline_dir -print0 ) - - if [[ -z $real_base_dir ]]; then - exit -1 - fi - _check_baseline_dir=`dirname $file` - echo $_check_baseline_dir -} - -COMPARE_BASE='FALSE' -if [[ ! -d $1 ]] && [[ ! -f $1 ]]; then - if [[ -z $1 || $1 == "--non-interactive" ]]; then - regressionID='baseline' - log_message "INFO" "No arguments given assuming to make new baseline with default ID: $regressionID" - else - regressionID=$1 - log_message "INFO" "only the baseline will be created with ID: $regressionID" - fi -fi - -log_message "INFO" "running regression script on host $HOST" - -COMPARE_BASE='FALSE' -JUST_COMPARE_TWO_DIRS='FALSE' -if [[ -d $1 ]] && [[ -d $2 ]]; then - CHECKOUT='FALSE' - BUILD='FALSE' - CREATE_EXP='FALSE' - RUNROCOTO='FALSE' - check_baseline_dir=`readlink -f $1` - check_baseline_dir_get=$( find_data_dir $check_baseline_dir ) - if [[ -z $check_baseline_dir_get ]]; then - log_message "CRITICAL" "$check_baseline_dir_get is not a directory with a baseline to test in it" - fi - if [[ $check_baseline_dir != $check_baseline_dir_get ]]; then - check_baseline_dir=$check_baseline_dir_get - log_message "WARNING" "given directory did not have gfs data, but a subsequent subdirectory was found that did:\n$check_baseline_dir" - fi - check_baseline_dir_with_this_dir=`readlink -f $2` - check_baseline_dir_with_this_dir_get=$( find_data_dir $check_baseline_dir_with_this_dir ) - if [[ -z $check_baseline_dir_with_this_dir_get ]]; then - log_message "CRITICAL" "$check_baseline_dir_with_this_get is not a directory with a baseline to test in it" - fi - if [[ $check_baseline_dir_with_this_dir_get != $check_baseline_dir_with_this_dir ]]; then - check_baseline_dir_with_this_dir=$check_baseline_dir_with_this_get - log_message "WARNING" "given directory did not have gfs data, but a subsequent subdirectory was found that did:\n$check_baseline_dir_with_this_dir" - fi - log_message "INFO" "simply doing a diff on these two directories:\n $check_baseline_dir \n $check_baseline_dir_with_this_dir" - JUST_COMPARE_TWO_DIRS='TRUE' - COMPARE_BASE='TRUE' - if [[ -z $3 ]]; then - regressionID='compare' - else - if [[ $3 != "--non-interactive" ]]; then - regressionID=$3 - else - regressionID='compare' - fi - fi -elif [[ -d $1 && ! -d $2 ]]; then - check_baseline_dir=`readlink -f $1` - if [[ -z $2 ]]; then - regressionID='test_run' - else - if [[ $2 == "--non-interactive" ]]; then - regressionID='test_run' - else - if [[ `echo $2 | cut -c1-2` == "--" ]]; then - log_message "CRITICAL" "an errounous option was given ($2), --non-interactive is the only allowable option" - else - regressionID=$2 - fi - fi - fi - log_message "INFO" "running test run ($regressionID) agaist regression baseline in directory $check_baseline_dir" - COMPARE_BASE='TRUE' - check_baseline_dir_get=$( find_data_dir $check_baseline_dir ) - if [[ -z $check_baseline_dir_get ]]; then - log_message "CRITICAL" "$check_baseline_dir_get is not a directory with a baseline to test in it" - fi - if [[ $check_baseline_dir != $check_baseline_dir_get ]]; then - check_baseline_dir=$check_baseline_dir_get - log_message "WARNING" "given directory did not have gfs data, but a subsequent subdirectory was found that did:\n$check_baseline_dir" - fi - log_message "INFO" "found baseline fv3gfs gfs data found in directory: $check_baseline_dir" -fi - -if [[ -d /scratch4/NCEPDEV ]]; then - system="theia" -elif [[ -d /gpfs/hps3 ]]; then - system="cray" -else - log_message "CRITICAL" "Unknown machine $system, not supported" -fi - -if [[ -z $ROCOTOVIEWER ]]; then - RZDM_RESULTS="FALSE" -fi - -echo -e "Current Settings are:\n" -echo "regressionID = $regressionID" -echo "git branch = $fv3gfs_git_branch" -echo "idate = $idate" -echo "edate = $edate" -echo "CHECKOUT_DIR = $CHECKOUT_DIR" -echo "CHECKOUT = $CHECKOUT" -echo "CREATE_EXP = $CREATE_EXP" -echo "COMPARE_BASE = $COMPARE_BASE" -echo "RZDM_RESULTS = $RZDM_RESULTS" -echo -e "RUNROCOTO = $RUNROCOTO\n" -echo "PYTHON_FILE_COMPARE = $PYTHON_FILE_COMPARE" -echo -e "JOB_LEVEL_CHECK = $JOB_LEVEL_CHECK\n" - -if [[ $INTERACTIVE == "TRUE" ]]; then - while read -n1 -r -p "Are these the correct settings (y/n): " answer - do - if [[ $answer == "n" ]]; then - echo -e "\n" - exit - fi - if [[ $answer == "y" ]]; then - echo -e "\n" - break - fi - echo "" - done -fi - -SCRIPT_STARTTIME=$(date +%s) - -module load $load_rocoto -rocotoruncmd=`which rocotorun` -if [[ -z ${rocotoruncmd} ]]; then - log_message "CRITICAL" "module load for rocoto ($load_rocoto) on system failed" -fi - -# system dependent -#----------------- - -if [[ $system != "cray" ]] && [[ $system != 'theia' ]]; then - log_message "CRITICAL" "system setting: $system is not set correctly (only options are cray or theia)" -fi - -if [[ $system == "cray" ]]; then - ICS_dir=$ICS_dir_cray - PTMP=$PTMP_cray -else - ICS_dir=$ICS_dir_theia - PTMP=$PTMP_theia -fi - -rocotover=`$rocotoruncmd --version` -log_message "INFO" "using rocoto version $rocotover" -rocotostatcmd=`which rocotostat` - -fv3gfs_ver='v15.0.0' -num_expected_exec='29' - -pslot_basename='fv3gfs' -checkout_dir_basename="${pslot_basename}_sorc_${regressionID}" -pslot="${pslot_basename}_exp_${regressionID}" - -ROCOTO_XML="${pslot}_joblevel.xml" -ROCOTO_DB="${pslot}_joblevel.db" -COMP_ROTDIRS_PYTHON="/gpfs/hps3/emc/global/noscrub/Terry.McGuinness/REGRESSION_TESTS/compare_folders.py" - -username=`echo ${USER} | tr '[:upper:]' '[:lower:]'` -#setup_expt=${CHECKOUT_DIR}/${checkout_dir_basename}/gfs_workflow.${fv3gfs_ver}/ush/setup_expt.py -setup_expt=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_20180113/master_20180113/gfs_workflow.v15.0.0/ush/setup_expt.py -#setup_workflow=${CHECKOUT_DIR}/${checkout_dir_basename}/gfs_workflow.${fv3gfs_ver}/ush/setup_workflow.py -setup_workflow=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_20180113/master_20180113/gfs_workflow.v15.0.0/ush/setup_workflow.py -#config_dir=${CHECKOUT_DIR}/${checkout_dir_basename}/gfs_workflow.${fv3gfs_ver}/config -config_dir=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_20180113/master_20180113/gfs_workflow.v15.0.0/config - - -if [[ $CHECKOUT == 'TRUE' ]]; then - cd ${CHECKOUT_DIR} - if [[ ! -z ${fv3gfs_svn_url} ]]; then - - if [[ -d ${checkout_dir_basename} ]]; then - rm -Rf ${checkout_dir_basename} - fi - log_message "INFO" "checking out fv3gfs from svn $fv3gfs_svn_url" - svn co $fv3gfs_svn_url ${checkout_dir_basename} - - else - - log_message "INFO" "cloning fvgfs from git with branch $fv3gfs_git_branch" - log_message "INFO" "git clone ssh://${username}@vlab.ncep.noaa.gov:29418/fv3gfs ${checkout_dir_basename}" - git clone ssh://${username}@vlab.ncep.noaa.gov:29418/fv3gfs ${checkout_dir_basename} - - if [[ ! -z "${fv3gfs_git_branch}// }" ]]; then - cd ${checkout_dir_basename} - git checkout remotes/origin/${fv3gfs_git_branch} -b ${fv3gfs_git_branch} - git rev-parse HEAD | xargs git show --stat - cd ${CHECKOUT_DIR} - fi - - fi -fi - -comrot=${CHECKOUT_DIR}/fv3gfs_regression_experments -comrot_test_dir=${comrot}/${pslot} -exp_dir_fullpath=${CHECKOUT_DIR}/${pslot} -#exp_setup_string="--pslot ${pslot} --icsdir $ICS_dir --configdir ${config_dir} --comrot ${comrot} --idate $idate --edate $edate --expdir ${CHECKOUT_DIR}" -exp_setup_string="--pslot ${pslot} --icsdir $ICS_dir --configdir ${config_dir} --comrot ${comrot} --idate $idate --edate $edate --expdir ${CHECKOUT_DIR} --resdet 96 --resens 96 --nens 20 --gfs_cyc 4" - -if [[ $CREATE_EXP == 'TRUE' ]]; then - - log_message "INFO" "setting up experiment: ${setup_expt} ${exp_setup_string}" - removed='' - if [[ -d $exp_dir_fullpath ]]; then - removed='it was present but now has been removed' - fi - rm -Rf $exp_dir_fullpath - log_message "INFO" "experiment directory is $exp_dir_fullpath $removed" - removed='' - if [[ -d $comrot_test_dir ]]; then - removed='it was present but now has been removed' - fi - rm -Rf $comrot_test_dir - log_message "INFO" "comrot directory is $comrot_test_dir $removed" - - yes | ${setup_expt} ${exp_setup_string} - log_message "INFO" "setting up workflow: ${setup_workflow} --expdir $exp_dir_fullpath" - yes | ${setup_workflow} --expdir $exp_dir_fullpath - sed -i 's/^export VRFYGENESIS=.*/export VRFYGENESIS=\"NO\" \# WARNING changed by regression script/' $exp_dir_fullpath/config.vrfy - log_message "WARNING" "modified config.vrfy with VRFYGENESIS=NO because geneses tracker is currently failing" - sed -i 's/^export VRFYG2OBS=.*/export VRFYG2OBS=\"NO\" \# WARNING changed by regression script/' $exp_dir_fullpath/config.vrfy - log_message "WARNING" "modified config.vrfy with VRFYG2OBS=NO because it do not make sense for it to be on for only one cycle" -fi - -if [[ $BUILD == 'TRUE' ]]; then - cd ${checkout_dir_basename}/global_shared.${fv3gfs_ver}/sorc - - log_message "INFO" "running checkout script: $PWD/checkout.sh $username" - ./checkout.sh $username - log_message "INFO" "running build script: $PWD/build_all.sh $system" - ./build_all.sh ${system} - num_shared_exec=`ls -1 ../exec | wc -l` - if [[ $num_shared_exec != $num_expected_exec ]]; then - log_message "WARNING" "number of executables in shared exec: $num_shared_exec was found and was expecting $num_expected_exec" - filepath='../exe' - fullpath=`echo $(cd $(dirname $filepath ) ; pwd ) /$(basename $filepath )` - log_message "WARNING" "check the executables found in: $fullpath" - else - log_message "INFO" "number of executables in shared exec: $num_shared_exec" - fi -fi - -run_file_compare_python () { - - total_number_files=`find $check_baseline_dir -type f | wc -l` - if [[ $JUST_COMPARE_TWO_DIRS == 'TRUE' ]]; then - comrot_test_dir=$check_baseline_dir_with_this_dir - fi - log_message "INFO" "doing the diff compare in $check_baseline_dir against $comrot_test_dir" - if [[ ! -d $check_baseline_dir ]] || [[ ! -d $comrot_test_dir ]]; then - log_message "CRITICAL" "one of the target directories does not exist" - fi - - log_message "INFO" "running: compare_folders.py $check_baseline_dir $comrot_test_dir -n $regressionID" - $COMP_ROTDIRS_PYTHON --cmp_dirs $check_baseline_dir $comrot_test_dir -n $regressionID - -} - -run_file_compare () { - - log_message "INFO" "doing job level comparing with job $regressionID" - if [[ $COMPARE_BASE == 'TRUE' ]]; then - PWD_start=$PWD - diff_file_name="${CHECKOUT_DIR}/diff_file_list_${regressionID}.lst" - total_number_files=`find $check_baseline_dir -type f | wc -l` - if [[ $system == "theia" ]]; then - module load nccmp - NCCMP=`which nccmp` - else - NCCMP=/gpfs/hps3/emc/nems/noscrub/emc.nemspara/FV3GFS_V0_RELEASE/util/nccmp - fi - - if [[ $JUST_COMPARE_TWO_DIRS == 'TRUE' ]]; then - comrot_test_dir=$check_baseline_dir_with_this_dir - fi - log_message "INFO" "doing the diff compare in $check_baseline_dir against $comrot_test_dir" - if [[ ! -d $check_baseline_dir ]] || [[ ! -d $comrot_test_dir ]]; then - log_message "CRITICAL" "one of the target directories does not exist" - fi - log_message "INFO" "moving to directory $comrot_test_dir to do the compare" - if [[ -d $comrot_test_dir ]]; then - cd $comrot_test_dir/.. - else - log_message "CRITICAL" "The directory $comrot_test_dir does not exsist" - fi - check_baseline_dir_basename=`basename $check_baseline_dir` - comrot_test_dir_basename=`basename $comrot_test_dir` - - log_message "INFO" "running command: diff --brief -Nr --exclude \"*.log*\" --exclude \"*.nc\" --exclude \"*.nc?\" $check_baseline_dir_basename $comrot_test_dir_basename >& $diff_file_name" - diff --brief -Nr --exclude "*.log*" --exclude "*.nc" --exclude "*.nc?" $check_baseline_dir_basename $comrot_test_dir_basename >> ${diff_file_name} 2>&1 - - num_different_files=`wc -l < $diff_file_name` - log_message "INFO" "checking of the $num_different_files differing files (not including NetCDF) for which ones are tar and/or compressed files for differences" - rm -f ${diff_file_name}_diff - counter_diffed=0 - counter_regularfiles=0 - counter_compressed=0 - while read line; do - set -- $line; - file1=$2; - file2=$4; - - if ( tar --exclude '*' -ztf $file1 ) ; then - #log_message "INFO" "$file1 is an compressed tar file" - counter_compressed=$((counter_compressed+1)) - if [[ $( tar -xzf $file1 -O | md5sum ) != $( tar -xzf $file2 -O | md5sum ) ]] ; then - #log_message "INFO" "found $file1 and $file2 gzipped tar files DO differ" - counter_diffed=$((counter_diffed+1)) - echo "compressed tar $line" >> ${diff_file_name}_diff - fi - elif ( tar --exclude '*' -tf $file1 ) ; then - counter_compressed=$((counter_compressed+1)) - #log_message "INFO" "$file1 is an uncompressed tar file" - if [[ $( tar -xf $file1 -O | md5sum ) != $( tar -xf $file2 -O | md5sum ) ]] ; then - #log_message "INFO" "found $file1 and $file2 tar files DO differ" - counter_diffed=$((counter_diffed+1)) - echo "tar $line" >> ${diff_file_name}_diff - fi - else - #log_message "INFO" "$file1 is not tar or tar.gz and still then differs" - counter_regularfiles=$((counter_regularfiles+1)) - echo $line >> ${diff_file_name}_diff - fi - - done < $diff_file_name - - log_message "INFO" "out of $num_different_files differing files $counter_compressed where tar or compressed and $counter_diffed of those differed" - - if [[ -f ${diff_file_name}_diff ]]; then - mv ${diff_file_name}_diff ${diff_file_name} - fi - - log_message "INFO" "checking if test case has correct number of files" - - baseline_tempfile=${check_baseline_dir_basename}_files.txt - comrot_tempfile=${comrot_test_dir_basename}_files.txt - cd $check_baseline_dir_basename - rm -f ../$baseline_tempfile - find * -type f > ../$baseline_tempfile - cd ../$comrot_test_dir_basename - rm -f ../$comrot_tempfile - find * -type f > ../$comrot_tempfile - cd .. - diff ${baseline_tempfile} ${comrot_tempfile} > /dev/null 2>&1 - if [[ $? != 0 ]]; then - num_missing_files=0 - while read line; do - ls ${comrot_test_dir_basename}/$line > /dev/null 2>&1 - if [[ $? != 0 ]]; then - echo "file $line is in ${check_baseline_dir_basename} but is missing in ${comrot_test_dir_basename}" >> ${diff_file_name} - num_missing_files=$((num_missing_files+1)) - fi - done < $baseline_tempfile - while read line; do - ls ${check_baseline_dir_basename}/$line > /dev/null 2>&1 - if [[ $? != 0 ]]; then - echo "file $line is in ${comrot_test_dir_basename} but is missing in $check_baseline_dir_basename" >> ${diff_file_name} - num_missing_files=$((num_missing_files+1)) - fi - done < $comrot_tempfile - if [[ $num_missing_files != 0 ]]; then - log_message "INFO" "$num_missing_files files where either missing or where unexpected in the test direcotry." - else - log_message "INFO" "all the files are accounted for are all the names match in the test directory" - fi - else - log_message "INFO" "all the files are accounted for are all the names match in the test directory" - fi - rm -f $baseline_tempfile - rm -f $comrot_tempfile - - log_message "INFO" "comparing NetCDF files ..." - find $check_baseline_dir_basename -type f \( -name "*.nc?" -o -name "*.nc" \) > netcdf_filelist.txt - num_cdf_files=`wc -l < netcdf_filelist.txt` - counter_identical=0 - counter_differed_nccmp=0 - counter_header_identical=0 - while IFS=/ read netcdf_file; do - comp_base=`basename $netcdf_file` - dir_name=`dirname $netcdf_file` - just_dir=`echo "$dir_name" | sed 's,^[^/]*/,,'` - file1=$check_baseline_dir_basename/$just_dir/$comp_base ; file2=$comrot_test_dir_basename/$just_dir/$comp_base - diff $file1 $file2 > /dev/null 2>&1 - if [[ $? != 0 ]]; then - nccmp_result=$( { $NCCMP --diff-count=4 --threads=4 --data $file1 $file2; } 2>&1) - if [[ $? != 0 ]]; then - counter_differed_nccmp=$((counter_differed_nccmp+1)) - echo "NetCDF file $file1 differs: $nccmp_result" >> $diff_file_name - else - counter_header_identical=$((counter_header_identical+1)) - fi - else - counter_identical=$((counter_identical+1)) - fi - done < netcdf_filelist.txt - log_message "INFO" "out off $num_cdf_files NetCDF files $counter_identical where completely identical, $counter_header_identical identical data but differed in the header, and $counter_differed_nccmp differed in the data" - number_diff=`wc -l < $diff_file_name` - log_message "INFO" "completed running diff for fv3gfs regression test ($regressionID) and found results in file: $diff_file_name" - log_message "INFO" "out of $total_number_files files, there where $number_diff that differed" - rm netcdf_filelist.txt - - cd $PWD_start - fi -} - - -regressionID_save=$regressionID -if [[ $RUNROCOTO == 'TRUE' ]]; then - if [[ ! -d ${exp_dir_fullpath} ]]; then - log_message "CRITICAL" "experiment directory $exp_dir_fullpath not found" - fi - log_message "INFO" "running regression script on host $HOST" - log_message "INTO" "moving to PWD $exp_dir_fullpath to run cycleing in experiment directory" - cd ${exp_dir_fullpath} - - log_message "INFO" "starting to run fv3gfs cycling regression test run using $rocotoruncmd -d $ROCOTO_DB -w $ROCOTO_XML" - log_message "INFO" "running $rocotoruncmd from $PWD" - - $rocotoruncmd -d $ROCOTO_DB -w $ROCOTO_XML - if [[ $? != 0 ]]; then - log_message "CRITICAL" "rocotorun failed on first attempt" - fi - if [[ -d $ROCOTO_DB ]]; then - log_message "CRITICAL" "rocotorun failed to create database file" - fi - log_message "INFO" "rocotorun successfully ran initial rocoorun to to create database file: $ROCOTO_DB" - - log_message "INFO" "running: $rocotostatcmd -d $ROCOTO_DB -w $ROCOTO_XML -s -c all | tail -1 | awk '{print \$1}'" - lastcycle=`$rocotostatcmd -d $ROCOTO_DB -w $ROCOTO_XML -s -c all | tail -1 | awk '{print $1}'` - if [[ $? != 0 ]]; then - log_message "CRITICAL" "rocotostat failed when determining last cycle in test run" - fi - log_message "INFO" "rocotostat determined that the last cycle in test is: $lastcycle" - - cycling_done="FALSE" - last_succeeded_checked="" - last_succeeded="" - while [ $cycling_done == "FALSE" ]; do - lastcycle_state=`$rocotostatcmd -d $ROCOTO_DB -w $ROCOTO_XML -c $lastcycle -s | tail -1 | awk '{print $2}'` - if [[ $lastcycle_state == "Done" ]]; then - log_message "INFO" "last cycle $lastcycle just reported to be DONE by rocotostat .. exiting execution of workflow" - break - fi - #log_message "INFO" "running: $rocotostatcmd -d $ROCOTO_DB -w $ROCOTO_XML -c all" - deadjobs=`$rocotostatcmd -d $ROCOTO_DB -w $ROCOTO_XML -c all | awk '$4 == "DEAD" {print $2}'` - if [[ ! -z $deadjobs ]]; then - deadjobs=`echo $deadjobs | tr '\n' ' '` - log_message "CRITICAL" "the following jobs are DEAD: $deadjobs exiting script with error code (-1)" - exit -1 - fi - deadcycles=`$rocotostatcmd -d $ROCOTO_DB -w $ROCOTO_XML -c $lastcycle -s | awk '$2 == "Dead" {print $1}'` - if [[ ! -z $deadcycles ]]; then - log_message "CRITICAL" "the following cycles are dead: $deadcycles exiting script with error code (-2)" - exit -2 - fi - $rocotoruncmd -d $ROCOTO_DB -w $ROCOTO_XML - if [[ $? == "0" ]]; then - last_succeeded=`$rocotostatcmd -d $ROCOTO_DB -w $ROCOTO_XML -c all | awk '$4 == "SUCCEEDED" {print $1"_"$2}' | tail -1` - log_message "INFO" "Successfully ran: $rocotoruncmd -d $ROCOTO_DB -w $ROCOTO_XML" - #log_message "INFO" "using job level checking: last succeded task checked: $last_succeeded_checked" - #log_message "INFO" "using job level checking: last succeded task current: $last_succeeded" - if [[ ! -z $last_succeeded ]]; then - if [[ $last_succeeded != $last_succeeded_checked ]]; then - last_succeeded_checked=$last_succeeded - regressionID=$last_succeeded - log_message "INFO" "job $last_succeeded just completed successfully" - if [[ $JOB_LEVEL_CHECK == 'TRUE' ]]; then - if [[ $PYTHON_FILE_COMPARE == 'TRUE' ]]; then - log_message "WARNING" "python file compare set but does not support job level checking (reverting to bash shell version)" - run_file_compare - fi - else - run_file_compare_python - fi - fi - fi - else - log_message "WARNING" "FAILED: $rocotoruncmd -d $ROCOTO_DB -w $ROCOTO_XML" - fi - - # Wait here to before running rocotorun again ... - log_message "INFO" "Waiting here for $ROCOTO_WAIT_FRQUANCY ..." - sleep $ROCOTO_WAIT_FRQUANCY - - if [[ $RZDM_RESULTS == 'TRUE' ]]; then - if [[ ! -z $RZDM ]]; then - viewer_arg_str="-d $ROCOTO_DB -w $ROCOTO_XML --html=$RZDM" - cd ${exp_dir_fullpath} - $ROCOTOVIEWER $viewer_arg_str - if [[ $? == "0" ]]; then - log_message "INFO" "state of workflow posted at $RZDM" - else - log_message "WARNING" "attempt to write stats to the rzdm server failed" - fi - fi - fi - - done - log_message "INFO" "rocotorun completed successfully" -fi - -regressionID=$regressionID_save -if [[ $COMPARE_BASE == 'TRUE' ]]; then - if [[ $PYTHON_FILE_COMPARE == 'TRUE' ]]; then - run_file_compare_python - else - run_file_compare - fi -fi - -DATE=`date` -if [[ $number_diff == 0 ]]; then - log_message "INFO" "regression tests script completed successfully on $DATE with no file differences" -else - if (( $number_diff > 500 )); then - some="many" - elif (( $number_diff < 100 )); then - some="some" - else - some="several" - fi - log_message "INFO" "regression tests script completed successfully on $DATE with $some file differences" -fi -SCRIPT_ENDTIME=$(date +%s) -PROCESSTIME=$(($SCRIPT_ENDTIME-$SCRIPT_STARTTIME)) -log_message "INFO" "total process time $PROCESSTIME seconds" From cb890e52fc81af7303939067540e40a2f9fc8435 Mon Sep 17 00:00:00 2001 From: Terry McGuinness Date: Mon, 12 Feb 2018 06:22:05 +0000 Subject: [PATCH 314/487] updated python compare_folders script: fixed grep2 header skip and checks against gzip files within tar balls made arguments easyer to understand --- tests/regression/compare_folders.py | 335 ++++++++++++++++++++------ tests/regression/fv3gfs_regression.sh | 227 +++++++++++------ 2 files changed, 419 insertions(+), 143 deletions(-) diff --git a/tests/regression/compare_folders.py b/tests/regression/compare_folders.py index 0d16f44..40e3797 100755 --- a/tests/regression/compare_folders.py +++ b/tests/regression/compare_folders.py @@ -1,26 +1,45 @@ -#!/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/python/3.6.1-emc/bin/python3 +#!/usr/bin/env python3 import filecmp import collections import os,sys from pathlib import Path +from pathlib import PurePath + def get_args(): import argparse import json + global using_file_list + global file_dic_list + parser = argparse.ArgumentParser() #group = parser.add_mutually_exclusive_group(required=True) - parser.add_argument('--cmp_dirs',nargs=2,metavar=('ROTDIR_baseline','ROTDIR_testrun'),help='compare COMROT foloders') - parser.add_argument('--cmp_dirs_joblevel', nargs=1, metavar=('file_list.yml'), help='use stored job level file list when comparing ROTDIRs') - parser.add_argument('--cmp_jobs',nargs=3,metavar=('job_name','ROTDIR','file_list.yml'),help='compare files at the job level (uses file_list.yml to track)') - parser.add_argument('-n','--nameID',dest="nameID",help='tag name for compare (used in output filename)') + parser.add_argument('--cmp_dirs',nargs=2,metavar=('COMROT_baseline','COMROT_testrun'),help='compare COMROT foloders') + parser.add_argument('-ujf','--cmp_dirs_with_joblevel_file', nargs=1, metavar=('file_list.yaml'), help='(u)se stored (j)ob level (f)ile list when comparing COMROTs') + parser.add_argument('-cjf','--creat_jobslevel_file',nargs=3,metavar=('job_name','COMROT','file_list.yaml'),help='(c)reate (j)ob level (f)ile from output') + parser.add_argument('-f','--diff_list_file',dest="diff_list_file",help='name of file containing a list of differing files') parser.add_argument('-vt','--verbose_tar', help='include names of differing files witin tar files', action='store_true',default=False) + args = parser.parse_args() if args.cmp_dirs is not None: for dirs in args.cmp_dirs: if not Path(dirs).is_dir(): logger.critical('directory %s does not exsist'%dirs) sys.exit(-1) + + using_file_list = False + if args.cmp_dirs_with_joblevel_file is not None: + if Path(args.cmp_dirs_with_joblevel_file[0]).is_file(): + yaml_file_open = open(args.cmp_dirs_with_joblevel_file[0], 'r') + try: + file_dic_list = yaml.load( yaml_file_open ) + using_file_list = True + except yaml.YAMLError as exc: + logger.critical(logger_hdr+'argument %s is not a valid YAML file') + else: + logger.critical(logger_hdr+'argument %s is not a valid YAML file') + return args @@ -54,21 +73,44 @@ def tarcmp(tar_file_one, tar_file_two): import hashlib import tarfile + import zipfile + + tar1_path = os.path.dirname( tar_file_one ) + tar2_path = os.path.dirname( tar_file_two ) tar1 = tarfile.open( tar_file_one, mode="r" ) tar2 = tarfile.open( tar_file_two, mode="r" ) + chunk_size = 100*1024 + diff_members = [] for member1,member2 in list(zip(tar1, tar2)): + if not member1.isfile(): continue + if member1.name[-4:] == '.zip': + diff_zip_members = zipcmp( member1, member2 ) + if not verbose and len(diff_zip_members) != 0: + return False + diff_members += diff_zip_members + continue + + if member1.name[-3:] == '.gz': + #print( 'located gzip file %s'%member1.name) + if not gzcmp(tar1, tar2, member1, member2 ): + if not verbose: + return False + diff_members.append( member1.name ) + continue + store_digests = {} f1 = tar1.extractfile(member1) h1 = hashlib.new('md5') data1 = f1.read(chunk_size) - f2 = tar2.extractfile(member1) + + f2 = tar2.extractfile(member2) h2 = hashlib.new('md5') data2 = f2.read(chunk_size) @@ -80,10 +122,131 @@ def tarcmp(tar_file_one, tar_file_two): data2 = f2.read(chunk_size) if h1.hexdigest() != h2.hexdigest(): - return False - + if verbose: + diff_members.append(member1.name) + else: + return False + if verbose: + return diff_members + else: + return True + +def cmp_master_grb2(grib2_file1, grib2_file2): + l1 = l2 = ' ' + with open(grib2_file1, 'r',encoding="ISO-8859-1") as f1, open(grib2_file2, 'r',encoding="ISO-8859-1") as f2: + f1.readline(); f1.readline() + f2.readline(); f2.readline() + while l1 != '' and l2 != '': + l1 = f1.readline() + l2 = f2.readline() + if l1 != l2: + return False return True + +def gzcmp(tar1, tar2, member1, member2): + + import hashlib + import gzip + import tarfile + import tempfile + + tmpdirname_gzip1 = tempfile.mkdtemp() + tmpdirname_gzip2 = tempfile.mkdtemp() + tar1.extract( member1, path=tmpdirname_gzip1) + tar2.extract( member2, path=tmpdirname_gzip2) + + extracted_file1_name = os.path.join( tmpdirname_gzip1, member1.name ) + extracted_file2_name = os.path.join( tmpdirname_gzip2, member2.name ) + + #print( 'extracted file1 %s'%extracted_file1_name) + #print( 'extracted file2 %s'%extracted_file2_name) + + chunk_size = 100*1024 + gzip_file1 = gzip.open( extracted_file1_name ) + gzip_file2 = gzip.open( extracted_file2_name ) + + h1 = hashlib.new('md5') + data1 = gzip_file1.read(chunk_size) + h2 = hashlib.new('md5') + data2 = gzip_file2.read(chunk_size) + + while data1: + h1.update(data1) + data1 = gzip_file1.read(chunk_size) + while data2: + h2.update(data2) + data2 = gzip_file2.read(chunk_size) + + #print( 'h1', h1.hexdigest() ) + #print( 'h2', h2.hexdigest() ) + if h1.hexdigest() == h2.hexdigest(): + return True + else: + return False + +def zipcmp(member1, member2): + + import hashlib + import zipfile + import tarfile + import tempfile + + tmpdirname_zip1 = tempfile.mkdtemp() + tmpdirname_zip2 = tempfile.mkdtemp() + + tarfile.extract( member1, path=tmpdirname_zip1) + tarfile.extract( member2, path=tmpdirname_zip2) + + zip_file_one = os.path.join( tmpdirname_zip1, member1.name ) + zip_file_two = os.path.join( tmpdirname_zip2, member2.name ) + + diff_zip_members = [] + + break_return = False + if not zipfile.is_zipfile(zip_file_one): + logger.warning(logger_hdr+'file %s has a zip extension but is not a zip file'%zip_file_one) + break_return = True + if not zipfile.is_zipfile(zip_file_two): + logger.warning(logger_hdr+'file %s has a zip extension but is not a zip file'%zip_file_two) + break_return = True + if break_return: + return diff_zip_members + + zip1 = zipfile.ZipFile( zip_file_one, mode="r" ) + zip2 = zipfile.ZipFile( zip_file_two, mode="r" ) + + chunk_size = 100*1024 + + logger.info(logger_hdr+'checking zipfiles %s and %s'%(member1.name,member2.name)) + for member_zip1,member_zip2 in list(zip(zip1.namelist(), zip2.namelist())): + if not member_zip1.isfile(): + continue + + store_digests = {} + + z1 = zip1.extract( member_zip1,tmpdirname_zip1 ) + z1_extractedfile_fp = open( z1.name ) + h1 = hashlib.new('md5') + data1 = z1_extractedfile_fp.read(chunk_size) + + z2 = zip2.extractfile(member_zip2,tmpdirname_zip2 ) + z2_extractedfile_fp = open( z2.name ) + h2 = hashlib.new('md5') + data2 = z2_extractedfile_fp.read(chunk_size) + + while data1: + h1.update(data1) + data1 = z1_extractedfile_fp.read(chunk_size) + while data2: + h2.update(data2) + data2 = z1_extractedfile_fp.read(chunk_size) + + if h1.hexdigest() != h2.hexdigest(): + diff_zip_members.append( z1.name + ' in zip file %s'%member1.name ) + + return diff_zip_members + def tarcmp_verbose(tar_file_one, tar_file_two): import hashlib @@ -144,7 +307,6 @@ def netcdfver(filename): return "HDF5" return None - def print_diff_files(dcmp): import tarfile @@ -153,13 +315,12 @@ def print_diff_files(dcmp): global diff_file; global cwd; global verbose global fixed_dir_experment_name - if len(dcmp.common_dirs) != 0: + if len(dcmp.common_dirs) != 0 and not verbose: logger.info(logger_hdr+'checking directories: %s'%' '.join(dcmp.common_dirs)) if len( dcmp.diff_files ) == 0 and len(dcmp.common_files) != 0: logger.info(logger_hdr+'out of %d common files no differences found'%len(dcmp.common_files)) file1_shortpath = '/'+dcmp.left.replace(cwd,'').replace(fixed_dir_experment_name,'').lstrip('/') - if verbose: - logger.info(logger_hdr+'checked in directory %s'%(file1_shortpath)) + logger.info(logger_hdr+'checked in directory %s'%(file1_shortpath)) if len( dcmp.diff_files) != 0 and verbose: number_netcdf_files = len([s for s in dcmp.diff_files if '.nc' in s]) logger.info(logger_hdr+'checking %d differing files of which %d are NetCDF and some may be tar files'%(len(dcmp.diff_files),number_netcdf_files)) @@ -169,10 +330,27 @@ def print_diff_files(dcmp): num_identified_tar_files = 0 num_differing_files = 0 for name in dcmp.diff_files: + + #file_from_jobs = [] + #if using_file_list: + # logger.info(logger_hdr+'looking to see if file %s is in yaml job list'%name) + # file_from_jobs = [ v for k,v in file_dic_list.items() if name in k] + #if len(file_from_jobs) != 0: + # print( 'HERE IS ONE: ', file_from_jobs ) + # sys.exit(0) + #else: + # logger.info(logger_hdr+'file %s not in yaml file'%name) + file1 = os.path.join(dcmp.left,name); file2 = os.path.join(dcmp.right,name) file1_shortpath = '/'+dcmp.left.replace(cwd,'').replace(fixed_dir_experment_name,'').lstrip('/') file2_shortpath = '/'+dcmp.right.replace(cwd,'').replace(fixed_dir_experment_name,'').lstrip('/') - if '.nc' in name: + diff_tar_members = [] + if 'master.grb2' in name: + if not cmp_master_grb2( file1, file2 ): + diff_file.write( 'grib2 file %s has data differences in directories %s and %s\n'%(name,file1_shortpath,file2_shortpath)) + else: + logger.info(logger_hdr+'grib2 file %s only differed in the header'%name) + elif '.nc' in name: net_cdf_type = netcdfver(file1) if net_cdf_type is not None: if verbose: @@ -188,13 +366,17 @@ def print_diff_files(dcmp): elif tarfile.is_tarfile(file1): num_identified_tar_files += 1 if verbose: - diff_tar_members = tarcmp_verbose( file1, file2 ) + diff_tar_members = tarcmp( file1, file2 ) if len(diff_tar_members) != 0: - for tar_file in diff_tar_members: - diff_file.write('tar member file %s differs in tar file %s from directories %s and %s\n' % (tar_file, name, file1_shortpath, file2_shortpath)) - if not tarcmp( file1, file2 ): - diff_file.write('tar file %s differs in directories %s and %s\n' % (name, file1_shortpath, file2_shortpath)) - num_tar_differing_files += 1 + logger.info(logger_hdr+'%d memebers of tar file %s are differing'%(len(diff_tar_members),name)) + for tar_file_member in diff_tar_members: + diff_file.write('tar member file %s differs in tar file %s from directories %s and %s\n' % (tar_file_member, name, file1_shortpath, file2_shortpath)) + else: + logger.info(logger_hdr+'all the memebers of tar file where identical') + else: + if not tarcmp( file1, file2 ): + diff_file.write('tar file %s differs in directories %s and %s\n' % (name, file1_shortpath, file2_shortpath)) + num_tar_differing_files += 1 else: diff_file.write('file %s differs in directories %s and %s\n'% (name, file1_shortpath, file2_shortpath)) num_differing_files += 1 @@ -203,31 +385,26 @@ def print_diff_files(dcmp): logger.info(logger_hdr+'%d NetCDF files differed'%num_netcdf_differing_files) if num_tar_differing_files != 0: logger.info(logger_hdr+'%d tar files differed'%num_tar_differing_files) - if num_differing_files != 0: - logger.info(logger_hdr+'%d files differed that was not NetCDF nor tar files'%num_differing_files) - if verbose: - if num_netcdf_differing_files == 0 and num_tar_differing_files == 0 and num_differing_files == 0 and len(dcmp.diff_files) != 0: - if num_identified_tar_files == len(dcmp.diff_files): - logger.info(logger_hdr+'all of the %d potentially differeing files where acctually non-differing tar files'%len(dcmp.diff_files)) - elif len(dcmp.diff_files) == num_netcdf_differing_files_onlyheader: - logger.info(logger_hdr+'all of the %d potentially differeing files where acctually non-differing NetCDF files (only headers differed)'%len(dcmp.diff_files)) + if verbose: + if len(diff_tar_members) == 0: + logger.info(logger_hdr+'of the %d tar files intentifed as differing had no members different'%num_tar_differing_files) else: - logger.info(logger_hdr+'of the %d potentially differeing %d NetCDF differed %d tar files differedl, and %d differed that where not NetCDF or tar'%(len(dcmp.diff_files),num_netcdf_differing_files,num_tar_differing_files,num_differing_files)) + logger.info(logger_hdr+'of the %d tar files intentifed as differing had $d members different'%(num_tar_differing_files,len(num_tar_differing_files))) + if num_differing_files != 0: + logger.info(logger_hdr+'%d files differed that was not NetCDF nor a tar files'%num_differing_files) for sub_dcmp in dcmp.subdirs.values(): print_diff_files(sub_dcmp) def capture_files_dir( input_dir ): - #current_file_list = collections.defaultdict(list) current_file_list = [] - for path, subdirs, files in os.walk(input_dir): + for path, subdirs, files in os.walk(input_dir,followlinks=True): for name in files: - current_file_list.append( os.path.join(path, name) ) + if '.log' not in name: + current_file_list.append( os.path.join(path, name) ) return current_file_list - - def get_logger(): import logging logger = logging.getLogger('python'); logger_hdr = 'LOG : ' @@ -244,8 +421,14 @@ def get_logger(): import datetime import time import yaml + import subprocess + from subprocess import run + + logger,logger_hdr = get_logger() + args = get_args() fixed_dir_experment_name = 'fv3gfs_regression_experments' + using_file_list = False NCCMP='/gpfs/hps3/emc/nems/noscrub/emc.nemspara/FV3GFS_V0_RELEASE/util/nccmp' NCCMP_path = Path(NCCMP) @@ -254,46 +437,56 @@ def get_logger(): NCCMP=run(['which','nccmp'],stdout=subprocess.PIPE).stdout.decode('utf-8').strip() except subprocess.CalledProcessError: logger.critical(logger_hdr+'nccmp tool not found') - sys.exit(1) + sys.exit(-1) if len(NCCMP)==0: logger.critical(logger_hdr+'nccmp tool not found') - sys.exit(1) + sys.exit(-1) - logger,logger_hdr = get_logger() - args = get_args() + try: + nccmp_version = run([NCCMP, '--version'], stderr=subprocess.PIPE).stderr.decode('utf-8').strip() + nccmp_version = nccmp_version.splitlines()[0] + except subprocess.CalledProcessError as nccmp_err: + logger.critical(logger_hdr+"nccmp tool found but failed exit code %s (try 'module load PrgEnv-intel')"%nccmp_err) + sys.exit(-1) + + logger.info(logger_hdr+'using %s for comparing NetCDF files'%nccmp_version) process_time = time.process_time() verbose = args.verbose_tar file_dic_list = collections.defaultdict(list) - if args.cmp_jobs is not None: + if args.creat_jobslevel_file is not None: - job_name = args.cmp_jobs[0] - ROTDIR = args.cmp_jobs[1] - ROTDIR_Path = Path( args.cmp_jobs[1] ) - if not ROTDIR_Path.is_dir(): - logger.critical(logger_hdr+'ROTDIR %s is not a directory') + job_name = args.creat_jobslevel_file[0] + COMROT = args.creat_jobslevel_file[1] + COMROT_Path = Path( args.creat_jobslevel_file[1] ) + if not COMROT_Path.is_dir(): + logger.critical(logger_hdr+'COMROT %s is not a directory') sys.exit(-1) - yaml_files_filename = os.path.realpath( args.cmp_jobs[2] ) - logger.info(logger_hdr+'determining job level files for job %s in file %s'%(job_name, os.path.basename(yaml_files_filename))) - file_list_current = capture_files_dir( ROTDIR ) + COMROT = os.path.realpath( COMROT ) + yaml_files_filename = os.path.realpath( args.creat_jobslevel_file[2] ) + logger.info(logger_hdr+'determining job level files for job %s in file %s from COMROT %s'%(job_name, os.path.basename(yaml_files_filename),COMROT)) + file_list_current = capture_files_dir( COMROT ) yaml_files_filename_Path = Path(yaml_files_filename) if yaml_files_filename_Path.is_file(): yaml_files_fptr = open( yaml_files_filename ) file_dic_list = yaml.load( yaml_files_fptr ) yaml_files_fptr.close() - if 'prior_ROTDIR' in file_dic_list: + if 'prior_COMROT' in file_dic_list: result = [] + logger.info(logger_hdr+'prior out from last job found %s'%yaml_files_filename ) for file in file_list_current: - if file not in file_dic_list['prior_ROTDIR']: + if file not in file_dic_list['prior_COMROT']: result.append(file) file_dic_list[job_name] = result + logger.info(logger_hdr+'%d files added from job %s'%( len(file_dic_list[job_name]), job_name )) else: + logger.info(logger_hdr+'no prior job found job, %d files added to list from job %s'%( len(file_list_current), job_name ) ) file_dic_list[job_name] = file_list_current - file_dic_list['prior_ROTDIR'] = file_list_current + file_dic_list['prior_COMROT'] = file_list_current logger.info(logger_hdr+'write out file %s'%yaml_files_filename ) with open(yaml_files_filename, 'w') as outfile: yaml.dump(file_dic_list, outfile, default_flow_style=False) @@ -305,22 +498,22 @@ def get_logger(): folder1 = os.path.realpath( args.cmp_dirs[0] ) folder2 = os.path.realpath( args.cmp_dirs[1] ) - if args.nameID: - now_date_time = ''; nameID = args.nameID - diff_file_name = 'diff_file_list_%s.lst'%nameID + if args.diff_list_file: + diff_file_name = args.diff_list_file else: now_date_time = datetime.datetime.now().strftime('%d-%m-%Y-H%H') - nameID = '' diff_file_name = 'diff_file_list_%s.lst'%now_date_time diff_file_number = 0 while os.path.exists(diff_file_name): diff_file_number += 1 - diff_file_name = 'diff_file_list_%s%s(%s).lst'%(nameID,now_date_time,str(diff_file_number)) + diff_file_name_base = os.path.basename(diff_file_name) + diff_file_name = os.path.join(os.path.dirname(diff_file_name),diff_file_name_base.rsplit('_',1)[0]+'_'+str(diff_file_number)+'.lst') for folder in (folder1,folder2): if not os.path.isdir(folder): logger.critical(logger_hdr+'directory %s does not exsist'%folder) sys.exit(-1) + diff_file = open( diff_file_name, 'w') cwd = os.getcwd() @@ -328,25 +521,27 @@ def get_logger(): logger.info(logger_hdr+'checking for matching file counts in directories') results = compare(folder1, folder2) - left_right = ('left','right') - for each_side in left_right: - if each_side == 'left': - foldera = folder1 - folderb = folder2 - else: - folderb = folder1 - foldera = folder2 - num_missmatched_files = len(results[each_side]) - if num_missmatched_files != 0: - if verbose: - diff_file.write('%d files found in %s that are not in %s:'%(num_missmatched_files,os.path.basename(foldera),os.path.basename(folderb))) + if len(results['left']) !=0 and len(results['right']) !=0: + left_right = ('left','right') + out_of_order_file_name = os.path.join( os.path.dirname( diff_file_name ), os.path.basename(diff_file_name).split('.',1)[0]+'.file_imbalance') + out_of_order_file = open(out_of_order_file_name , 'w') + for each_side in left_right: + if each_side == 'left': + foldera = folder1 + folderb = folder2 + else: + folderb = folder1 + foldera = folder2 + num_missmatched_files = len(results[each_side]) + if num_missmatched_files != 0: + logger.info('%d files found in %s that are not in %s list written to %s'\ + %(num_missmatched_files,os.path.basename(foldera),os.path.basename(folderb), out_of_order_file_name)) + out_of_order_file.write('%d files found in %s that are not in %s:\n'%(num_missmatched_files,os.path.basename(foldera),os.path.basename(folderb))) for file in results[each_side]: - diff_file.write(' %s'%file) - logger.info('%d files found in %s that are not in %s:'%(num_missmatched_files,os.path.basename(foldera),os.path.basename(folderb))) + out_of_order_file.write(' %s'%file+'\n') logger.info(logger_hdr+'checking for file differences...') - egnore_file_list = ['*.log','INPUT','RESTART','logs'] + egnore_file_list = ['*.log','*.log*','INPUT','logs','*.idx'] compare_files = filecmp.dircmp(folder1, folder2, egnore_file_list) - diff_file = open( diff_file_name, 'w') print_diff_files( compare_files ) elapsed_time = time.process_time() - process_time logger.info(logger_hdr+'comparing fv3gfs output directories completed. Time to process(%.4f seconds)'%elapsed_time) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index b8ae75c..f84f54e 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -57,6 +57,7 @@ RUNROCOTO=${RUNROCOTO:-'TRUE'} JOB_LEVEL_CHECK=${JOB_LEVEL_CHECK:-'FALSE'} RZDM_RESULTS=${RZDM_RESULTS:-'FALSE'} PYTHON_FILE_COMPARE=${PYTHON_FILE_COMPARE:-'TRUE'} +REGRESSSION_COMROT_BASENAME='fv3gfs_regression_experments' #CHECKOUT='FALSE' #CREATE_EXP='FALSE' @@ -66,19 +67,127 @@ PYTHON_FILE_COMPARE=${PYTHON_FILE_COMPARE:-'TRUE'} #RZDM_RESULTS='TRUE' #PYTHON_FILE_COMPARE='FALSE' -idate='2017073118' -edate='2017080106' +idate='2018012306' +edate='2018012312' fv3gfs_git_branch='master' # Leave fv3gfs_svn_url blank to use git branch in fv3gfs_git_branch fv3gfs_svn_url='' load_rocoto='rocoto/1.2.4' -ICS_dir_cray='/gpfs/hps3/emc/global/noscrub/emc.glopara/ICS' +ICS_dir_cray='/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/ICS' PTMP_cray='/gpfs/hps3/ptmp' ICS_dir_theia='/scratch4/NCEPDEV/global/noscrub/glopara/ICS/FV3GFS' PTMP_theia='/scratch4/NCEPDEV/stmp4' +# system dependent +#----------------- +if [[ -d /scratch4/NCEPDEV ]]; then + system="theia" +elif [[ -d /gpfs/hps3 ]]; then + system="cray" +else + log_message "CRITICAL" "Unknown machine $system, not supported" +fi + +if [[ $system == "cray" ]]; then + ICS_dir=$ICS_dir_cray + PTMP=$PTMP_cray +else + ICS_dir=$ICS_dir_theia + PTMP=$PTMP_theia +fi + +module load $load_rocoto +rocotoruncmd=`which rocotorun` +if [[ -z ${rocotoruncmd} ]]; then + log_message "CRITICAL" "module load for rocoto ($load_rocoto) on system failed" +fi + +rocotover=`$rocotoruncmd --version` +log_message "INFO" "using rocoto version $rocotover" +rocotostatcmd=`which rocotostat` +if [[ -z ${rocotostatcmd} ]]; then + log_message "CRITICAL" "($rocotostatcmd) not found on system" +fi + +fv3gfs_ver='v15.0.0' +num_expected_exec='29' + +if [[ ! -d $1 ]] && [[ ! -f $1 ]]; then + if [[ -z $1 || $1 == "--non-interactive" ]]; then + regressionID='baseline' + log_message "INFO" "No arguments given assuming to make new baseline with default ID: $regressionID" + else + regressionID=$1 + log_message "INFO" "only the baseline will be created with ID: $regressionID" + fi +fi + + +# CASES: +# default master +# CASE=0 + +# On disk snapshot for flat master low res +# ========================================= +# ./setup_expt.py --pslot crowmaster192 --configdir /gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/$fv3gfs_ver/parm/config/ --idate 2018010500 --edate 2018010506 --icsdir /gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/ICS --comrot /gpfs/hps2/ptmp/emc.glopara/ROTDIRS_CROW --expdir /gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/ --resdet 192 --resens 192 --nens 20 --gfs_cyc 4 + +# On disk snapshot for flat master high res +# ./setup_expt.py --pslot crowmaster768 --configdir /gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/$fv3gfs_ver/parm/config/ --idate 2018010500 --edate 2018010506 --icsdir /gpfs/hps3/emc/global/noscrub/emc.glopara/ICS --comrot /gpfs/hps2/ptmp/emc.glopara/ROTDIRS_CROW --expdir /gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209 --resdet 768 --resens 384 --nens 80 --gfs_cyc 4 + +CASE=C192_C192_low + +if [[ $CASE == "0" ]]; then + + log_message "INFO" "Running default case" + + setup_expt=${CHECKOUT_DIR}/${checkout_dir_basename}/ush/rocoto/setup_expt.py + setup_workflow=${CHECKOUT_DIR}/${checkout_dir_basename}/ush/rocoto/setup_workflow.py + config_dir=${CHECKOUT_DIR}/${checkout_dir_basename}/parm/config + +elif [[ $CASE == "C192_C192_low" ]]; then + regressionID=$CASE + log_message "INFO" "Running case: $CASE ID for this run is now $regressionID" + config_dir=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.$fv3gfs_ver/parm/config + setup_expt=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.$fv3gfs_ver/ush/rocoto/setup_expt.py + setup_workflow=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.$fv3gfs_ver/ush/rocoto/setup_workflow.py + EXTRA_SETUP_STRING="--resdet 192 --resens 192 --nens 20 --gfs_cyc 4" + idate=2018010500 + edate=2018010506 + ICS_dir=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/ICS + CHECKOUT='FALSE' + BUILD='FALSE' + log_message "INFO" "Because we are running with CASE $CASE the script is using snapshot on disk so CHECKOUT is set to FALSE" + log_message "INFO" "Because we are running with CASE $CASE the script is using snapshot on disk so BUILD is set to FALSE" +elif [[ $CASE == "C768_C384_high" ]]; then + regressionID=$CASE + log_message "INFO" "Running case: $CASE ID for this run is now $regressionID" + config_dir=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.$fv3gfs_ver/parm/config + setup_expt=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.$fv3gfs_ver/ush/rocoto/setup_expt.py + setup_workflow=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.$fv3gfs_ver/ush/rocoto/setup_workflow.py + EXTRA_SETUP_STRING="--resdet 768 --resens 384 --nens 80 --gfs_cyc 4" + idate=2018010500 + edate=2018010506 + ICS_dir=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/ICS + CHECKOUT='FALSE' + BUILD='FALSE' + log_message "INFO" "Because we are running with CASE $CASE the script is using snapshot on disk so CHECKOUT is set to FALSE" + log_message "INFO" "Because we are running with CASE $CASE the script is using snapshot on disk so BUILD is set to FALSE" +fi + +pslot_basename='fv3gfs' +checkout_dir_basename="${pslot_basename}_sorc_${regressionID}" +pslot="${pslot_basename}_exp_${regressionID}" + +username=`echo ${USER} | tr '[:upper:]' '[:lower:]'` + +comrot=${CHECKOUT_DIR}/${REGRESSSION_COMROT_BASENAME} +comrot_test_dir=${comrot}/${pslot} +exp_dir_fullpath=${CHECKOUT_DIR}/${pslot} + +exp_setup_string="--pslot ${pslot} --icsdir $ICS_dir --configdir ${config_dir} --comrot ${comrot} --idate $idate --edate $edate --expdir ${CHECKOUT_DIR} $EXTRA_SETUP_STRING" + # If RZDM is set then the viewer will attempt to post the state of the workflow in html on the rzdm server RZDM='tmcguinness@emcrzdm.ncep.noaa.gov:/home/www/emc/htdocs/gc_wmb/tmcguinness' ROCOTOVIEWER='/u/Terry.McGuinness/bin/rocoto_viewer.py' @@ -112,18 +221,7 @@ find_data_dir () { echo $_check_baseline_dir } -COMPARE_BASE='FALSE' -if [[ ! -d $1 ]] && [[ ! -f $1 ]]; then - if [[ -z $1 || $1 == "--non-interactive" ]]; then - regressionID='baseline' - log_message "INFO" "No arguments given assuming to make new baseline with default ID: $regressionID" - else - regressionID=$1 - log_message "INFO" "only the baseline will be created with ID: $regressionID" - fi -fi - -log_message "INFO" "running regression script on host $HOST" +log_message "INFO" "running regression script on host $HOST with PID $BASHPID" COMPARE_BASE='FALSE' JUST_COMPARE_TWO_DIRS='FALSE' @@ -165,10 +263,12 @@ if [[ -d $1 ]] && [[ -d $2 ]]; then elif [[ -d $1 && ! -d $2 ]]; then check_baseline_dir=`readlink -f $1` if [[ -z $2 ]]; then - regressionID='test_run' + : + #regressionID='test_run' else if [[ $2 == "--non-interactive" ]]; then - regressionID='test_run' + : + #regressionID='test_run' else if [[ `echo $2 | cut -c1-2` == "--" ]]; then log_message "CRITICAL" "an errounous option was given ($2), --non-interactive is the only allowable option" @@ -190,25 +290,21 @@ elif [[ -d $1 && ! -d $2 ]]; then log_message "INFO" "found baseline fv3gfs gfs data found in directory: $check_baseline_dir" fi -if [[ -d /scratch4/NCEPDEV ]]; then - system="theia" -elif [[ -d /gpfs/hps3 ]]; then - system="cray" -else - log_message "CRITICAL" "Unknown machine $system, not supported" -fi - if [[ -z $ROCOTOVIEWER ]]; then RZDM_RESULTS="FALSE" fi -echo -e "Current Settings are:\n" +regressionID=${regressionID:-'test_run'} + +echo -e "\nCurrent Script Settings are" +echo -e "============================" echo "regressionID = $regressionID" echo "git branch = $fv3gfs_git_branch" echo "idate = $idate" echo "edate = $edate" echo "CHECKOUT_DIR = $CHECKOUT_DIR" echo "CHECKOUT = $CHECKOUT" +echo "BUILD = $BUILD" echo "CREATE_EXP = $CREATE_EXP" echo "COMPARE_BASE = $COMPARE_BASE" echo "RZDM_RESULTS = $RZDM_RESULTS" @@ -216,6 +312,17 @@ echo -e "RUNROCOTO = $RUNROCOTO\n" echo "PYTHON_FILE_COMPARE = $PYTHON_FILE_COMPARE" echo -e "JOB_LEVEL_CHECK = $JOB_LEVEL_CHECK\n" +echo -e "\nModel Workflow Configuration Settings" +echo "======================================" +echo "PSLOT : $pslot" +echo "COMROT : $comrot" +echo "CONFIG : $config_dir" +echo "ICDIR : $ICS_dir" +echo "IDATE : $idate" +echo "EDATE : $edate" +echo "EXPDIR : $exp_dir_fullpath" +echo -e "EXTRA : $EXTRA_SETUP_STRING\n" + if [[ $INTERACTIVE == "TRUE" ]]; then while read -n1 -r -p "Are these the correct settings (y/n): " answer do @@ -233,44 +340,6 @@ fi SCRIPT_STARTTIME=$(date +%s) -module load $load_rocoto -rocotoruncmd=`which rocotorun` -if [[ -z ${rocotoruncmd} ]]; then - log_message "CRITICAL" "module load for rocoto ($load_rocoto) on system failed" -fi - -# system dependent -#----------------- - -if [[ $system != "cray" ]] && [[ $system != 'theia' ]]; then - log_message "CRITICAL" "system setting: $system is not set correctly (only options are cray or theia)" -fi - -if [[ $system == "cray" ]]; then - ICS_dir=$ICS_dir_cray - PTMP=$PTMP_cray -else - ICS_dir=$ICS_dir_theia - PTMP=$PTMP_theia -fi - -rocotover=`$rocotoruncmd --version` -log_message "INFO" "using rocoto version $rocotover" -rocotostatcmd=`which rocotostat` - -fv3gfs_ver='v15.0.0' -num_expected_exec='29' - -pslot_basename='fv3gfs' -checkout_dir_basename="${pslot_basename}_sorc_${regressionID}" -pslot="${pslot_basename}_exp_${regressionID}" - -username=`echo ${USER} | tr '[:upper:]' '[:lower:]'` -setup_expt=${CHECKOUT_DIR}/${checkout_dir_basename}/gfs_workflow.${fv3gfs_ver}/ush/setup_expt.py -setup_workflow=${CHECKOUT_DIR}/${checkout_dir_basename}/gfs_workflow.${fv3gfs_ver}/ush/setup_workflow.py -config_dir=${CHECKOUT_DIR}/${checkout_dir_basename}/gfs_workflow.${fv3gfs_ver}/config - - if [[ $CHECKOUT == 'TRUE' ]]; then cd ${CHECKOUT_DIR} if [[ ! -z ${fv3gfs_svn_url} ]]; then @@ -297,10 +366,6 @@ if [[ $CHECKOUT == 'TRUE' ]]; then fi fi -comrot=${CHECKOUT_DIR}/fv3gfs_regression_experments -comrot_test_dir=${comrot}/${pslot} -exp_dir_fullpath=${CHECKOUT_DIR}/${pslot} -exp_setup_string="--pslot ${pslot} --icsdir $ICS_dir --configdir ${config_dir} --comrot ${comrot} --idate $idate --edate $edate --expdir ${CHECKOUT_DIR}" if [[ $CREATE_EXP == 'TRUE' ]]; then @@ -321,14 +386,30 @@ if [[ $CREATE_EXP == 'TRUE' ]]; then yes | ${setup_expt} ${exp_setup_string} log_message "INFO" "setting up workflow: ${setup_workflow} --expdir $exp_dir_fullpath" yes | ${setup_workflow} --expdir $exp_dir_fullpath - sed -i 's/^export VRFYGENESIS=.*/export VRFYGENESIS=\"NO\" \# WARNING changed by regression script/' $exp_dir_fullpath/config.vrfy - log_message "WARNING" "modified config.vrfy with VRFYGENESIS=NO because geneses tracker is currently failing" - sed -i 's/^export VRFYG2OBS=.*/export VRFYG2OBS=\"NO\" \# WARNING changed by regression script/' $exp_dir_fullpath/config.vrfy - log_message "WARNING" "modified config.vrfy with VRFYG2OBS=NO because it do not make sense for it to be on for only one cycle" + + if [[ -d $exp_dir_fullpath ]]; then + + if [[ $CASE == "C192_C192_low" ]]; then + log_message "INFO" "updated config.base and changed FHMAX_GFS=240" + sed -i 's/^export FHMAX_GFS=.*/export FHMAX_GFS=\"240\" \# WARNING changed to 240 by regression script/' $exp_dir_fullpath/config.base + fi + + else + log_message "CRITICAL" "The experment directory was not created corectly" + fi + + #sed -i 's/^export VRFYGENESIS=.*/export VRFYGENESIS=\"NO\" \# WARNING changed by regression script/' $exp_dir_fullpath/config.vrfy + #log_message "WARNING" "modified config.vrfy with VRFYGENESIS=NO because geneses tracker is currently failing" + #sed -i 's/^export VRFYG2OBS=.*/export VRFYG2OBS=\"NO\" \# WARNING changed by regression script/' $exp_dir_fullpath/config.vrfy + #log_message "WARNING" "modified config.vrfy with VRFYG2OBS=NO because it do not make sense for it to be on for only one cycle" fi if [[ $BUILD == 'TRUE' ]]; then - cd ${checkout_dir_basename}/global_shared.${fv3gfs_ver}/sorc + + cd ${checkout_dir_basename}/sorc + + sed -i 's/cd gsi.fd/cd gsi.fd\n checkout DA-FV3-IMPL/' checkout.sh + log_message "WARNING" "just updated checkout.sh script and added line to checkout DA-FV3-IMPL branch for gsi instead of master" log_message "INFO" "running checkout script: $PWD/checkout.sh $username" ./checkout.sh $username From 111a6a49b997a0cd109380bb86b91fbfc04bd6c0 Mon Sep 17 00:00:00 2001 From: Bin Liu Date: Mon, 12 Feb 2018 20:58:32 +0000 Subject: [PATCH 315/487] ecflow: Update suite_def.yaml to match Lin's prodflatfvhh.def. Not working yet, debugging needed. --- model/ecflow_fv3gfs/suite_def.yaml | 597 +++++++++++++++++++++++------ 1 file changed, 475 insertions(+), 122 deletions(-) diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index 608acf9..610703d 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -49,8 +49,7 @@ suite: !Cycle start: 2018-01-01T00:00:00 end: 2018-01-01T18:00:00 step: !timedelta "6:00:00" - - ecFlow: +ecFlow: suite_def_filename: "prod%H.def" suite_name: "prod%H" scheduler: !calc doc.scheduler @@ -79,6 +78,367 @@ suite: !Cycle edit ECF_OUT '{doc.settings.ECF_HOME}/output' edit ECF_LOG '{doc.settings.ECF_HOME}/ecf.log' + gfs: !Family + ecflow_def: | + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + edit PROJ '%PROJENVIR%' + + dump: !Family + jgfs_tropcy_qc_reloc: !Task + <<: *exclusive_task_template + Trigger: !Depend jgfs_dump + jtwc_bull_email: !DataEvent {file="/dev/null"} + resources: !calc ( doc.resource_demo.run_nothing ) + + #Replaced by emc version of dump job + #This dump job should be using NCO version when delivery to NCO + jgfs_dump: !Task + <<: *exclusive_task_template + release_sfcprep: !DataEvent {file="/dev/null"} + #Time: !timedelta +3:50:00 + resources: !calc ( doc.resource_demo.run_nothing ) + + prep: !Family + jgfs_emcsfc_sfc_prep: !Task + <<: *exclusive_task_template + Trigger: !Depend up.dump.jgfs_dump.release_sfcprep + resources: !calc ( doc.resource_demo.run_nothing ) + + jgfs_prep: !Task + <<: *exclusive_task_template + Trigger: !Depend up.dump + #Trigger: !Depend ( up.dump.jgfs_dump & up.dump.jgfs_tropcy_qc_reloc + resources: !calc ( doc.resource_demo.run_prep ) + + jgfs_prep_post: !Task + <<: *exclusive_task_template + Trigger: !Depend up.jgfs_analysis + resources: !calc ( doc.resource_demo.run_nothing ) + + jgfs_analysis: !Task + <<: *exclusive_task_template + #Trigger: !Depend ( prep.jgfs_prep & prep.jgfs_emcsfc_sfc_prep & up.gdas.enkf.jgdas_enkf_post.at('-6:00:00') ) + Trigger: !Depend ( prep.jgfs_prep & prep.jgfs_emcsfc_sfc_prep ) + resources: !calc ( doc.resource_demo.run_anal ) + + jgfs_vminmon: !Task + <<: *exclusive_task_template + Trigger: !Depend jgfs_analysis + resources: !calc ( doc.resource_demo.run_nothing ) + + forecast: !Family + jgfs_forecast_high: !Task + <<: *exclusive_task_template + Trigger: !Depend up.jgfs_analysis + resources: !calc ( doc.resource_demo.run_gfsfcst ) + J_JOB: JGLOBAL_FORECAST + + jgfs_forecast_low: !Task + <<: *exclusive_task_template + Trigger: !Depend jgfs_forecast_high + resources: !calc ( doc.resource_demo.run_gfsfcst ) + J_JOB: JGLOBAL_FORECAST + + sminit_guam: !Family + jgfs_sminit_guam_even: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.post.jgfs_post_anl eq active or up.post.jgfs_post_anl ) + resources: !calc ( doc.resource_demo.run_nothing ) + + jgfs_sminit_guam_odd: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.post.jgfs_post_anl eq active or up.post.jgfs_post_anl ) + resources: !calc ( doc.resource_demo.run_nothing ) + + post_processing: !Family + jgfs_wafs_gcip: !Task + <<: *exclusive_task_template + Trigger: !Depend up.prdgen.jgfs_pgrb2_f03 + resources: !calc ( doc.resource_demo.run_nothing ) + #Time: !timedelta +4:40:00 + + fax: !Family + jgfs_fax_f00: !Task + ecflow_def: | + edit FCSTHR '00' + <<: *exclusive_task_template + Trigger: !Depend ( up.up.prdgen.jgfs_pgrb2_f00 & up.up.prdgen.jgfs_pgrb2_anl ) + resources: !calc ( doc.resource_demo.run_nothing ) + + jgfs_fax_anl: !Task + ecflow_def: | + edit FCSTHR 'anl' + <<: *exclusive_task_template + Trigger: !Depend up.up.prdgen.jgfs_pgrb2_anl + resources: !calc ( doc.resource_demo.run_nothing ) + + jgfs_fax_wafs_f12: !Task + ecflow_def: | + edit FCSTHR '12' + <<: *exclusive_task_template + Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f12 + resources: !calc ( doc.resource_demo.run_nothing ) + + jgfs_fax_wafs_f24: !Task + ecflow_def: | + edit FCSTHR '24' + <<: *exclusive_task_template + Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f24 + resources: !calc ( doc.resource_demo.run_nothing ) + + jgfs_fax_wafs_f36: !Task + ecflow_def: | + edit FCSTHR '36' + <<: *exclusive_task_template + Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f36 + resources: !calc ( doc.resource_demo.run_nothing ) + + grib_wafs: !Family + jgfs_wafs_f00: !Task + ecflow_def: | + edit FCSTHR '00' + <<: *exclusive_task_template + Trigger: !Depend ( up.up.prdgen.jgfs_pgrb2_f00 & up.up.prdgen.jgfs_pgrb2_f120 & up.grib2_wafs.jgfs_wafs_grib2 ) + resources: !calc ( doc.resource_demo.run_nothing ) + + jgfs_wafs_f06: !Task + ecflow_def: | + edit FCSTHR '06' + <<: *exclusive_task_template + Trigger: !Depend ( up.up.prdgen.jgfs_pgrb2_f06 & jgfs_wafs_f00 ) + resources: !calc ( doc.resource_demo.run_nothing ) + + jgfs_wafs_f12: !Task + ecflow_def: | + edit FCSTHR '12' + <<: *exclusive_task_template + Trigger: !Depend ( up.up.prdgen.jgfs_pgrb2_f12 & jgfs_wafs_f06 ) + resources: !calc ( doc.resource_demo.run_nothing ) + + # tasks every 6 hours till f120 + + jgfs_wafs_f120: !Task + ecflow_def: | + edit FCSTHR '120' + <<: *exclusive_task_template + Trigger: !Depend ( up.up.prdgen.jgfs_pgrb2_f120 & jgfs_wafs_f114 ) + resources: !calc ( doc.resource_demo.run_nothing ) + + bufr_sounding: !Family + jgfs_postsnd: !Task + <<: *exclusive_task_template + Trigger: !Depend up.up.post.jgfs_post_manager.release_post00 + resources: !calc ( doc.resource_demo.run_nothing ) + + bulletins: !Family + jgfs_fbwind: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.up.post.jgfs_post_f06 & up.up.post.jgfs_post_f12 & up.up.post.jgfs_post_f24 ) + resources: !calc ( doc.resource_demo.run_nothing ) + + jgfs_cyclone_tracker: !Task + <<: *exclusive_task_template + # Very long trigger up.up.post.jgfs_post_f00-f180 & up.up.prdgen.jgfs_pgrb2_f00-f180 + Trigger: !Depend ( up.up.post.jgfs_post_f00 & up.up.post.jgfs_post_f06 & up.up.prdgen.jgfs_pgrb2_f00 & up.up.prdgen.jgfs_pgrb2_f06 ) + resources: !calc ( doc.resource_demo.run_nothing ) + + grib2_wafs: !Family + jgfs_wafs_grib2: !Task + <<: *exclusive_task_template + Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f00 + resources: !calc ( doc.resource_demo.run_nothing ) + + jgfs_wafs_blending: !Task + <<: *exclusive_task_template + Trigger: !Depend jgfs_wafs_grib2 + #Time: !timedelta +4:33:00 + resources: !calc ( doc.resource_demo.run_nothing ) + + grib_awips: !Family + jgfs_awips_f00: !Task + ecflow_def: | + edit FCSTHR '00' + <<: *exclusive_task_template + Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f00 + resources: !calc ( doc.resource_demo.run_nothing ) + + jgfs_awips_f06: !Task + ecflow_def: | + edit FCSTHR '06' + <<: *exclusive_task_template + Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f06 + resources: !calc ( doc.resource_demo.run_nothing ) + + # tasks every 6 hours till f240 + + jgfs_awips_f240: !Task + ecflow_def: | + edit FCSTHR '240' + <<: *exclusive_task_template + Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f240 + resources: !calc ( doc.resource_demo.run_nothing ) + + awips_1p0deg: !Family + ecflow_def: | + edit RES '1p0deg' + edit RESC '1P0DEG' + #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + + jgfs_awips_f000: !Task + ecflow_def: | + edit FCSTHR '000' + <<: *exclusive_task_template + Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f00 + resources: !calc ( doc.resource_demo.run_nothing ) + + jgfs_awips_f006: !Task + ecflow_def: | + edit FCSTHR '006' + <<: *exclusive_task_template + Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f06 + resources: !calc ( doc.resource_demo.run_nothing ) + + # tasks every 6 hours till f240 + + jgfs_awips_f240: !Task + ecflow_def: | + edit FCSTHR '240' + <<: *exclusive_task_template + Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f240 + resources: !calc ( doc.resource_demo.run_nothing ) + + awips_20km: !Family + ecflow_def: | + edit RES '20km' + edit RESC '20KM' + #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + + jgfs_awips_f000: !Task + ecflow_def: | + edit FCSTHR '000' + <<: *exclusive_task_template + Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f00 + resources: !calc ( doc.resource_demo.run_nothing ) + + jgfs_awips_f003: !Task + ecflow_def: | + edit FCSTHR '003' + <<: *exclusive_task_template + Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f03 + resources: !calc ( doc.resource_demo.run_nothing ) + + # tasks every 3 hours till f240 + + jgfs_awips_f240: !Task + ecflow_def: | + edit FCSTHR '240' + <<: *exclusive_task_template + Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f240 + resources: !calc ( doc.resource_demo.run_nothing ) + + post: !Family + jgfs_post_manager: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.jgfs_analysis & up.forecast ) + release_postanl: !DataEvent {file="/dev/null"} + release_post00: !DataEvent {file="/dev/null"} + # events from release_post00 to release_post384 + release_post384: !DataEvent {file="/dev/null"} + resources: !calc ( doc.resource_demo.run_nothing ) + + jgfs_post_anl: !Task + ecflow_def: | + edit FHR 'anl' + edit HR 'anl' + <<: *exclusive_task_template + Trigger: !Depend jgfs_post_manager.release_postanl + release_pgrb2_anl: !DataEvent {file="/dev/null"} + resources: !calc ( doc.resource_demo.run_nothing ) + + jgfs_post_f00: !Task + ecflow_def: | + edit FHR 'f00' + edit HR '00' + <<: *exclusive_task_template + Trigger: !Depend jgfs_post_manager.release_post00 + resources: !calc ( doc.resource_demo.run_nothing ) + + jgfs_post_f01: !Task + ecflow_def: | + edit FHR 'f01' + edit HR '01' + <<: *exclusive_task_template + Trigger: !Depend jgfs_post_manager.release_post01 + resources: !calc ( doc.resource_demo.run_nothing ) + + # tasks from jgfs_post_f00 to jgfs_post_f384 + + jgfs_post_f384: !Task + ecflow_def: | + edit FHR 'f384' + edit HR '384' + <<: *exclusive_task_template + Trigger: !Depend jgfs_post_manager.release_post384 + resources: !calc ( doc.resource_demo.run_nothing ) + + jgfs_post_f01: !Task + ecflow_def: | + edit FHR 'f01' + edit HR '01' + <<: *exclusive_task_template + Trigger: !Depend jgfs_post_manager.release_post01 + resources: !calc ( doc.resource_demo.run_nothing ) + + jgfs_pgrb2_spec_post: !Task + <<: *exclusive_task_template + # Very long trigger jgfs_post_f336-f384 every 12h + Trigger: !Depend ( jgfs_post_f336 & jgfs_post_f384 ) + resources: !calc ( doc.resource_demo.run_nothing ) + + prdgen: !Family + jgfs_pgrb2_manager: !Task + <<: *exclusive_task_template + Trigger: !Depend up.post + release_pgrb2_00: !DataEvent {file="/dev/null"} + # events from release_pgrb2_00 to release_pgrb2_240 every 3hrs + # and then to release_pgrb2_384 every 12 hrs + # And Note event number start from 2 instead of 1 + release_post384: !DataEvent {file="/dev/null"} + resources: !calc ( doc.resource_demo.run_nothing ) + + #Need to add other tasks in this prdgen family + + gempak: !Family + jgfs_gempak_upapgif: !Task + <<: *exclusive_task_template + Trigger: !Depend up.dump.jgfs_dump + resources: !calc ( doc.resource_demo.run_nothing ) + + jgfs_gempak_ncdc: !Task + <<: *exclusive_task_template + Trigger: !Depend jgfs_gempak eq active + resources: !calc ( doc.resource_demo.run_nothing ) + + jgfs_gempak: !Task + <<: *exclusive_task_template + Trigger: !Depend up.jgfs_analysis + resources: !calc ( doc.resource_demo.run_nothing ) + + jgfs_gempak_meta: !Task + <<: *exclusive_task_template + Trigger: !Depend up.jgfs_analysis + resources: !calc ( doc.resource_demo.run_nothing ) + + jgfs_pgrb2_spec_gempak: !Task + <<: *exclusive_task_template + Trigger: !Depend up.post.jgfs_pgrb2_spec_post + resources: !calc ( doc.resource_demo.run_nothing ) + + #endfamily gempak + gdas: !Family ecflow_def: | edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' @@ -138,9 +498,77 @@ suite: !Cycle jgdas_prep_post: !Task <<: *exclusive_task_template - Trigger: !Depend up.jgdas_analysis + Trigger: !Depend up.analysis.jgdas_analysis_high resources: !calc ( doc.resource_demo.run_nothing ) + analysis: !Family + jgdas_analysis_high: !Task + <<: *exclusive_task_template + #Trigger: !Depend ( up.prep.jgdas_prep & up.prep.jgdas_emcsfc_sfc_prep & up.enkf.jgdas_enkf_post.at('-6:00:00') ) + Trigger: !Depend ( up.prep.jgdas_prep & up.prep.jgdas_emcsfc_sfc_prep ) + release_fcst: !DataEvent {file="/dev/null"} + resources: !calc ( doc.resource_demo.run_anal ) + + forecast: !Family + #jgdas_forecast_high: !Task + # <<: *exclusive_task_template + # Trigger: !Depend ( up.jgdas_analysis.release_fcst & up.enkf.innovate ) + # release_fcst: !DataEvent {file="/dev/null"} + # resources: !calc ( doc.resource_demo.run_gdasfcst ) + # J_JOB: JGLOBAL_FORECAST + + jgdas_forecast: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.jgdas_analysis.release_fcst & up.enkf.innovate ) + release_fcst: !DataEvent {file="/dev/null"} + resources: !calc ( doc.resource_demo.run_gdasfcst ) + J_JOB: JGLOBAL_FORECAST + + post_processing: !Family + bulletins: !Family + jgdas_mknavybulls: !Task + <<: *exclusive_task_template + #Trigger: !Depend ( up.up.dump.jgdas_dump_post ) + Trigger: !Depend ( up.up.dump.jgdas_dump ) + resources: !calc ( doc.resource_demo.run_nothing ) + #endfamily post_processing + + gempak: !Family + jgdas_gempak: !Task + <<: *exclusive_task_template + Trigger: !Depend up.forecast/jgdas_forecast + resources: !calc ( doc.resource_demo.run_nothing ) + + jgdas_gempak_meta: !Task + <<: *exclusive_task_template + Trigger: !Depend jgdas_gempak + resources: !calc ( doc.resource_demo.run_nothing ) + + jgdas_gempak_ncdc: !Task + <<: *exclusive_task_template + Trigger: !Depend jgdas_gempak + resources: !calc ( doc.resource_demo.run_nothing ) + #endfamily gempak + + + post: !Family + #jgdas_post_high: !Task + # <<: *exclusive_task_template + # Trigger: !Depend up.forecast.jgdas_forecast_high + # resources: !calc ( doc.resource_demo.run_gdaspost ) + # J_JOB: post + #jgdas_post_hrly_high: !Task + # <<: *exclusive_task_template + # Trigger: !Depend up.forecast.jgdas_forecast_high + # resources: !calc ( doc.resource_demo.run_gdaspost ) + # J_JOB: post + jgdas_post: !Task + <<: *exclusive_task_template + Trigger: !Depend up.forecast.jgdas_forecast + resources: !calc ( doc.resource_demo.run_gdaspost ) + J_JOB: post + #endfamily post + enkf: !Family jgdas_enkf_select_obs: !Task <<: *exclusive_task_template @@ -151,10 +579,10 @@ suite: !Cycle Trigger: !Depend jgdas_enkf_select_obs Dimensions: groupid: !calc tools.seq(1,doc.settings.ENKF_INNOVATE_GROUPS,1) - grp: !TaskElement + jgdas_enkf_innovate_obs_grp: !TaskElement <<: *exclusive_task_template Foreach: [ group ] - Name: !expand "grp{idx.groupid}" + Name: !expand "jgdas_enkf_innovate_obs_grp{idx.groupid}" resources: !calc ( doc.resource_demo.run_eomg ) J_JOB: jgdas_innovate @@ -174,10 +602,10 @@ suite: !Cycle Trigger: !Depend up.enkf.jgdas_enkf_inflate_recenter Dimensions: groupid: !calc tools.seq(1,doc.settings.ENKF_FORECAST_GROUPS,1) - grp: !TaskElement + jgdas_enkf_fcst_grp: !TaskElement <<: *exclusive_task_template Foreach: [ group ] - Name: !expand "grp{idx.groupid}" + Name: !expand "jgdas_enkf_fcst_grp{idx.groupid}" resources: !calc ( doc.resource_demo.run_efcs ) J_JOB: JGLOBAL_FORECAST @@ -186,119 +614,44 @@ suite: !Cycle Trigger: !Depend forecast resources: !calc ( doc.resource_demo.run_epos ) - - jgdas_analysis: !Task - <<: *exclusive_task_template - Trigger: !Depend ( prep.jgdas_prep & prep.jgdas_emcsfc_sfc_prep & enkf.jgdas_enkf_post.at('-6:00:00') ) - release_fcst: !DataEvent {file="/dev/null"} - resources: !calc ( doc.resource_demo.run_anal ) - - jgdas_forecast: !Task - <<: *exclusive_task_template - Trigger: !Depend ( jgdas_analysis.release_fcst & enkf.innovate ) - release_fcst: !DataEvent {file="/dev/null"} - resources: !calc ( doc.resource_demo.run_gdasfcst ) - J_JOB: JGLOBAL_FORECAST - - post: !Task - <<: *exclusive_task_template - Trigger: !Depend jgdas_forecast - resources: !calc ( doc.resource_demo.run_gdaspost ) - J_JOB: post - - vrfy: !Task - <<: *exclusive_task_template - Trigger: !Depend post - resources: !calc ( doc.resource_demo.run_vrfy ) - J_JOB: vrfy - - gfs: !Family - ecflow_def: | - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' - edit PROJ '%PROJENVIR%' - - dump: !Family - jgfs_tropcy_qc_reloc: !Task - <<: *exclusive_task_template - Trigger: !Depend jgfs_dump - jtwc_bull_email: !DataEvent {file="/dev/null"} - resources: !calc ( doc.resource_demo.run_nothing ) - - #Replaced by emc version of dump job - #This dump job should be using NCO version when delivery to NCO - jgfs_dump: !Task - <<: *exclusive_task_template - release_sfcprep: !DataEvent {file="/dev/null"} - #Time: !timedelta +3:50:00 - resources: !calc ( doc.resource_demo.run_nothing ) - - prep: !Family - jgfs_emcsfc_sfc_prep: !Task - <<: *exclusive_task_template - Trigger: !Depend up.dump.jgfs_dump.release_sfcprep - resources: !calc ( doc.resource_demo.run_nothing ) - - jgfs_prep: !Task - <<: *exclusive_task_template - Trigger: !Depend up.dump - resources: !calc ( doc.resource_demo.run_prep ) - - jgfs_prep_post: !Task - <<: *exclusive_task_template - Trigger: !Depend up.jgfs_analysis - resources: !calc ( doc.resource_demo.run_nothing ) - - jgfs_analysis: !Task - <<: *exclusive_task_template - Trigger: !Depend ( prep.jgfs_prep & prep.jgfs_emcsfc_sfc_prep & up.gdas.enkf.jgdas_enkf_post.at('-6:00:00') ) - resources: !calc ( doc.resource_demo.run_anal ) - - jgfs_vminmon: !Task - <<: *exclusive_task_template - Trigger: !Depend jgfs_analysis - resources: !calc ( doc.resource_demo.run_nothing ) - - jgfs_forecast: !Task - <<: *exclusive_task_template - Trigger: !Depend jgfs_analysis - resources: !calc ( doc.resource_demo.run_gfsfcst ) - J_JOB: JGLOBAL_FORECAST - - jgfs_post: !Task - <<: *exclusive_task_template - Trigger: !Depend jgfs_forecast - resources: !calc ( doc.resource_demo.run_gfspost ) - - jgfs_vrfy: !Task - <<: *exclusive_task_template - Trigger: !Depend jgfs_post - resources: !calc ( doc.resource_demo.run_vrfy ) - - archive: !Family - gdasarch: !Task - <<: *shared_task_template - Trigger: !Depend up.gdas.jgdas_verfrad - resources: !calc ( doc.resource_demo.run_arch ) - J_JOB: gdasarch - - gfsarch: !Task - <<: *shared_task_template - Trigger: !Depend up.gfs.jgfs_vrfy - resources: !calc ( doc.resource_demo.run_arch ) - J_JOB: gfsarch - - earc: !TaskArray - Trigger: !Depend up.gdas.enkf.jgdas_enkf_post - Dimensions: - groupid: !calc tools.seq(1,doc.settings.ENKF_ARCHIVE_GROUPS,1) - grp: !TaskElement - <<: *shared_task_template - Foreach: [ group ] - Name: !expand "grp{idx.groupid}" - resources: !calc ( doc.resource_demo.run_arch ) - J_JOB: earc - - final: !Task - <<: *shared_task_template - resources: !calc ( doc.resource_demo.run_nothing ) + #endfamily enkf + #endfamily gdas + + cycle_end: !Task + ecflow_def: !expand | + edit ECF_JOB_CMD '%ECF_JOB% 1> %ECF_JOBOUT% 2>&1' + edit ECF_PASS 'FREE' + <<: *exclusive_task_template + #time 23:00 + #Time: !timedelta +23:00:00 + resources: !calc ( doc.resource_demo.run_nothing ) + + #hpss archive tasks are run in the hpss_archive suite + #archive: !Family + # gdasarch: !Task + # <<: *shared_task_template + # Trigger: !Depend up.gdas.jgdas_verfrad + # resources: !calc ( doc.resource_demo.run_arch ) + # J_JOB: gdasarch + + # gfsarch: !Task + # <<: *shared_task_template + # Trigger: !Depend up.gfs.jgfs_vrfy + # resources: !calc ( doc.resource_demo.run_arch ) + # J_JOB: gfsarch + + # earc: !TaskArray + # Trigger: !Depend up.gdas.enkf.jgdas_enkf_post + # Dimensions: + # groupid: !calc tools.seq(1,doc.settings.ENKF_ARCHIVE_GROUPS,1) + # grp: !TaskElement + # <<: *shared_task_template + # Foreach: [ group ] + # Name: !expand "grp{idx.groupid}" + # resources: !calc ( doc.resource_demo.run_arch ) + # J_JOB: earc + + #final: !Task + # <<: *shared_task_template + # resources: !calc ( doc.resource_demo.run_nothing ) From 1dc9a56949bbbbee9bd192f6e74b6c4dafad6536 Mon Sep 17 00:00:00 2001 From: Terry McGuinness Date: Mon, 12 Feb 2018 21:07:12 +0000 Subject: [PATCH 316/487] added case BUILD for default - tested and works with now arguments --- tests/regression/fv3gfs_regression.sh | 34 ++++++++++++++++++++++----- 1 file changed, 28 insertions(+), 6 deletions(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index f84f54e..1b41f00 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -136,20 +136,40 @@ fi # On disk snapshot for flat master high res # ./setup_expt.py --pslot crowmaster768 --configdir /gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/$fv3gfs_ver/parm/config/ --idate 2018010500 --edate 2018010506 --icsdir /gpfs/hps3/emc/global/noscrub/emc.glopara/ICS --comrot /gpfs/hps2/ptmp/emc.glopara/ROTDIRS_CROW --expdir /gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209 --resdet 768 --resens 384 --nens 80 --gfs_cyc 4 -CASE=C192_C192_low +#CASE=C192_C192_low +CASE='BUILD' -if [[ $CASE == "0" ]]; then +pslot_basename='fv3gfs' +checkout_dir_basename="${pslot_basename}_sorc_${regressionID}" +pslot="${pslot_basename}_exp_${regressionID}" +#TODO make sure don't overwrite CASE dirs +if [[ $CASE == "0" ]]; then log_message "INFO" "Running default case" setup_expt=${CHECKOUT_DIR}/${checkout_dir_basename}/ush/rocoto/setup_expt.py setup_workflow=${CHECKOUT_DIR}/${checkout_dir_basename}/ush/rocoto/setup_workflow.py config_dir=${CHECKOUT_DIR}/${checkout_dir_basename}/parm/config +elif [[ $CASE == "BUILD" ]]; then + + pslot_basename="fv3gfs" + regressionID=${CASE} + checkout_dir_basename="${pslot_basename}_sorc_${regressionID}" + pslot="${pslot_basename}_exp_${regressionID}" + log_message "INFO" "Running $CASE case" + + setup_expt=${CHECKOUT_DIR}/${checkout_dir_basename}/ush/rocoto/setup_expt.py + setup_workflow=${CHECKOUT_DIR}/${checkout_dir_basename}/ush/rocoto/setup_workflow.py + config_dir=${CHECKOUT_DIR}/${checkout_dir_basename}/parm/config + fv3gfs_git_branch='BUILD' + EXTRA_SETUP_STRING="--resdet 192 --resens 192 --nens 20 --gfs_cyc 4" + echo "ARRG: $pslot $config_dir" + elif [[ $CASE == "C192_C192_low" ]]; then regressionID=$CASE log_message "INFO" "Running case: $CASE ID for this run is now $regressionID" - config_dir=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.$fv3gfs_ver/parm/config + #config_dir=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.$fv3gfs_ver/parm/config setup_expt=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.$fv3gfs_ver/ush/rocoto/setup_expt.py setup_workflow=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.$fv3gfs_ver/ush/rocoto/setup_workflow.py EXTRA_SETUP_STRING="--resdet 192 --resens 192 --nens 20 --gfs_cyc 4" @@ -163,7 +183,7 @@ elif [[ $CASE == "C192_C192_low" ]]; then elif [[ $CASE == "C768_C384_high" ]]; then regressionID=$CASE log_message "INFO" "Running case: $CASE ID for this run is now $regressionID" - config_dir=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.$fv3gfs_ver/parm/config + #config_dir=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.$fv3gfs_ver/parm/config setup_expt=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.$fv3gfs_ver/ush/rocoto/setup_expt.py setup_workflow=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.$fv3gfs_ver/ush/rocoto/setup_workflow.py EXTRA_SETUP_STRING="--resdet 768 --resens 384 --nens 80 --gfs_cyc 4" @@ -389,9 +409,11 @@ if [[ $CREATE_EXP == 'TRUE' ]]; then if [[ -d $exp_dir_fullpath ]]; then - if [[ $CASE == "C192_C192_low" ]]; then - log_message "INFO" "updated config.base and changed FHMAX_GFS=240" + if [[ $CASE == "C192_C192_low" || $CASE == "BUILD" ]]; then + log_message "WARNING" "updated config.base and changed FHMAX_GFS=240" sed -i 's/^export FHMAX_GFS=.*/export FHMAX_GFS=\"240\" \# WARNING changed to 240 by regression script/' $exp_dir_fullpath/config.base + sed -i 's/^export VRFYTRAK=.*/export VRFYTRAK=\"NO\" \# WARNING changed to 240 by regression script/' $exp_dir_fullpath/config.vrfy + sed -i 's/^export VRFYGENESIS=.*/export VRFYGENESIS=\"NO\" \# WARNING changed to 240 by regression script/' $exp_dir_fullpath/config.vrfy fi else From 8f2030b6084133a5912b9e9eaf166c8fe9f8203d Mon Sep 17 00:00:00 2001 From: Terry McGuinness Date: Mon, 12 Feb 2018 22:27:45 +0000 Subject: [PATCH 317/487] took out all references to rzdm --- tests/regression/fv3gfs_regression.sh | 34 +++++++++++++-------------- 1 file changed, 17 insertions(+), 17 deletions(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index 1b41f00..7551be7 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -55,7 +55,7 @@ BUILD=${BUILD:-'TRUE'} CREATE_EXP=${CREATE_EXP:-'TRUE'} RUNROCOTO=${RUNROCOTO:-'TRUE'} JOB_LEVEL_CHECK=${JOB_LEVEL_CHECK:-'FALSE'} -RZDM_RESULTS=${RZDM_RESULTS:-'FALSE'} +#RZDM_RESULTS=${RZDM_RESULTS:-'FALSE'} PYTHON_FILE_COMPARE=${PYTHON_FILE_COMPARE:-'TRUE'} REGRESSSION_COMROT_BASENAME='fv3gfs_regression_experments' @@ -209,8 +209,8 @@ exp_dir_fullpath=${CHECKOUT_DIR}/${pslot} exp_setup_string="--pslot ${pslot} --icsdir $ICS_dir --configdir ${config_dir} --comrot ${comrot} --idate $idate --edate $edate --expdir ${CHECKOUT_DIR} $EXTRA_SETUP_STRING" # If RZDM is set then the viewer will attempt to post the state of the workflow in html on the rzdm server -RZDM='tmcguinness@emcrzdm.ncep.noaa.gov:/home/www/emc/htdocs/gc_wmb/tmcguinness' -ROCOTOVIEWER='/u/Terry.McGuinness/bin/rocoto_viewer.py' +#RZDM='tmcguinness@emcrzdm.ncep.noaa.gov:/home/www/emc/htdocs/gc_wmb/tmcguinness' +#ROCOTOVIEWER='/u/Terry.McGuinness/bin/rocoto_viewer.py' find_data_dir () { @@ -310,9 +310,9 @@ elif [[ -d $1 && ! -d $2 ]]; then log_message "INFO" "found baseline fv3gfs gfs data found in directory: $check_baseline_dir" fi -if [[ -z $ROCOTOVIEWER ]]; then - RZDM_RESULTS="FALSE" -fi +#if [[ -z $ROCOTOVIEWER ]]; then +# RZDM_RESULTS="FALSE" +#fi regressionID=${regressionID:-'test_run'} @@ -327,7 +327,7 @@ echo "CHECKOUT = $CHECKOUT" echo "BUILD = $BUILD" echo "CREATE_EXP = $CREATE_EXP" echo "COMPARE_BASE = $COMPARE_BASE" -echo "RZDM_RESULTS = $RZDM_RESULTS" +#echo "RZDM_RESULTS = $RZDM_RESULTS" echo -e "RUNROCOTO = $RUNROCOTO\n" echo "PYTHON_FILE_COMPARE = $PYTHON_FILE_COMPARE" echo -e "JOB_LEVEL_CHECK = $JOB_LEVEL_CHECK\n" @@ -690,16 +690,16 @@ if [[ $RUNROCOTO == 'TRUE' ]]; then log_message "INFO" "Waiting here for $ROCOTO_WAIT_FRQUANCY ..." sleep $ROCOTO_WAIT_FRQUANCY - if [[ ! -z $RZDM ]]; then - viewer_arg_str="-d ${pslot}.db -w ${pslot}.xml --html=$RZDM" - cd ${exp_dir_fullpath} - $ROCOTOVIEWER $viewer_arg_str - if [[ $? == "0" ]]; then - log_message "INFO" "state of workflow posted at $RZDM" - else - log_message "WARNING" "attempt to write stats to the rzdm server failed" - fi - fi +# if [[ ! -z $RZDM ]]; then +# viewer_arg_str="-d ${pslot}.db -w ${pslot}.xml --html=$RZDM" +# cd ${exp_dir_fullpath} +# $ROCOTOVIEWER $viewer_arg_str +# if [[ $? == "0" ]]; then +# log_message "INFO" "state of workflow posted at $RZDM" +# else +# log_message "WARNING" "attempt to write stats to the rzdm server failed" +# fi +# fi done log_message "INFO" "rocotorun completed successfully" From 365efb936dfa8594d738814b3fd1527a2decb81d Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Tue, 13 Feb 2018 15:00:28 +0000 Subject: [PATCH 318/487] fix syntax error in suite_def.yaml --- model/ecflow_fv3gfs/suite_def.yaml | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index 610703d..6d6d504 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -49,7 +49,8 @@ suite: !Cycle start: 2018-01-01T00:00:00 end: 2018-01-01T18:00:00 step: !timedelta "6:00:00" -ecFlow: + + ecFlow: suite_def_filename: "prod%H.def" suite_name: "prod%H" scheduler: !calc doc.scheduler From e1e1cf97cb5dfe3af5f3c649d1445fc9efdb4fdf Mon Sep 17 00:00:00 2001 From: Bin Liu Date: Tue, 13 Feb 2018 16:33:27 +0000 Subject: [PATCH 319/487] ecflow: Now the suite_def.yaml can generate the dummy def/ecf files. Will test these dummy def/ecf files under ecflow. Note: some post related tasks were commented out. --- model/ecflow_fv3gfs/scripts/prod00/cycle_end.ecf | 11 +++++++++++ .../prod00/gdas/analysis/jgdas_analysis_high.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf | 12 ++++++++++++ .../scripts/prod00/gdas/forecast/jgdas_forecast.ecf | 12 ++++++++++++ .../scripts/prod00/gdas/gempak/jgdas_gempak.ecf | 11 +++++++++++ .../scripts/prod00/gdas/gempak/jgdas_gempak_meta.ecf | 11 +++++++++++ .../scripts/prod00/gdas/gempak/jgdas_gempak_ncdc.ecf | 11 +++++++++++ .../scripts/prod00/gdas/post/jgdas_post.ecf | 12 ++++++++++++ .../post_processing/bulletins/jgdas_mknavybulls.ecf | 11 +++++++++++ .../prod00/gfs/forecast/jgfs_forecast_high.ecf | 12 ++++++++++++ .../prod00/gfs/forecast/jgfs_forecast_low.ecf | 12 ++++++++++++ .../scripts/prod00/gfs/gempak/jgfs_gempak.ecf | 11 +++++++++++ .../scripts/prod00/gfs/gempak/jgfs_gempak_meta.ecf | 11 +++++++++++ .../scripts/prod00/gfs/gempak/jgfs_gempak_ncdc.ecf | 11 +++++++++++ .../prod00/gfs/gempak/jgfs_gempak_upapgif.ecf | 11 +++++++++++ .../ecflow_fv3gfs/scripts/prod00/gfs/post/dummy.ecf | 11 +++++++++++ .../scripts/prod00/gfs/post_processing/dummy.ecf | 11 +++++++++++ .../scripts/prod00/gfs/prdgen/dummy.ecf | 11 +++++++++++ .../scripts/prod00/gfs/prdgen/jgfs_pgrb2_manager.ecf | 11 +++++++++++ .../prod00/gfs/sminit_guam/jgfs_sminit_guam_even.ecf | 11 +++++++++++ .../prod00/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf | 11 +++++++++++ model/ecflow_fv3gfs/scripts/prod06/cycle_end.ecf | 11 +++++++++++ .../prod06/gdas/analysis/jgdas_analysis_high.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf | 12 ++++++++++++ .../scripts/prod06/gdas/forecast/jgdas_forecast.ecf | 12 ++++++++++++ .../scripts/prod06/gdas/gempak/jgdas_gempak.ecf | 11 +++++++++++ .../scripts/prod06/gdas/gempak/jgdas_gempak_meta.ecf | 11 +++++++++++ .../scripts/prod06/gdas/gempak/jgdas_gempak_ncdc.ecf | 11 +++++++++++ .../scripts/prod06/gdas/post/jgdas_post.ecf | 12 ++++++++++++ .../post_processing/bulletins/jgdas_mknavybulls.ecf | 11 +++++++++++ .../prod06/gfs/forecast/jgfs_forecast_high.ecf | 12 ++++++++++++ .../prod06/gfs/forecast/jgfs_forecast_low.ecf | 12 ++++++++++++ .../scripts/prod06/gfs/gempak/jgfs_gempak.ecf | 11 +++++++++++ .../scripts/prod06/gfs/gempak/jgfs_gempak_meta.ecf | 11 +++++++++++ .../scripts/prod06/gfs/gempak/jgfs_gempak_ncdc.ecf | 11 +++++++++++ .../prod06/gfs/gempak/jgfs_gempak_upapgif.ecf | 11 +++++++++++ .../ecflow_fv3gfs/scripts/prod06/gfs/post/dummy.ecf | 11 +++++++++++ .../scripts/prod06/gfs/post_processing/dummy.ecf | 11 +++++++++++ .../scripts/prod06/gfs/prdgen/dummy.ecf | 11 +++++++++++ .../scripts/prod06/gfs/prdgen/jgfs_pgrb2_manager.ecf | 11 +++++++++++ .../prod06/gfs/sminit_guam/jgfs_sminit_guam_even.ecf | 11 +++++++++++ .../prod06/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf | 11 +++++++++++ model/ecflow_fv3gfs/scripts/prod12/cycle_end.ecf | 11 +++++++++++ .../prod12/gdas/analysis/jgdas_analysis_high.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf | 12 ++++++++++++ .../scripts/prod12/gdas/forecast/jgdas_forecast.ecf | 12 ++++++++++++ .../scripts/prod12/gdas/gempak/jgdas_gempak.ecf | 11 +++++++++++ .../scripts/prod12/gdas/gempak/jgdas_gempak_meta.ecf | 11 +++++++++++ .../scripts/prod12/gdas/gempak/jgdas_gempak_ncdc.ecf | 11 +++++++++++ .../scripts/prod12/gdas/post/jgdas_post.ecf | 12 ++++++++++++ .../post_processing/bulletins/jgdas_mknavybulls.ecf | 11 +++++++++++ .../prod12/gfs/forecast/jgfs_forecast_high.ecf | 12 ++++++++++++ .../prod12/gfs/forecast/jgfs_forecast_low.ecf | 12 ++++++++++++ .../scripts/prod12/gfs/gempak/jgfs_gempak.ecf | 11 +++++++++++ .../scripts/prod12/gfs/gempak/jgfs_gempak_meta.ecf | 11 +++++++++++ .../scripts/prod12/gfs/gempak/jgfs_gempak_ncdc.ecf | 11 +++++++++++ .../prod12/gfs/gempak/jgfs_gempak_upapgif.ecf | 11 +++++++++++ .../ecflow_fv3gfs/scripts/prod12/gfs/post/dummy.ecf | 11 +++++++++++ .../scripts/prod12/gfs/post_processing/dummy.ecf | 11 +++++++++++ .../scripts/prod12/gfs/prdgen/dummy.ecf | 11 +++++++++++ .../scripts/prod12/gfs/prdgen/jgfs_pgrb2_manager.ecf | 11 +++++++++++ .../prod12/gfs/sminit_guam/jgfs_sminit_guam_even.ecf | 11 +++++++++++ .../prod12/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf | 11 +++++++++++ model/ecflow_fv3gfs/scripts/prod18/cycle_end.ecf | 11 +++++++++++ .../prod18/gdas/analysis/jgdas_analysis_high.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf | 12 ++++++++++++ .../gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf | 12 ++++++++++++ .../enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf | 12 ++++++++++++ .../scripts/prod18/gdas/forecast/jgdas_forecast.ecf | 12 ++++++++++++ .../scripts/prod18/gdas/gempak/jgdas_gempak.ecf | 11 +++++++++++ .../scripts/prod18/gdas/gempak/jgdas_gempak_meta.ecf | 11 +++++++++++ .../scripts/prod18/gdas/gempak/jgdas_gempak_ncdc.ecf | 11 +++++++++++ .../scripts/prod18/gdas/post/jgdas_post.ecf | 12 ++++++++++++ .../post_processing/bulletins/jgdas_mknavybulls.ecf | 11 +++++++++++ .../prod18/gfs/forecast/jgfs_forecast_high.ecf | 12 ++++++++++++ .../prod18/gfs/forecast/jgfs_forecast_low.ecf | 12 ++++++++++++ .../scripts/prod18/gfs/gempak/jgfs_gempak.ecf | 11 +++++++++++ .../scripts/prod18/gfs/gempak/jgfs_gempak_meta.ecf | 11 +++++++++++ .../scripts/prod18/gfs/gempak/jgfs_gempak_ncdc.ecf | 11 +++++++++++ .../prod18/gfs/gempak/jgfs_gempak_upapgif.ecf | 11 +++++++++++ .../ecflow_fv3gfs/scripts/prod18/gfs/post/dummy.ecf | 11 +++++++++++ .../scripts/prod18/gfs/post_processing/dummy.ecf | 11 +++++++++++ .../scripts/prod18/gfs/prdgen/dummy.ecf | 11 +++++++++++ .../scripts/prod18/gfs/prdgen/jgfs_pgrb2_manager.ecf | 11 +++++++++++ .../prod18/gfs/sminit_guam/jgfs_sminit_guam_even.ecf | 11 +++++++++++ .../prod18/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf | 11 +++++++++++ 144 files changed, 1668 insertions(+) create mode 100644 model/ecflow_fv3gfs/scripts/prod00/cycle_end.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/analysis/jgdas_analysis_high.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/forecast/jgdas_forecast.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_meta.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_ncdc.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/post/jgdas_post.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_high.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_low.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_meta.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_ncdc.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_upapgif.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/dummy.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/dummy.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/dummy.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_manager.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_even.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/cycle_end.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/analysis/jgdas_analysis_high.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/forecast/jgdas_forecast.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_meta.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_ncdc.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/post/jgdas_post.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_high.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_low.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_meta.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_ncdc.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_upapgif.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/dummy.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/dummy.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/dummy.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_manager.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_even.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/cycle_end.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/analysis/jgdas_analysis_high.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/forecast/jgdas_forecast.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_meta.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_ncdc.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/post/jgdas_post.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_high.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_low.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_meta.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_ncdc.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_upapgif.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/dummy.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/dummy.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/dummy.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_manager.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_even.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/cycle_end.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/analysis/jgdas_analysis_high.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/forecast/jgdas_forecast.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_meta.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_ncdc.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/post/jgdas_post.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_high.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_low.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_meta.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_ncdc.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_upapgif.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/dummy.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/dummy.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/dummy.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_manager.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_even.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf diff --git a/model/ecflow_fv3gfs/scripts/prod00/cycle_end.ecf b/model/ecflow_fv3gfs/scripts/prod00/cycle_end.ecf new file mode 100644 index 0000000..16137de --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/cycle_end.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J cycle_end +#BSUB -o %ECF_OUT%/cycle_end_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/CYCLE_END +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/analysis/jgdas_analysis_high.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/analysis/jgdas_analysis_high.ecf new file mode 100644 index 0000000..2a48f9c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/analysis/jgdas_analysis_high.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.analysis.jgdas_analysis_high +#BSUB -o %ECF_OUT%/gdas.analysis.jgdas_analysis_high_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=60 +%include +echo ${JOBgfs}/JGDAS_ANALYSIS_HIGH +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf new file mode 100644 index 0000000..ec5b931 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp1 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp1_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf new file mode 100644 index 0000000..4d9cba4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp2 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp2_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf new file mode 100644 index 0000000..a359ccb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp3 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp3_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf new file mode 100644 index 0000000..b518546 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp4 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp4_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf new file mode 100644 index 0000000..1f01ed1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp5_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf new file mode 100644 index 0000000..6fe5586 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp6_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf new file mode 100644 index 0000000..7e78059 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp7_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf new file mode 100644 index 0000000..e49f511 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp8_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf new file mode 100644 index 0000000..7bc1cc2 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp1 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp1_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf new file mode 100644 index 0000000..4dbb7bf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp2 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp2_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf new file mode 100644 index 0000000..d934e3a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp3 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp3_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf new file mode 100644 index 0000000..682df77 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp4 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp4_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf new file mode 100644 index 0000000..3ea061f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp5_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf new file mode 100644 index 0000000..d52ae3c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp6_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf new file mode 100644 index 0000000..4364764 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp7_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf new file mode 100644 index 0000000..575aa59 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp8_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/forecast/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/forecast/jgdas_forecast.ecf new file mode 100644 index 0000000..5caf47b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/forecast/jgdas_forecast.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.forecast.jgdas_forecast +#BSUB -o %ECF_OUT%/gdas.forecast.jgdas_forecast_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak.ecf new file mode 100644 index 0000000..c18b52a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.gempak.jgdas_gempak +#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGDAS_GEMPAK +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_meta.ecf new file mode 100644 index 0000000..7d92c7b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_meta.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.gempak.jgdas_gempak_meta +#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_meta_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGDAS_GEMPAK_META +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_ncdc.ecf new file mode 100644 index 0000000..f3f7a0a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_ncdc.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.gempak.jgdas_gempak_ncdc +#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_ncdc_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGDAS_GEMPAK_NCDC +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/post/jgdas_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/post/jgdas_post.ecf new file mode 100644 index 0000000..085d129 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/post/jgdas_post.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.jgdas_post +#BSUB -o %ECF_OUT%/gdas.post.jgdas_post_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +echo ${JOBgfs}/post +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf new file mode 100644 index 0000000..f502d41 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post_processing.bulletins.jgdas_mknavybulls +#BSUB -o %ECF_OUT%/gdas.post_processing.bulletins.jgdas_mknavybulls_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGDAS_MKNAVYBULLS +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_high.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_high.ecf new file mode 100644 index 0000000..c7e9d05 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_high.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.forecast.jgfs_forecast_high +#BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_high_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_low.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_low.ecf new file mode 100644 index 0000000..0de7e8d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_low.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.forecast.jgfs_forecast_low +#BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_low_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak.ecf new file mode 100644 index 0000000..7311596 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_GEMPAK +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_meta.ecf new file mode 100644 index 0000000..f4ca8df --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_meta.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak_meta +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_meta_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_GEMPAK_META +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_ncdc.ecf new file mode 100644 index 0000000..16d9dad --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_ncdc.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak_ncdc +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_ncdc_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_GEMPAK_NCDC +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_upapgif.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_upapgif.ecf new file mode 100644 index 0000000..7f15260 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_upapgif.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak_upapgif +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_upapgif_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_GEMPAK_UPAPGIF +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/dummy.ecf new file mode 100644 index 0000000..700857f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/dummy.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.dummy +#BSUB -o %ECF_OUT%/gfs.post.dummy_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/DUMMY +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/dummy.ecf new file mode 100644 index 0000000..be0d470 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/dummy.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.dummy +#BSUB -o %ECF_OUT%/gfs.post_processing.dummy_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/DUMMY +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/dummy.ecf new file mode 100644 index 0000000..63a18a9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/dummy.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.dummy +#BSUB -o %ECF_OUT%/gfs.prdgen.dummy_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/DUMMY +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_manager.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_manager.ecf new file mode 100644 index 0000000..b1b8e4d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_manager.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_manager +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_manager_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_PGRB2_MANAGER +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_even.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_even.ecf new file mode 100644 index 0000000..f06f3bb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_even.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.sminit_guam.jgfs_sminit_guam_even +#BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_even_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_SMINIT_GUAM_EVEN +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf new file mode 100644 index 0000000..b520226 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.sminit_guam.jgfs_sminit_guam_odd +#BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_odd_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_SMINIT_GUAM_ODD +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/cycle_end.ecf b/model/ecflow_fv3gfs/scripts/prod06/cycle_end.ecf new file mode 100644 index 0000000..16137de --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/cycle_end.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J cycle_end +#BSUB -o %ECF_OUT%/cycle_end_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/CYCLE_END +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/analysis/jgdas_analysis_high.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/analysis/jgdas_analysis_high.ecf new file mode 100644 index 0000000..2a48f9c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/analysis/jgdas_analysis_high.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.analysis.jgdas_analysis_high +#BSUB -o %ECF_OUT%/gdas.analysis.jgdas_analysis_high_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=60 +%include +echo ${JOBgfs}/JGDAS_ANALYSIS_HIGH +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf new file mode 100644 index 0000000..ec5b931 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp1 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp1_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf new file mode 100644 index 0000000..4d9cba4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp2 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp2_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf new file mode 100644 index 0000000..a359ccb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp3 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp3_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf new file mode 100644 index 0000000..b518546 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp4 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp4_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf new file mode 100644 index 0000000..1f01ed1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp5_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf new file mode 100644 index 0000000..6fe5586 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp6_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf new file mode 100644 index 0000000..7e78059 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp7_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf new file mode 100644 index 0000000..e49f511 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp8_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf new file mode 100644 index 0000000..7bc1cc2 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp1 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp1_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf new file mode 100644 index 0000000..4dbb7bf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp2 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp2_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf new file mode 100644 index 0000000..d934e3a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp3 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp3_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf new file mode 100644 index 0000000..682df77 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp4 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp4_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf new file mode 100644 index 0000000..3ea061f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp5_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf new file mode 100644 index 0000000..d52ae3c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp6_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf new file mode 100644 index 0000000..4364764 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp7_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf new file mode 100644 index 0000000..575aa59 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp8_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/forecast/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/forecast/jgdas_forecast.ecf new file mode 100644 index 0000000..5caf47b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/forecast/jgdas_forecast.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.forecast.jgdas_forecast +#BSUB -o %ECF_OUT%/gdas.forecast.jgdas_forecast_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak.ecf new file mode 100644 index 0000000..c18b52a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.gempak.jgdas_gempak +#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGDAS_GEMPAK +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_meta.ecf new file mode 100644 index 0000000..7d92c7b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_meta.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.gempak.jgdas_gempak_meta +#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_meta_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGDAS_GEMPAK_META +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_ncdc.ecf new file mode 100644 index 0000000..f3f7a0a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_ncdc.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.gempak.jgdas_gempak_ncdc +#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_ncdc_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGDAS_GEMPAK_NCDC +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/post/jgdas_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/post/jgdas_post.ecf new file mode 100644 index 0000000..085d129 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/post/jgdas_post.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.jgdas_post +#BSUB -o %ECF_OUT%/gdas.post.jgdas_post_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +echo ${JOBgfs}/post +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf new file mode 100644 index 0000000..f502d41 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post_processing.bulletins.jgdas_mknavybulls +#BSUB -o %ECF_OUT%/gdas.post_processing.bulletins.jgdas_mknavybulls_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGDAS_MKNAVYBULLS +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_high.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_high.ecf new file mode 100644 index 0000000..c7e9d05 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_high.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.forecast.jgfs_forecast_high +#BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_high_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_low.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_low.ecf new file mode 100644 index 0000000..0de7e8d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_low.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.forecast.jgfs_forecast_low +#BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_low_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak.ecf new file mode 100644 index 0000000..7311596 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_GEMPAK +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_meta.ecf new file mode 100644 index 0000000..f4ca8df --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_meta.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak_meta +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_meta_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_GEMPAK_META +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_ncdc.ecf new file mode 100644 index 0000000..16d9dad --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_ncdc.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak_ncdc +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_ncdc_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_GEMPAK_NCDC +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_upapgif.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_upapgif.ecf new file mode 100644 index 0000000..7f15260 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_upapgif.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak_upapgif +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_upapgif_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_GEMPAK_UPAPGIF +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/dummy.ecf new file mode 100644 index 0000000..700857f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/dummy.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.dummy +#BSUB -o %ECF_OUT%/gfs.post.dummy_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/DUMMY +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/dummy.ecf new file mode 100644 index 0000000..be0d470 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/dummy.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.dummy +#BSUB -o %ECF_OUT%/gfs.post_processing.dummy_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/DUMMY +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/dummy.ecf new file mode 100644 index 0000000..63a18a9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/dummy.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.dummy +#BSUB -o %ECF_OUT%/gfs.prdgen.dummy_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/DUMMY +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_manager.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_manager.ecf new file mode 100644 index 0000000..b1b8e4d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_manager.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_manager +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_manager_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_PGRB2_MANAGER +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_even.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_even.ecf new file mode 100644 index 0000000..f06f3bb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_even.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.sminit_guam.jgfs_sminit_guam_even +#BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_even_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_SMINIT_GUAM_EVEN +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf new file mode 100644 index 0000000..b520226 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.sminit_guam.jgfs_sminit_guam_odd +#BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_odd_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_SMINIT_GUAM_ODD +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/cycle_end.ecf b/model/ecflow_fv3gfs/scripts/prod12/cycle_end.ecf new file mode 100644 index 0000000..16137de --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/cycle_end.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J cycle_end +#BSUB -o %ECF_OUT%/cycle_end_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/CYCLE_END +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/analysis/jgdas_analysis_high.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/analysis/jgdas_analysis_high.ecf new file mode 100644 index 0000000..2a48f9c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/analysis/jgdas_analysis_high.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.analysis.jgdas_analysis_high +#BSUB -o %ECF_OUT%/gdas.analysis.jgdas_analysis_high_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=60 +%include +echo ${JOBgfs}/JGDAS_ANALYSIS_HIGH +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf new file mode 100644 index 0000000..ec5b931 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp1 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp1_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf new file mode 100644 index 0000000..4d9cba4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp2 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp2_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf new file mode 100644 index 0000000..a359ccb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp3 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp3_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf new file mode 100644 index 0000000..b518546 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp4 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp4_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf new file mode 100644 index 0000000..1f01ed1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp5_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf new file mode 100644 index 0000000..6fe5586 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp6_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf new file mode 100644 index 0000000..7e78059 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp7_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf new file mode 100644 index 0000000..e49f511 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp8_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf new file mode 100644 index 0000000..7bc1cc2 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp1 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp1_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf new file mode 100644 index 0000000..4dbb7bf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp2 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp2_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf new file mode 100644 index 0000000..d934e3a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp3 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp3_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf new file mode 100644 index 0000000..682df77 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp4 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp4_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf new file mode 100644 index 0000000..3ea061f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp5_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf new file mode 100644 index 0000000..d52ae3c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp6_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf new file mode 100644 index 0000000..4364764 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp7_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf new file mode 100644 index 0000000..575aa59 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp8_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/forecast/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/forecast/jgdas_forecast.ecf new file mode 100644 index 0000000..5caf47b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/forecast/jgdas_forecast.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.forecast.jgdas_forecast +#BSUB -o %ECF_OUT%/gdas.forecast.jgdas_forecast_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak.ecf new file mode 100644 index 0000000..c18b52a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.gempak.jgdas_gempak +#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGDAS_GEMPAK +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_meta.ecf new file mode 100644 index 0000000..7d92c7b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_meta.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.gempak.jgdas_gempak_meta +#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_meta_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGDAS_GEMPAK_META +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_ncdc.ecf new file mode 100644 index 0000000..f3f7a0a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_ncdc.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.gempak.jgdas_gempak_ncdc +#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_ncdc_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGDAS_GEMPAK_NCDC +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/post/jgdas_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/post/jgdas_post.ecf new file mode 100644 index 0000000..085d129 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/post/jgdas_post.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.jgdas_post +#BSUB -o %ECF_OUT%/gdas.post.jgdas_post_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +echo ${JOBgfs}/post +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf new file mode 100644 index 0000000..f502d41 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post_processing.bulletins.jgdas_mknavybulls +#BSUB -o %ECF_OUT%/gdas.post_processing.bulletins.jgdas_mknavybulls_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGDAS_MKNAVYBULLS +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_high.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_high.ecf new file mode 100644 index 0000000..c7e9d05 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_high.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.forecast.jgfs_forecast_high +#BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_high_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_low.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_low.ecf new file mode 100644 index 0000000..0de7e8d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_low.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.forecast.jgfs_forecast_low +#BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_low_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak.ecf new file mode 100644 index 0000000..7311596 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_GEMPAK +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_meta.ecf new file mode 100644 index 0000000..f4ca8df --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_meta.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak_meta +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_meta_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_GEMPAK_META +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_ncdc.ecf new file mode 100644 index 0000000..16d9dad --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_ncdc.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak_ncdc +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_ncdc_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_GEMPAK_NCDC +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_upapgif.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_upapgif.ecf new file mode 100644 index 0000000..7f15260 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_upapgif.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak_upapgif +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_upapgif_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_GEMPAK_UPAPGIF +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/dummy.ecf new file mode 100644 index 0000000..700857f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/dummy.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.dummy +#BSUB -o %ECF_OUT%/gfs.post.dummy_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/DUMMY +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/dummy.ecf new file mode 100644 index 0000000..be0d470 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/dummy.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.dummy +#BSUB -o %ECF_OUT%/gfs.post_processing.dummy_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/DUMMY +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/dummy.ecf new file mode 100644 index 0000000..63a18a9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/dummy.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.dummy +#BSUB -o %ECF_OUT%/gfs.prdgen.dummy_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/DUMMY +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_manager.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_manager.ecf new file mode 100644 index 0000000..b1b8e4d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_manager.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_manager +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_manager_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_PGRB2_MANAGER +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_even.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_even.ecf new file mode 100644 index 0000000..f06f3bb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_even.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.sminit_guam.jgfs_sminit_guam_even +#BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_even_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_SMINIT_GUAM_EVEN +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf new file mode 100644 index 0000000..b520226 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.sminit_guam.jgfs_sminit_guam_odd +#BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_odd_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_SMINIT_GUAM_ODD +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/cycle_end.ecf b/model/ecflow_fv3gfs/scripts/prod18/cycle_end.ecf new file mode 100644 index 0000000..16137de --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/cycle_end.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J cycle_end +#BSUB -o %ECF_OUT%/cycle_end_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/CYCLE_END +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/analysis/jgdas_analysis_high.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/analysis/jgdas_analysis_high.ecf new file mode 100644 index 0000000..2a48f9c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/analysis/jgdas_analysis_high.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.analysis.jgdas_analysis_high +#BSUB -o %ECF_OUT%/gdas.analysis.jgdas_analysis_high_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=60 +%include +echo ${JOBgfs}/JGDAS_ANALYSIS_HIGH +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf new file mode 100644 index 0000000..ec5b931 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp1 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp1_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf new file mode 100644 index 0000000..4d9cba4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp2 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp2_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf new file mode 100644 index 0000000..a359ccb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp3 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp3_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf new file mode 100644 index 0000000..b518546 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp4 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp4_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf new file mode 100644 index 0000000..1f01ed1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp5_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf new file mode 100644 index 0000000..6fe5586 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp6_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf new file mode 100644 index 0000000..7e78059 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp7_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf new file mode 100644 index 0000000..e49f511 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp8_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf new file mode 100644 index 0000000..7bc1cc2 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp1 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp1_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf new file mode 100644 index 0000000..4dbb7bf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp2 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp2_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf new file mode 100644 index 0000000..d934e3a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp3 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp3_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf new file mode 100644 index 0000000..682df77 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp4 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp4_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf new file mode 100644 index 0000000..3ea061f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp5_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf new file mode 100644 index 0000000..d52ae3c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp6_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf new file mode 100644 index 0000000..4364764 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp7_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf new file mode 100644 index 0000000..575aa59 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp8_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/forecast/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/forecast/jgdas_forecast.ecf new file mode 100644 index 0000000..5caf47b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/forecast/jgdas_forecast.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.forecast.jgdas_forecast +#BSUB -o %ECF_OUT%/gdas.forecast.jgdas_forecast_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak.ecf new file mode 100644 index 0000000..c18b52a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.gempak.jgdas_gempak +#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGDAS_GEMPAK +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_meta.ecf new file mode 100644 index 0000000..7d92c7b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_meta.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.gempak.jgdas_gempak_meta +#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_meta_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGDAS_GEMPAK_META +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_ncdc.ecf new file mode 100644 index 0000000..f3f7a0a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_ncdc.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.gempak.jgdas_gempak_ncdc +#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_ncdc_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGDAS_GEMPAK_NCDC +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/post/jgdas_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/post/jgdas_post.ecf new file mode 100644 index 0000000..085d129 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/post/jgdas_post.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.jgdas_post +#BSUB -o %ECF_OUT%/gdas.post.jgdas_post_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +echo ${JOBgfs}/post +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf new file mode 100644 index 0000000..f502d41 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post_processing.bulletins.jgdas_mknavybulls +#BSUB -o %ECF_OUT%/gdas.post_processing.bulletins.jgdas_mknavybulls_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGDAS_MKNAVYBULLS +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_high.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_high.ecf new file mode 100644 index 0000000..c7e9d05 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_high.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.forecast.jgfs_forecast_high +#BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_high_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_low.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_low.ecf new file mode 100644 index 0000000..0de7e8d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_low.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.forecast.jgfs_forecast_low +#BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_low_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak.ecf new file mode 100644 index 0000000..7311596 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_GEMPAK +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_meta.ecf new file mode 100644 index 0000000..f4ca8df --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_meta.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak_meta +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_meta_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_GEMPAK_META +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_ncdc.ecf new file mode 100644 index 0000000..16d9dad --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_ncdc.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak_ncdc +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_ncdc_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_GEMPAK_NCDC +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_upapgif.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_upapgif.ecf new file mode 100644 index 0000000..7f15260 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_upapgif.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak_upapgif +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_upapgif_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_GEMPAK_UPAPGIF +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/dummy.ecf new file mode 100644 index 0000000..700857f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/dummy.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.dummy +#BSUB -o %ECF_OUT%/gfs.post.dummy_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/DUMMY +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/dummy.ecf new file mode 100644 index 0000000..be0d470 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/dummy.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.dummy +#BSUB -o %ECF_OUT%/gfs.post_processing.dummy_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/DUMMY +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/dummy.ecf new file mode 100644 index 0000000..63a18a9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/dummy.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.dummy +#BSUB -o %ECF_OUT%/gfs.prdgen.dummy_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/DUMMY +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_manager.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_manager.ecf new file mode 100644 index 0000000..b1b8e4d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_manager.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_manager +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_manager_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_PGRB2_MANAGER +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_even.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_even.ecf new file mode 100644 index 0000000..f06f3bb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_even.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.sminit_guam.jgfs_sminit_guam_even +#BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_even_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_SMINIT_GUAM_EVEN +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf new file mode 100644 index 0000000..b520226 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf @@ -0,0 +1,11 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.sminit_guam.jgfs_sminit_guam_odd +#BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_odd_t%CYC%z.log +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +echo ${JOBgfs}/JGFS_SMINIT_GUAM_ODD +%include From 18756a264ab676fe4ce06158e3913d8754ec935f Mon Sep 17 00:00:00 2001 From: Bin Liu Date: Tue, 13 Feb 2018 16:58:55 +0000 Subject: [PATCH 320/487] ecflow: Update suite_def.yaml. Use is_running() for triiger on "active". --- model/ecflow_fv3gfs/defs/prod00.def | 231 ++++---- model/ecflow_fv3gfs/defs/prod06.def | 231 ++++---- model/ecflow_fv3gfs/defs/prod12.def | 231 ++++---- model/ecflow_fv3gfs/defs/prod18.def | 231 ++++---- .../scripts/prod00/archive/earc/grp1.ecf | 12 - .../scripts/prod00/archive/earc/grp2.ecf | 12 - .../scripts/prod00/archive/earc/grp3.ecf | 12 - .../scripts/prod00/archive/earc/grp4.ecf | 12 - .../scripts/prod00/archive/earc/grp5.ecf | 12 - .../scripts/prod00/archive/earc/grp6.ecf | 12 - .../scripts/prod00/archive/earc/grp7.ecf | 12 - .../scripts/prod00/archive/earc/grp8.ecf | 12 - .../scripts/prod00/archive/gdasarch.ecf | 12 - .../scripts/prod00/archive/gfsarch.ecf | 12 - .../scripts/prod00/gdas/anal.ecf | 12 - .../scripts/prod00/gdas/enkf/ecen.ecf | 12 - .../scripts/prod00/gdas/enkf/efcs/grp1.ecf | 12 - .../scripts/prod00/gdas/enkf/efcs/grp2.ecf | 12 - .../scripts/prod00/gdas/enkf/efcs/grp3.ecf | 12 - .../scripts/prod00/gdas/enkf/efcs/grp4.ecf | 12 - .../scripts/prod00/gdas/enkf/efcs/grp5.ecf | 12 - .../scripts/prod00/gdas/enkf/efcs/grp6.ecf | 12 - .../scripts/prod00/gdas/enkf/efcs/grp7.ecf | 12 - .../scripts/prod00/gdas/enkf/efcs/grp8.ecf | 12 - .../scripts/prod00/gdas/enkf/eobs.ecf | 12 - .../scripts/prod00/gdas/enkf/eomg/grp1.ecf | 12 - .../scripts/prod00/gdas/enkf/eomg/grp2.ecf | 12 - .../scripts/prod00/gdas/enkf/eomg/grp3.ecf | 12 - .../scripts/prod00/gdas/enkf/eomg/grp4.ecf | 12 - .../scripts/prod00/gdas/enkf/eomg/grp5.ecf | 12 - .../scripts/prod00/gdas/enkf/eomg/grp6.ecf | 12 - .../scripts/prod00/gdas/enkf/eomg/grp7.ecf | 12 - .../scripts/prod00/gdas/enkf/eomg/grp8.ecf | 12 - .../scripts/prod00/gdas/enkf/epos.ecf | 12 - .../scripts/prod00/gdas/enkf/eupd.ecf | 12 - .../prod00/gdas/enkf/forecast/grp1.ecf | 12 - .../prod00/gdas/enkf/forecast/grp2.ecf | 12 - .../prod00/gdas/enkf/forecast/grp3.ecf | 12 - .../prod00/gdas/enkf/forecast/grp4.ecf | 12 - .../prod00/gdas/enkf/forecast/grp5.ecf | 12 - .../prod00/gdas/enkf/forecast/grp6.ecf | 12 - .../prod00/gdas/enkf/forecast/grp7.ecf | 12 - .../prod00/gdas/enkf/forecast/grp8.ecf | 12 - .../prod00/gdas/enkf/innovate/grp1.ecf | 12 - .../prod00/gdas/enkf/innovate/grp2.ecf | 12 - .../prod00/gdas/enkf/innovate/grp3.ecf | 12 - .../prod00/gdas/enkf/innovate/grp4.ecf | 12 - .../prod00/gdas/enkf/innovate/grp5.ecf | 12 - .../prod00/gdas/enkf/innovate/grp6.ecf | 12 - .../prod00/gdas/enkf/innovate/grp7.ecf | 12 - .../prod00/gdas/enkf/innovate/grp8.ecf | 12 - .../scripts/prod00/gdas/fcst.ecf | 12 - .../scripts/prod00/gdas/jgdas_analysis.ecf | 12 - .../scripts/prod00/gdas/jgdas_forecast.ecf | 12 - .../scripts/prod00/gdas/post.ecf | 12 - .../scripts/prod00/gdas/prep.ecf | 12 - .../scripts/prod00/gdas/vrfy.ecf | 12 - .../ecflow_fv3gfs/scripts/prod00/gfs/anal.ecf | 12 - .../ecflow_fv3gfs/scripts/prod00/gfs/fcst.ecf | 12 - .../scripts/prod00/gfs/jgfs_forecast.ecf | 12 - .../scripts/prod00/gfs/jgfs_post.ecf | 12 - .../scripts/prod00/gfs/jgfs_vrfy.ecf | 12 - .../ecflow_fv3gfs/scripts/prod00/gfs/post.ecf | 12 - .../ecflow_fv3gfs/scripts/prod00/gfs/prep.ecf | 12 - .../ecflow_fv3gfs/scripts/prod00/gfs/vrfy.ecf | 12 - .../scripts/prod06/archive/earc/grp1.ecf | 12 - .../scripts/prod06/archive/earc/grp2.ecf | 12 - .../scripts/prod06/archive/earc/grp3.ecf | 12 - .../scripts/prod06/archive/earc/grp4.ecf | 12 - .../scripts/prod06/archive/earc/grp5.ecf | 12 - .../scripts/prod06/archive/earc/grp6.ecf | 12 - .../scripts/prod06/archive/earc/grp7.ecf | 12 - .../scripts/prod06/archive/earc/grp8.ecf | 12 - .../scripts/prod06/archive/gdasarch.ecf | 12 - .../scripts/prod06/archive/gfsarch.ecf | 12 - .../scripts/prod06/gdas/anal.ecf | 12 - .../scripts/prod06/gdas/enkf/ecen.ecf | 12 - .../scripts/prod06/gdas/enkf/efcs/grp1.ecf | 12 - .../scripts/prod06/gdas/enkf/efcs/grp2.ecf | 12 - .../scripts/prod06/gdas/enkf/efcs/grp3.ecf | 12 - .../scripts/prod06/gdas/enkf/efcs/grp4.ecf | 12 - .../scripts/prod06/gdas/enkf/efcs/grp5.ecf | 12 - .../scripts/prod06/gdas/enkf/efcs/grp6.ecf | 12 - .../scripts/prod06/gdas/enkf/efcs/grp7.ecf | 12 - .../scripts/prod06/gdas/enkf/efcs/grp8.ecf | 12 - .../scripts/prod06/gdas/enkf/eobs.ecf | 12 - .../scripts/prod06/gdas/enkf/eomg/grp1.ecf | 12 - .../scripts/prod06/gdas/enkf/eomg/grp2.ecf | 12 - .../scripts/prod06/gdas/enkf/eomg/grp3.ecf | 12 - .../scripts/prod06/gdas/enkf/eomg/grp4.ecf | 12 - .../scripts/prod06/gdas/enkf/eomg/grp5.ecf | 12 - .../scripts/prod06/gdas/enkf/eomg/grp6.ecf | 12 - .../scripts/prod06/gdas/enkf/eomg/grp7.ecf | 12 - .../scripts/prod06/gdas/enkf/eomg/grp8.ecf | 12 - .../scripts/prod06/gdas/enkf/epos.ecf | 12 - .../scripts/prod06/gdas/enkf/eupd.ecf | 12 - .../prod06/gdas/enkf/forecast/grp1.ecf | 12 - .../prod06/gdas/enkf/forecast/grp2.ecf | 12 - .../prod06/gdas/enkf/forecast/grp3.ecf | 12 - .../prod06/gdas/enkf/forecast/grp4.ecf | 12 - .../prod06/gdas/enkf/forecast/grp5.ecf | 12 - .../prod06/gdas/enkf/forecast/grp6.ecf | 12 - .../prod06/gdas/enkf/forecast/grp7.ecf | 12 - .../prod06/gdas/enkf/forecast/grp8.ecf | 12 - .../prod06/gdas/enkf/innovate/grp1.ecf | 12 - .../prod06/gdas/enkf/innovate/grp2.ecf | 12 - .../prod06/gdas/enkf/innovate/grp3.ecf | 12 - .../prod06/gdas/enkf/innovate/grp4.ecf | 12 - .../prod06/gdas/enkf/innovate/grp5.ecf | 12 - .../prod06/gdas/enkf/innovate/grp6.ecf | 12 - .../prod06/gdas/enkf/innovate/grp7.ecf | 12 - .../prod06/gdas/enkf/innovate/grp8.ecf | 12 - .../scripts/prod06/gdas/fcst.ecf | 12 - .../scripts/prod06/gdas/jgdas_analysis.ecf | 12 - .../scripts/prod06/gdas/jgdas_forecast.ecf | 12 - .../scripts/prod06/gdas/post.ecf | 12 - .../scripts/prod06/gdas/prep.ecf | 12 - .../scripts/prod06/gdas/vrfy.ecf | 12 - .../ecflow_fv3gfs/scripts/prod06/gfs/anal.ecf | 12 - .../ecflow_fv3gfs/scripts/prod06/gfs/fcst.ecf | 12 - .../scripts/prod06/gfs/jgfs_forecast.ecf | 12 - .../scripts/prod06/gfs/jgfs_post.ecf | 12 - .../scripts/prod06/gfs/jgfs_vrfy.ecf | 12 - .../ecflow_fv3gfs/scripts/prod06/gfs/post.ecf | 12 - .../ecflow_fv3gfs/scripts/prod06/gfs/prep.ecf | 12 - .../ecflow_fv3gfs/scripts/prod06/gfs/vrfy.ecf | 12 - .../scripts/prod12/archive/earc/grp1.ecf | 12 - .../scripts/prod12/archive/earc/grp2.ecf | 12 - .../scripts/prod12/archive/earc/grp3.ecf | 12 - .../scripts/prod12/archive/earc/grp4.ecf | 12 - .../scripts/prod12/archive/earc/grp5.ecf | 12 - .../scripts/prod12/archive/earc/grp6.ecf | 12 - .../scripts/prod12/archive/earc/grp7.ecf | 12 - .../scripts/prod12/archive/earc/grp8.ecf | 12 - .../scripts/prod12/archive/gdasarch.ecf | 12 - .../scripts/prod12/archive/gfsarch.ecf | 12 - .../scripts/prod12/gdas/anal.ecf | 12 - .../scripts/prod12/gdas/enkf/ecen.ecf | 12 - .../scripts/prod12/gdas/enkf/efcs/grp1.ecf | 12 - .../scripts/prod12/gdas/enkf/efcs/grp2.ecf | 12 - .../scripts/prod12/gdas/enkf/efcs/grp3.ecf | 12 - .../scripts/prod12/gdas/enkf/efcs/grp4.ecf | 12 - .../scripts/prod12/gdas/enkf/efcs/grp5.ecf | 12 - .../scripts/prod12/gdas/enkf/efcs/grp6.ecf | 12 - .../scripts/prod12/gdas/enkf/efcs/grp7.ecf | 12 - .../scripts/prod12/gdas/enkf/efcs/grp8.ecf | 12 - .../scripts/prod12/gdas/enkf/eobs.ecf | 12 - .../scripts/prod12/gdas/enkf/eomg/grp1.ecf | 12 - .../scripts/prod12/gdas/enkf/eomg/grp2.ecf | 12 - .../scripts/prod12/gdas/enkf/eomg/grp3.ecf | 12 - .../scripts/prod12/gdas/enkf/eomg/grp4.ecf | 12 - .../scripts/prod12/gdas/enkf/eomg/grp5.ecf | 12 - .../scripts/prod12/gdas/enkf/eomg/grp6.ecf | 12 - .../scripts/prod12/gdas/enkf/eomg/grp7.ecf | 12 - .../scripts/prod12/gdas/enkf/eomg/grp8.ecf | 12 - .../scripts/prod12/gdas/enkf/epos.ecf | 12 - .../scripts/prod12/gdas/enkf/eupd.ecf | 12 - .../prod12/gdas/enkf/forecast/grp1.ecf | 12 - .../prod12/gdas/enkf/forecast/grp2.ecf | 12 - .../prod12/gdas/enkf/forecast/grp3.ecf | 12 - .../prod12/gdas/enkf/forecast/grp4.ecf | 12 - .../prod12/gdas/enkf/forecast/grp5.ecf | 12 - .../prod12/gdas/enkf/forecast/grp6.ecf | 12 - .../prod12/gdas/enkf/forecast/grp7.ecf | 12 - .../prod12/gdas/enkf/forecast/grp8.ecf | 12 - .../prod12/gdas/enkf/innovate/grp1.ecf | 12 - .../prod12/gdas/enkf/innovate/grp2.ecf | 12 - .../prod12/gdas/enkf/innovate/grp3.ecf | 12 - .../prod12/gdas/enkf/innovate/grp4.ecf | 12 - .../prod12/gdas/enkf/innovate/grp5.ecf | 12 - .../prod12/gdas/enkf/innovate/grp6.ecf | 12 - .../prod12/gdas/enkf/innovate/grp7.ecf | 12 - .../prod12/gdas/enkf/innovate/grp8.ecf | 12 - .../scripts/prod12/gdas/fcst.ecf | 12 - .../scripts/prod12/gdas/jgdas_analysis.ecf | 12 - .../scripts/prod12/gdas/jgdas_forecast.ecf | 12 - .../scripts/prod12/gdas/post.ecf | 12 - .../scripts/prod12/gdas/prep.ecf | 12 - .../scripts/prod12/gdas/vrfy.ecf | 12 - .../ecflow_fv3gfs/scripts/prod12/gfs/anal.ecf | 12 - .../ecflow_fv3gfs/scripts/prod12/gfs/fcst.ecf | 12 - .../scripts/prod12/gfs/jgfs_forecast.ecf | 12 - .../scripts/prod12/gfs/jgfs_post.ecf | 12 - .../scripts/prod12/gfs/jgfs_vrfy.ecf | 12 - .../ecflow_fv3gfs/scripts/prod12/gfs/post.ecf | 12 - .../ecflow_fv3gfs/scripts/prod12/gfs/prep.ecf | 12 - .../ecflow_fv3gfs/scripts/prod12/gfs/vrfy.ecf | 12 - .../scripts/prod18/archive/earc/grp1.ecf | 12 - .../scripts/prod18/archive/earc/grp2.ecf | 12 - .../scripts/prod18/archive/earc/grp3.ecf | 12 - .../scripts/prod18/archive/earc/grp4.ecf | 12 - .../scripts/prod18/archive/earc/grp5.ecf | 12 - .../scripts/prod18/archive/earc/grp6.ecf | 12 - .../scripts/prod18/archive/earc/grp7.ecf | 12 - .../scripts/prod18/archive/earc/grp8.ecf | 12 - .../scripts/prod18/archive/gdasarch.ecf | 12 - .../scripts/prod18/archive/gfsarch.ecf | 12 - .../scripts/prod18/gdas/anal.ecf | 12 - .../scripts/prod18/gdas/enkf/ecen.ecf | 12 - .../scripts/prod18/gdas/enkf/efcs/grp1.ecf | 12 - .../scripts/prod18/gdas/enkf/efcs/grp2.ecf | 12 - .../scripts/prod18/gdas/enkf/efcs/grp3.ecf | 12 - .../scripts/prod18/gdas/enkf/efcs/grp4.ecf | 12 - .../scripts/prod18/gdas/enkf/efcs/grp5.ecf | 12 - .../scripts/prod18/gdas/enkf/efcs/grp6.ecf | 12 - .../scripts/prod18/gdas/enkf/efcs/grp7.ecf | 12 - .../scripts/prod18/gdas/enkf/efcs/grp8.ecf | 12 - .../scripts/prod18/gdas/enkf/eobs.ecf | 12 - .../scripts/prod18/gdas/enkf/eomg/grp1.ecf | 12 - .../scripts/prod18/gdas/enkf/eomg/grp2.ecf | 12 - .../scripts/prod18/gdas/enkf/eomg/grp3.ecf | 12 - .../scripts/prod18/gdas/enkf/eomg/grp4.ecf | 12 - .../scripts/prod18/gdas/enkf/eomg/grp5.ecf | 12 - .../scripts/prod18/gdas/enkf/eomg/grp6.ecf | 12 - .../scripts/prod18/gdas/enkf/eomg/grp7.ecf | 12 - .../scripts/prod18/gdas/enkf/eomg/grp8.ecf | 12 - .../scripts/prod18/gdas/enkf/epos.ecf | 12 - .../scripts/prod18/gdas/enkf/eupd.ecf | 12 - .../prod18/gdas/enkf/forecast/grp1.ecf | 12 - .../prod18/gdas/enkf/forecast/grp2.ecf | 12 - .../prod18/gdas/enkf/forecast/grp3.ecf | 12 - .../prod18/gdas/enkf/forecast/grp4.ecf | 12 - .../prod18/gdas/enkf/forecast/grp5.ecf | 12 - .../prod18/gdas/enkf/forecast/grp6.ecf | 12 - .../prod18/gdas/enkf/forecast/grp7.ecf | 12 - .../prod18/gdas/enkf/forecast/grp8.ecf | 12 - .../prod18/gdas/enkf/innovate/grp1.ecf | 12 - .../prod18/gdas/enkf/innovate/grp2.ecf | 12 - .../prod18/gdas/enkf/innovate/grp3.ecf | 12 - .../prod18/gdas/enkf/innovate/grp4.ecf | 12 - .../prod18/gdas/enkf/innovate/grp5.ecf | 12 - .../prod18/gdas/enkf/innovate/grp6.ecf | 12 - .../prod18/gdas/enkf/innovate/grp7.ecf | 12 - .../prod18/gdas/enkf/innovate/grp8.ecf | 12 - .../scripts/prod18/gdas/fcst.ecf | 12 - .../scripts/prod18/gdas/jgdas_analysis.ecf | 12 - .../scripts/prod18/gdas/jgdas_forecast.ecf | 12 - .../scripts/prod18/gdas/post.ecf | 12 - .../scripts/prod18/gdas/prep.ecf | 12 - .../scripts/prod18/gdas/vrfy.ecf | 12 - .../ecflow_fv3gfs/scripts/prod18/gfs/anal.ecf | 12 - .../ecflow_fv3gfs/scripts/prod18/gfs/fcst.ecf | 12 - .../scripts/prod18/gfs/jgfs_forecast.ecf | 12 - .../scripts/prod18/gfs/jgfs_post.ecf | 12 - .../scripts/prod18/gfs/jgfs_vrfy.ecf | 12 - .../ecflow_fv3gfs/scripts/prod18/gfs/post.ecf | 12 - .../ecflow_fv3gfs/scripts/prod18/gfs/prep.ecf | 12 - .../ecflow_fv3gfs/scripts/prod18/gfs/vrfy.ecf | 12 - model/ecflow_fv3gfs/suite_def.yaml | 530 +++++++++--------- 249 files changed, 816 insertions(+), 3566 deletions(-) delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp1.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp3.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp4.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp5.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp6.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp7.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp8.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/archive/gdasarch.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/archive/gfsarch.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/anal.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/ecen.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp1.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp3.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp4.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp5.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp6.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp7.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp8.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eobs.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp1.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp3.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp4.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp5.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp6.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp7.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp8.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/epos.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eupd.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp1.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp3.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp4.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp5.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp6.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp7.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp8.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp1.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp3.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp4.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp5.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp6.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp7.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp8.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/fcst.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_analysis.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_forecast.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/prep.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/vrfy.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/anal.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/fcst.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_forecast.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vrfy.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prep.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/vrfy.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp1.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp3.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp4.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp5.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp6.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp7.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp8.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/archive/gdasarch.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/archive/gfsarch.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/anal.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/ecen.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp1.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp3.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp4.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp5.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp6.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp7.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp8.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eobs.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp1.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp3.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp4.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp5.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp6.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp7.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp8.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/epos.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eupd.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp1.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp3.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp4.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp5.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp6.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp7.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp8.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp1.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp3.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp4.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp5.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp6.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp7.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp8.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/fcst.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_analysis.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_forecast.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/prep.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/vrfy.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/anal.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/fcst.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_forecast.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vrfy.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prep.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/vrfy.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp1.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp3.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp4.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp5.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp6.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp7.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp8.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/archive/gdasarch.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/archive/gfsarch.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/anal.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/ecen.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp1.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp3.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp4.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp5.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp6.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp7.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp8.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eobs.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp1.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp3.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp4.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp5.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp6.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp7.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp8.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/epos.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eupd.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp1.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp3.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp4.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp5.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp6.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp7.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp8.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp1.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp3.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp4.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp5.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp6.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp7.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp8.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/fcst.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_analysis.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_forecast.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/prep.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/vrfy.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/anal.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/fcst.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_forecast.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vrfy.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prep.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/vrfy.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp1.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp3.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp4.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp5.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp6.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp7.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp8.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/archive/gdasarch.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/archive/gfsarch.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/anal.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/ecen.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp1.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp3.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp4.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp5.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp6.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp7.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp8.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eobs.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp1.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp3.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp4.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp5.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp6.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp7.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp8.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/epos.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eupd.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp1.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp3.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp4.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp5.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp6.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp7.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp8.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp1.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp3.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp4.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp5.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp6.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp7.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp8.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/fcst.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_analysis.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_forecast.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/prep.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/vrfy.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/anal.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/fcst.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_forecast.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vrfy.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prep.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/vrfy.ecf diff --git a/model/ecflow_fv3gfs/defs/prod00.def b/model/ecflow_fv3gfs/defs/prod00.def index f4ab266..a9e7997 100644 --- a/model/ecflow_fv3gfs/defs/prod00.def +++ b/model/ecflow_fv3gfs/defs/prod00.def @@ -20,12 +20,90 @@ suite prod00 edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' + family gfs + family dump + task jgfs_tropcy_qc_reloc + trigger ./jgfs_dump == complete + event 1 jtwc_bull_email + endtask + task jgfs_dump + event 1 release_sfcprep + endtask + endfamily + family prep + task jgfs_emcsfc_sfc_prep + trigger ../dump/jgfs_dump:release_sfcprep + endtask + task jgfs_prep + trigger ../dump == complete + endtask + task jgfs_prep_post + trigger ../jgfs_analysis == complete + endtask + endfamily + task jgfs_analysis + trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete + endtask + task jgfs_vminmon + trigger ./jgfs_analysis == complete + endtask + family forecast + task jgfs_forecast_high + trigger ../jgfs_analysis == complete + endtask + task jgfs_forecast_low + trigger ./jgfs_forecast_high == complete + endtask + endfamily + family sminit_guam + task jgfs_sminit_guam_even + trigger ../post == complete + endtask + task jgfs_sminit_guam_odd + trigger ../post == complete + endtask + endfamily + family post_processing + task dummy + trigger ../forecast == complete + endtask + endfamily + family post + task dummy + trigger ../forecast == complete + endtask + endfamily + family prdgen + task dummy + trigger ../post == complete + endtask + task jgfs_pgrb2_manager + trigger ../post == complete + event 1 release_pgrb2_00 + event 1 release_post384 + endtask + endfamily + family gempak + task jgfs_gempak_upapgif + trigger ../dump/jgfs_dump == complete + endtask + task jgfs_gempak_ncdc + trigger ./jgfs_gempak == active + endtask + task jgfs_gempak + trigger ../jgfs_analysis == complete + endtask + task jgfs_gempak_meta + trigger ../jgfs_analysis == complete + endtask + endfamily + endfamily family gdas task jgdas_verfrad trigger ./enkf == complete endtask task jgdas_vminmon - trigger ./jgdas_analysis == complete + trigger ./analysis/jgdas_analysis_high == complete endtask family dump task jgdas_ics @@ -46,7 +124,42 @@ suite prod00 trigger ../dump/jgdas_dump == complete and ../dump/jgdas_tropcy_qc_reloc == complete endtask task jgdas_prep_post - trigger ../jgdas_analysis == complete + trigger ../analysis/jgdas_analysis_high == complete + endtask + endfamily + family analysis + task jgdas_analysis_high + trigger ../prep/jgdas_prep == complete and ../prep/jgdas_emcsfc_sfc_prep == complete + event 1 release_fcst + endtask + endfamily + family forecast + task jgdas_forecast + trigger ../analysis/jgdas_analysis_high:release_fcst and ../enkf/innovate == complete + event 1 release_fcst + endtask + endfamily + family post_processing + family bulletins + task jgdas_mknavybulls + trigger ../../dump/jgdas_dump == complete + endtask + endfamily + endfamily + family gempak + task jgdas_gempak + trigger ../forecast/jgdas_forecast == complete + endtask + task jgdas_gempak_meta + trigger ./jgdas_gempak == complete + endtask + task jgdas_gempak_ncdc + trigger ./jgdas_gempak == complete + endtask + endfamily + family post + task jgdas_post + trigger ../forecast/jgdas_forecast == complete endtask endfamily family enkf @@ -55,129 +168,53 @@ suite prod00 endtask family innovate trigger ./jgdas_enkf_select_obs == complete - task grp1 + task jgdas_enkf_innovate_obs_grp1 endtask - task grp2 + task jgdas_enkf_innovate_obs_grp2 endtask - task grp3 + task jgdas_enkf_innovate_obs_grp3 endtask - task grp4 + task jgdas_enkf_innovate_obs_grp4 endtask - task grp5 + task jgdas_enkf_innovate_obs_grp5 endtask - task grp6 + task jgdas_enkf_innovate_obs_grp6 endtask - task grp7 + task jgdas_enkf_innovate_obs_grp7 endtask - task grp8 + task jgdas_enkf_innovate_obs_grp8 endtask endfamily task jgdas_enkf_update trigger ./innovate == complete endtask task jgdas_enkf_inflate_recenter - trigger ./jgdas_enkf_update == complete and ../jgdas_analysis == complete + trigger ./jgdas_enkf_update == complete and ../analysis/jgdas_analysis_high == complete endtask family forecast trigger ./jgdas_enkf_inflate_recenter == complete - task grp1 + task jgdas_enkf_fcst_grp1 endtask - task grp2 + task jgdas_enkf_fcst_grp2 endtask - task grp3 + task jgdas_enkf_fcst_grp3 endtask - task grp4 + task jgdas_enkf_fcst_grp4 endtask - task grp5 + task jgdas_enkf_fcst_grp5 endtask - task grp6 + task jgdas_enkf_fcst_grp6 endtask - task grp7 + task jgdas_enkf_fcst_grp7 endtask - task grp8 + task jgdas_enkf_fcst_grp8 endtask endfamily task jgdas_enkf_post trigger ./forecast == complete endtask endfamily - task jgdas_analysis - trigger ./prep/jgdas_prep == complete and ./prep/jgdas_emcsfc_sfc_prep == complete and /prod18/gdas/enkf/jgdas_enkf_post == complete - event 1 release_fcst - endtask - task jgdas_forecast - trigger ./jgdas_analysis:release_fcst and ./enkf/innovate == complete - event 1 release_fcst - endtask - task post - trigger ./jgdas_forecast == complete - endtask - task vrfy - trigger ./post == complete - endtask - endfamily - family gfs - family dump - task jgfs_tropcy_qc_reloc - trigger ./jgfs_dump == complete - event 1 jtwc_bull_email - endtask - task jgfs_dump - event 1 release_sfcprep - endtask - endfamily - family prep - task jgfs_emcsfc_sfc_prep - trigger ../dump/jgfs_dump:release_sfcprep - endtask - task jgfs_prep - trigger ../dump == complete - endtask - task jgfs_prep_post - trigger ../jgfs_analysis == complete - endtask - endfamily - task jgfs_analysis - trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete and /prod18/gdas/enkf/jgdas_enkf_post == complete - endtask - task jgfs_vminmon - trigger ./jgfs_analysis == complete - endtask - task jgfs_forecast - trigger ./jgfs_analysis == complete - endtask - task jgfs_post - trigger ./jgfs_forecast == complete - endtask - task jgfs_vrfy - trigger ./jgfs_post == complete - endtask - endfamily - family archive - task gdasarch - trigger ../gdas/jgdas_verfrad == complete - endtask - task gfsarch - trigger ../gfs/jgfs_vrfy == complete - endtask - family earc - trigger ../gdas/enkf/jgdas_enkf_post == complete - task grp1 - endtask - task grp2 - endtask - task grp3 - endtask - task grp4 - endtask - task grp5 - endtask - task grp6 - endtask - task grp7 - endtask - task grp8 - endtask - endfamily endfamily + task cycle_end + endtask endsuite diff --git a/model/ecflow_fv3gfs/defs/prod06.def b/model/ecflow_fv3gfs/defs/prod06.def index 2bc2f40..bed3790 100644 --- a/model/ecflow_fv3gfs/defs/prod06.def +++ b/model/ecflow_fv3gfs/defs/prod06.def @@ -20,12 +20,90 @@ suite prod06 edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' + family gfs + family dump + task jgfs_tropcy_qc_reloc + trigger ./jgfs_dump == complete + event 1 jtwc_bull_email + endtask + task jgfs_dump + event 1 release_sfcprep + endtask + endfamily + family prep + task jgfs_emcsfc_sfc_prep + trigger ../dump/jgfs_dump:release_sfcprep + endtask + task jgfs_prep + trigger ../dump == complete + endtask + task jgfs_prep_post + trigger ../jgfs_analysis == complete + endtask + endfamily + task jgfs_analysis + trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete + endtask + task jgfs_vminmon + trigger ./jgfs_analysis == complete + endtask + family forecast + task jgfs_forecast_high + trigger ../jgfs_analysis == complete + endtask + task jgfs_forecast_low + trigger ./jgfs_forecast_high == complete + endtask + endfamily + family sminit_guam + task jgfs_sminit_guam_even + trigger ../post == complete + endtask + task jgfs_sminit_guam_odd + trigger ../post == complete + endtask + endfamily + family post_processing + task dummy + trigger ../forecast == complete + endtask + endfamily + family post + task dummy + trigger ../forecast == complete + endtask + endfamily + family prdgen + task dummy + trigger ../post == complete + endtask + task jgfs_pgrb2_manager + trigger ../post == complete + event 1 release_pgrb2_00 + event 1 release_post384 + endtask + endfamily + family gempak + task jgfs_gempak_upapgif + trigger ../dump/jgfs_dump == complete + endtask + task jgfs_gempak_ncdc + trigger ./jgfs_gempak == active + endtask + task jgfs_gempak + trigger ../jgfs_analysis == complete + endtask + task jgfs_gempak_meta + trigger ../jgfs_analysis == complete + endtask + endfamily + endfamily family gdas task jgdas_verfrad trigger ./enkf == complete endtask task jgdas_vminmon - trigger ./jgdas_analysis == complete + trigger ./analysis/jgdas_analysis_high == complete endtask family dump task jgdas_ics @@ -46,7 +124,42 @@ suite prod06 trigger ../dump/jgdas_dump == complete and ../dump/jgdas_tropcy_qc_reloc == complete endtask task jgdas_prep_post - trigger ../jgdas_analysis == complete + trigger ../analysis/jgdas_analysis_high == complete + endtask + endfamily + family analysis + task jgdas_analysis_high + trigger ../prep/jgdas_prep == complete and ../prep/jgdas_emcsfc_sfc_prep == complete + event 1 release_fcst + endtask + endfamily + family forecast + task jgdas_forecast + trigger ../analysis/jgdas_analysis_high:release_fcst and ../enkf/innovate == complete + event 1 release_fcst + endtask + endfamily + family post_processing + family bulletins + task jgdas_mknavybulls + trigger ../../dump/jgdas_dump == complete + endtask + endfamily + endfamily + family gempak + task jgdas_gempak + trigger ../forecast/jgdas_forecast == complete + endtask + task jgdas_gempak_meta + trigger ./jgdas_gempak == complete + endtask + task jgdas_gempak_ncdc + trigger ./jgdas_gempak == complete + endtask + endfamily + family post + task jgdas_post + trigger ../forecast/jgdas_forecast == complete endtask endfamily family enkf @@ -55,129 +168,53 @@ suite prod06 endtask family innovate trigger ./jgdas_enkf_select_obs == complete - task grp1 + task jgdas_enkf_innovate_obs_grp1 endtask - task grp2 + task jgdas_enkf_innovate_obs_grp2 endtask - task grp3 + task jgdas_enkf_innovate_obs_grp3 endtask - task grp4 + task jgdas_enkf_innovate_obs_grp4 endtask - task grp5 + task jgdas_enkf_innovate_obs_grp5 endtask - task grp6 + task jgdas_enkf_innovate_obs_grp6 endtask - task grp7 + task jgdas_enkf_innovate_obs_grp7 endtask - task grp8 + task jgdas_enkf_innovate_obs_grp8 endtask endfamily task jgdas_enkf_update trigger ./innovate == complete endtask task jgdas_enkf_inflate_recenter - trigger ./jgdas_enkf_update == complete and ../jgdas_analysis == complete + trigger ./jgdas_enkf_update == complete and ../analysis/jgdas_analysis_high == complete endtask family forecast trigger ./jgdas_enkf_inflate_recenter == complete - task grp1 + task jgdas_enkf_fcst_grp1 endtask - task grp2 + task jgdas_enkf_fcst_grp2 endtask - task grp3 + task jgdas_enkf_fcst_grp3 endtask - task grp4 + task jgdas_enkf_fcst_grp4 endtask - task grp5 + task jgdas_enkf_fcst_grp5 endtask - task grp6 + task jgdas_enkf_fcst_grp6 endtask - task grp7 + task jgdas_enkf_fcst_grp7 endtask - task grp8 + task jgdas_enkf_fcst_grp8 endtask endfamily task jgdas_enkf_post trigger ./forecast == complete endtask endfamily - task jgdas_analysis - trigger ./prep/jgdas_prep == complete and ./prep/jgdas_emcsfc_sfc_prep == complete and /prod00/gdas/enkf/jgdas_enkf_post == complete - event 1 release_fcst - endtask - task jgdas_forecast - trigger ./jgdas_analysis:release_fcst and ./enkf/innovate == complete - event 1 release_fcst - endtask - task post - trigger ./jgdas_forecast == complete - endtask - task vrfy - trigger ./post == complete - endtask - endfamily - family gfs - family dump - task jgfs_tropcy_qc_reloc - trigger ./jgfs_dump == complete - event 1 jtwc_bull_email - endtask - task jgfs_dump - event 1 release_sfcprep - endtask - endfamily - family prep - task jgfs_emcsfc_sfc_prep - trigger ../dump/jgfs_dump:release_sfcprep - endtask - task jgfs_prep - trigger ../dump == complete - endtask - task jgfs_prep_post - trigger ../jgfs_analysis == complete - endtask - endfamily - task jgfs_analysis - trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete and /prod00/gdas/enkf/jgdas_enkf_post == complete - endtask - task jgfs_vminmon - trigger ./jgfs_analysis == complete - endtask - task jgfs_forecast - trigger ./jgfs_analysis == complete - endtask - task jgfs_post - trigger ./jgfs_forecast == complete - endtask - task jgfs_vrfy - trigger ./jgfs_post == complete - endtask - endfamily - family archive - task gdasarch - trigger ../gdas/jgdas_verfrad == complete - endtask - task gfsarch - trigger ../gfs/jgfs_vrfy == complete - endtask - family earc - trigger ../gdas/enkf/jgdas_enkf_post == complete - task grp1 - endtask - task grp2 - endtask - task grp3 - endtask - task grp4 - endtask - task grp5 - endtask - task grp6 - endtask - task grp7 - endtask - task grp8 - endtask - endfamily endfamily + task cycle_end + endtask endsuite diff --git a/model/ecflow_fv3gfs/defs/prod12.def b/model/ecflow_fv3gfs/defs/prod12.def index c383e2d..7696411 100644 --- a/model/ecflow_fv3gfs/defs/prod12.def +++ b/model/ecflow_fv3gfs/defs/prod12.def @@ -20,12 +20,90 @@ suite prod12 edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' + family gfs + family dump + task jgfs_tropcy_qc_reloc + trigger ./jgfs_dump == complete + event 1 jtwc_bull_email + endtask + task jgfs_dump + event 1 release_sfcprep + endtask + endfamily + family prep + task jgfs_emcsfc_sfc_prep + trigger ../dump/jgfs_dump:release_sfcprep + endtask + task jgfs_prep + trigger ../dump == complete + endtask + task jgfs_prep_post + trigger ../jgfs_analysis == complete + endtask + endfamily + task jgfs_analysis + trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete + endtask + task jgfs_vminmon + trigger ./jgfs_analysis == complete + endtask + family forecast + task jgfs_forecast_high + trigger ../jgfs_analysis == complete + endtask + task jgfs_forecast_low + trigger ./jgfs_forecast_high == complete + endtask + endfamily + family sminit_guam + task jgfs_sminit_guam_even + trigger ../post == complete + endtask + task jgfs_sminit_guam_odd + trigger ../post == complete + endtask + endfamily + family post_processing + task dummy + trigger ../forecast == complete + endtask + endfamily + family post + task dummy + trigger ../forecast == complete + endtask + endfamily + family prdgen + task dummy + trigger ../post == complete + endtask + task jgfs_pgrb2_manager + trigger ../post == complete + event 1 release_pgrb2_00 + event 1 release_post384 + endtask + endfamily + family gempak + task jgfs_gempak_upapgif + trigger ../dump/jgfs_dump == complete + endtask + task jgfs_gempak_ncdc + trigger ./jgfs_gempak == active + endtask + task jgfs_gempak + trigger ../jgfs_analysis == complete + endtask + task jgfs_gempak_meta + trigger ../jgfs_analysis == complete + endtask + endfamily + endfamily family gdas task jgdas_verfrad trigger ./enkf == complete endtask task jgdas_vminmon - trigger ./jgdas_analysis == complete + trigger ./analysis/jgdas_analysis_high == complete endtask family dump task jgdas_ics @@ -46,7 +124,42 @@ suite prod12 trigger ../dump/jgdas_dump == complete and ../dump/jgdas_tropcy_qc_reloc == complete endtask task jgdas_prep_post - trigger ../jgdas_analysis == complete + trigger ../analysis/jgdas_analysis_high == complete + endtask + endfamily + family analysis + task jgdas_analysis_high + trigger ../prep/jgdas_prep == complete and ../prep/jgdas_emcsfc_sfc_prep == complete + event 1 release_fcst + endtask + endfamily + family forecast + task jgdas_forecast + trigger ../analysis/jgdas_analysis_high:release_fcst and ../enkf/innovate == complete + event 1 release_fcst + endtask + endfamily + family post_processing + family bulletins + task jgdas_mknavybulls + trigger ../../dump/jgdas_dump == complete + endtask + endfamily + endfamily + family gempak + task jgdas_gempak + trigger ../forecast/jgdas_forecast == complete + endtask + task jgdas_gempak_meta + trigger ./jgdas_gempak == complete + endtask + task jgdas_gempak_ncdc + trigger ./jgdas_gempak == complete + endtask + endfamily + family post + task jgdas_post + trigger ../forecast/jgdas_forecast == complete endtask endfamily family enkf @@ -55,129 +168,53 @@ suite prod12 endtask family innovate trigger ./jgdas_enkf_select_obs == complete - task grp1 + task jgdas_enkf_innovate_obs_grp1 endtask - task grp2 + task jgdas_enkf_innovate_obs_grp2 endtask - task grp3 + task jgdas_enkf_innovate_obs_grp3 endtask - task grp4 + task jgdas_enkf_innovate_obs_grp4 endtask - task grp5 + task jgdas_enkf_innovate_obs_grp5 endtask - task grp6 + task jgdas_enkf_innovate_obs_grp6 endtask - task grp7 + task jgdas_enkf_innovate_obs_grp7 endtask - task grp8 + task jgdas_enkf_innovate_obs_grp8 endtask endfamily task jgdas_enkf_update trigger ./innovate == complete endtask task jgdas_enkf_inflate_recenter - trigger ./jgdas_enkf_update == complete and ../jgdas_analysis == complete + trigger ./jgdas_enkf_update == complete and ../analysis/jgdas_analysis_high == complete endtask family forecast trigger ./jgdas_enkf_inflate_recenter == complete - task grp1 + task jgdas_enkf_fcst_grp1 endtask - task grp2 + task jgdas_enkf_fcst_grp2 endtask - task grp3 + task jgdas_enkf_fcst_grp3 endtask - task grp4 + task jgdas_enkf_fcst_grp4 endtask - task grp5 + task jgdas_enkf_fcst_grp5 endtask - task grp6 + task jgdas_enkf_fcst_grp6 endtask - task grp7 + task jgdas_enkf_fcst_grp7 endtask - task grp8 + task jgdas_enkf_fcst_grp8 endtask endfamily task jgdas_enkf_post trigger ./forecast == complete endtask endfamily - task jgdas_analysis - trigger ./prep/jgdas_prep == complete and ./prep/jgdas_emcsfc_sfc_prep == complete and /prod06/gdas/enkf/jgdas_enkf_post == complete - event 1 release_fcst - endtask - task jgdas_forecast - trigger ./jgdas_analysis:release_fcst and ./enkf/innovate == complete - event 1 release_fcst - endtask - task post - trigger ./jgdas_forecast == complete - endtask - task vrfy - trigger ./post == complete - endtask - endfamily - family gfs - family dump - task jgfs_tropcy_qc_reloc - trigger ./jgfs_dump == complete - event 1 jtwc_bull_email - endtask - task jgfs_dump - event 1 release_sfcprep - endtask - endfamily - family prep - task jgfs_emcsfc_sfc_prep - trigger ../dump/jgfs_dump:release_sfcprep - endtask - task jgfs_prep - trigger ../dump == complete - endtask - task jgfs_prep_post - trigger ../jgfs_analysis == complete - endtask - endfamily - task jgfs_analysis - trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete and /prod06/gdas/enkf/jgdas_enkf_post == complete - endtask - task jgfs_vminmon - trigger ./jgfs_analysis == complete - endtask - task jgfs_forecast - trigger ./jgfs_analysis == complete - endtask - task jgfs_post - trigger ./jgfs_forecast == complete - endtask - task jgfs_vrfy - trigger ./jgfs_post == complete - endtask - endfamily - family archive - task gdasarch - trigger ../gdas/jgdas_verfrad == complete - endtask - task gfsarch - trigger ../gfs/jgfs_vrfy == complete - endtask - family earc - trigger ../gdas/enkf/jgdas_enkf_post == complete - task grp1 - endtask - task grp2 - endtask - task grp3 - endtask - task grp4 - endtask - task grp5 - endtask - task grp6 - endtask - task grp7 - endtask - task grp8 - endtask - endfamily endfamily + task cycle_end + endtask endsuite diff --git a/model/ecflow_fv3gfs/defs/prod18.def b/model/ecflow_fv3gfs/defs/prod18.def index 3593572..f098062 100644 --- a/model/ecflow_fv3gfs/defs/prod18.def +++ b/model/ecflow_fv3gfs/defs/prod18.def @@ -20,12 +20,90 @@ suite prod18 edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' + family gfs + family dump + task jgfs_tropcy_qc_reloc + trigger ./jgfs_dump == complete + event 1 jtwc_bull_email + endtask + task jgfs_dump + event 1 release_sfcprep + endtask + endfamily + family prep + task jgfs_emcsfc_sfc_prep + trigger ../dump/jgfs_dump:release_sfcprep + endtask + task jgfs_prep + trigger ../dump == complete + endtask + task jgfs_prep_post + trigger ../jgfs_analysis == complete + endtask + endfamily + task jgfs_analysis + trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete + endtask + task jgfs_vminmon + trigger ./jgfs_analysis == complete + endtask + family forecast + task jgfs_forecast_high + trigger ../jgfs_analysis == complete + endtask + task jgfs_forecast_low + trigger ./jgfs_forecast_high == complete + endtask + endfamily + family sminit_guam + task jgfs_sminit_guam_even + trigger ../post == complete + endtask + task jgfs_sminit_guam_odd + trigger ../post == complete + endtask + endfamily + family post_processing + task dummy + trigger ../forecast == complete + endtask + endfamily + family post + task dummy + trigger ../forecast == complete + endtask + endfamily + family prdgen + task dummy + trigger ../post == complete + endtask + task jgfs_pgrb2_manager + trigger ../post == complete + event 1 release_pgrb2_00 + event 1 release_post384 + endtask + endfamily + family gempak + task jgfs_gempak_upapgif + trigger ../dump/jgfs_dump == complete + endtask + task jgfs_gempak_ncdc + trigger ./jgfs_gempak == active + endtask + task jgfs_gempak + trigger ../jgfs_analysis == complete + endtask + task jgfs_gempak_meta + trigger ../jgfs_analysis == complete + endtask + endfamily + endfamily family gdas task jgdas_verfrad trigger ./enkf == complete endtask task jgdas_vminmon - trigger ./jgdas_analysis == complete + trigger ./analysis/jgdas_analysis_high == complete endtask family dump task jgdas_ics @@ -46,7 +124,42 @@ suite prod18 trigger ../dump/jgdas_dump == complete and ../dump/jgdas_tropcy_qc_reloc == complete endtask task jgdas_prep_post - trigger ../jgdas_analysis == complete + trigger ../analysis/jgdas_analysis_high == complete + endtask + endfamily + family analysis + task jgdas_analysis_high + trigger ../prep/jgdas_prep == complete and ../prep/jgdas_emcsfc_sfc_prep == complete + event 1 release_fcst + endtask + endfamily + family forecast + task jgdas_forecast + trigger ../analysis/jgdas_analysis_high:release_fcst and ../enkf/innovate == complete + event 1 release_fcst + endtask + endfamily + family post_processing + family bulletins + task jgdas_mknavybulls + trigger ../../dump/jgdas_dump == complete + endtask + endfamily + endfamily + family gempak + task jgdas_gempak + trigger ../forecast/jgdas_forecast == complete + endtask + task jgdas_gempak_meta + trigger ./jgdas_gempak == complete + endtask + task jgdas_gempak_ncdc + trigger ./jgdas_gempak == complete + endtask + endfamily + family post + task jgdas_post + trigger ../forecast/jgdas_forecast == complete endtask endfamily family enkf @@ -55,129 +168,53 @@ suite prod18 endtask family innovate trigger ./jgdas_enkf_select_obs == complete - task grp1 + task jgdas_enkf_innovate_obs_grp1 endtask - task grp2 + task jgdas_enkf_innovate_obs_grp2 endtask - task grp3 + task jgdas_enkf_innovate_obs_grp3 endtask - task grp4 + task jgdas_enkf_innovate_obs_grp4 endtask - task grp5 + task jgdas_enkf_innovate_obs_grp5 endtask - task grp6 + task jgdas_enkf_innovate_obs_grp6 endtask - task grp7 + task jgdas_enkf_innovate_obs_grp7 endtask - task grp8 + task jgdas_enkf_innovate_obs_grp8 endtask endfamily task jgdas_enkf_update trigger ./innovate == complete endtask task jgdas_enkf_inflate_recenter - trigger ./jgdas_enkf_update == complete and ../jgdas_analysis == complete + trigger ./jgdas_enkf_update == complete and ../analysis/jgdas_analysis_high == complete endtask family forecast trigger ./jgdas_enkf_inflate_recenter == complete - task grp1 + task jgdas_enkf_fcst_grp1 endtask - task grp2 + task jgdas_enkf_fcst_grp2 endtask - task grp3 + task jgdas_enkf_fcst_grp3 endtask - task grp4 + task jgdas_enkf_fcst_grp4 endtask - task grp5 + task jgdas_enkf_fcst_grp5 endtask - task grp6 + task jgdas_enkf_fcst_grp6 endtask - task grp7 + task jgdas_enkf_fcst_grp7 endtask - task grp8 + task jgdas_enkf_fcst_grp8 endtask endfamily task jgdas_enkf_post trigger ./forecast == complete endtask endfamily - task jgdas_analysis - trigger ./prep/jgdas_prep == complete and ./prep/jgdas_emcsfc_sfc_prep == complete and /prod12/gdas/enkf/jgdas_enkf_post == complete - event 1 release_fcst - endtask - task jgdas_forecast - trigger ./jgdas_analysis:release_fcst and ./enkf/innovate == complete - event 1 release_fcst - endtask - task post - trigger ./jgdas_forecast == complete - endtask - task vrfy - trigger ./post == complete - endtask - endfamily - family gfs - family dump - task jgfs_tropcy_qc_reloc - trigger ./jgfs_dump == complete - event 1 jtwc_bull_email - endtask - task jgfs_dump - event 1 release_sfcprep - endtask - endfamily - family prep - task jgfs_emcsfc_sfc_prep - trigger ../dump/jgfs_dump:release_sfcprep - endtask - task jgfs_prep - trigger ../dump == complete - endtask - task jgfs_prep_post - trigger ../jgfs_analysis == complete - endtask - endfamily - task jgfs_analysis - trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete and /prod12/gdas/enkf/jgdas_enkf_post == complete - endtask - task jgfs_vminmon - trigger ./jgfs_analysis == complete - endtask - task jgfs_forecast - trigger ./jgfs_analysis == complete - endtask - task jgfs_post - trigger ./jgfs_forecast == complete - endtask - task jgfs_vrfy - trigger ./jgfs_post == complete - endtask - endfamily - family archive - task gdasarch - trigger ../gdas/jgdas_verfrad == complete - endtask - task gfsarch - trigger ../gfs/jgfs_vrfy == complete - endtask - family earc - trigger ../gdas/enkf/jgdas_enkf_post == complete - task grp1 - endtask - task grp2 - endtask - task grp3 - endtask - task grp4 - endtask - task grp5 - endtask - task grp6 - endtask - task grp7 - endtask - task grp8 - endtask - endfamily endfamily + task cycle_end + endtask endsuite diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp1.ecf deleted file mode 100644 index d4cdf77..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp1.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp1 -#BSUB -o %ECF_OUT%/archive.earc.grp1_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp2.ecf deleted file mode 100644 index b9d8f02..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp2.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp2 -#BSUB -o %ECF_OUT%/archive.earc.grp2_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp3.ecf deleted file mode 100644 index e934fed..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp3.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp3 -#BSUB -o %ECF_OUT%/archive.earc.grp3_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp4.ecf deleted file mode 100644 index 9732304..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp4.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp4 -#BSUB -o %ECF_OUT%/archive.earc.grp4_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp5.ecf deleted file mode 100644 index 5e41da5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp5.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp5 -#BSUB -o %ECF_OUT%/archive.earc.grp5_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp6.ecf deleted file mode 100644 index 059d850..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp6.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp6 -#BSUB -o %ECF_OUT%/archive.earc.grp6_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp7.ecf deleted file mode 100644 index 0e9123b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp7.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp7 -#BSUB -o %ECF_OUT%/archive.earc.grp7_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp8.ecf deleted file mode 100644 index 0280c3b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/earc/grp8.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp8 -#BSUB -o %ECF_OUT%/archive.earc.grp8_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/gdasarch.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/gdasarch.ecf deleted file mode 100644 index b2c44b0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/gdasarch.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.gdasarch -#BSUB -o %ECF_OUT%/archive.gdasarch_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/gdasarch -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/archive/gfsarch.ecf b/model/ecflow_fv3gfs/scripts/prod00/archive/gfsarch.ecf deleted file mode 100644 index c93e352..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/archive/gfsarch.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.gfsarch -#BSUB -o %ECF_OUT%/archive.gfsarch_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/gfsarch -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/anal.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/anal.ecf deleted file mode 100644 index d71f918..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/anal.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.anal -#BSUB -o %ECF_OUT%/gdas.anal_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=24 -%include -echo ${JOBgfs}/anal -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/ecen.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/ecen.ecf deleted file mode 100644 index 1e94e99..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/ecen.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.ecen -#BSUB -o %ECF_OUT%/gdas.enkf.ecen_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/ecen -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp1.ecf deleted file mode 100644 index a63496b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp1.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp1_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp2.ecf deleted file mode 100644 index c3c2fc6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp2.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp2_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp3.ecf deleted file mode 100644 index ad3866e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp3.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp3_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp4.ecf deleted file mode 100644 index 702321c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp4.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp4_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp5.ecf deleted file mode 100644 index a391323..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp5.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp5_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp6.ecf deleted file mode 100644 index 23068c5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp6.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp6_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp7.ecf deleted file mode 100644 index 61e4e3a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp7.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp7_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp8.ecf deleted file mode 100644 index b982f62..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/efcs/grp8.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp8_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eobs.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eobs.ecf deleted file mode 100644 index d46cd1f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eobs.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eobs -#BSUB -o %ECF_OUT%/gdas.enkf.eobs_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eobs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp1.ecf deleted file mode 100644 index 1b2dc8c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp1.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp1_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp2.ecf deleted file mode 100644 index d68e5b7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp2.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp2_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp3.ecf deleted file mode 100644 index 6284fba..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp3.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp3_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp4.ecf deleted file mode 100644 index f794a69..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp4.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp4_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp5.ecf deleted file mode 100644 index 35a20ed..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp5.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp5_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp6.ecf deleted file mode 100644 index 7eb040a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp6.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp6_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp7.ecf deleted file mode 100644 index 92a5d7a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp7.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp7_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp8.ecf deleted file mode 100644 index bb3313b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eomg/grp8.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp8_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/epos.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/epos.ecf deleted file mode 100644 index 5314f09..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/epos.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.epos -#BSUB -o %ECF_OUT%/gdas.enkf.epos_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=7 -%include -echo ${JOBgfs}/epos -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eupd.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eupd.ecf deleted file mode 100644 index f48fd62..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/eupd.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eupd -#BSUB -o %ECF_OUT%/gdas.enkf.eupd_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=10 -%include -echo ${JOBgfs}/eupd -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp1.ecf deleted file mode 100644 index d02c680..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp1.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp1_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp2.ecf deleted file mode 100644 index def9339..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp2.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp2_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp3.ecf deleted file mode 100644 index 94c9279..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp3.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp3_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp4.ecf deleted file mode 100644 index a3658fe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp4.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp4_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp5.ecf deleted file mode 100644 index cd4c884..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp5.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp5_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp6.ecf deleted file mode 100644 index 8b53b54..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp6.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp6_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp7.ecf deleted file mode 100644 index 94d4c43..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp7.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp7_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp8.ecf deleted file mode 100644 index d0c2055..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/grp8.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp8_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp1.ecf deleted file mode 100644 index b98e233..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp1.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp1_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp2.ecf deleted file mode 100644 index 91221b6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp2.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp2_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp3.ecf deleted file mode 100644 index e221cd7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp3.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp3_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp4.ecf deleted file mode 100644 index 9103832..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp4.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp4_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp5.ecf deleted file mode 100644 index 5d4b1b3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp5.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp5_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp6.ecf deleted file mode 100644 index 9972618..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp6.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp6_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp7.ecf deleted file mode 100644 index 20da55c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp7.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp7_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp8.ecf deleted file mode 100644 index 1594197..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/grp8.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp8_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/fcst.ecf deleted file mode 100644 index fc8ddcc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/fcst.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.fcst -#BSUB -o %ECF_OUT%/gdas.fcst_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -echo ${JOBgfs}/fcst -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_analysis.ecf deleted file mode 100644 index e0c300d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_analysis.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.jgdas_analysis -#BSUB -o %ECF_OUT%/gdas.jgdas_analysis_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=60 -%include -echo ${JOBgfs}/JGDAS_ANALYSIS -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_forecast.ecf deleted file mode 100644 index 5c37be8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_forecast.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.jgdas_forecast -#BSUB -o %ECF_OUT%/gdas.jgdas_forecast_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/post.ecf deleted file mode 100644 index 1e78b9d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/post.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post -#BSUB -o %ECF_OUT%/gdas.post_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -echo ${JOBgfs}/post -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep.ecf deleted file mode 100644 index dedc7b7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep -#BSUB -o %ECF_OUT%/gdas.prep_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/prep -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/vrfy.ecf deleted file mode 100644 index ba1e0f0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/vrfy.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.vrfy -#BSUB -o %ECF_OUT%/gdas.vrfy_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/vrfy -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/anal.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/anal.ecf deleted file mode 100644 index 2929a32..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/anal.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.anal -#BSUB -o %ECF_OUT%/gfs.anal_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=24 -%include -echo ${JOBgfs}/anal -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/fcst.ecf deleted file mode 100644 index 42524c3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/fcst.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.fcst -#BSUB -o %ECF_OUT%/gfs.fcst_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -echo ${JOBgfs}/fcst -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_forecast.ecf deleted file mode 100644 index e30d56e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_forecast.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.jgfs_forecast -#BSUB -o %ECF_OUT%/gfs.jgfs_forecast_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_post.ecf deleted file mode 100644 index 49bc7ab..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_post.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.jgfs_post -#BSUB -o %ECF_OUT%/gfs.jgfs_post_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -echo ${JOBgfs}/JGFS_POST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vrfy.ecf deleted file mode 100644 index 14e2ecf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vrfy.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.jgfs_vrfy -#BSUB -o %ECF_OUT%/gfs.jgfs_vrfy_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/JGFS_VRFY -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post.ecf deleted file mode 100644 index e56afe9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post -#BSUB -o %ECF_OUT%/gfs.post_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -echo ${JOBgfs}/post -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep.ecf deleted file mode 100644 index b2bc122..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep -#BSUB -o %ECF_OUT%/gfs.prep_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/prep -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/vrfy.ecf deleted file mode 100644 index 5804f2e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/vrfy.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.vrfy -#BSUB -o %ECF_OUT%/gfs.vrfy_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/vrfy -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp1.ecf deleted file mode 100644 index d4cdf77..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp1.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp1 -#BSUB -o %ECF_OUT%/archive.earc.grp1_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp2.ecf deleted file mode 100644 index b9d8f02..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp2.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp2 -#BSUB -o %ECF_OUT%/archive.earc.grp2_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp3.ecf deleted file mode 100644 index e934fed..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp3.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp3 -#BSUB -o %ECF_OUT%/archive.earc.grp3_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp4.ecf deleted file mode 100644 index 9732304..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp4.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp4 -#BSUB -o %ECF_OUT%/archive.earc.grp4_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp5.ecf deleted file mode 100644 index 5e41da5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp5.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp5 -#BSUB -o %ECF_OUT%/archive.earc.grp5_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp6.ecf deleted file mode 100644 index 059d850..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp6.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp6 -#BSUB -o %ECF_OUT%/archive.earc.grp6_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp7.ecf deleted file mode 100644 index 0e9123b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp7.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp7 -#BSUB -o %ECF_OUT%/archive.earc.grp7_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp8.ecf deleted file mode 100644 index 0280c3b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/earc/grp8.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp8 -#BSUB -o %ECF_OUT%/archive.earc.grp8_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/gdasarch.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/gdasarch.ecf deleted file mode 100644 index b2c44b0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/gdasarch.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.gdasarch -#BSUB -o %ECF_OUT%/archive.gdasarch_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/gdasarch -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/archive/gfsarch.ecf b/model/ecflow_fv3gfs/scripts/prod06/archive/gfsarch.ecf deleted file mode 100644 index c93e352..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/archive/gfsarch.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.gfsarch -#BSUB -o %ECF_OUT%/archive.gfsarch_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/gfsarch -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/anal.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/anal.ecf deleted file mode 100644 index d71f918..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/anal.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.anal -#BSUB -o %ECF_OUT%/gdas.anal_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=24 -%include -echo ${JOBgfs}/anal -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/ecen.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/ecen.ecf deleted file mode 100644 index 1e94e99..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/ecen.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.ecen -#BSUB -o %ECF_OUT%/gdas.enkf.ecen_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/ecen -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp1.ecf deleted file mode 100644 index a63496b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp1.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp1_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp2.ecf deleted file mode 100644 index c3c2fc6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp2.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp2_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp3.ecf deleted file mode 100644 index ad3866e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp3.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp3_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp4.ecf deleted file mode 100644 index 702321c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp4.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp4_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp5.ecf deleted file mode 100644 index a391323..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp5.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp5_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp6.ecf deleted file mode 100644 index 23068c5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp6.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp6_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp7.ecf deleted file mode 100644 index 61e4e3a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp7.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp7_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp8.ecf deleted file mode 100644 index b982f62..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/efcs/grp8.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp8_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eobs.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eobs.ecf deleted file mode 100644 index d46cd1f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eobs.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eobs -#BSUB -o %ECF_OUT%/gdas.enkf.eobs_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eobs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp1.ecf deleted file mode 100644 index 1b2dc8c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp1.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp1_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp2.ecf deleted file mode 100644 index d68e5b7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp2.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp2_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp3.ecf deleted file mode 100644 index 6284fba..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp3.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp3_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp4.ecf deleted file mode 100644 index f794a69..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp4.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp4_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp5.ecf deleted file mode 100644 index 35a20ed..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp5.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp5_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp6.ecf deleted file mode 100644 index 7eb040a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp6.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp6_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp7.ecf deleted file mode 100644 index 92a5d7a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp7.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp7_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp8.ecf deleted file mode 100644 index bb3313b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eomg/grp8.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp8_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/epos.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/epos.ecf deleted file mode 100644 index 5314f09..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/epos.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.epos -#BSUB -o %ECF_OUT%/gdas.enkf.epos_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=7 -%include -echo ${JOBgfs}/epos -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eupd.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eupd.ecf deleted file mode 100644 index f48fd62..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/eupd.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eupd -#BSUB -o %ECF_OUT%/gdas.enkf.eupd_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=10 -%include -echo ${JOBgfs}/eupd -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp1.ecf deleted file mode 100644 index d02c680..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp1.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp1_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp2.ecf deleted file mode 100644 index def9339..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp2.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp2_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp3.ecf deleted file mode 100644 index 94c9279..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp3.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp3_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp4.ecf deleted file mode 100644 index a3658fe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp4.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp4_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp5.ecf deleted file mode 100644 index cd4c884..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp5.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp5_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp6.ecf deleted file mode 100644 index 8b53b54..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp6.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp6_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp7.ecf deleted file mode 100644 index 94d4c43..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp7.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp7_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp8.ecf deleted file mode 100644 index d0c2055..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/grp8.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp8_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp1.ecf deleted file mode 100644 index b98e233..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp1.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp1_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp2.ecf deleted file mode 100644 index 91221b6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp2.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp2_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp3.ecf deleted file mode 100644 index e221cd7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp3.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp3_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp4.ecf deleted file mode 100644 index 9103832..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp4.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp4_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp5.ecf deleted file mode 100644 index 5d4b1b3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp5.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp5_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp6.ecf deleted file mode 100644 index 9972618..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp6.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp6_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp7.ecf deleted file mode 100644 index 20da55c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp7.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp7_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp8.ecf deleted file mode 100644 index 1594197..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/grp8.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp8_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/fcst.ecf deleted file mode 100644 index fc8ddcc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/fcst.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.fcst -#BSUB -o %ECF_OUT%/gdas.fcst_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -echo ${JOBgfs}/fcst -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_analysis.ecf deleted file mode 100644 index e0c300d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_analysis.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.jgdas_analysis -#BSUB -o %ECF_OUT%/gdas.jgdas_analysis_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=60 -%include -echo ${JOBgfs}/JGDAS_ANALYSIS -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_forecast.ecf deleted file mode 100644 index 5c37be8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_forecast.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.jgdas_forecast -#BSUB -o %ECF_OUT%/gdas.jgdas_forecast_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/post.ecf deleted file mode 100644 index 1e78b9d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/post.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post -#BSUB -o %ECF_OUT%/gdas.post_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -echo ${JOBgfs}/post -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep.ecf deleted file mode 100644 index dedc7b7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep -#BSUB -o %ECF_OUT%/gdas.prep_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/prep -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/vrfy.ecf deleted file mode 100644 index ba1e0f0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/vrfy.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.vrfy -#BSUB -o %ECF_OUT%/gdas.vrfy_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/vrfy -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/anal.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/anal.ecf deleted file mode 100644 index 2929a32..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/anal.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.anal -#BSUB -o %ECF_OUT%/gfs.anal_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=24 -%include -echo ${JOBgfs}/anal -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/fcst.ecf deleted file mode 100644 index 42524c3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/fcst.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.fcst -#BSUB -o %ECF_OUT%/gfs.fcst_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -echo ${JOBgfs}/fcst -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_forecast.ecf deleted file mode 100644 index e30d56e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_forecast.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.jgfs_forecast -#BSUB -o %ECF_OUT%/gfs.jgfs_forecast_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_post.ecf deleted file mode 100644 index 49bc7ab..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_post.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.jgfs_post -#BSUB -o %ECF_OUT%/gfs.jgfs_post_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -echo ${JOBgfs}/JGFS_POST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vrfy.ecf deleted file mode 100644 index 14e2ecf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vrfy.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.jgfs_vrfy -#BSUB -o %ECF_OUT%/gfs.jgfs_vrfy_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/JGFS_VRFY -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post.ecf deleted file mode 100644 index e56afe9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post -#BSUB -o %ECF_OUT%/gfs.post_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -echo ${JOBgfs}/post -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep.ecf deleted file mode 100644 index b2bc122..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep -#BSUB -o %ECF_OUT%/gfs.prep_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/prep -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/vrfy.ecf deleted file mode 100644 index 5804f2e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/vrfy.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.vrfy -#BSUB -o %ECF_OUT%/gfs.vrfy_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/vrfy -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp1.ecf deleted file mode 100644 index d4cdf77..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp1.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp1 -#BSUB -o %ECF_OUT%/archive.earc.grp1_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp2.ecf deleted file mode 100644 index b9d8f02..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp2.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp2 -#BSUB -o %ECF_OUT%/archive.earc.grp2_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp3.ecf deleted file mode 100644 index e934fed..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp3.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp3 -#BSUB -o %ECF_OUT%/archive.earc.grp3_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp4.ecf deleted file mode 100644 index 9732304..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp4.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp4 -#BSUB -o %ECF_OUT%/archive.earc.grp4_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp5.ecf deleted file mode 100644 index 5e41da5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp5.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp5 -#BSUB -o %ECF_OUT%/archive.earc.grp5_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp6.ecf deleted file mode 100644 index 059d850..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp6.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp6 -#BSUB -o %ECF_OUT%/archive.earc.grp6_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp7.ecf deleted file mode 100644 index 0e9123b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp7.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp7 -#BSUB -o %ECF_OUT%/archive.earc.grp7_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp8.ecf deleted file mode 100644 index 0280c3b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/earc/grp8.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp8 -#BSUB -o %ECF_OUT%/archive.earc.grp8_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/gdasarch.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/gdasarch.ecf deleted file mode 100644 index b2c44b0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/gdasarch.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.gdasarch -#BSUB -o %ECF_OUT%/archive.gdasarch_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/gdasarch -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/archive/gfsarch.ecf b/model/ecflow_fv3gfs/scripts/prod12/archive/gfsarch.ecf deleted file mode 100644 index c93e352..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/archive/gfsarch.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.gfsarch -#BSUB -o %ECF_OUT%/archive.gfsarch_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/gfsarch -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/anal.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/anal.ecf deleted file mode 100644 index d71f918..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/anal.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.anal -#BSUB -o %ECF_OUT%/gdas.anal_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=24 -%include -echo ${JOBgfs}/anal -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/ecen.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/ecen.ecf deleted file mode 100644 index 1e94e99..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/ecen.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.ecen -#BSUB -o %ECF_OUT%/gdas.enkf.ecen_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/ecen -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp1.ecf deleted file mode 100644 index a63496b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp1.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp1_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp2.ecf deleted file mode 100644 index c3c2fc6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp2.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp2_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp3.ecf deleted file mode 100644 index ad3866e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp3.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp3_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp4.ecf deleted file mode 100644 index 702321c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp4.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp4_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp5.ecf deleted file mode 100644 index a391323..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp5.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp5_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp6.ecf deleted file mode 100644 index 23068c5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp6.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp6_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp7.ecf deleted file mode 100644 index 61e4e3a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp7.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp7_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp8.ecf deleted file mode 100644 index b982f62..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/efcs/grp8.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp8_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eobs.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eobs.ecf deleted file mode 100644 index d46cd1f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eobs.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eobs -#BSUB -o %ECF_OUT%/gdas.enkf.eobs_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eobs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp1.ecf deleted file mode 100644 index 1b2dc8c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp1.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp1_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp2.ecf deleted file mode 100644 index d68e5b7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp2.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp2_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp3.ecf deleted file mode 100644 index 6284fba..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp3.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp3_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp4.ecf deleted file mode 100644 index f794a69..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp4.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp4_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp5.ecf deleted file mode 100644 index 35a20ed..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp5.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp5_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp6.ecf deleted file mode 100644 index 7eb040a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp6.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp6_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp7.ecf deleted file mode 100644 index 92a5d7a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp7.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp7_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp8.ecf deleted file mode 100644 index bb3313b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eomg/grp8.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp8_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/epos.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/epos.ecf deleted file mode 100644 index 5314f09..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/epos.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.epos -#BSUB -o %ECF_OUT%/gdas.enkf.epos_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=7 -%include -echo ${JOBgfs}/epos -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eupd.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eupd.ecf deleted file mode 100644 index f48fd62..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/eupd.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eupd -#BSUB -o %ECF_OUT%/gdas.enkf.eupd_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=10 -%include -echo ${JOBgfs}/eupd -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp1.ecf deleted file mode 100644 index d02c680..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp1.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp1_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp2.ecf deleted file mode 100644 index def9339..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp2.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp2_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp3.ecf deleted file mode 100644 index 94c9279..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp3.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp3_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp4.ecf deleted file mode 100644 index a3658fe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp4.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp4_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp5.ecf deleted file mode 100644 index cd4c884..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp5.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp5_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp6.ecf deleted file mode 100644 index 8b53b54..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp6.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp6_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp7.ecf deleted file mode 100644 index 94d4c43..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp7.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp7_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp8.ecf deleted file mode 100644 index d0c2055..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/grp8.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp8_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp1.ecf deleted file mode 100644 index b98e233..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp1.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp1_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp2.ecf deleted file mode 100644 index 91221b6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp2.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp2_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp3.ecf deleted file mode 100644 index e221cd7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp3.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp3_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp4.ecf deleted file mode 100644 index 9103832..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp4.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp4_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp5.ecf deleted file mode 100644 index 5d4b1b3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp5.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp5_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp6.ecf deleted file mode 100644 index 9972618..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp6.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp6_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp7.ecf deleted file mode 100644 index 20da55c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp7.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp7_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp8.ecf deleted file mode 100644 index 1594197..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/grp8.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp8_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/fcst.ecf deleted file mode 100644 index fc8ddcc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/fcst.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.fcst -#BSUB -o %ECF_OUT%/gdas.fcst_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -echo ${JOBgfs}/fcst -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_analysis.ecf deleted file mode 100644 index e0c300d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_analysis.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.jgdas_analysis -#BSUB -o %ECF_OUT%/gdas.jgdas_analysis_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=60 -%include -echo ${JOBgfs}/JGDAS_ANALYSIS -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_forecast.ecf deleted file mode 100644 index 5c37be8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_forecast.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.jgdas_forecast -#BSUB -o %ECF_OUT%/gdas.jgdas_forecast_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/post.ecf deleted file mode 100644 index 1e78b9d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/post.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post -#BSUB -o %ECF_OUT%/gdas.post_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -echo ${JOBgfs}/post -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep.ecf deleted file mode 100644 index dedc7b7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep -#BSUB -o %ECF_OUT%/gdas.prep_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/prep -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/vrfy.ecf deleted file mode 100644 index ba1e0f0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/vrfy.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.vrfy -#BSUB -o %ECF_OUT%/gdas.vrfy_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/vrfy -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/anal.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/anal.ecf deleted file mode 100644 index 2929a32..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/anal.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.anal -#BSUB -o %ECF_OUT%/gfs.anal_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=24 -%include -echo ${JOBgfs}/anal -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/fcst.ecf deleted file mode 100644 index 42524c3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/fcst.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.fcst -#BSUB -o %ECF_OUT%/gfs.fcst_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -echo ${JOBgfs}/fcst -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_forecast.ecf deleted file mode 100644 index e30d56e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_forecast.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.jgfs_forecast -#BSUB -o %ECF_OUT%/gfs.jgfs_forecast_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_post.ecf deleted file mode 100644 index 49bc7ab..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_post.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.jgfs_post -#BSUB -o %ECF_OUT%/gfs.jgfs_post_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -echo ${JOBgfs}/JGFS_POST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vrfy.ecf deleted file mode 100644 index 14e2ecf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vrfy.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.jgfs_vrfy -#BSUB -o %ECF_OUT%/gfs.jgfs_vrfy_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/JGFS_VRFY -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post.ecf deleted file mode 100644 index e56afe9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post -#BSUB -o %ECF_OUT%/gfs.post_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -echo ${JOBgfs}/post -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep.ecf deleted file mode 100644 index b2bc122..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep -#BSUB -o %ECF_OUT%/gfs.prep_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/prep -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/vrfy.ecf deleted file mode 100644 index 5804f2e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/vrfy.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.vrfy -#BSUB -o %ECF_OUT%/gfs.vrfy_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/vrfy -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp1.ecf deleted file mode 100644 index d4cdf77..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp1.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp1 -#BSUB -o %ECF_OUT%/archive.earc.grp1_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp2.ecf deleted file mode 100644 index b9d8f02..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp2.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp2 -#BSUB -o %ECF_OUT%/archive.earc.grp2_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp3.ecf deleted file mode 100644 index e934fed..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp3.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp3 -#BSUB -o %ECF_OUT%/archive.earc.grp3_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp4.ecf deleted file mode 100644 index 9732304..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp4.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp4 -#BSUB -o %ECF_OUT%/archive.earc.grp4_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp5.ecf deleted file mode 100644 index 5e41da5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp5.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp5 -#BSUB -o %ECF_OUT%/archive.earc.grp5_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp6.ecf deleted file mode 100644 index 059d850..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp6.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp6 -#BSUB -o %ECF_OUT%/archive.earc.grp6_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp7.ecf deleted file mode 100644 index 0e9123b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp7.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp7 -#BSUB -o %ECF_OUT%/archive.earc.grp7_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp8.ecf deleted file mode 100644 index 0280c3b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/earc/grp8.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp8 -#BSUB -o %ECF_OUT%/archive.earc.grp8_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/earc -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/gdasarch.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/gdasarch.ecf deleted file mode 100644 index b2c44b0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/gdasarch.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.gdasarch -#BSUB -o %ECF_OUT%/archive.gdasarch_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/gdasarch -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/archive/gfsarch.ecf b/model/ecflow_fv3gfs/scripts/prod18/archive/gfsarch.ecf deleted file mode 100644 index c93e352..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/archive/gfsarch.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.gfsarch -#BSUB -o %ECF_OUT%/archive.gfsarch_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -echo ${JOBgfs}/gfsarch -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/anal.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/anal.ecf deleted file mode 100644 index d71f918..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/anal.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.anal -#BSUB -o %ECF_OUT%/gdas.anal_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=24 -%include -echo ${JOBgfs}/anal -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/ecen.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/ecen.ecf deleted file mode 100644 index 1e94e99..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/ecen.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.ecen -#BSUB -o %ECF_OUT%/gdas.enkf.ecen_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/ecen -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp1.ecf deleted file mode 100644 index a63496b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp1.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp1_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp2.ecf deleted file mode 100644 index c3c2fc6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp2.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp2_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp3.ecf deleted file mode 100644 index ad3866e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp3.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp3_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp4.ecf deleted file mode 100644 index 702321c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp4.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp4_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp5.ecf deleted file mode 100644 index a391323..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp5.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp5_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp6.ecf deleted file mode 100644 index 23068c5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp6.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp6_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp7.ecf deleted file mode 100644 index 61e4e3a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp7.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp7_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp8.ecf deleted file mode 100644 index b982f62..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/efcs/grp8.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp8_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=5 -%include -echo ${JOBgfs}/efcs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eobs.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eobs.ecf deleted file mode 100644 index d46cd1f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eobs.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eobs -#BSUB -o %ECF_OUT%/gdas.enkf.eobs_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eobs -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp1.ecf deleted file mode 100644 index 1b2dc8c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp1.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp1_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp2.ecf deleted file mode 100644 index d68e5b7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp2.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp2_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp3.ecf deleted file mode 100644 index 6284fba..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp3.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp3_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp4.ecf deleted file mode 100644 index f794a69..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp4.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp4_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp5.ecf deleted file mode 100644 index 35a20ed..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp5.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp5_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp6.ecf deleted file mode 100644 index 7eb040a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp6.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp6_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp7.ecf deleted file mode 100644 index 92a5d7a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp7.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp7_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp8.ecf deleted file mode 100644 index bb3313b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eomg/grp8.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp8_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/eomg -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/epos.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/epos.ecf deleted file mode 100644 index 5314f09..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/epos.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.epos -#BSUB -o %ECF_OUT%/gdas.enkf.epos_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=7 -%include -echo ${JOBgfs}/epos -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eupd.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eupd.ecf deleted file mode 100644 index f48fd62..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/eupd.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eupd -#BSUB -o %ECF_OUT%/gdas.enkf.eupd_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=10 -%include -echo ${JOBgfs}/eupd -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp1.ecf deleted file mode 100644 index d02c680..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp1.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp1_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp2.ecf deleted file mode 100644 index def9339..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp2.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp2_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp3.ecf deleted file mode 100644 index 94c9279..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp3.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp3_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp4.ecf deleted file mode 100644 index a3658fe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp4.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp4_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp5.ecf deleted file mode 100644 index cd4c884..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp5.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp5_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp6.ecf deleted file mode 100644 index 8b53b54..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp6.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp6_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp7.ecf deleted file mode 100644 index 94d4c43..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp7.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp7_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp8.ecf deleted file mode 100644 index d0c2055..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/grp8.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.grp8_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp1.ecf deleted file mode 100644 index b98e233..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp1.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp1_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp2.ecf deleted file mode 100644 index 91221b6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp2.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp2_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp3.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp3.ecf deleted file mode 100644 index e221cd7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp3.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp3_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp4.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp4.ecf deleted file mode 100644 index 9103832..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp4.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp4_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp5.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp5.ecf deleted file mode 100644 index 5d4b1b3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp5.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp5_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp6.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp6.ecf deleted file mode 100644 index 9972618..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp6.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp6_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp7.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp7.ecf deleted file mode 100644 index 20da55c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp7.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp7_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp8.ecf deleted file mode 100644 index 1594197..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/grp8.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.grp8_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -echo ${JOBgfs}/jgdas_innovate -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/fcst.ecf deleted file mode 100644 index fc8ddcc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/fcst.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.fcst -#BSUB -o %ECF_OUT%/gdas.fcst_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -echo ${JOBgfs}/fcst -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_analysis.ecf deleted file mode 100644 index e0c300d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_analysis.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.jgdas_analysis -#BSUB -o %ECF_OUT%/gdas.jgdas_analysis_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=60 -%include -echo ${JOBgfs}/JGDAS_ANALYSIS -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_forecast.ecf deleted file mode 100644 index 5c37be8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_forecast.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.jgdas_forecast -#BSUB -o %ECF_OUT%/gdas.jgdas_forecast_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/post.ecf deleted file mode 100644 index 1e78b9d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/post.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post -#BSUB -o %ECF_OUT%/gdas.post_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -echo ${JOBgfs}/post -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep.ecf deleted file mode 100644 index dedc7b7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep -#BSUB -o %ECF_OUT%/gdas.prep_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/prep -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/vrfy.ecf deleted file mode 100644 index ba1e0f0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/vrfy.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.vrfy -#BSUB -o %ECF_OUT%/gdas.vrfy_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/vrfy -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/anal.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/anal.ecf deleted file mode 100644 index 2929a32..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/anal.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.anal -#BSUB -o %ECF_OUT%/gfs.anal_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=24 -%include -echo ${JOBgfs}/anal -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/fcst.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/fcst.ecf deleted file mode 100644 index 42524c3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/fcst.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.fcst -#BSUB -o %ECF_OUT%/gfs.fcst_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -echo ${JOBgfs}/fcst -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_forecast.ecf deleted file mode 100644 index e30d56e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_forecast.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.jgfs_forecast -#BSUB -o %ECF_OUT%/gfs.jgfs_forecast_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -echo ${JOBgfs}/JGLOBAL_FORECAST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_post.ecf deleted file mode 100644 index 49bc7ab..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_post.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.jgfs_post -#BSUB -o %ECF_OUT%/gfs.jgfs_post_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -echo ${JOBgfs}/JGFS_POST -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vrfy.ecf deleted file mode 100644 index 14e2ecf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vrfy.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.jgfs_vrfy -#BSUB -o %ECF_OUT%/gfs.jgfs_vrfy_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/JGFS_VRFY -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post.ecf deleted file mode 100644 index e56afe9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post -#BSUB -o %ECF_OUT%/gfs.post_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -echo ${JOBgfs}/post -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep.ecf deleted file mode 100644 index b2bc122..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep -#BSUB -o %ECF_OUT%/gfs.prep_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/prep -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/vrfy.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/vrfy.ecf deleted file mode 100644 index 5804f2e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/vrfy.ecf +++ /dev/null @@ -1,12 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.vrfy -#BSUB -o %ECF_OUT%/gfs.vrfy_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/vrfy -%include diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index 6d6d504..dcc199d 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -144,262 +144,290 @@ suite: !Cycle sminit_guam: !Family jgfs_sminit_guam_even: !Task <<: *exclusive_task_template - Trigger: !Depend ( up.post.jgfs_post_anl eq active or up.post.jgfs_post_anl ) + #Trigger: !Depend ( up.post.jgfs_post_anl.is_running() or up.post.jgfs_post_anl ) + Trigger: !Depend ( up.post ) resources: !calc ( doc.resource_demo.run_nothing ) jgfs_sminit_guam_odd: !Task <<: *exclusive_task_template - Trigger: !Depend ( up.post.jgfs_post_anl eq active or up.post.jgfs_post_anl ) + #Trigger: !Depend ( up.post.jgfs_post_anl.isrunning() or up.post.jgfs_post_anl ) + Trigger: !Depend ( up.post ) resources: !calc ( doc.resource_demo.run_nothing ) post_processing: !Family - jgfs_wafs_gcip: !Task + #This is a dummy task as a placeholder + dummy: !Task <<: *exclusive_task_template - Trigger: !Depend up.prdgen.jgfs_pgrb2_f03 + Trigger: !Depend up.forecast resources: !calc ( doc.resource_demo.run_nothing ) - #Time: !timedelta +4:40:00 - fax: !Family - jgfs_fax_f00: !Task - ecflow_def: | - edit FCSTHR '00' - <<: *exclusive_task_template - Trigger: !Depend ( up.up.prdgen.jgfs_pgrb2_f00 & up.up.prdgen.jgfs_pgrb2_anl ) - resources: !calc ( doc.resource_demo.run_nothing ) - - jgfs_fax_anl: !Task - ecflow_def: | - edit FCSTHR 'anl' - <<: *exclusive_task_template - Trigger: !Depend up.up.prdgen.jgfs_pgrb2_anl - resources: !calc ( doc.resource_demo.run_nothing ) - - jgfs_fax_wafs_f12: !Task - ecflow_def: | - edit FCSTHR '12' - <<: *exclusive_task_template - Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f12 - resources: !calc ( doc.resource_demo.run_nothing ) - - jgfs_fax_wafs_f24: !Task - ecflow_def: | - edit FCSTHR '24' - <<: *exclusive_task_template - Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f24 - resources: !calc ( doc.resource_demo.run_nothing ) - - jgfs_fax_wafs_f36: !Task - ecflow_def: | - edit FCSTHR '36' - <<: *exclusive_task_template - Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f36 - resources: !calc ( doc.resource_demo.run_nothing ) - - grib_wafs: !Family - jgfs_wafs_f00: !Task - ecflow_def: | - edit FCSTHR '00' - <<: *exclusive_task_template - Trigger: !Depend ( up.up.prdgen.jgfs_pgrb2_f00 & up.up.prdgen.jgfs_pgrb2_f120 & up.grib2_wafs.jgfs_wafs_grib2 ) - resources: !calc ( doc.resource_demo.run_nothing ) - - jgfs_wafs_f06: !Task - ecflow_def: | - edit FCSTHR '06' - <<: *exclusive_task_template - Trigger: !Depend ( up.up.prdgen.jgfs_pgrb2_f06 & jgfs_wafs_f00 ) - resources: !calc ( doc.resource_demo.run_nothing ) - - jgfs_wafs_f12: !Task - ecflow_def: | - edit FCSTHR '12' - <<: *exclusive_task_template - Trigger: !Depend ( up.up.prdgen.jgfs_pgrb2_f12 & jgfs_wafs_f06 ) - resources: !calc ( doc.resource_demo.run_nothing ) - - # tasks every 6 hours till f120 - - jgfs_wafs_f120: !Task - ecflow_def: | - edit FCSTHR '120' - <<: *exclusive_task_template - Trigger: !Depend ( up.up.prdgen.jgfs_pgrb2_f120 & jgfs_wafs_f114 ) - resources: !calc ( doc.resource_demo.run_nothing ) - - bufr_sounding: !Family - jgfs_postsnd: !Task - <<: *exclusive_task_template - Trigger: !Depend up.up.post.jgfs_post_manager.release_post00 - resources: !calc ( doc.resource_demo.run_nothing ) - - bulletins: !Family - jgfs_fbwind: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.up.post.jgfs_post_f06 & up.up.post.jgfs_post_f12 & up.up.post.jgfs_post_f24 ) - resources: !calc ( doc.resource_demo.run_nothing ) - - jgfs_cyclone_tracker: !Task - <<: *exclusive_task_template - # Very long trigger up.up.post.jgfs_post_f00-f180 & up.up.prdgen.jgfs_pgrb2_f00-f180 - Trigger: !Depend ( up.up.post.jgfs_post_f00 & up.up.post.jgfs_post_f06 & up.up.prdgen.jgfs_pgrb2_f00 & up.up.prdgen.jgfs_pgrb2_f06 ) - resources: !calc ( doc.resource_demo.run_nothing ) - - grib2_wafs: !Family - jgfs_wafs_grib2: !Task - <<: *exclusive_task_template - Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f00 - resources: !calc ( doc.resource_demo.run_nothing ) - - jgfs_wafs_blending: !Task - <<: *exclusive_task_template - Trigger: !Depend jgfs_wafs_grib2 - #Time: !timedelta +4:33:00 - resources: !calc ( doc.resource_demo.run_nothing ) - - grib_awips: !Family - jgfs_awips_f00: !Task - ecflow_def: | - edit FCSTHR '00' - <<: *exclusive_task_template - Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f00 - resources: !calc ( doc.resource_demo.run_nothing ) - - jgfs_awips_f06: !Task - ecflow_def: | - edit FCSTHR '06' - <<: *exclusive_task_template - Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f06 - resources: !calc ( doc.resource_demo.run_nothing ) - - # tasks every 6 hours till f240 - - jgfs_awips_f240: !Task - ecflow_def: | - edit FCSTHR '240' - <<: *exclusive_task_template - Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f240 - resources: !calc ( doc.resource_demo.run_nothing ) - - awips_1p0deg: !Family - ecflow_def: | - edit RES '1p0deg' - edit RESC '1P0DEG' - #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - - jgfs_awips_f000: !Task - ecflow_def: | - edit FCSTHR '000' - <<: *exclusive_task_template - Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f00 - resources: !calc ( doc.resource_demo.run_nothing ) - - jgfs_awips_f006: !Task - ecflow_def: | - edit FCSTHR '006' - <<: *exclusive_task_template - Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f06 - resources: !calc ( doc.resource_demo.run_nothing ) - - # tasks every 6 hours till f240 - - jgfs_awips_f240: !Task - ecflow_def: | - edit FCSTHR '240' - <<: *exclusive_task_template - Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f240 - resources: !calc ( doc.resource_demo.run_nothing ) - - awips_20km: !Family - ecflow_def: | - edit RES '20km' - edit RESC '20KM' - #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - - jgfs_awips_f000: !Task - ecflow_def: | - edit FCSTHR '000' - <<: *exclusive_task_template - Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f00 - resources: !calc ( doc.resource_demo.run_nothing ) +# jgfs_wafs_gcip: !Task +# <<: *exclusive_task_template +# Trigger: !Depend up.prdgen.jgfs_pgrb2_f03 +# resources: !calc ( doc.resource_demo.run_nothing ) +# #Time: !timedelta +4:40:00 + +# fax: !Family +# jgfs_fax_f00: !Task +# ecflow_def: | +# edit FCSTHR '00' +# <<: *exclusive_task_template +# Trigger: !Depend ( up.up.prdgen.jgfs_pgrb2_f00 & up.up.prdgen.jgfs_pgrb2_anl ) +# resources: !calc ( doc.resource_demo.run_nothing ) + +# jgfs_fax_anl: !Task +# ecflow_def: | +# edit FCSTHR 'anl' +# <<: *exclusive_task_template +# Trigger: !Depend up.up.prdgen.jgfs_pgrb2_anl +# resources: !calc ( doc.resource_demo.run_nothing ) + +# jgfs_fax_wafs_f12: !Task +# ecflow_def: | +# edit FCSTHR '12' +# <<: *exclusive_task_template +# Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f12 +# resources: !calc ( doc.resource_demo.run_nothing ) + +# jgfs_fax_wafs_f24: !Task +# ecflow_def: | +# edit FCSTHR '24' +# <<: *exclusive_task_template +# Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f24 +# resources: !calc ( doc.resource_demo.run_nothing ) + +# jgfs_fax_wafs_f36: !Task +# ecflow_def: | +# edit FCSTHR '36' +# <<: *exclusive_task_template +# Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f36 +# resources: !calc ( doc.resource_demo.run_nothing ) +# #endfamily fax + +# grib_wafs: !Family +# jgfs_wafs_f00: !Task +# ecflow_def: | +# edit FCSTHR '00' +# <<: *exclusive_task_template +# Trigger: !Depend ( up.up.prdgen.jgfs_pgrb2_f00 & up.up.prdgen.jgfs_pgrb2_f120 & up.grib2_wafs.jgfs_wafs_grib2 ) +# resources: !calc ( doc.resource_demo.run_nothing ) + +# jgfs_wafs_f06: !Task +# ecflow_def: | +# edit FCSTHR '06' +# <<: *exclusive_task_template +# Trigger: !Depend ( up.up.prdgen.jgfs_pgrb2_f06 & jgfs_wafs_f00 ) +# resources: !calc ( doc.resource_demo.run_nothing ) + +# jgfs_wafs_f12: !Task +# ecflow_def: | +# edit FCSTHR '12' +# <<: *exclusive_task_template +# Trigger: !Depend ( up.up.prdgen.jgfs_pgrb2_f12 & jgfs_wafs_f06 ) +# resources: !calc ( doc.resource_demo.run_nothing ) + +# # tasks every 6 hours till f120 + +# jgfs_wafs_f120: !Task +# ecflow_def: | +# edit FCSTHR '120' +# <<: *exclusive_task_template +# Trigger: !Depend ( up.up.prdgen.jgfs_pgrb2_f120 & jgfs_wafs_f114 ) +# resources: !calc ( doc.resource_demo.run_nothing ) +# #endfamily grib_wafs + +# bufr_sounding: !Family +# jgfs_postsnd: !Task +# <<: *exclusive_task_template +# Trigger: !Depend up.up.post.jgfs_post_manager.release_post00 +# resources: !calc ( doc.resource_demo.run_nothing ) + +# bulletins: !Family +# jgfs_fbwind: !Task +# <<: *exclusive_task_template +# Trigger: !Depend ( up.up.post.jgfs_post_f06 & up.up.post.jgfs_post_f12 & up.up.post.jgfs_post_f24 ) +# resources: !calc ( doc.resource_demo.run_nothing ) + +# jgfs_cyclone_tracker: !Task +# <<: *exclusive_task_template +# # Very long trigger up.up.post.jgfs_post_f00-f180 & up.up.prdgen.jgfs_pgrb2_f00-f180 +# Trigger: !Depend ( up.up.post.jgfs_post_f00 & up.up.post.jgfs_post_f06 & up.up.prdgen.jgfs_pgrb2_f00 & up.up.prdgen.jgfs_pgrb2_f06 ) +# resources: !calc ( doc.resource_demo.run_nothing ) + +# grib2_wafs: !Family +# jgfs_wafs_grib2: !Task +# <<: *exclusive_task_template +# Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f00 +# resources: !calc ( doc.resource_demo.run_nothing ) + +# jgfs_wafs_blending: !Task +# <<: *exclusive_task_template +# Trigger: !Depend jgfs_wafs_grib2 +# #Time: !timedelta +4:33:00 +# resources: !calc ( doc.resource_demo.run_nothing ) + +# grib_awips: !Family +# jgfs_awips_f00: !Task +# ecflow_def: | +# edit FCSTHR '00' +# <<: *exclusive_task_template +# Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f00 +# resources: !calc ( doc.resource_demo.run_nothing ) + +# jgfs_awips_f06: !Task +# ecflow_def: | +# edit FCSTHR '06' +# <<: *exclusive_task_template +# Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f06 +# resources: !calc ( doc.resource_demo.run_nothing ) + +# # tasks every 6 hours till f240 + +# jgfs_awips_f240: !Task +# ecflow_def: | +# edit FCSTHR '240' +# <<: *exclusive_task_template +# Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f240 +# resources: !calc ( doc.resource_demo.run_nothing ) + +# awips_1p0deg: !Family +# ecflow_def: | +# edit RES '1p0deg' +# edit RESC '1P0DEG' +# #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' +# edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + +# jgfs_awips_f000: !Task +# ecflow_def: | +# edit FCSTHR '000' +# <<: *exclusive_task_template +# Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f00 +# resources: !calc ( doc.resource_demo.run_nothing ) + +# jgfs_awips_f006: !Task +# ecflow_def: | +# edit FCSTHR '006' +# <<: *exclusive_task_template +# Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f06 +# resources: !calc ( doc.resource_demo.run_nothing ) + +# # tasks every 6 hours till f240 + +# jgfs_awips_f240: !Task +# ecflow_def: | +# edit FCSTHR '240' +# <<: *exclusive_task_template +# Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f240 +# resources: !calc ( doc.resource_demo.run_nothing ) +# #endfamily awips_1p0deg + +# awips_20km: !Family +# ecflow_def: | +# edit RES '20km' +# edit RESC '20KM' +# #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' +# edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + +# jgfs_awips_f000: !Task +# ecflow_def: | +# edit FCSTHR '000' +# <<: *exclusive_task_template +# Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f00 +# resources: !calc ( doc.resource_demo.run_nothing ) + +# jgfs_awips_f003: !Task +# ecflow_def: | +# edit FCSTHR '003' +# <<: *exclusive_task_template +# Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f03 +# resources: !calc ( doc.resource_demo.run_nothing ) + +# # tasks every 3 hours till f240 + +# jgfs_awips_f240: !Task +# ecflow_def: | +# edit FCSTHR '240' +# <<: *exclusive_task_template +# Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f240 +# resources: !calc ( doc.resource_demo.run_nothing ) +# #endfamily awips_20km - jgfs_awips_f003: !Task - ecflow_def: | - edit FCSTHR '003' - <<: *exclusive_task_template - Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f03 - resources: !calc ( doc.resource_demo.run_nothing ) - - # tasks every 3 hours till f240 - - jgfs_awips_f240: !Task - ecflow_def: | - edit FCSTHR '240' - <<: *exclusive_task_template - Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f240 - resources: !calc ( doc.resource_demo.run_nothing ) + #endfamily post_processing post: !Family - jgfs_post_manager: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.jgfs_analysis & up.forecast ) - release_postanl: !DataEvent {file="/dev/null"} - release_post00: !DataEvent {file="/dev/null"} - # events from release_post00 to release_post384 - release_post384: !DataEvent {file="/dev/null"} - resources: !calc ( doc.resource_demo.run_nothing ) - - jgfs_post_anl: !Task - ecflow_def: | - edit FHR 'anl' - edit HR 'anl' - <<: *exclusive_task_template - Trigger: !Depend jgfs_post_manager.release_postanl - release_pgrb2_anl: !DataEvent {file="/dev/null"} - resources: !calc ( doc.resource_demo.run_nothing ) - - jgfs_post_f00: !Task - ecflow_def: | - edit FHR 'f00' - edit HR '00' - <<: *exclusive_task_template - Trigger: !Depend jgfs_post_manager.release_post00 - resources: !calc ( doc.resource_demo.run_nothing ) - - jgfs_post_f01: !Task - ecflow_def: | - edit FHR 'f01' - edit HR '01' - <<: *exclusive_task_template - Trigger: !Depend jgfs_post_manager.release_post01 - resources: !calc ( doc.resource_demo.run_nothing ) - # tasks from jgfs_post_f00 to jgfs_post_f384 - - jgfs_post_f384: !Task - ecflow_def: | - edit FHR 'f384' - edit HR '384' + #This is a dummy task as a placeholder + dummy: !Task <<: *exclusive_task_template - Trigger: !Depend jgfs_post_manager.release_post384 + Trigger: !Depend up.forecast resources: !calc ( doc.resource_demo.run_nothing ) - jgfs_post_f01: !Task - ecflow_def: | - edit FHR 'f01' - edit HR '01' - <<: *exclusive_task_template - Trigger: !Depend jgfs_post_manager.release_post01 - resources: !calc ( doc.resource_demo.run_nothing ) +# jgfs_post_manager: !Task +# <<: *exclusive_task_template +# Trigger: !Depend ( up.jgfs_analysis & up.forecast ) +# release_postanl: !DataEvent {file="/dev/null"} +# release_post00: !DataEvent {file="/dev/null"} +# # events from release_post00 to release_post384 +# release_post384: !DataEvent {file="/dev/null"} +# resources: !calc ( doc.resource_demo.run_nothing ) + +# jgfs_post_anl: !Task +# ecflow_def: | +# edit FHR 'anl' +# edit HR 'anl' +# <<: *exclusive_task_template +# Trigger: !Depend jgfs_post_manager.release_postanl +# release_pgrb2_anl: !DataEvent {file="/dev/null"} +# resources: !calc ( doc.resource_demo.run_nothing ) + +# jgfs_post_f00: !Task +# ecflow_def: | +# edit FHR 'f00' +# edit HR '00' +# <<: *exclusive_task_template +# Trigger: !Depend jgfs_post_manager.release_post00 +# resources: !calc ( doc.resource_demo.run_nothing ) + +# jgfs_post_f01: !Task +# ecflow_def: | +# edit FHR 'f01' +# edit HR '01' +# <<: *exclusive_task_template +# Trigger: !Depend jgfs_post_manager.release_post01 +# resources: !calc ( doc.resource_demo.run_nothing ) + +# # tasks from jgfs_post_f00 to jgfs_post_f384 + +# jgfs_post_f384: !Task +# ecflow_def: | +# edit FHR 'f384' +# edit HR '384' +# <<: *exclusive_task_template +# Trigger: !Depend jgfs_post_manager.release_post384 +# resources: !calc ( doc.resource_demo.run_nothing ) + +# jgfs_post_f01: !Task +# ecflow_def: | +# edit FHR 'f01' +# edit HR '01' +# <<: *exclusive_task_template +# Trigger: !Depend jgfs_post_manager.release_post01 +# resources: !calc ( doc.resource_demo.run_nothing ) + +# jgfs_pgrb2_spec_post: !Task +# <<: *exclusive_task_template +# # Very long trigger jgfs_post_f336-f384 every 12h +# Trigger: !Depend ( jgfs_post_f336 & jgfs_post_f384 ) +# resources: !calc ( doc.resource_demo.run_nothing ) + #endfamily post - jgfs_pgrb2_spec_post: !Task + prdgen: !Family + #This is a dummy task as a placeholder + dummy: !Task <<: *exclusive_task_template - # Very long trigger jgfs_post_f336-f384 every 12h - Trigger: !Depend ( jgfs_post_f336 & jgfs_post_f384 ) + Trigger: !Depend up.post resources: !calc ( doc.resource_demo.run_nothing ) - prdgen: !Family jgfs_pgrb2_manager: !Task <<: *exclusive_task_template Trigger: !Depend up.post @@ -412,6 +440,8 @@ suite: !Cycle #Need to add other tasks in this prdgen family + #endfamily prdgen + gempak: !Family jgfs_gempak_upapgif: !Task <<: *exclusive_task_template @@ -420,7 +450,7 @@ suite: !Cycle jgfs_gempak_ncdc: !Task <<: *exclusive_task_template - Trigger: !Depend jgfs_gempak eq active + Trigger: !Depend jgfs_gempak.is_running() resources: !calc ( doc.resource_demo.run_nothing ) jgfs_gempak: !Task @@ -433,13 +463,14 @@ suite: !Cycle Trigger: !Depend up.jgfs_analysis resources: !calc ( doc.resource_demo.run_nothing ) - jgfs_pgrb2_spec_gempak: !Task - <<: *exclusive_task_template - Trigger: !Depend up.post.jgfs_pgrb2_spec_post - resources: !calc ( doc.resource_demo.run_nothing ) - +# jgfs_pgrb2_spec_gempak: !Task +# <<: *exclusive_task_template +# Trigger: !Depend up.post.jgfs_pgrb2_spec_post +# resources: !calc ( doc.resource_demo.run_nothing ) #endfamily gempak + #endfamily gfs + gdas: !Family ecflow_def: | edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' @@ -453,7 +484,7 @@ suite: !Cycle jgdas_vminmon: !Task <<: *exclusive_task_template - Trigger: !Depend jgdas_analysis + Trigger: !Depend analysis.jgdas_analysis_high resources: !calc ( doc.resource_demo.run_nothing ) dump: !Family @@ -520,7 +551,7 @@ suite: !Cycle jgdas_forecast: !Task <<: *exclusive_task_template - Trigger: !Depend ( up.jgdas_analysis.release_fcst & up.enkf.innovate ) + Trigger: !Depend ( up.analysis.jgdas_analysis_high.release_fcst & up.enkf.innovate ) release_fcst: !DataEvent {file="/dev/null"} resources: !calc ( doc.resource_demo.run_gdasfcst ) J_JOB: JGLOBAL_FORECAST @@ -532,12 +563,11 @@ suite: !Cycle #Trigger: !Depend ( up.up.dump.jgdas_dump_post ) Trigger: !Depend ( up.up.dump.jgdas_dump ) resources: !calc ( doc.resource_demo.run_nothing ) - #endfamily post_processing gempak: !Family jgdas_gempak: !Task <<: *exclusive_task_template - Trigger: !Depend up.forecast/jgdas_forecast + Trigger: !Depend up.forecast.jgdas_forecast resources: !calc ( doc.resource_demo.run_nothing ) jgdas_gempak_meta: !Task @@ -551,7 +581,6 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_nothing ) #endfamily gempak - post: !Family #jgdas_post_high: !Task # <<: *exclusive_task_template @@ -596,7 +625,7 @@ suite: !Cycle jgdas_enkf_inflate_recenter: !Task <<: *exclusive_task_template - Trigger: !Depend ( jgdas_enkf_update & up.jgdas_analysis ) + Trigger: !Depend ( jgdas_enkf_update & up.analysis.jgdas_analysis_high ) resources: !calc ( doc.resource_demo.run_ecen ) forecast: !TaskArray @@ -616,6 +645,7 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_epos ) #endfamily enkf + #endfamily gdas cycle_end: !Task @@ -652,7 +682,7 @@ suite: !Cycle # resources: !calc ( doc.resource_demo.run_arch ) # J_JOB: earc - #final: !Task - # <<: *shared_task_template - # resources: !calc ( doc.resource_demo.run_nothing ) + final: !Task + <<: *shared_task_template + resources: !calc ( doc.resource_demo.run_nothing ) From 4a37e99fa7d228abd954f90ac923f026fdf7d1d8 Mon Sep 17 00:00:00 2001 From: Bin Liu Date: Tue, 13 Feb 2018 17:13:48 +0000 Subject: [PATCH 321/487] ecflow: update the ensemble group number to 16. --- model/ecflow_fv3gfs/defs/prod00.def | 32 +++++++++++++++++++ model/ecflow_fv3gfs/defs/prod06.def | 32 +++++++++++++++++++ model/ecflow_fv3gfs/defs/prod12.def | 32 +++++++++++++++++++ model/ecflow_fv3gfs/defs/prod18.def | 32 +++++++++++++++++++ .../enkf/forecast/jgdas_enkf_fcst_grp10.ecf | 12 +++++++ .../enkf/forecast/jgdas_enkf_fcst_grp11.ecf | 12 +++++++ .../enkf/forecast/jgdas_enkf_fcst_grp12.ecf | 12 +++++++ .../enkf/forecast/jgdas_enkf_fcst_grp13.ecf | 12 +++++++ .../enkf/forecast/jgdas_enkf_fcst_grp14.ecf | 12 +++++++ .../enkf/forecast/jgdas_enkf_fcst_grp15.ecf | 12 +++++++ .../enkf/forecast/jgdas_enkf_fcst_grp16.ecf | 12 +++++++ .../enkf/forecast/jgdas_enkf_fcst_grp9.ecf | 12 +++++++ .../jgdas_enkf_innovate_obs_grp10.ecf | 12 +++++++ .../jgdas_enkf_innovate_obs_grp11.ecf | 12 +++++++ .../jgdas_enkf_innovate_obs_grp12.ecf | 12 +++++++ .../jgdas_enkf_innovate_obs_grp13.ecf | 12 +++++++ .../jgdas_enkf_innovate_obs_grp14.ecf | 12 +++++++ .../jgdas_enkf_innovate_obs_grp15.ecf | 12 +++++++ .../jgdas_enkf_innovate_obs_grp16.ecf | 12 +++++++ .../innovate/jgdas_enkf_innovate_obs_grp9.ecf | 12 +++++++ .../enkf/forecast/jgdas_enkf_fcst_grp10.ecf | 12 +++++++ .../enkf/forecast/jgdas_enkf_fcst_grp11.ecf | 12 +++++++ .../enkf/forecast/jgdas_enkf_fcst_grp12.ecf | 12 +++++++ .../enkf/forecast/jgdas_enkf_fcst_grp13.ecf | 12 +++++++ .../enkf/forecast/jgdas_enkf_fcst_grp14.ecf | 12 +++++++ .../enkf/forecast/jgdas_enkf_fcst_grp15.ecf | 12 +++++++ .../enkf/forecast/jgdas_enkf_fcst_grp16.ecf | 12 +++++++ .../enkf/forecast/jgdas_enkf_fcst_grp9.ecf | 12 +++++++ .../jgdas_enkf_innovate_obs_grp10.ecf | 12 +++++++ .../jgdas_enkf_innovate_obs_grp11.ecf | 12 +++++++ .../jgdas_enkf_innovate_obs_grp12.ecf | 12 +++++++ .../jgdas_enkf_innovate_obs_grp13.ecf | 12 +++++++ .../jgdas_enkf_innovate_obs_grp14.ecf | 12 +++++++ .../jgdas_enkf_innovate_obs_grp15.ecf | 12 +++++++ .../jgdas_enkf_innovate_obs_grp16.ecf | 12 +++++++ .../innovate/jgdas_enkf_innovate_obs_grp9.ecf | 12 +++++++ .../enkf/forecast/jgdas_enkf_fcst_grp10.ecf | 12 +++++++ .../enkf/forecast/jgdas_enkf_fcst_grp11.ecf | 12 +++++++ .../enkf/forecast/jgdas_enkf_fcst_grp12.ecf | 12 +++++++ .../enkf/forecast/jgdas_enkf_fcst_grp13.ecf | 12 +++++++ .../enkf/forecast/jgdas_enkf_fcst_grp14.ecf | 12 +++++++ .../enkf/forecast/jgdas_enkf_fcst_grp15.ecf | 12 +++++++ .../enkf/forecast/jgdas_enkf_fcst_grp16.ecf | 12 +++++++ .../enkf/forecast/jgdas_enkf_fcst_grp9.ecf | 12 +++++++ .../jgdas_enkf_innovate_obs_grp10.ecf | 12 +++++++ .../jgdas_enkf_innovate_obs_grp11.ecf | 12 +++++++ .../jgdas_enkf_innovate_obs_grp12.ecf | 12 +++++++ .../jgdas_enkf_innovate_obs_grp13.ecf | 12 +++++++ .../jgdas_enkf_innovate_obs_grp14.ecf | 12 +++++++ .../jgdas_enkf_innovate_obs_grp15.ecf | 12 +++++++ .../jgdas_enkf_innovate_obs_grp16.ecf | 12 +++++++ .../innovate/jgdas_enkf_innovate_obs_grp9.ecf | 12 +++++++ .../enkf/forecast/jgdas_enkf_fcst_grp10.ecf | 12 +++++++ .../enkf/forecast/jgdas_enkf_fcst_grp11.ecf | 12 +++++++ .../enkf/forecast/jgdas_enkf_fcst_grp12.ecf | 12 +++++++ .../enkf/forecast/jgdas_enkf_fcst_grp13.ecf | 12 +++++++ .../enkf/forecast/jgdas_enkf_fcst_grp14.ecf | 12 +++++++ .../enkf/forecast/jgdas_enkf_fcst_grp15.ecf | 12 +++++++ .../enkf/forecast/jgdas_enkf_fcst_grp16.ecf | 12 +++++++ .../enkf/forecast/jgdas_enkf_fcst_grp9.ecf | 12 +++++++ .../jgdas_enkf_innovate_obs_grp10.ecf | 12 +++++++ .../jgdas_enkf_innovate_obs_grp11.ecf | 12 +++++++ .../jgdas_enkf_innovate_obs_grp12.ecf | 12 +++++++ .../jgdas_enkf_innovate_obs_grp13.ecf | 12 +++++++ .../jgdas_enkf_innovate_obs_grp14.ecf | 12 +++++++ .../jgdas_enkf_innovate_obs_grp15.ecf | 12 +++++++ .../jgdas_enkf_innovate_obs_grp16.ecf | 12 +++++++ .../innovate/jgdas_enkf_innovate_obs_grp9.ecf | 12 +++++++ model/ecflow_fv3gfs/settings.yaml | 2 +- 69 files changed, 897 insertions(+), 1 deletion(-) create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf diff --git a/model/ecflow_fv3gfs/defs/prod00.def b/model/ecflow_fv3gfs/defs/prod00.def index a9e7997..b7a90b7 100644 --- a/model/ecflow_fv3gfs/defs/prod00.def +++ b/model/ecflow_fv3gfs/defs/prod00.def @@ -184,6 +184,22 @@ suite prod00 endtask task jgdas_enkf_innovate_obs_grp8 endtask + task jgdas_enkf_innovate_obs_grp9 + endtask + task jgdas_enkf_innovate_obs_grp10 + endtask + task jgdas_enkf_innovate_obs_grp11 + endtask + task jgdas_enkf_innovate_obs_grp12 + endtask + task jgdas_enkf_innovate_obs_grp13 + endtask + task jgdas_enkf_innovate_obs_grp14 + endtask + task jgdas_enkf_innovate_obs_grp15 + endtask + task jgdas_enkf_innovate_obs_grp16 + endtask endfamily task jgdas_enkf_update trigger ./innovate == complete @@ -209,6 +225,22 @@ suite prod00 endtask task jgdas_enkf_fcst_grp8 endtask + task jgdas_enkf_fcst_grp9 + endtask + task jgdas_enkf_fcst_grp10 + endtask + task jgdas_enkf_fcst_grp11 + endtask + task jgdas_enkf_fcst_grp12 + endtask + task jgdas_enkf_fcst_grp13 + endtask + task jgdas_enkf_fcst_grp14 + endtask + task jgdas_enkf_fcst_grp15 + endtask + task jgdas_enkf_fcst_grp16 + endtask endfamily task jgdas_enkf_post trigger ./forecast == complete diff --git a/model/ecflow_fv3gfs/defs/prod06.def b/model/ecflow_fv3gfs/defs/prod06.def index bed3790..8991ba0 100644 --- a/model/ecflow_fv3gfs/defs/prod06.def +++ b/model/ecflow_fv3gfs/defs/prod06.def @@ -184,6 +184,22 @@ suite prod06 endtask task jgdas_enkf_innovate_obs_grp8 endtask + task jgdas_enkf_innovate_obs_grp9 + endtask + task jgdas_enkf_innovate_obs_grp10 + endtask + task jgdas_enkf_innovate_obs_grp11 + endtask + task jgdas_enkf_innovate_obs_grp12 + endtask + task jgdas_enkf_innovate_obs_grp13 + endtask + task jgdas_enkf_innovate_obs_grp14 + endtask + task jgdas_enkf_innovate_obs_grp15 + endtask + task jgdas_enkf_innovate_obs_grp16 + endtask endfamily task jgdas_enkf_update trigger ./innovate == complete @@ -209,6 +225,22 @@ suite prod06 endtask task jgdas_enkf_fcst_grp8 endtask + task jgdas_enkf_fcst_grp9 + endtask + task jgdas_enkf_fcst_grp10 + endtask + task jgdas_enkf_fcst_grp11 + endtask + task jgdas_enkf_fcst_grp12 + endtask + task jgdas_enkf_fcst_grp13 + endtask + task jgdas_enkf_fcst_grp14 + endtask + task jgdas_enkf_fcst_grp15 + endtask + task jgdas_enkf_fcst_grp16 + endtask endfamily task jgdas_enkf_post trigger ./forecast == complete diff --git a/model/ecflow_fv3gfs/defs/prod12.def b/model/ecflow_fv3gfs/defs/prod12.def index 7696411..a119125 100644 --- a/model/ecflow_fv3gfs/defs/prod12.def +++ b/model/ecflow_fv3gfs/defs/prod12.def @@ -184,6 +184,22 @@ suite prod12 endtask task jgdas_enkf_innovate_obs_grp8 endtask + task jgdas_enkf_innovate_obs_grp9 + endtask + task jgdas_enkf_innovate_obs_grp10 + endtask + task jgdas_enkf_innovate_obs_grp11 + endtask + task jgdas_enkf_innovate_obs_grp12 + endtask + task jgdas_enkf_innovate_obs_grp13 + endtask + task jgdas_enkf_innovate_obs_grp14 + endtask + task jgdas_enkf_innovate_obs_grp15 + endtask + task jgdas_enkf_innovate_obs_grp16 + endtask endfamily task jgdas_enkf_update trigger ./innovate == complete @@ -209,6 +225,22 @@ suite prod12 endtask task jgdas_enkf_fcst_grp8 endtask + task jgdas_enkf_fcst_grp9 + endtask + task jgdas_enkf_fcst_grp10 + endtask + task jgdas_enkf_fcst_grp11 + endtask + task jgdas_enkf_fcst_grp12 + endtask + task jgdas_enkf_fcst_grp13 + endtask + task jgdas_enkf_fcst_grp14 + endtask + task jgdas_enkf_fcst_grp15 + endtask + task jgdas_enkf_fcst_grp16 + endtask endfamily task jgdas_enkf_post trigger ./forecast == complete diff --git a/model/ecflow_fv3gfs/defs/prod18.def b/model/ecflow_fv3gfs/defs/prod18.def index f098062..f79e446 100644 --- a/model/ecflow_fv3gfs/defs/prod18.def +++ b/model/ecflow_fv3gfs/defs/prod18.def @@ -184,6 +184,22 @@ suite prod18 endtask task jgdas_enkf_innovate_obs_grp8 endtask + task jgdas_enkf_innovate_obs_grp9 + endtask + task jgdas_enkf_innovate_obs_grp10 + endtask + task jgdas_enkf_innovate_obs_grp11 + endtask + task jgdas_enkf_innovate_obs_grp12 + endtask + task jgdas_enkf_innovate_obs_grp13 + endtask + task jgdas_enkf_innovate_obs_grp14 + endtask + task jgdas_enkf_innovate_obs_grp15 + endtask + task jgdas_enkf_innovate_obs_grp16 + endtask endfamily task jgdas_enkf_update trigger ./innovate == complete @@ -209,6 +225,22 @@ suite prod18 endtask task jgdas_enkf_fcst_grp8 endtask + task jgdas_enkf_fcst_grp9 + endtask + task jgdas_enkf_fcst_grp10 + endtask + task jgdas_enkf_fcst_grp11 + endtask + task jgdas_enkf_fcst_grp12 + endtask + task jgdas_enkf_fcst_grp13 + endtask + task jgdas_enkf_fcst_grp14 + endtask + task jgdas_enkf_fcst_grp15 + endtask + task jgdas_enkf_fcst_grp16 + endtask endfamily task jgdas_enkf_post trigger ./forecast == complete diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf new file mode 100644 index 0000000..699d8ea --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp10 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp10_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf new file mode 100644 index 0000000..410ea26 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp11 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp11_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf new file mode 100644 index 0000000..2710937 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp12 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp12_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf new file mode 100644 index 0000000..3703b78 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp13 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp13_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf new file mode 100644 index 0000000..aaeed6b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp14 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp14_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf new file mode 100644 index 0000000..3e7fadd --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp15 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp15_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf new file mode 100644 index 0000000..7ec1cdf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp16 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp16_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf new file mode 100644 index 0000000..5a6f83d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp9 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp9_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf new file mode 100644 index 0000000..5581bdb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp10 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp10_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf new file mode 100644 index 0000000..7b703e1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp11 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp11_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf new file mode 100644 index 0000000..a98096f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp12 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp12_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf new file mode 100644 index 0000000..16f0bbf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp13 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp13_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf new file mode 100644 index 0000000..b566596 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp14 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp14_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf new file mode 100644 index 0000000..1dedd19 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp15 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp15_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf new file mode 100644 index 0000000..0e9c166 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp16 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp16_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf new file mode 100644 index 0000000..dd1a50e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp9 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp9_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf new file mode 100644 index 0000000..699d8ea --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp10 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp10_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf new file mode 100644 index 0000000..410ea26 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp11 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp11_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf new file mode 100644 index 0000000..2710937 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp12 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp12_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf new file mode 100644 index 0000000..3703b78 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp13 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp13_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf new file mode 100644 index 0000000..aaeed6b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp14 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp14_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf new file mode 100644 index 0000000..3e7fadd --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp15 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp15_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf new file mode 100644 index 0000000..7ec1cdf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp16 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp16_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf new file mode 100644 index 0000000..5a6f83d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp9 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp9_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf new file mode 100644 index 0000000..5581bdb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp10 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp10_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf new file mode 100644 index 0000000..7b703e1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp11 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp11_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf new file mode 100644 index 0000000..a98096f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp12 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp12_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf new file mode 100644 index 0000000..16f0bbf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp13 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp13_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf new file mode 100644 index 0000000..b566596 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp14 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp14_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf new file mode 100644 index 0000000..1dedd19 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp15 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp15_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf new file mode 100644 index 0000000..0e9c166 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp16 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp16_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf new file mode 100644 index 0000000..dd1a50e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp9 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp9_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf new file mode 100644 index 0000000..699d8ea --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp10 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp10_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf new file mode 100644 index 0000000..410ea26 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp11 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp11_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf new file mode 100644 index 0000000..2710937 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp12 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp12_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf new file mode 100644 index 0000000..3703b78 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp13 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp13_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf new file mode 100644 index 0000000..aaeed6b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp14 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp14_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf new file mode 100644 index 0000000..3e7fadd --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp15 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp15_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf new file mode 100644 index 0000000..7ec1cdf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp16 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp16_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf new file mode 100644 index 0000000..5a6f83d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp9 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp9_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf new file mode 100644 index 0000000..5581bdb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp10 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp10_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf new file mode 100644 index 0000000..7b703e1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp11 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp11_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf new file mode 100644 index 0000000..a98096f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp12 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp12_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf new file mode 100644 index 0000000..16f0bbf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp13 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp13_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf new file mode 100644 index 0000000..b566596 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp14 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp14_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf new file mode 100644 index 0000000..1dedd19 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp15 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp15_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf new file mode 100644 index 0000000..0e9c166 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp16 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp16_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf new file mode 100644 index 0000000..dd1a50e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp9 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp9_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf new file mode 100644 index 0000000..699d8ea --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp10 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp10_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf new file mode 100644 index 0000000..410ea26 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp11 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp11_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf new file mode 100644 index 0000000..2710937 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp12 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp12_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf new file mode 100644 index 0000000..3703b78 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp13 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp13_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf new file mode 100644 index 0000000..aaeed6b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp14 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp14_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf new file mode 100644 index 0000000..3e7fadd --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp15 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp15_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf new file mode 100644 index 0000000..7ec1cdf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp16 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp16_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf new file mode 100644 index 0000000..5a6f83d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp9 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp9_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +echo ${JOBgfs}/JGLOBAL_FORECAST +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf new file mode 100644 index 0000000..5581bdb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp10 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp10_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf new file mode 100644 index 0000000..7b703e1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp11 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp11_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf new file mode 100644 index 0000000..a98096f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp12 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp12_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf new file mode 100644 index 0000000..16f0bbf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp13 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp13_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf new file mode 100644 index 0000000..b566596 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp14 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp14_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf new file mode 100644 index 0000000..1dedd19 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp15 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp15_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf new file mode 100644 index 0000000..0e9c166 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp16 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp16_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf new file mode 100644 index 0000000..dd1a50e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf @@ -0,0 +1,12 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp9 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp9_t%CYC%z.log +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +echo ${JOBgfs}/jgdas_innovate +%include diff --git a/model/ecflow_fv3gfs/settings.yaml b/model/ecflow_fv3gfs/settings.yaml index 847a1f3..3ffc367 100644 --- a/model/ecflow_fv3gfs/settings.yaml +++ b/model/ecflow_fv3gfs/settings.yaml @@ -1,6 +1,6 @@ settings: NMEM_ENKF: 80 - ENKF_GROUPS: 8 + ENKF_GROUPS: 16 ENKF_INNOVATE_GROUPS: !calc ENKF_GROUPS ENKF_FORECAST_GROUPS: !calc ENKF_GROUPS From f10c66b020fabeefa1db06c337794b5efbac9b40 Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Tue, 13 Feb 2018 17:14:17 +0000 Subject: [PATCH 322/487] Fix bugs in suite_def.yaml, add ecf file generation logic, and add arrays of nearly-identical events. --- crow/config/from_yaml.py | 30 +- crow/config/tasks.py | 70 +- crow/config/to_yaml.py | 2 + crow/metascheduler/ecflow.py | 10 +- crow/sysenv/schedulers/LSFAlps.py | 3 + crow/sysenv/schedulers/MoabTorque.py | 7 + crow/sysenv/schedulers/base.py | 6 +- model/ecflow_fv3gfs/defs/prod00.def | 1419 +++++++++++++++-- model/ecflow_fv3gfs/defs/prod06.def | 1419 +++++++++++++++-- model/ecflow_fv3gfs/defs/prod12.def | 1419 +++++++++++++++-- model/ecflow_fv3gfs/defs/prod18.def | 1419 +++++++++++++++-- model/ecflow_fv3gfs/ecf_file.yaml | 71 + model/ecflow_fv3gfs/make-ecflow-suite.py | 3 +- model/ecflow_fv3gfs/resources.yaml | 2 +- .../scripts/prod00/cycle_end.ecf | 33 + .../gdas/analysis/jgdas_analysis_high.ecf | 33 + .../scripts/prod00/gdas/dump/jgdas_dump.ecf | 38 +- .../scripts/prod00/gdas/dump/jgdas_ics.ecf | 38 +- .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 38 +- .../enkf/forecast/jgdas_enkf_fcst_grp1.ecf | 33 + .../enkf/forecast/jgdas_enkf_fcst_grp2.ecf | 33 + .../enkf/forecast/jgdas_enkf_fcst_grp3.ecf | 33 + .../enkf/forecast/jgdas_enkf_fcst_grp4.ecf | 33 + .../enkf/forecast/jgdas_enkf_fcst_grp5.ecf | 33 + .../enkf/forecast/jgdas_enkf_fcst_grp6.ecf | 33 + .../enkf/forecast/jgdas_enkf_fcst_grp7.ecf | 33 + .../enkf/forecast/jgdas_enkf_fcst_grp8.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp1.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp2.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp3.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp4.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp5.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp6.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp7.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp8.ecf | 33 + .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 39 +- .../prod00/gdas/enkf/jgdas_enkf_post.ecf | 39 +- .../gdas/enkf/jgdas_enkf_select_obs.ecf | 39 +- .../prod00/gdas/enkf/jgdas_enkf_update.ecf | 39 +- .../prod00/gdas/forecast/jgdas_forecast.ecf | 33 + .../prod00/gdas/gempak/jgdas_gempak.ecf | 33 + .../prod00/gdas/gempak/jgdas_gempak_meta.ecf | 33 + .../prod00/gdas/gempak/jgdas_gempak_ncdc.ecf | 33 + .../scripts/prod00/gdas/jgdas_verfrad.ecf | 38 +- .../scripts/prod00/gdas/jgdas_vminmon.ecf | 38 +- .../scripts/prod00/gdas/post/jgdas_post.ecf | 33 + .../bulletins/jgdas_mknavybulls.ecf | 33 + .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 38 +- .../scripts/prod00/gdas/prep/jgdas_prep.ecf | 39 +- .../prod00/gdas/prep/jgdas_prep_post.ecf | 38 +- .../scripts/prod00/gfs/dump/jgfs_dump.ecf | 38 +- .../prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 38 +- .../gfs/forecast/jgfs_forecast_high.ecf | 33 + .../prod00/gfs/forecast/jgfs_forecast_low.ecf | 33 + .../scripts/prod00/gfs/gempak/jgfs_gempak.ecf | 33 + .../prod00/gfs/gempak/jgfs_gempak_meta.ecf | 33 + .../prod00/gfs/gempak/jgfs_gempak_ncdc.ecf | 33 + .../prod00/gfs/gempak/jgfs_gempak_upapgif.ecf | 33 + .../gfs/gempak/jgfs_pgrb2_spec_gempak.ecf | 33 + .../scripts/prod00/gfs/jgfs_analysis.ecf | 39 +- .../scripts/prod00/gfs/jgfs_vminmon.ecf | 38 +- .../prod00/gfs/post/jgfs_pgrb2_spec_post.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_anl.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f00.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f01.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f02.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f03.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f04.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f05.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f06.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f07.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f08.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f09.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f10.ecf | 33 + .../prod00/gfs/post/jgfs_post_f100.ecf | 33 + .../prod00/gfs/post/jgfs_post_f101.ecf | 33 + .../prod00/gfs/post/jgfs_post_f102.ecf | 33 + .../prod00/gfs/post/jgfs_post_f103.ecf | 33 + .../prod00/gfs/post/jgfs_post_f104.ecf | 33 + .../prod00/gfs/post/jgfs_post_f105.ecf | 33 + .../prod00/gfs/post/jgfs_post_f106.ecf | 33 + .../prod00/gfs/post/jgfs_post_f107.ecf | 33 + .../prod00/gfs/post/jgfs_post_f108.ecf | 33 + .../prod00/gfs/post/jgfs_post_f109.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f11.ecf | 33 + .../prod00/gfs/post/jgfs_post_f110.ecf | 33 + .../prod00/gfs/post/jgfs_post_f111.ecf | 33 + .../prod00/gfs/post/jgfs_post_f112.ecf | 33 + .../prod00/gfs/post/jgfs_post_f113.ecf | 33 + .../prod00/gfs/post/jgfs_post_f114.ecf | 33 + .../prod00/gfs/post/jgfs_post_f115.ecf | 33 + .../prod00/gfs/post/jgfs_post_f116.ecf | 33 + .../prod00/gfs/post/jgfs_post_f117.ecf | 33 + .../prod00/gfs/post/jgfs_post_f118.ecf | 33 + .../prod00/gfs/post/jgfs_post_f119.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f12.ecf | 33 + .../prod00/gfs/post/jgfs_post_f120.ecf | 33 + .../prod00/gfs/post/jgfs_post_f123.ecf | 33 + .../prod00/gfs/post/jgfs_post_f126.ecf | 33 + .../prod00/gfs/post/jgfs_post_f129.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f13.ecf | 33 + .../prod00/gfs/post/jgfs_post_f132.ecf | 33 + .../prod00/gfs/post/jgfs_post_f135.ecf | 33 + .../prod00/gfs/post/jgfs_post_f138.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f14.ecf | 33 + .../prod00/gfs/post/jgfs_post_f141.ecf | 33 + .../prod00/gfs/post/jgfs_post_f144.ecf | 33 + .../prod00/gfs/post/jgfs_post_f147.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f15.ecf | 33 + .../prod00/gfs/post/jgfs_post_f150.ecf | 33 + .../prod00/gfs/post/jgfs_post_f153.ecf | 33 + .../prod00/gfs/post/jgfs_post_f156.ecf | 33 + .../prod00/gfs/post/jgfs_post_f159.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f16.ecf | 33 + .../prod00/gfs/post/jgfs_post_f162.ecf | 33 + .../prod00/gfs/post/jgfs_post_f165.ecf | 33 + .../prod00/gfs/post/jgfs_post_f168.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f17.ecf | 33 + .../prod00/gfs/post/jgfs_post_f171.ecf | 33 + .../prod00/gfs/post/jgfs_post_f174.ecf | 33 + .../prod00/gfs/post/jgfs_post_f177.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f18.ecf | 33 + .../prod00/gfs/post/jgfs_post_f180.ecf | 33 + .../prod00/gfs/post/jgfs_post_f183.ecf | 33 + .../prod00/gfs/post/jgfs_post_f186.ecf | 33 + .../prod00/gfs/post/jgfs_post_f189.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f19.ecf | 33 + .../prod00/gfs/post/jgfs_post_f192.ecf | 33 + .../prod00/gfs/post/jgfs_post_f195.ecf | 33 + .../prod00/gfs/post/jgfs_post_f198.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f20.ecf | 33 + .../prod00/gfs/post/jgfs_post_f201.ecf | 33 + .../prod00/gfs/post/jgfs_post_f204.ecf | 33 + .../prod00/gfs/post/jgfs_post_f207.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f21.ecf | 33 + .../prod00/gfs/post/jgfs_post_f210.ecf | 33 + .../prod00/gfs/post/jgfs_post_f213.ecf | 33 + .../prod00/gfs/post/jgfs_post_f216.ecf | 33 + .../prod00/gfs/post/jgfs_post_f219.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f22.ecf | 33 + .../prod00/gfs/post/jgfs_post_f222.ecf | 33 + .../prod00/gfs/post/jgfs_post_f225.ecf | 33 + .../prod00/gfs/post/jgfs_post_f228.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f23.ecf | 33 + .../prod00/gfs/post/jgfs_post_f231.ecf | 33 + .../prod00/gfs/post/jgfs_post_f234.ecf | 33 + .../prod00/gfs/post/jgfs_post_f237.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f24.ecf | 33 + .../prod00/gfs/post/jgfs_post_f240.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f25.ecf | 33 + .../prod00/gfs/post/jgfs_post_f252.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f26.ecf | 33 + .../prod00/gfs/post/jgfs_post_f264.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f27.ecf | 33 + .../prod00/gfs/post/jgfs_post_f276.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f28.ecf | 33 + .../prod00/gfs/post/jgfs_post_f288.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f29.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f30.ecf | 33 + .../prod00/gfs/post/jgfs_post_f300.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f31.ecf | 33 + .../prod00/gfs/post/jgfs_post_f312.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f32.ecf | 33 + .../prod00/gfs/post/jgfs_post_f324.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f33.ecf | 33 + .../prod00/gfs/post/jgfs_post_f336.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f34.ecf | 33 + .../prod00/gfs/post/jgfs_post_f348.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f35.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f36.ecf | 33 + .../prod00/gfs/post/jgfs_post_f360.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f37.ecf | 33 + .../prod00/gfs/post/jgfs_post_f372.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f38.ecf | 33 + .../prod00/gfs/post/jgfs_post_f384.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f39.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f40.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f41.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f42.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f43.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f44.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f45.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f46.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f47.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f48.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f49.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f50.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f51.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f52.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f53.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f54.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f55.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f56.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f57.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f58.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f59.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f60.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f61.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f62.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f63.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f64.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f65.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f66.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f67.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f68.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f69.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f70.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f71.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f72.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f73.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f74.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f75.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f76.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f77.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f78.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f79.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f80.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f81.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f82.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f83.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f84.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f85.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f86.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f87.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f88.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f89.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f90.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f91.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f92.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f93.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f94.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f95.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f96.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f97.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f98.ecf | 33 + .../scripts/prod00/gfs/post/jgfs_post_f99.ecf | 33 + .../prod00/gfs/post/jgfs_post_manager.ecf | 33 + .../awips_1p0deg/jgfs_awips_f000.ecf | 33 + .../awips_1p0deg/jgfs_awips_f006.ecf | 33 + .../awips_1p0deg/jgfs_awips_f240.ecf | 33 + .../awips_20km/jgfs_awips_f000.ecf | 33 + .../awips_20km/jgfs_awips_f003.ecf | 33 + .../awips_20km/jgfs_awips_f240.ecf | 33 + .../bufr_sounding/jgfs_postsnd.ecf | 33 + .../bulletins/jgfs_cyclone_tracker.ecf | 33 + .../post_processing/bulletins/jgfs_fbwind.ecf | 33 + .../gfs/post_processing/fax/jgfs_fax_anl.ecf | 33 + .../gfs/post_processing/fax/jgfs_fax_f00.ecf | 33 + .../post_processing/fax/jgfs_fax_wafs_f12.ecf | 33 + .../post_processing/fax/jgfs_fax_wafs_f24.ecf | 33 + .../post_processing/fax/jgfs_fax_wafs_f36.ecf | 33 + .../grib2_wafs/jgfs_wafs_blending.ecf | 33 + .../grib2_wafs/jgfs_wafs_grib2.ecf | 33 + .../grib_awips/jgfs_awips_f00.ecf | 33 + .../grib_awips/jgfs_awips_f06.ecf | 33 + .../grib_awips/jgfs_awips_f240.ecf | 33 + .../grib_wafs/jgfs_wafs_f00.ecf | 33 + .../grib_wafs/jgfs_wafs_f06.ecf | 33 + .../grib_wafs/jgfs_wafs_f102.ecf | 33 + .../grib_wafs/jgfs_wafs_f108.ecf | 33 + .../grib_wafs/jgfs_wafs_f114.ecf | 33 + .../grib_wafs/jgfs_wafs_f12.ecf | 33 + .../grib_wafs/jgfs_wafs_f120.ecf | 33 + .../grib_wafs/jgfs_wafs_f18.ecf | 33 + .../grib_wafs/jgfs_wafs_f24.ecf | 33 + .../grib_wafs/jgfs_wafs_f30.ecf | 33 + .../grib_wafs/jgfs_wafs_f36.ecf | 33 + .../grib_wafs/jgfs_wafs_f42.ecf | 33 + .../grib_wafs/jgfs_wafs_f48.ecf | 33 + .../grib_wafs/jgfs_wafs_f54.ecf | 33 + .../grib_wafs/jgfs_wafs_f60.ecf | 33 + .../grib_wafs/jgfs_wafs_f66.ecf | 33 + .../grib_wafs/jgfs_wafs_f72.ecf | 33 + .../grib_wafs/jgfs_wafs_f78.ecf | 33 + .../grib_wafs/jgfs_wafs_f84.ecf | 33 + .../grib_wafs/jgfs_wafs_f90.ecf | 33 + .../grib_wafs/jgfs_wafs_f96.ecf | 33 + .../gfs/post_processing/jgfs_wafs_gcip.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_anl.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f00.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f01.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f02.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f03.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f04.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f05.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f06.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f07.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f08.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f09.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f10.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f100.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f101.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f102.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f103.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f104.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f105.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f106.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f107.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f108.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f109.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f11.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f110.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f111.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f112.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f113.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f114.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f115.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f116.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f117.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f118.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f119.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f12.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f120.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f123.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f126.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f129.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f13.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f132.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f135.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f138.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f14.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f141.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f144.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f147.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f15.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f150.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f153.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f156.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f159.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f16.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f162.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f165.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f168.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f17.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f171.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f174.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f177.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f18.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f180.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f183.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f186.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f189.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f19.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f192.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f195.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f198.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f20.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f201.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f204.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f207.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f21.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f210.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f213.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f216.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f219.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f22.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f222.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f225.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f228.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f23.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f231.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f234.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f237.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f24.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f240.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f25.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f252.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f26.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f264.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f27.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f276.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f28.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f288.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f29.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f30.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f300.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f31.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f312.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f32.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f324.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f33.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f336.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f34.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f348.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f35.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f36.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f360.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f37.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f372.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f38.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f384.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f39.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f40.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f41.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f42.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f43.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f44.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f45.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f46.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f47.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f48.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f49.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f50.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f51.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f52.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f53.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f54.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f55.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f56.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f57.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f58.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f59.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f60.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f61.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f62.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f63.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f64.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f65.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f66.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f67.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f68.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f69.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f70.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f71.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f72.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f73.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f74.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f75.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f76.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f77.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f78.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f79.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f80.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f81.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f82.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f83.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f84.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f85.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f86.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f87.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f88.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f89.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f90.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f91.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f92.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f93.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f94.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f95.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f96.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f97.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f98.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_f99.ecf | 33 + .../prod00/gfs/prdgen/jgfs_pgrb2_manager.ecf | 33 + .../prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 38 +- .../scripts/prod00/gfs/prep/jgfs_prep.ecf | 39 +- .../prod00/gfs/prep/jgfs_prep_post.ecf | 38 +- .../gfs/sminit_guam/jgfs_sminit_guam_even.ecf | 33 + .../gfs/sminit_guam/jgfs_sminit_guam_odd.ecf | 33 + .../scripts/prod06/cycle_end.ecf | 33 + .../gdas/analysis/jgdas_analysis_high.ecf | 33 + .../scripts/prod06/gdas/dump/jgdas_dump.ecf | 38 +- .../scripts/prod06/gdas/dump/jgdas_ics.ecf | 38 +- .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 38 +- .../enkf/forecast/jgdas_enkf_fcst_grp1.ecf | 33 + .../enkf/forecast/jgdas_enkf_fcst_grp2.ecf | 33 + .../enkf/forecast/jgdas_enkf_fcst_grp3.ecf | 33 + .../enkf/forecast/jgdas_enkf_fcst_grp4.ecf | 33 + .../enkf/forecast/jgdas_enkf_fcst_grp5.ecf | 33 + .../enkf/forecast/jgdas_enkf_fcst_grp6.ecf | 33 + .../enkf/forecast/jgdas_enkf_fcst_grp7.ecf | 33 + .../enkf/forecast/jgdas_enkf_fcst_grp8.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp1.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp2.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp3.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp4.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp5.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp6.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp7.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp8.ecf | 33 + .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 39 +- .../prod06/gdas/enkf/jgdas_enkf_post.ecf | 39 +- .../gdas/enkf/jgdas_enkf_select_obs.ecf | 39 +- .../prod06/gdas/enkf/jgdas_enkf_update.ecf | 39 +- .../prod06/gdas/forecast/jgdas_forecast.ecf | 33 + .../prod06/gdas/gempak/jgdas_gempak.ecf | 33 + .../prod06/gdas/gempak/jgdas_gempak_meta.ecf | 33 + .../prod06/gdas/gempak/jgdas_gempak_ncdc.ecf | 33 + .../scripts/prod06/gdas/jgdas_verfrad.ecf | 38 +- .../scripts/prod06/gdas/jgdas_vminmon.ecf | 38 +- .../scripts/prod06/gdas/post/jgdas_post.ecf | 33 + .../bulletins/jgdas_mknavybulls.ecf | 33 + .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 38 +- .../scripts/prod06/gdas/prep/jgdas_prep.ecf | 39 +- .../prod06/gdas/prep/jgdas_prep_post.ecf | 38 +- .../scripts/prod06/gfs/dump/jgfs_dump.ecf | 38 +- .../prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 38 +- .../gfs/forecast/jgfs_forecast_high.ecf | 33 + .../prod06/gfs/forecast/jgfs_forecast_low.ecf | 33 + .../scripts/prod06/gfs/gempak/jgfs_gempak.ecf | 33 + .../prod06/gfs/gempak/jgfs_gempak_meta.ecf | 33 + .../prod06/gfs/gempak/jgfs_gempak_ncdc.ecf | 33 + .../prod06/gfs/gempak/jgfs_gempak_upapgif.ecf | 33 + .../gfs/gempak/jgfs_pgrb2_spec_gempak.ecf | 33 + .../scripts/prod06/gfs/jgfs_analysis.ecf | 39 +- .../scripts/prod06/gfs/jgfs_vminmon.ecf | 38 +- .../prod06/gfs/post/jgfs_pgrb2_spec_post.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_anl.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f00.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f01.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f02.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f03.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f04.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f05.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f06.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f07.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f08.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f09.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f10.ecf | 33 + .../prod06/gfs/post/jgfs_post_f100.ecf | 33 + .../prod06/gfs/post/jgfs_post_f101.ecf | 33 + .../prod06/gfs/post/jgfs_post_f102.ecf | 33 + .../prod06/gfs/post/jgfs_post_f103.ecf | 33 + .../prod06/gfs/post/jgfs_post_f104.ecf | 33 + .../prod06/gfs/post/jgfs_post_f105.ecf | 33 + .../prod06/gfs/post/jgfs_post_f106.ecf | 33 + .../prod06/gfs/post/jgfs_post_f107.ecf | 33 + .../prod06/gfs/post/jgfs_post_f108.ecf | 33 + .../prod06/gfs/post/jgfs_post_f109.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f11.ecf | 33 + .../prod06/gfs/post/jgfs_post_f110.ecf | 33 + .../prod06/gfs/post/jgfs_post_f111.ecf | 33 + .../prod06/gfs/post/jgfs_post_f112.ecf | 33 + .../prod06/gfs/post/jgfs_post_f113.ecf | 33 + .../prod06/gfs/post/jgfs_post_f114.ecf | 33 + .../prod06/gfs/post/jgfs_post_f115.ecf | 33 + .../prod06/gfs/post/jgfs_post_f116.ecf | 33 + .../prod06/gfs/post/jgfs_post_f117.ecf | 33 + .../prod06/gfs/post/jgfs_post_f118.ecf | 33 + .../prod06/gfs/post/jgfs_post_f119.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f12.ecf | 33 + .../prod06/gfs/post/jgfs_post_f120.ecf | 33 + .../prod06/gfs/post/jgfs_post_f123.ecf | 33 + .../prod06/gfs/post/jgfs_post_f126.ecf | 33 + .../prod06/gfs/post/jgfs_post_f129.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f13.ecf | 33 + .../prod06/gfs/post/jgfs_post_f132.ecf | 33 + .../prod06/gfs/post/jgfs_post_f135.ecf | 33 + .../prod06/gfs/post/jgfs_post_f138.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f14.ecf | 33 + .../prod06/gfs/post/jgfs_post_f141.ecf | 33 + .../prod06/gfs/post/jgfs_post_f144.ecf | 33 + .../prod06/gfs/post/jgfs_post_f147.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f15.ecf | 33 + .../prod06/gfs/post/jgfs_post_f150.ecf | 33 + .../prod06/gfs/post/jgfs_post_f153.ecf | 33 + .../prod06/gfs/post/jgfs_post_f156.ecf | 33 + .../prod06/gfs/post/jgfs_post_f159.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f16.ecf | 33 + .../prod06/gfs/post/jgfs_post_f162.ecf | 33 + .../prod06/gfs/post/jgfs_post_f165.ecf | 33 + .../prod06/gfs/post/jgfs_post_f168.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f17.ecf | 33 + .../prod06/gfs/post/jgfs_post_f171.ecf | 33 + .../prod06/gfs/post/jgfs_post_f174.ecf | 33 + .../prod06/gfs/post/jgfs_post_f177.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f18.ecf | 33 + .../prod06/gfs/post/jgfs_post_f180.ecf | 33 + .../prod06/gfs/post/jgfs_post_f183.ecf | 33 + .../prod06/gfs/post/jgfs_post_f186.ecf | 33 + .../prod06/gfs/post/jgfs_post_f189.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f19.ecf | 33 + .../prod06/gfs/post/jgfs_post_f192.ecf | 33 + .../prod06/gfs/post/jgfs_post_f195.ecf | 33 + .../prod06/gfs/post/jgfs_post_f198.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f20.ecf | 33 + .../prod06/gfs/post/jgfs_post_f201.ecf | 33 + .../prod06/gfs/post/jgfs_post_f204.ecf | 33 + .../prod06/gfs/post/jgfs_post_f207.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f21.ecf | 33 + .../prod06/gfs/post/jgfs_post_f210.ecf | 33 + .../prod06/gfs/post/jgfs_post_f213.ecf | 33 + .../prod06/gfs/post/jgfs_post_f216.ecf | 33 + .../prod06/gfs/post/jgfs_post_f219.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f22.ecf | 33 + .../prod06/gfs/post/jgfs_post_f222.ecf | 33 + .../prod06/gfs/post/jgfs_post_f225.ecf | 33 + .../prod06/gfs/post/jgfs_post_f228.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f23.ecf | 33 + .../prod06/gfs/post/jgfs_post_f231.ecf | 33 + .../prod06/gfs/post/jgfs_post_f234.ecf | 33 + .../prod06/gfs/post/jgfs_post_f237.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f24.ecf | 33 + .../prod06/gfs/post/jgfs_post_f240.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f25.ecf | 33 + .../prod06/gfs/post/jgfs_post_f252.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f26.ecf | 33 + .../prod06/gfs/post/jgfs_post_f264.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f27.ecf | 33 + .../prod06/gfs/post/jgfs_post_f276.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f28.ecf | 33 + .../prod06/gfs/post/jgfs_post_f288.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f29.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f30.ecf | 33 + .../prod06/gfs/post/jgfs_post_f300.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f31.ecf | 33 + .../prod06/gfs/post/jgfs_post_f312.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f32.ecf | 33 + .../prod06/gfs/post/jgfs_post_f324.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f33.ecf | 33 + .../prod06/gfs/post/jgfs_post_f336.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f34.ecf | 33 + .../prod06/gfs/post/jgfs_post_f348.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f35.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f36.ecf | 33 + .../prod06/gfs/post/jgfs_post_f360.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f37.ecf | 33 + .../prod06/gfs/post/jgfs_post_f372.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f38.ecf | 33 + .../prod06/gfs/post/jgfs_post_f384.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f39.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f40.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f41.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f42.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f43.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f44.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f45.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f46.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f47.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f48.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f49.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f50.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f51.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f52.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f53.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f54.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f55.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f56.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f57.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f58.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f59.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f60.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f61.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f62.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f63.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f64.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f65.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f66.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f67.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f68.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f69.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f70.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f71.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f72.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f73.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f74.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f75.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f76.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f77.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f78.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f79.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f80.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f81.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f82.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f83.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f84.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f85.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f86.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f87.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f88.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f89.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f90.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f91.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f92.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f93.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f94.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f95.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f96.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f97.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f98.ecf | 33 + .../scripts/prod06/gfs/post/jgfs_post_f99.ecf | 33 + .../prod06/gfs/post/jgfs_post_manager.ecf | 33 + .../awips_1p0deg/jgfs_awips_f000.ecf | 33 + .../awips_1p0deg/jgfs_awips_f006.ecf | 33 + .../awips_1p0deg/jgfs_awips_f240.ecf | 33 + .../awips_20km/jgfs_awips_f000.ecf | 33 + .../awips_20km/jgfs_awips_f003.ecf | 33 + .../awips_20km/jgfs_awips_f240.ecf | 33 + .../bufr_sounding/jgfs_postsnd.ecf | 33 + .../bulletins/jgfs_cyclone_tracker.ecf | 33 + .../post_processing/bulletins/jgfs_fbwind.ecf | 33 + .../gfs/post_processing/fax/jgfs_fax_anl.ecf | 33 + .../gfs/post_processing/fax/jgfs_fax_f00.ecf | 33 + .../post_processing/fax/jgfs_fax_wafs_f12.ecf | 33 + .../post_processing/fax/jgfs_fax_wafs_f24.ecf | 33 + .../post_processing/fax/jgfs_fax_wafs_f36.ecf | 33 + .../grib2_wafs/jgfs_wafs_blending.ecf | 33 + .../grib2_wafs/jgfs_wafs_grib2.ecf | 33 + .../grib_awips/jgfs_awips_f00.ecf | 33 + .../grib_awips/jgfs_awips_f06.ecf | 33 + .../grib_awips/jgfs_awips_f240.ecf | 33 + .../grib_wafs/jgfs_wafs_f00.ecf | 33 + .../grib_wafs/jgfs_wafs_f06.ecf | 33 + .../grib_wafs/jgfs_wafs_f102.ecf | 33 + .../grib_wafs/jgfs_wafs_f108.ecf | 33 + .../grib_wafs/jgfs_wafs_f114.ecf | 33 + .../grib_wafs/jgfs_wafs_f12.ecf | 33 + .../grib_wafs/jgfs_wafs_f120.ecf | 33 + .../grib_wafs/jgfs_wafs_f18.ecf | 33 + .../grib_wafs/jgfs_wafs_f24.ecf | 33 + .../grib_wafs/jgfs_wafs_f30.ecf | 33 + .../grib_wafs/jgfs_wafs_f36.ecf | 33 + .../grib_wafs/jgfs_wafs_f42.ecf | 33 + .../grib_wafs/jgfs_wafs_f48.ecf | 33 + .../grib_wafs/jgfs_wafs_f54.ecf | 33 + .../grib_wafs/jgfs_wafs_f60.ecf | 33 + .../grib_wafs/jgfs_wafs_f66.ecf | 33 + .../grib_wafs/jgfs_wafs_f72.ecf | 33 + .../grib_wafs/jgfs_wafs_f78.ecf | 33 + .../grib_wafs/jgfs_wafs_f84.ecf | 33 + .../grib_wafs/jgfs_wafs_f90.ecf | 33 + .../grib_wafs/jgfs_wafs_f96.ecf | 33 + .../gfs/post_processing/jgfs_wafs_gcip.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_anl.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f00.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f01.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f02.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f03.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f04.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f05.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f06.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f07.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f08.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f09.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f10.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f100.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f101.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f102.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f103.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f104.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f105.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f106.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f107.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f108.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f109.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f11.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f110.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f111.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f112.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f113.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f114.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f115.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f116.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f117.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f118.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f119.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f12.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f120.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f123.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f126.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f129.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f13.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f132.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f135.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f138.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f14.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f141.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f144.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f147.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f15.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f150.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f153.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f156.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f159.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f16.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f162.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f165.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f168.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f17.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f171.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f174.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f177.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f18.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f180.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f183.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f186.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f189.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f19.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f192.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f195.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f198.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f20.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f201.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f204.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f207.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f21.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f210.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f213.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f216.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f219.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f22.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f222.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f225.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f228.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f23.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f231.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f234.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f237.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f24.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f240.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f25.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f252.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f26.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f264.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f27.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f276.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f28.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f288.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f29.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f30.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f300.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f31.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f312.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f32.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f324.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f33.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f336.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f34.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f348.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f35.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f36.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f360.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f37.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f372.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f38.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f384.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f39.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f40.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f41.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f42.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f43.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f44.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f45.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f46.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f47.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f48.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f49.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f50.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f51.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f52.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f53.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f54.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f55.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f56.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f57.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f58.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f59.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f60.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f61.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f62.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f63.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f64.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f65.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f66.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f67.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f68.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f69.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f70.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f71.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f72.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f73.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f74.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f75.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f76.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f77.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f78.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f79.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f80.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f81.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f82.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f83.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f84.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f85.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f86.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f87.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f88.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f89.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f90.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f91.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f92.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f93.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f94.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f95.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f96.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f97.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f98.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_f99.ecf | 33 + .../prod06/gfs/prdgen/jgfs_pgrb2_manager.ecf | 33 + .../prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 38 +- .../scripts/prod06/gfs/prep/jgfs_prep.ecf | 39 +- .../prod06/gfs/prep/jgfs_prep_post.ecf | 38 +- .../gfs/sminit_guam/jgfs_sminit_guam_even.ecf | 33 + .../gfs/sminit_guam/jgfs_sminit_guam_odd.ecf | 33 + .../scripts/prod12/cycle_end.ecf | 33 + .../gdas/analysis/jgdas_analysis_high.ecf | 33 + .../scripts/prod12/gdas/dump/jgdas_dump.ecf | 38 +- .../scripts/prod12/gdas/dump/jgdas_ics.ecf | 38 +- .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 38 +- .../enkf/forecast/jgdas_enkf_fcst_grp1.ecf | 33 + .../enkf/forecast/jgdas_enkf_fcst_grp2.ecf | 33 + .../enkf/forecast/jgdas_enkf_fcst_grp3.ecf | 33 + .../enkf/forecast/jgdas_enkf_fcst_grp4.ecf | 33 + .../enkf/forecast/jgdas_enkf_fcst_grp5.ecf | 33 + .../enkf/forecast/jgdas_enkf_fcst_grp6.ecf | 33 + .../enkf/forecast/jgdas_enkf_fcst_grp7.ecf | 33 + .../enkf/forecast/jgdas_enkf_fcst_grp8.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp1.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp2.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp3.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp4.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp5.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp6.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp7.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp8.ecf | 33 + .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 39 +- .../prod12/gdas/enkf/jgdas_enkf_post.ecf | 39 +- .../gdas/enkf/jgdas_enkf_select_obs.ecf | 39 +- .../prod12/gdas/enkf/jgdas_enkf_update.ecf | 39 +- .../prod12/gdas/forecast/jgdas_forecast.ecf | 33 + .../prod12/gdas/gempak/jgdas_gempak.ecf | 33 + .../prod12/gdas/gempak/jgdas_gempak_meta.ecf | 33 + .../prod12/gdas/gempak/jgdas_gempak_ncdc.ecf | 33 + .../scripts/prod12/gdas/jgdas_verfrad.ecf | 38 +- .../scripts/prod12/gdas/jgdas_vminmon.ecf | 38 +- .../scripts/prod12/gdas/post/jgdas_post.ecf | 33 + .../bulletins/jgdas_mknavybulls.ecf | 33 + .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 38 +- .../scripts/prod12/gdas/prep/jgdas_prep.ecf | 39 +- .../prod12/gdas/prep/jgdas_prep_post.ecf | 38 +- .../scripts/prod12/gfs/dump/jgfs_dump.ecf | 38 +- .../prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 38 +- .../gfs/forecast/jgfs_forecast_high.ecf | 33 + .../prod12/gfs/forecast/jgfs_forecast_low.ecf | 33 + .../scripts/prod12/gfs/gempak/jgfs_gempak.ecf | 33 + .../prod12/gfs/gempak/jgfs_gempak_meta.ecf | 33 + .../prod12/gfs/gempak/jgfs_gempak_ncdc.ecf | 33 + .../prod12/gfs/gempak/jgfs_gempak_upapgif.ecf | 33 + .../gfs/gempak/jgfs_pgrb2_spec_gempak.ecf | 33 + .../scripts/prod12/gfs/jgfs_analysis.ecf | 39 +- .../scripts/prod12/gfs/jgfs_vminmon.ecf | 38 +- .../prod12/gfs/post/jgfs_pgrb2_spec_post.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_anl.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f00.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f01.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f02.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f03.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f04.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f05.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f06.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f07.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f08.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f09.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f10.ecf | 33 + .../prod12/gfs/post/jgfs_post_f100.ecf | 33 + .../prod12/gfs/post/jgfs_post_f101.ecf | 33 + .../prod12/gfs/post/jgfs_post_f102.ecf | 33 + .../prod12/gfs/post/jgfs_post_f103.ecf | 33 + .../prod12/gfs/post/jgfs_post_f104.ecf | 33 + .../prod12/gfs/post/jgfs_post_f105.ecf | 33 + .../prod12/gfs/post/jgfs_post_f106.ecf | 33 + .../prod12/gfs/post/jgfs_post_f107.ecf | 33 + .../prod12/gfs/post/jgfs_post_f108.ecf | 33 + .../prod12/gfs/post/jgfs_post_f109.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f11.ecf | 33 + .../prod12/gfs/post/jgfs_post_f110.ecf | 33 + .../prod12/gfs/post/jgfs_post_f111.ecf | 33 + .../prod12/gfs/post/jgfs_post_f112.ecf | 33 + .../prod12/gfs/post/jgfs_post_f113.ecf | 33 + .../prod12/gfs/post/jgfs_post_f114.ecf | 33 + .../prod12/gfs/post/jgfs_post_f115.ecf | 33 + .../prod12/gfs/post/jgfs_post_f116.ecf | 33 + .../prod12/gfs/post/jgfs_post_f117.ecf | 33 + .../prod12/gfs/post/jgfs_post_f118.ecf | 33 + .../prod12/gfs/post/jgfs_post_f119.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f12.ecf | 33 + .../prod12/gfs/post/jgfs_post_f120.ecf | 33 + .../prod12/gfs/post/jgfs_post_f123.ecf | 33 + .../prod12/gfs/post/jgfs_post_f126.ecf | 33 + .../prod12/gfs/post/jgfs_post_f129.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f13.ecf | 33 + .../prod12/gfs/post/jgfs_post_f132.ecf | 33 + .../prod12/gfs/post/jgfs_post_f135.ecf | 33 + .../prod12/gfs/post/jgfs_post_f138.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f14.ecf | 33 + .../prod12/gfs/post/jgfs_post_f141.ecf | 33 + .../prod12/gfs/post/jgfs_post_f144.ecf | 33 + .../prod12/gfs/post/jgfs_post_f147.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f15.ecf | 33 + .../prod12/gfs/post/jgfs_post_f150.ecf | 33 + .../prod12/gfs/post/jgfs_post_f153.ecf | 33 + .../prod12/gfs/post/jgfs_post_f156.ecf | 33 + .../prod12/gfs/post/jgfs_post_f159.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f16.ecf | 33 + .../prod12/gfs/post/jgfs_post_f162.ecf | 33 + .../prod12/gfs/post/jgfs_post_f165.ecf | 33 + .../prod12/gfs/post/jgfs_post_f168.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f17.ecf | 33 + .../prod12/gfs/post/jgfs_post_f171.ecf | 33 + .../prod12/gfs/post/jgfs_post_f174.ecf | 33 + .../prod12/gfs/post/jgfs_post_f177.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f18.ecf | 33 + .../prod12/gfs/post/jgfs_post_f180.ecf | 33 + .../prod12/gfs/post/jgfs_post_f183.ecf | 33 + .../prod12/gfs/post/jgfs_post_f186.ecf | 33 + .../prod12/gfs/post/jgfs_post_f189.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f19.ecf | 33 + .../prod12/gfs/post/jgfs_post_f192.ecf | 33 + .../prod12/gfs/post/jgfs_post_f195.ecf | 33 + .../prod12/gfs/post/jgfs_post_f198.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f20.ecf | 33 + .../prod12/gfs/post/jgfs_post_f201.ecf | 33 + .../prod12/gfs/post/jgfs_post_f204.ecf | 33 + .../prod12/gfs/post/jgfs_post_f207.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f21.ecf | 33 + .../prod12/gfs/post/jgfs_post_f210.ecf | 33 + .../prod12/gfs/post/jgfs_post_f213.ecf | 33 + .../prod12/gfs/post/jgfs_post_f216.ecf | 33 + .../prod12/gfs/post/jgfs_post_f219.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f22.ecf | 33 + .../prod12/gfs/post/jgfs_post_f222.ecf | 33 + .../prod12/gfs/post/jgfs_post_f225.ecf | 33 + .../prod12/gfs/post/jgfs_post_f228.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f23.ecf | 33 + .../prod12/gfs/post/jgfs_post_f231.ecf | 33 + .../prod12/gfs/post/jgfs_post_f234.ecf | 33 + .../prod12/gfs/post/jgfs_post_f237.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f24.ecf | 33 + .../prod12/gfs/post/jgfs_post_f240.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f25.ecf | 33 + .../prod12/gfs/post/jgfs_post_f252.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f26.ecf | 33 + .../prod12/gfs/post/jgfs_post_f264.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f27.ecf | 33 + .../prod12/gfs/post/jgfs_post_f276.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f28.ecf | 33 + .../prod12/gfs/post/jgfs_post_f288.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f29.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f30.ecf | 33 + .../prod12/gfs/post/jgfs_post_f300.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f31.ecf | 33 + .../prod12/gfs/post/jgfs_post_f312.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f32.ecf | 33 + .../prod12/gfs/post/jgfs_post_f324.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f33.ecf | 33 + .../prod12/gfs/post/jgfs_post_f336.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f34.ecf | 33 + .../prod12/gfs/post/jgfs_post_f348.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f35.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f36.ecf | 33 + .../prod12/gfs/post/jgfs_post_f360.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f37.ecf | 33 + .../prod12/gfs/post/jgfs_post_f372.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f38.ecf | 33 + .../prod12/gfs/post/jgfs_post_f384.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f39.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f40.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f41.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f42.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f43.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f44.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f45.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f46.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f47.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f48.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f49.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f50.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f51.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f52.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f53.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f54.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f55.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f56.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f57.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f58.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f59.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f60.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f61.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f62.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f63.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f64.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f65.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f66.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f67.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f68.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f69.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f70.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f71.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f72.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f73.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f74.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f75.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f76.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f77.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f78.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f79.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f80.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f81.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f82.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f83.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f84.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f85.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f86.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f87.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f88.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f89.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f90.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f91.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f92.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f93.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f94.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f95.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f96.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f97.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f98.ecf | 33 + .../scripts/prod12/gfs/post/jgfs_post_f99.ecf | 33 + .../prod12/gfs/post/jgfs_post_manager.ecf | 33 + .../awips_1p0deg/jgfs_awips_f000.ecf | 33 + .../awips_1p0deg/jgfs_awips_f006.ecf | 33 + .../awips_1p0deg/jgfs_awips_f240.ecf | 33 + .../awips_20km/jgfs_awips_f000.ecf | 33 + .../awips_20km/jgfs_awips_f003.ecf | 33 + .../awips_20km/jgfs_awips_f240.ecf | 33 + .../bufr_sounding/jgfs_postsnd.ecf | 33 + .../bulletins/jgfs_cyclone_tracker.ecf | 33 + .../post_processing/bulletins/jgfs_fbwind.ecf | 33 + .../gfs/post_processing/fax/jgfs_fax_anl.ecf | 33 + .../gfs/post_processing/fax/jgfs_fax_f00.ecf | 33 + .../post_processing/fax/jgfs_fax_wafs_f12.ecf | 33 + .../post_processing/fax/jgfs_fax_wafs_f24.ecf | 33 + .../post_processing/fax/jgfs_fax_wafs_f36.ecf | 33 + .../grib2_wafs/jgfs_wafs_blending.ecf | 33 + .../grib2_wafs/jgfs_wafs_grib2.ecf | 33 + .../grib_awips/jgfs_awips_f00.ecf | 33 + .../grib_awips/jgfs_awips_f06.ecf | 33 + .../grib_awips/jgfs_awips_f240.ecf | 33 + .../grib_wafs/jgfs_wafs_f00.ecf | 33 + .../grib_wafs/jgfs_wafs_f06.ecf | 33 + .../grib_wafs/jgfs_wafs_f102.ecf | 33 + .../grib_wafs/jgfs_wafs_f108.ecf | 33 + .../grib_wafs/jgfs_wafs_f114.ecf | 33 + .../grib_wafs/jgfs_wafs_f12.ecf | 33 + .../grib_wafs/jgfs_wafs_f120.ecf | 33 + .../grib_wafs/jgfs_wafs_f18.ecf | 33 + .../grib_wafs/jgfs_wafs_f24.ecf | 33 + .../grib_wafs/jgfs_wafs_f30.ecf | 33 + .../grib_wafs/jgfs_wafs_f36.ecf | 33 + .../grib_wafs/jgfs_wafs_f42.ecf | 33 + .../grib_wafs/jgfs_wafs_f48.ecf | 33 + .../grib_wafs/jgfs_wafs_f54.ecf | 33 + .../grib_wafs/jgfs_wafs_f60.ecf | 33 + .../grib_wafs/jgfs_wafs_f66.ecf | 33 + .../grib_wafs/jgfs_wafs_f72.ecf | 33 + .../grib_wafs/jgfs_wafs_f78.ecf | 33 + .../grib_wafs/jgfs_wafs_f84.ecf | 33 + .../grib_wafs/jgfs_wafs_f90.ecf | 33 + .../grib_wafs/jgfs_wafs_f96.ecf | 33 + .../gfs/post_processing/jgfs_wafs_gcip.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_anl.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f00.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f01.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f02.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f03.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f04.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f05.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f06.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f07.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f08.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f09.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f10.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f100.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f101.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f102.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f103.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f104.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f105.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f106.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f107.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f108.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f109.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f11.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f110.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f111.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f112.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f113.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f114.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f115.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f116.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f117.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f118.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f119.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f12.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f120.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f123.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f126.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f129.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f13.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f132.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f135.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f138.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f14.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f141.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f144.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f147.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f15.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f150.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f153.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f156.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f159.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f16.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f162.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f165.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f168.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f17.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f171.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f174.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f177.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f18.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f180.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f183.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f186.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f189.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f19.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f192.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f195.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f198.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f20.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f201.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f204.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f207.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f21.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f210.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f213.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f216.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f219.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f22.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f222.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f225.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f228.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f23.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f231.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f234.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f237.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f24.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f240.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f25.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f252.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f26.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f264.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f27.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f276.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f28.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f288.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f29.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f30.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f300.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f31.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f312.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f32.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f324.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f33.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f336.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f34.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f348.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f35.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f36.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f360.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f37.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f372.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f38.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f384.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f39.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f40.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f41.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f42.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f43.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f44.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f45.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f46.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f47.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f48.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f49.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f50.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f51.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f52.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f53.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f54.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f55.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f56.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f57.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f58.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f59.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f60.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f61.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f62.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f63.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f64.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f65.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f66.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f67.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f68.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f69.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f70.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f71.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f72.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f73.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f74.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f75.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f76.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f77.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f78.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f79.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f80.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f81.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f82.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f83.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f84.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f85.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f86.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f87.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f88.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f89.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f90.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f91.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f92.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f93.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f94.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f95.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f96.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f97.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f98.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_f99.ecf | 33 + .../prod12/gfs/prdgen/jgfs_pgrb2_manager.ecf | 33 + .../prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 38 +- .../scripts/prod12/gfs/prep/jgfs_prep.ecf | 39 +- .../prod12/gfs/prep/jgfs_prep_post.ecf | 38 +- .../gfs/sminit_guam/jgfs_sminit_guam_even.ecf | 33 + .../gfs/sminit_guam/jgfs_sminit_guam_odd.ecf | 33 + .../scripts/prod18/cycle_end.ecf | 33 + .../gdas/analysis/jgdas_analysis_high.ecf | 33 + .../scripts/prod18/gdas/dump/jgdas_dump.ecf | 38 +- .../scripts/prod18/gdas/dump/jgdas_ics.ecf | 38 +- .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 38 +- .../enkf/forecast/jgdas_enkf_fcst_grp1.ecf | 33 + .../enkf/forecast/jgdas_enkf_fcst_grp2.ecf | 33 + .../enkf/forecast/jgdas_enkf_fcst_grp3.ecf | 33 + .../enkf/forecast/jgdas_enkf_fcst_grp4.ecf | 33 + .../enkf/forecast/jgdas_enkf_fcst_grp5.ecf | 33 + .../enkf/forecast/jgdas_enkf_fcst_grp6.ecf | 33 + .../enkf/forecast/jgdas_enkf_fcst_grp7.ecf | 33 + .../enkf/forecast/jgdas_enkf_fcst_grp8.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp1.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp2.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp3.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp4.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp5.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp6.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp7.ecf | 33 + .../innovate/jgdas_enkf_innovate_obs_grp8.ecf | 33 + .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 39 +- .../prod18/gdas/enkf/jgdas_enkf_post.ecf | 39 +- .../gdas/enkf/jgdas_enkf_select_obs.ecf | 39 +- .../prod18/gdas/enkf/jgdas_enkf_update.ecf | 39 +- .../prod18/gdas/forecast/jgdas_forecast.ecf | 33 + .../prod18/gdas/gempak/jgdas_gempak.ecf | 33 + .../prod18/gdas/gempak/jgdas_gempak_meta.ecf | 33 + .../prod18/gdas/gempak/jgdas_gempak_ncdc.ecf | 33 + .../scripts/prod18/gdas/jgdas_verfrad.ecf | 38 +- .../scripts/prod18/gdas/jgdas_vminmon.ecf | 38 +- .../scripts/prod18/gdas/post/jgdas_post.ecf | 33 + .../bulletins/jgdas_mknavybulls.ecf | 33 + .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 38 +- .../scripts/prod18/gdas/prep/jgdas_prep.ecf | 39 +- .../prod18/gdas/prep/jgdas_prep_post.ecf | 38 +- .../scripts/prod18/gfs/dump/jgfs_dump.ecf | 38 +- .../prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 38 +- .../gfs/forecast/jgfs_forecast_high.ecf | 33 + .../prod18/gfs/forecast/jgfs_forecast_low.ecf | 33 + .../scripts/prod18/gfs/gempak/jgfs_gempak.ecf | 33 + .../prod18/gfs/gempak/jgfs_gempak_meta.ecf | 33 + .../prod18/gfs/gempak/jgfs_gempak_ncdc.ecf | 33 + .../prod18/gfs/gempak/jgfs_gempak_upapgif.ecf | 33 + .../gfs/gempak/jgfs_pgrb2_spec_gempak.ecf | 33 + .../scripts/prod18/gfs/jgfs_analysis.ecf | 39 +- .../scripts/prod18/gfs/jgfs_vminmon.ecf | 38 +- .../prod18/gfs/post/jgfs_pgrb2_spec_post.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_anl.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f00.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f01.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f02.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f03.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f04.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f05.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f06.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f07.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f08.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f09.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f10.ecf | 33 + .../prod18/gfs/post/jgfs_post_f100.ecf | 33 + .../prod18/gfs/post/jgfs_post_f101.ecf | 33 + .../prod18/gfs/post/jgfs_post_f102.ecf | 33 + .../prod18/gfs/post/jgfs_post_f103.ecf | 33 + .../prod18/gfs/post/jgfs_post_f104.ecf | 33 + .../prod18/gfs/post/jgfs_post_f105.ecf | 33 + .../prod18/gfs/post/jgfs_post_f106.ecf | 33 + .../prod18/gfs/post/jgfs_post_f107.ecf | 33 + .../prod18/gfs/post/jgfs_post_f108.ecf | 33 + .../prod18/gfs/post/jgfs_post_f109.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f11.ecf | 33 + .../prod18/gfs/post/jgfs_post_f110.ecf | 33 + .../prod18/gfs/post/jgfs_post_f111.ecf | 33 + .../prod18/gfs/post/jgfs_post_f112.ecf | 33 + .../prod18/gfs/post/jgfs_post_f113.ecf | 33 + .../prod18/gfs/post/jgfs_post_f114.ecf | 33 + .../prod18/gfs/post/jgfs_post_f115.ecf | 33 + .../prod18/gfs/post/jgfs_post_f116.ecf | 33 + .../prod18/gfs/post/jgfs_post_f117.ecf | 33 + .../prod18/gfs/post/jgfs_post_f118.ecf | 33 + .../prod18/gfs/post/jgfs_post_f119.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f12.ecf | 33 + .../prod18/gfs/post/jgfs_post_f120.ecf | 33 + .../prod18/gfs/post/jgfs_post_f123.ecf | 33 + .../prod18/gfs/post/jgfs_post_f126.ecf | 33 + .../prod18/gfs/post/jgfs_post_f129.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f13.ecf | 33 + .../prod18/gfs/post/jgfs_post_f132.ecf | 33 + .../prod18/gfs/post/jgfs_post_f135.ecf | 33 + .../prod18/gfs/post/jgfs_post_f138.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f14.ecf | 33 + .../prod18/gfs/post/jgfs_post_f141.ecf | 33 + .../prod18/gfs/post/jgfs_post_f144.ecf | 33 + .../prod18/gfs/post/jgfs_post_f147.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f15.ecf | 33 + .../prod18/gfs/post/jgfs_post_f150.ecf | 33 + .../prod18/gfs/post/jgfs_post_f153.ecf | 33 + .../prod18/gfs/post/jgfs_post_f156.ecf | 33 + .../prod18/gfs/post/jgfs_post_f159.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f16.ecf | 33 + .../prod18/gfs/post/jgfs_post_f162.ecf | 33 + .../prod18/gfs/post/jgfs_post_f165.ecf | 33 + .../prod18/gfs/post/jgfs_post_f168.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f17.ecf | 33 + .../prod18/gfs/post/jgfs_post_f171.ecf | 33 + .../prod18/gfs/post/jgfs_post_f174.ecf | 33 + .../prod18/gfs/post/jgfs_post_f177.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f18.ecf | 33 + .../prod18/gfs/post/jgfs_post_f180.ecf | 33 + .../prod18/gfs/post/jgfs_post_f183.ecf | 33 + .../prod18/gfs/post/jgfs_post_f186.ecf | 33 + .../prod18/gfs/post/jgfs_post_f189.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f19.ecf | 33 + .../prod18/gfs/post/jgfs_post_f192.ecf | 33 + .../prod18/gfs/post/jgfs_post_f195.ecf | 33 + .../prod18/gfs/post/jgfs_post_f198.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f20.ecf | 33 + .../prod18/gfs/post/jgfs_post_f201.ecf | 33 + .../prod18/gfs/post/jgfs_post_f204.ecf | 33 + .../prod18/gfs/post/jgfs_post_f207.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f21.ecf | 33 + .../prod18/gfs/post/jgfs_post_f210.ecf | 33 + .../prod18/gfs/post/jgfs_post_f213.ecf | 33 + .../prod18/gfs/post/jgfs_post_f216.ecf | 33 + .../prod18/gfs/post/jgfs_post_f219.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f22.ecf | 33 + .../prod18/gfs/post/jgfs_post_f222.ecf | 33 + .../prod18/gfs/post/jgfs_post_f225.ecf | 33 + .../prod18/gfs/post/jgfs_post_f228.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f23.ecf | 33 + .../prod18/gfs/post/jgfs_post_f231.ecf | 33 + .../prod18/gfs/post/jgfs_post_f234.ecf | 33 + .../prod18/gfs/post/jgfs_post_f237.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f24.ecf | 33 + .../prod18/gfs/post/jgfs_post_f240.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f25.ecf | 33 + .../prod18/gfs/post/jgfs_post_f252.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f26.ecf | 33 + .../prod18/gfs/post/jgfs_post_f264.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f27.ecf | 33 + .../prod18/gfs/post/jgfs_post_f276.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f28.ecf | 33 + .../prod18/gfs/post/jgfs_post_f288.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f29.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f30.ecf | 33 + .../prod18/gfs/post/jgfs_post_f300.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f31.ecf | 33 + .../prod18/gfs/post/jgfs_post_f312.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f32.ecf | 33 + .../prod18/gfs/post/jgfs_post_f324.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f33.ecf | 33 + .../prod18/gfs/post/jgfs_post_f336.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f34.ecf | 33 + .../prod18/gfs/post/jgfs_post_f348.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f35.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f36.ecf | 33 + .../prod18/gfs/post/jgfs_post_f360.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f37.ecf | 33 + .../prod18/gfs/post/jgfs_post_f372.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f38.ecf | 33 + .../prod18/gfs/post/jgfs_post_f384.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f39.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f40.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f41.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f42.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f43.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f44.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f45.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f46.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f47.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f48.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f49.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f50.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f51.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f52.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f53.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f54.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f55.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f56.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f57.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f58.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f59.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f60.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f61.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f62.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f63.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f64.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f65.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f66.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f67.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f68.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f69.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f70.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f71.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f72.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f73.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f74.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f75.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f76.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f77.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f78.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f79.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f80.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f81.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f82.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f83.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f84.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f85.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f86.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f87.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f88.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f89.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f90.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f91.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f92.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f93.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f94.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f95.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f96.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f97.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f98.ecf | 33 + .../scripts/prod18/gfs/post/jgfs_post_f99.ecf | 33 + .../prod18/gfs/post/jgfs_post_manager.ecf | 33 + .../awips_1p0deg/jgfs_awips_f000.ecf | 33 + .../awips_1p0deg/jgfs_awips_f006.ecf | 33 + .../awips_1p0deg/jgfs_awips_f240.ecf | 33 + .../awips_20km/jgfs_awips_f000.ecf | 33 + .../awips_20km/jgfs_awips_f003.ecf | 33 + .../awips_20km/jgfs_awips_f240.ecf | 33 + .../bufr_sounding/jgfs_postsnd.ecf | 33 + .../bulletins/jgfs_cyclone_tracker.ecf | 33 + .../post_processing/bulletins/jgfs_fbwind.ecf | 33 + .../gfs/post_processing/fax/jgfs_fax_anl.ecf | 33 + .../gfs/post_processing/fax/jgfs_fax_f00.ecf | 33 + .../post_processing/fax/jgfs_fax_wafs_f12.ecf | 33 + .../post_processing/fax/jgfs_fax_wafs_f24.ecf | 33 + .../post_processing/fax/jgfs_fax_wafs_f36.ecf | 33 + .../grib2_wafs/jgfs_wafs_blending.ecf | 33 + .../grib2_wafs/jgfs_wafs_grib2.ecf | 33 + .../grib_awips/jgfs_awips_f00.ecf | 33 + .../grib_awips/jgfs_awips_f06.ecf | 33 + .../grib_awips/jgfs_awips_f240.ecf | 33 + .../grib_wafs/jgfs_wafs_f00.ecf | 33 + .../grib_wafs/jgfs_wafs_f06.ecf | 33 + .../grib_wafs/jgfs_wafs_f102.ecf | 33 + .../grib_wafs/jgfs_wafs_f108.ecf | 33 + .../grib_wafs/jgfs_wafs_f114.ecf | 33 + .../grib_wafs/jgfs_wafs_f12.ecf | 33 + .../grib_wafs/jgfs_wafs_f120.ecf | 33 + .../grib_wafs/jgfs_wafs_f18.ecf | 33 + .../grib_wafs/jgfs_wafs_f24.ecf | 33 + .../grib_wafs/jgfs_wafs_f30.ecf | 33 + .../grib_wafs/jgfs_wafs_f36.ecf | 33 + .../grib_wafs/jgfs_wafs_f42.ecf | 33 + .../grib_wafs/jgfs_wafs_f48.ecf | 33 + .../grib_wafs/jgfs_wafs_f54.ecf | 33 + .../grib_wafs/jgfs_wafs_f60.ecf | 33 + .../grib_wafs/jgfs_wafs_f66.ecf | 33 + .../grib_wafs/jgfs_wafs_f72.ecf | 33 + .../grib_wafs/jgfs_wafs_f78.ecf | 33 + .../grib_wafs/jgfs_wafs_f84.ecf | 33 + .../grib_wafs/jgfs_wafs_f90.ecf | 33 + .../grib_wafs/jgfs_wafs_f96.ecf | 33 + .../gfs/post_processing/jgfs_wafs_gcip.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_anl.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f00.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f01.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f02.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f03.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f04.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f05.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f06.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f07.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f08.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f09.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f10.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f100.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f101.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f102.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f103.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f104.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f105.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f106.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f107.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f108.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f109.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f11.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f110.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f111.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f112.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f113.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f114.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f115.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f116.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f117.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f118.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f119.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f12.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f120.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f123.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f126.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f129.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f13.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f132.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f135.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f138.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f14.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f141.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f144.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f147.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f15.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f150.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f153.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f156.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f159.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f16.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f162.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f165.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f168.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f17.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f171.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f174.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f177.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f18.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f180.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f183.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f186.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f189.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f19.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f192.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f195.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f198.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f20.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f201.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f204.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f207.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f21.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f210.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f213.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f216.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f219.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f22.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f222.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f225.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f228.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f23.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f231.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f234.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f237.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f24.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f240.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f25.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f252.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f26.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f264.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f27.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f276.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f28.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f288.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f29.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f30.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f300.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f31.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f312.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f32.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f324.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f33.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f336.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f34.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f348.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f35.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f36.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f360.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f37.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f372.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f38.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f384.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f39.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f40.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f41.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f42.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f43.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f44.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f45.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f46.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f47.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f48.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f49.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f50.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f51.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f52.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f53.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f54.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f55.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f56.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f57.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f58.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f59.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f60.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f61.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f62.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f63.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f64.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f65.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f66.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f67.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f68.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f69.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f70.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f71.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f72.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f73.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f74.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f75.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f76.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f77.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f78.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f79.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f80.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f81.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f82.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f83.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f84.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f85.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f86.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f87.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f88.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f89.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f90.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f91.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f92.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f93.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f94.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f95.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f96.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f97.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f98.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_f99.ecf | 33 + .../prod18/gfs/prdgen/jgfs_pgrb2_manager.ecf | 33 + .../prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 38 +- .../scripts/prod18/gfs/prep/jgfs_prep.ecf | 39 +- .../prod18/gfs/prep/jgfs_prep_post.ecf | 38 +- .../gfs/sminit_guam/jgfs_sminit_guam_even.ecf | 33 + .../gfs/sminit_guam/jgfs_sminit_guam_odd.ecf | 33 + model/ecflow_fv3gfs/settings.yaml | 3 + model/ecflow_fv3gfs/suite_def.yaml | 124 +- 1792 files changed, 63908 insertions(+), 1115 deletions(-) create mode 100644 model/ecflow_fv3gfs/ecf_file.yaml create mode 100644 model/ecflow_fv3gfs/scripts/prod00/cycle_end.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/analysis/jgdas_analysis_high.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/forecast/jgdas_forecast.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_meta.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_ncdc.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/post/jgdas_post.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_high.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_low.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_meta.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_ncdc.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_upapgif.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_pgrb2_spec_post.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_anl.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f00.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f01.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f02.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f03.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f04.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f05.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f06.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f07.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f08.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f09.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f10.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f100.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f101.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f102.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f103.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f104.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f105.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f106.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f107.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f108.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f109.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f11.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f110.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f111.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f112.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f113.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f114.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f115.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f116.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f117.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f118.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f119.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f12.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f120.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f123.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f126.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f129.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f13.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f132.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f135.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f138.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f14.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f141.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f144.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f147.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f15.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f150.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f153.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f156.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f159.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f16.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f162.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f165.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f168.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f17.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f171.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f174.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f177.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f18.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f180.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f183.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f186.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f189.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f19.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f192.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f195.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f198.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f20.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f201.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f204.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f207.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f21.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f210.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f213.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f216.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f219.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f22.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f222.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f225.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f228.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f23.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f231.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f234.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f237.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f24.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f240.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f25.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f252.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f26.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f264.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f27.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f276.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f28.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f288.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f29.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f30.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f300.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f31.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f312.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f32.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f324.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f33.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f336.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f34.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f348.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f35.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f36.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f360.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f37.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f372.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f38.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f384.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f39.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f40.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f41.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f42.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f43.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f44.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f45.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f46.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f47.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f48.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f49.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f50.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f51.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f52.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f53.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f54.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f55.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f56.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f57.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f58.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f59.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f60.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f61.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f62.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f63.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f64.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f65.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f66.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f67.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f68.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f69.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f70.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f71.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f72.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f73.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f74.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f75.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f76.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f77.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f78.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f79.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f80.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f81.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f82.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f83.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f84.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f85.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f86.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f87.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f88.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f89.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f90.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f91.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f92.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f93.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f94.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f95.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f96.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f97.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f98.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f99.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_manager.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_fbwind.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_anl.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_f00.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/jgfs_wafs_gcip.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_anl.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f00.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f01.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f02.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f03.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f04.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f05.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f06.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f07.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f08.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f09.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f10.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f100.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f101.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f102.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f103.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f104.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f105.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f106.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f107.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f108.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f109.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f11.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f110.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f111.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f112.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f113.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f114.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f115.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f116.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f117.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f118.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f119.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f12.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f120.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f123.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f126.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f129.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f13.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f132.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f135.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f138.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f14.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f141.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f144.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f147.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f15.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f150.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f153.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f156.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f159.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f16.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f162.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f165.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f168.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f17.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f171.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f174.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f177.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f18.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f180.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f183.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f186.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f189.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f19.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f192.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f195.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f198.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f20.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f201.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f204.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f207.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f21.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f210.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f213.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f216.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f219.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f22.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f222.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f225.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f228.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f23.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f231.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f234.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f237.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f24.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f240.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f25.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f252.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f26.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f264.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f27.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f276.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f28.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f288.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f29.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f30.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f300.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f31.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f312.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f32.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f324.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f33.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f336.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f34.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f348.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f35.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f36.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f360.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f37.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f372.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f38.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f384.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f39.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f40.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f41.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f42.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f43.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f44.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f45.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f46.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f47.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f48.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f49.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f50.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f51.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f52.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f53.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f54.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f55.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f56.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f57.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f58.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f59.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f60.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f61.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f62.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f63.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f64.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f65.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f66.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f67.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f68.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f69.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f70.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f71.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f72.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f73.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f74.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f75.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f76.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f77.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f78.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f79.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f80.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f81.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f82.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f83.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f84.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f85.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f86.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f87.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f88.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f89.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f90.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f91.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f92.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f93.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f94.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f95.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f96.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f97.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f98.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f99.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_manager.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_even.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/cycle_end.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/analysis/jgdas_analysis_high.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/forecast/jgdas_forecast.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_meta.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_ncdc.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/post/jgdas_post.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_high.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_low.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_meta.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_ncdc.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_upapgif.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_pgrb2_spec_post.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_anl.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f00.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f01.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f02.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f03.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f04.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f05.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f06.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f07.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f08.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f09.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f10.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f100.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f101.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f102.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f103.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f104.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f105.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f106.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f107.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f108.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f109.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f11.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f110.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f111.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f112.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f113.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f114.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f115.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f116.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f117.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f118.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f119.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f12.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f120.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f123.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f126.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f129.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f13.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f132.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f135.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f138.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f14.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f141.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f144.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f147.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f15.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f150.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f153.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f156.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f159.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f16.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f162.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f165.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f168.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f17.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f171.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f174.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f177.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f18.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f180.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f183.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f186.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f189.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f19.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f192.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f195.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f198.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f20.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f201.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f204.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f207.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f21.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f210.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f213.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f216.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f219.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f22.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f222.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f225.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f228.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f23.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f231.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f234.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f237.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f24.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f240.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f25.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f252.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f26.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f264.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f27.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f276.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f28.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f288.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f29.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f30.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f300.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f31.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f312.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f32.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f324.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f33.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f336.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f34.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f348.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f35.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f36.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f360.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f37.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f372.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f38.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f384.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f39.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f40.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f41.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f42.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f43.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f44.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f45.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f46.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f47.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f48.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f49.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f50.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f51.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f52.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f53.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f54.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f55.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f56.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f57.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f58.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f59.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f60.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f61.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f62.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f63.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f64.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f65.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f66.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f67.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f68.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f69.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f70.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f71.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f72.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f73.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f74.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f75.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f76.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f77.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f78.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f79.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f80.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f81.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f82.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f83.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f84.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f85.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f86.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f87.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f88.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f89.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f90.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f91.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f92.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f93.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f94.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f95.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f96.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f97.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f98.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f99.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_manager.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_fbwind.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_anl.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_f00.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/jgfs_wafs_gcip.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_anl.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f00.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f01.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f02.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f03.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f04.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f05.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f06.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f07.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f08.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f09.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f10.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f100.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f101.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f102.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f103.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f104.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f105.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f106.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f107.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f108.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f109.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f11.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f110.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f111.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f112.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f113.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f114.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f115.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f116.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f117.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f118.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f119.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f12.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f120.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f123.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f126.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f129.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f13.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f132.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f135.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f138.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f14.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f141.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f144.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f147.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f15.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f150.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f153.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f156.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f159.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f16.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f162.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f165.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f168.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f17.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f171.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f174.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f177.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f18.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f180.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f183.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f186.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f189.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f19.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f192.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f195.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f198.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f20.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f201.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f204.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f207.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f21.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f210.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f213.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f216.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f219.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f22.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f222.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f225.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f228.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f23.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f231.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f234.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f237.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f24.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f240.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f25.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f252.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f26.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f264.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f27.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f276.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f28.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f288.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f29.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f30.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f300.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f31.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f312.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f32.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f324.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f33.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f336.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f34.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f348.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f35.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f36.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f360.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f37.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f372.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f38.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f384.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f39.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f40.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f41.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f42.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f43.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f44.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f45.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f46.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f47.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f48.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f49.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f50.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f51.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f52.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f53.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f54.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f55.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f56.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f57.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f58.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f59.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f60.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f61.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f62.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f63.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f64.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f65.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f66.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f67.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f68.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f69.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f70.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f71.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f72.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f73.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f74.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f75.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f76.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f77.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f78.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f79.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f80.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f81.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f82.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f83.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f84.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f85.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f86.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f87.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f88.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f89.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f90.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f91.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f92.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f93.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f94.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f95.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f96.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f97.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f98.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f99.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_manager.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_even.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/cycle_end.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/analysis/jgdas_analysis_high.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/forecast/jgdas_forecast.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_meta.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_ncdc.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/post/jgdas_post.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_high.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_low.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_meta.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_ncdc.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_upapgif.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_pgrb2_spec_post.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_anl.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f00.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f01.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f02.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f03.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f04.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f05.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f06.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f07.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f08.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f09.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f10.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f100.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f101.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f102.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f103.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f104.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f105.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f106.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f107.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f108.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f109.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f11.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f110.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f111.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f112.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f113.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f114.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f115.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f116.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f117.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f118.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f119.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f12.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f120.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f123.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f126.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f129.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f13.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f132.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f135.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f138.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f14.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f141.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f144.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f147.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f15.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f150.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f153.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f156.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f159.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f16.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f162.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f165.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f168.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f17.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f171.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f174.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f177.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f18.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f180.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f183.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f186.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f189.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f19.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f192.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f195.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f198.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f20.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f201.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f204.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f207.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f21.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f210.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f213.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f216.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f219.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f22.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f222.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f225.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f228.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f23.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f231.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f234.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f237.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f24.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f240.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f25.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f252.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f26.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f264.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f27.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f276.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f28.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f288.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f29.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f30.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f300.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f31.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f312.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f32.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f324.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f33.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f336.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f34.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f348.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f35.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f36.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f360.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f37.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f372.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f38.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f384.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f39.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f40.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f41.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f42.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f43.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f44.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f45.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f46.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f47.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f48.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f49.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f50.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f51.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f52.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f53.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f54.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f55.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f56.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f57.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f58.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f59.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f60.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f61.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f62.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f63.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f64.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f65.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f66.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f67.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f68.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f69.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f70.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f71.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f72.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f73.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f74.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f75.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f76.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f77.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f78.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f79.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f80.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f81.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f82.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f83.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f84.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f85.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f86.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f87.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f88.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f89.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f90.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f91.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f92.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f93.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f94.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f95.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f96.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f97.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f98.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f99.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_manager.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_fbwind.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_anl.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_f00.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/jgfs_wafs_gcip.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_anl.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f00.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f01.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f02.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f03.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f04.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f05.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f06.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f07.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f08.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f09.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f10.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f100.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f101.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f102.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f103.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f104.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f105.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f106.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f107.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f108.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f109.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f11.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f110.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f111.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f112.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f113.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f114.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f115.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f116.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f117.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f118.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f119.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f12.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f120.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f123.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f126.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f129.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f13.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f132.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f135.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f138.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f14.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f141.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f144.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f147.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f15.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f150.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f153.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f156.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f159.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f16.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f162.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f165.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f168.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f17.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f171.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f174.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f177.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f18.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f180.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f183.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f186.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f189.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f19.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f192.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f195.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f198.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f20.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f201.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f204.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f207.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f21.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f210.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f213.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f216.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f219.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f22.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f222.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f225.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f228.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f23.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f231.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f234.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f237.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f24.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f240.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f25.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f252.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f26.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f264.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f27.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f276.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f28.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f288.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f29.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f30.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f300.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f31.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f312.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f32.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f324.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f33.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f336.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f34.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f348.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f35.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f36.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f360.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f37.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f372.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f38.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f384.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f39.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f40.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f41.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f42.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f43.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f44.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f45.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f46.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f47.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f48.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f49.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f50.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f51.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f52.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f53.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f54.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f55.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f56.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f57.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f58.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f59.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f60.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f61.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f62.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f63.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f64.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f65.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f66.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f67.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f68.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f69.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f70.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f71.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f72.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f73.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f74.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f75.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f76.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f77.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f78.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f79.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f80.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f81.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f82.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f83.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f84.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f85.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f86.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f87.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f88.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f89.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f90.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f91.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f92.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f93.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f94.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f95.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f96.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f97.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f98.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f99.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_manager.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_even.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/cycle_end.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/analysis/jgdas_analysis_high.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/forecast/jgdas_forecast.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_meta.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_ncdc.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/post/jgdas_post.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_high.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_low.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_meta.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_ncdc.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_upapgif.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_pgrb2_spec_post.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_anl.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f00.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f01.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f02.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f03.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f04.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f05.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f06.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f07.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f08.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f09.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f10.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f100.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f101.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f102.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f103.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f104.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f105.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f106.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f107.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f108.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f109.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f11.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f110.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f111.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f112.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f113.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f114.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f115.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f116.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f117.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f118.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f119.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f12.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f120.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f123.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f126.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f129.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f13.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f132.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f135.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f138.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f14.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f141.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f144.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f147.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f15.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f150.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f153.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f156.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f159.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f16.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f162.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f165.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f168.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f17.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f171.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f174.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f177.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f18.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f180.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f183.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f186.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f189.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f19.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f192.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f195.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f198.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f20.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f201.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f204.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f207.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f21.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f210.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f213.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f216.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f219.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f22.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f222.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f225.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f228.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f23.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f231.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f234.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f237.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f24.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f240.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f25.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f252.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f26.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f264.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f27.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f276.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f28.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f288.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f29.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f30.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f300.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f31.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f312.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f32.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f324.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f33.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f336.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f34.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f348.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f35.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f36.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f360.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f37.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f372.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f38.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f384.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f39.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f40.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f41.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f42.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f43.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f44.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f45.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f46.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f47.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f48.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f49.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f50.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f51.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f52.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f53.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f54.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f55.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f56.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f57.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f58.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f59.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f60.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f61.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f62.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f63.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f64.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f65.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f66.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f67.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f68.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f69.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f70.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f71.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f72.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f73.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f74.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f75.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f76.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f77.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f78.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f79.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f80.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f81.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f82.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f83.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f84.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f85.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f86.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f87.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f88.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f89.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f90.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f91.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f92.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f93.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f94.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f95.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f96.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f97.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f98.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f99.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_manager.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_fbwind.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_anl.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_f00.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/jgfs_wafs_gcip.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_anl.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f00.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f01.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f02.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f03.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f04.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f05.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f06.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f07.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f08.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f09.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f10.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f100.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f101.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f102.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f103.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f104.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f105.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f106.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f107.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f108.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f109.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f11.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f110.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f111.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f112.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f113.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f114.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f115.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f116.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f117.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f118.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f119.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f12.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f120.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f123.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f126.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f129.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f13.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f132.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f135.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f138.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f14.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f141.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f144.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f147.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f15.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f150.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f153.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f156.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f159.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f16.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f162.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f165.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f168.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f17.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f171.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f174.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f177.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f18.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f180.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f183.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f186.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f189.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f19.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f192.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f195.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f198.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f20.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f201.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f204.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f207.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f21.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f210.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f213.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f216.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f219.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f22.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f222.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f225.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f228.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f23.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f231.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f234.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f237.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f24.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f240.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f25.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f252.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f26.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f264.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f27.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f276.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f28.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f288.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f29.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f30.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f300.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f31.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f312.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f32.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f324.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f33.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f336.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f34.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f348.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f35.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f36.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f360.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f37.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f372.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f38.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f384.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f39.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f40.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f41.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f42.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f43.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f44.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f45.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f46.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f47.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f48.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f49.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f50.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f51.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f52.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f53.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f54.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f55.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f56.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f57.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f58.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f59.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f60.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f61.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f62.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f63.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f64.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f65.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f66.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f67.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f68.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f69.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f70.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f71.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f72.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f73.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f74.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f75.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f76.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f77.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f78.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f79.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f80.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f81.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f82.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f83.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f84.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f85.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f86.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f87.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f88.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f89.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f90.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f91.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f92.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f93.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f94.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f95.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f96.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f97.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f98.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f99.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_manager.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_even.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index 4a855d7..fc6349c 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -47,6 +47,8 @@ class ShellEventYAML(dict): pass class TaskYAML(OrderedDict): pass class TaskArrayYAML(OrderedDict): pass class TaskElementYAML(OrderedDict): pass +class DataEventElementYAML(OrderedDict): pass +class ShellEventElementYAML(OrderedDict): pass class FamilyYAML(OrderedDict): pass class CycleYAML(OrderedDict): pass class TemplateYAML(OrderedDict): pass @@ -57,17 +59,19 @@ class JobResourceSpecMakerYAML(list): pass # Mapping from YAML representation class to a pair: # * internal representation class # * python core class for intermediate conversion -TYPE_MAP={ PlatformYAML: [ Platform, dict, None ], - TemplateYAML: [ Template, OrderedDict, None ], - ActionYAML: [ Action, dict, None ], - ShellCommandYAML: [ ShellCommand, OrderedDict, None ], - TaskYAML: [ Task, OrderedDict, None ], - CycleYAML: [ Cycle, OrderedDict, None ], - FamilyYAML: [ Family, OrderedDict, None ], - DataEventYAML: [ DataEvent, dict, None ], - ShellEventYAML: [ ShellEvent, dict, None ], - TaskElementYAML: [ TaskElement, OrderedDict, None ], - TaskArrayYAML: [ TaskArray, OrderedDict, None ] +TYPE_MAP={ PlatformYAML: [ Platform, dict, None ], + TemplateYAML: [ Template, OrderedDict, None ], + ActionYAML: [ Action, dict, None ], + ShellCommandYAML: [ ShellCommand, OrderedDict, None ], + TaskYAML: [ Task, OrderedDict, None ], + CycleYAML: [ Cycle, OrderedDict, None ], + FamilyYAML: [ Family, OrderedDict, None ], + DataEventYAML: [ DataEvent, dict, None ], + ShellEventYAML: [ ShellEvent, dict, None ], + TaskArrayYAML: [ TaskArray, OrderedDict, None ], + TaskElementYAML: [ TaskElement, OrderedDict, None ], + DataEventElementYAML: [ DataEventElement, OrderedDict, None ], + ShellEventElementYAML: [ ShellEventElement, OrderedDict, None ], } def type_for(t,path): @@ -200,6 +204,8 @@ def constructor(loader,node): add_yaml_ordered_dict(u'!Task',TaskYAML) add_yaml_ordered_dict(u'!TaskArray',TaskArrayYAML) add_yaml_ordered_dict(u'!TaskElement',TaskElementYAML) +add_yaml_ordered_dict(u'!DataEventElement',DataEventElementYAML) +add_yaml_ordered_dict(u'!ShellEventElement',ShellEventElementYAML) add_yaml_ordered_dict(u'!Family',FamilyYAML) SUITE={ EvalYAML: Eval, @@ -211,6 +217,8 @@ def constructor(loader,node): FamilyYAML: Family, TaskArrayYAML: TaskArray, TaskElementYAML: TaskElement, + DataEventElementYAML: DataEventElement, + ShellEventElementYAML: ShellEventElement, ClockYAML:ClockMaker, OutputSlotYAML: OutputSlot, InputSlotYAML: InputSlot} diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 3c3283c..a0fce91 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -28,7 +28,8 @@ 'CycleExistsDependency', 'FamilyView', 'TaskView', 'CycleView', 'Slot', 'InputSlot', 'OutputSlot', 'Message', 'Event', 'DataEvent', 'ShellEvent', 'EventDependency', - 'TaskExistsDependency', 'TaskArray', 'TaskElement' ] + 'TaskExistsDependency', 'TaskArray', 'TaskElement', + 'DataEventElement', 'ShellEventElement' ] class Event(dict_eval): pass class DataEvent(Event): pass @@ -240,7 +241,7 @@ def __wrap(self,key,obj): obj=copy(obj) self.viewed[key]=obj return CycleView(self.suite,obj,self.path[:1],self) - elif isinstance(obj,TaskArray): + elif hasattr(obj,'_generate'): return self.__wrap(key,obj._generate(self)) elif type(obj) in SUITE_CLASS_MAP: view_class=SUITE_CLASS_MAP[type(obj)] @@ -652,17 +653,63 @@ class Task(Taskable): pass class Family(Taskable): pass class Cycle(dict_eval): pass -class TaskElement(dict_eval): - def _duplicate(self,dimensions,indices): - for more_indices in subdict_iter(dimensions): +class TaskArrayElement(dict_eval): + def _duplicate(self,parent,dimensions,indices): + child_dimensions=dimensions + if 'Foreach' in self: + typecheck(f'{self._path}.Foreach',self.Foreach,Sequence,'sequence') + d2=dict() + for idxname in self.Foreach: + if idxname in dimensions: + d2[idxname]=dimensions[idxname] + else: + raise KeyError(f'{self._path}.Foreach: {idxname}: no such dimension') + dimensions=d2 + dict_iter=[{}] + if dimensions: + dict_iter=subdict_iter(dimensions) + for more_indices in dict_iter: child_indices=copy(indices) child_indices.update(more_indices) - t=Task(self._raw_child(),globals=self._globals()) + cls=ARRAY_ELEMENT_TYPE_MAP[type(self)] + t=cls(self._raw_child(),globals=self._globals()) + t._path=self._path # used if Name is missing t['idx']=dict_eval(child_indices) name=t.Name - t._path=f'{self._path}.{name}' + t._path=f'{parent._path}.{name}' + for k,v in self._raw_child().items(): + if hasattr(v,'_duplicate'): + for name2,content2 in v._duplicate(t,child_dimensions,indices): + t[name2]=content2 yield name,t +class DataEventElement(TaskArrayElement): pass +class ShellEventElement(TaskArrayElement): pass +class TaskElement(TaskArrayElement): pass + + # def _duplicate(self,dimensions,indices): + # if 'Foreach' in self: + # typecheck(f'{self._path}.Foreach',self.Foreach,Sequence,'sequence') + # d2=dict() + # for idxname in self.Foreach: + # if idxname in dimensions: + # d2[idxname]=dimensions[idxname] + # else: + # raise KeyError(f'{self._path}.Foreach: {idxname}: no such dimension') + # dimensions=d2 + # dict_iter=[{}] + # if dimensions: + # dict_iter=subdict_iter(dimensions) + # for more_indices in dict_iter: + # child_indices=copy(indices) + # child_indices.update(more_indices) + # t=Task(self._raw_child(),globals=self._globals()) + # t._path=self._path # used if Name is missing + # t['idx']=dict_eval(child_indices) + # name=t.Name + # t._path=f'{self._path}.{name}' + # yield name,t + class TaskArray(dict_eval): def _generate(self,parent_view): f=Family(self._raw_child(),path=self._path,globals=self._globals()) @@ -675,13 +722,20 @@ def _generate(self,parent_view): raise TypeError(f'{self._path}: dimension {dimname} is not a list (is type {type(dimlist).__name__}).') for k,v in self._raw_child().items(): if hasattr(v,'_duplicate'): - for name,content in v._duplicate(child_dimensions,indices): + for name,content in v._duplicate(f,child_dimensions,indices): f[name]=content else: f[k]=v return f +ARRAY_ELEMENT_TYPE_MAP={ + TaskElement: Task, + DataEventElement: DataEvent, + ShellEventElement: ShellEvent +} + + # class TaskArray(TaskableGenerator): # def __init__(self,*args,**kwargs): # super().init(*args,**kwargs) diff --git a/crow/config/to_yaml.py b/crow/config/to_yaml.py index bd000a3..5f943c2 100644 --- a/crow/config/to_yaml.py +++ b/crow/config/to_yaml.py @@ -121,6 +121,8 @@ def representer(dumper,data): add_yaml_taskable(u'!Family',Family) add_yaml_taskable(u'!TaskArray',TaskArray) add_yaml_taskable(u'!TaskElement',TaskElement) +add_yaml_taskable(u'!ShellEventElement',ShellEventElement) +add_yaml_taskable(u'!DataEventElement',DataEventElement) add_yaml_taskable(u'!Cycle',Cycle) add_yaml_taskable(u'!Template',Template) diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py index 4b0851f..c7f0542 100644 --- a/crow/metascheduler/ecflow.py +++ b/crow/metascheduler/ecflow.py @@ -162,9 +162,9 @@ def _foreach_cycle(self): yield clock.now def _remove_final_task(self): - if 'final' not in self.suite or not self.suite.final.is_task() \ - and not self.suite.final.is_family(): - print('no final') + if 'final' not in self.suite: return + assert('final' in self.suite) + print(self.suite.final) for cycle in self.clock: dt=cycle-self.clock.start self.graph.force_never_run(self.suite.final.at(dt).path) @@ -235,9 +235,9 @@ def skip_fun(node): if node.is_task(): for item in node.view.child_iter(): if item.is_event(): - sio.write(f'{indent1} event {event_number} ' + sio.write(f'{indent1}event {event_number} ' f'{item.path[-1]}\n') - event_number+=1 + event_number+=1 sio.write('endsuite\n') suite_def_without_externs=sio.getvalue() diff --git a/crow/sysenv/schedulers/LSFAlps.py b/crow/sysenv/schedulers/LSFAlps.py index 3f5837f..897828f 100644 --- a/crow/sysenv/schedulers/LSFAlps.py +++ b/crow/sysenv/schedulers/LSFAlps.py @@ -22,6 +22,9 @@ def __init__(self,settings,**kwargs): self.rocoto_name='lsf' self.indent_text=str(settings.get('indent_text',' ')) + def max_ranks_per_node(self,spec): + return max([ self.nodes.max_ranks_per_node(j) for j in spec ]) + #################################################################### # Generation of batch cards diff --git a/crow/sysenv/schedulers/MoabTorque.py b/crow/sysenv/schedulers/MoabTorque.py index 4542432..ae4f5cf 100644 --- a/crow/sysenv/schedulers/MoabTorque.py +++ b/crow/sysenv/schedulers/MoabTorque.py @@ -21,6 +21,13 @@ def __init__(self,settings,**kwargs): self.rocoto_name='MoabTorque' self.indent_text=str(settings.get('indent_text',' ')) + def max_ranks_per_node(self,spec): + if not spec.is_pure_serial() and not spec.is_pure_openmp(): + # MPI program. Merge ranks if allowed. + spec=self.nodes.to_nodes_ppn( + spec,can_merge_ranks=self.nodes.same_except_exe) + return max([ self.nodes.max_ranks_per_node(j) for j in spec ]) + #################################################################### # Batch card generation diff --git a/crow/sysenv/schedulers/base.py b/crow/sysenv/schedulers/base.py index 3ff2811..1d26317 100644 --- a/crow/sysenv/schedulers/base.py +++ b/crow/sysenv/schedulers/base.py @@ -6,6 +6,8 @@ def rocoto_accounting(self,spec,indent): pass @abstractmethod def rocoto_resources(self,spec,indent): pass @abstractmethod - def max_ranks_per_node(rank_spec): pass + def max_ranks_per_node(job_spec): pass @abstractmethod - def can_merge_ranks(rank_set_1,rank_set_2): pass + def batch_accounting(self,spec,**kwargs): pass + @abstractmethod + def batch_resources(self,spec,**kwargs): pass diff --git a/model/ecflow_fv3gfs/defs/prod00.def b/model/ecflow_fv3gfs/defs/prod00.def index f4ab266..3134f07 100644 --- a/model/ecflow_fv3gfs/defs/prod00.def +++ b/model/ecflow_fv3gfs/defs/prod00.def @@ -20,22 +20,1284 @@ suite prod00 edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' + family gfs + family dump + task jgfs_tropcy_qc_reloc + trigger ./jgfs_dump == complete + event 1 jtwc_bull_email + endtask + task jgfs_dump + event 1 release_sfcprep + endtask + endfamily + family prep + task jgfs_emcsfc_sfc_prep + trigger ../dump/jgfs_dump:release_sfcprep + endtask + task jgfs_prep + trigger ../dump == complete + endtask + task jgfs_prep_post + trigger ../jgfs_analysis == complete + endtask + endfamily + task jgfs_analysis + trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete + endtask + task jgfs_vminmon + trigger ./jgfs_analysis == complete + endtask + family forecast + task jgfs_forecast_high + trigger ../jgfs_analysis == complete + endtask + task jgfs_forecast_low + trigger ./jgfs_forecast_high == complete + endtask + endfamily + family sminit_guam + task jgfs_sminit_guam_even + trigger ../post/jgfs_post_anl == active or ../post/jgfs_post_anl == complete + endtask + task jgfs_sminit_guam_odd + trigger ../post/jgfs_post_anl == active or ../post/jgfs_post_anl == complete + endtask + endfamily + family post_processing + task jgfs_wafs_gcip + trigger ../prdgen/jgfs_pgrb2_f03 == complete + endtask + family fax + task jgfs_fax_f00 + trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_anl == complete + endtask + task jgfs_fax_anl + trigger ../../prdgen/jgfs_pgrb2_anl == complete + endtask + task jgfs_fax_wafs_f12 + trigger ../../prdgen/jgfs_pgrb2_f12 == complete + endtask + task jgfs_fax_wafs_f24 + trigger ../../prdgen/jgfs_pgrb2_f24 == complete + endtask + task jgfs_fax_wafs_f36 + trigger ../../prdgen/jgfs_pgrb2_f36 == complete + endtask + endfamily + family grib_wafs + task jgfs_wafs_f00 + trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f120 == complete and ../grib2_wafs/jgfs_wafs_grib2 == complete + endtask + task jgfs_wafs_f06 + trigger ../../prdgen/jgfs_pgrb2_f06 == complete and ./jgfs_wafs_f00 == complete + endtask + task jgfs_wafs_f12 + trigger ../../prdgen/jgfs_pgrb2_f12 == complete and ./jgfs_wafs_f06 == complete + endtask + task jgfs_wafs_f18 + trigger ../../prdgen/jgfs_pgrb2_f18 == complete and ./jgfs_wafs_f12 == complete + endtask + task jgfs_wafs_f24 + trigger ../../prdgen/jgfs_pgrb2_f24 == complete and ./jgfs_wafs_f18 == complete + endtask + task jgfs_wafs_f30 + trigger ../../prdgen/jgfs_pgrb2_f30 == complete and ./jgfs_wafs_f24 == complete + endtask + task jgfs_wafs_f36 + trigger ../../prdgen/jgfs_pgrb2_f36 == complete and ./jgfs_wafs_f30 == complete + endtask + task jgfs_wafs_f42 + trigger ../../prdgen/jgfs_pgrb2_f42 == complete and ./jgfs_wafs_f36 == complete + endtask + task jgfs_wafs_f48 + trigger ../../prdgen/jgfs_pgrb2_f48 == complete and ./jgfs_wafs_f42 == complete + endtask + task jgfs_wafs_f54 + trigger ../../prdgen/jgfs_pgrb2_f54 == complete and ./jgfs_wafs_f48 == complete + endtask + task jgfs_wafs_f60 + trigger ../../prdgen/jgfs_pgrb2_f60 == complete and ./jgfs_wafs_f54 == complete + endtask + task jgfs_wafs_f66 + trigger ../../prdgen/jgfs_pgrb2_f66 == complete and ./jgfs_wafs_f60 == complete + endtask + task jgfs_wafs_f72 + trigger ../../prdgen/jgfs_pgrb2_f72 == complete and ./jgfs_wafs_f66 == complete + endtask + task jgfs_wafs_f78 + trigger ../../prdgen/jgfs_pgrb2_f78 == complete and ./jgfs_wafs_f72 == complete + endtask + task jgfs_wafs_f84 + trigger ../../prdgen/jgfs_pgrb2_f84 == complete and ./jgfs_wafs_f78 == complete + endtask + task jgfs_wafs_f90 + trigger ../../prdgen/jgfs_pgrb2_f90 == complete and ./jgfs_wafs_f84 == complete + endtask + task jgfs_wafs_f96 + trigger ../../prdgen/jgfs_pgrb2_f96 == complete and ./jgfs_wafs_f90 == complete + endtask + task jgfs_wafs_f102 + trigger ../../prdgen/jgfs_pgrb2_f102 == complete and ./jgfs_wafs_f96 == complete + endtask + task jgfs_wafs_f108 + trigger ../../prdgen/jgfs_pgrb2_f108 == complete and ./jgfs_wafs_f102 == complete + endtask + task jgfs_wafs_f114 + trigger ../../prdgen/jgfs_pgrb2_f114 == complete and ./jgfs_wafs_f108 == complete + endtask + task jgfs_wafs_f120 + trigger ../../prdgen/jgfs_pgrb2_f120 == complete and ./jgfs_wafs_f114 == complete + endtask + endfamily + family bufr_sounding + task jgfs_postsnd + trigger ../../post/jgfs_post_manager:release_post00 + endtask + endfamily + family bulletins + task jgfs_fbwind + trigger ../../post/jgfs_post_f06 == complete and ../../post/jgfs_post_f12 == complete and ../../post/jgfs_post_f24 == complete + endtask + task jgfs_cyclone_tracker + trigger ../../post/jgfs_post_f00 == complete and ../../post/jgfs_post_f06 == complete and ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f06 == complete + endtask + endfamily + family grib2_wafs + task jgfs_wafs_grib2 + trigger ../../prdgen/jgfs_pgrb2_f00 == complete + endtask + task jgfs_wafs_blending + trigger ./jgfs_wafs_grib2 == complete + endtask + endfamily + family grib_awips + task jgfs_awips_f00 + trigger ../../prdgen/jgfs_pgrb2_f00 == complete + endtask + task jgfs_awips_f06 + trigger ../../prdgen/jgfs_pgrb2_f06 == complete + endtask + task jgfs_awips_f240 + trigger ../../prdgen/jgfs_pgrb2_f240 == complete + endtask + endfamily + family awips_1p0deg + task jgfs_awips_f000 + trigger ../../prdgen/jgfs_pgrb2_f00 == complete + endtask + task jgfs_awips_f006 + trigger ../../prdgen/jgfs_pgrb2_f06 == complete + endtask + task jgfs_awips_f240 + trigger ../../prdgen/jgfs_pgrb2_f240 == complete + endtask + endfamily + family awips_20km + task jgfs_awips_f000 + trigger ../../prdgen/jgfs_pgrb2_f00 == complete + endtask + task jgfs_awips_f003 + trigger ../../prdgen/jgfs_pgrb2_f03 == complete + endtask + task jgfs_awips_f240 + trigger ../../prdgen/jgfs_pgrb2_f240 == complete + endtask + endfamily + endfamily + family post + task jgfs_post_anl + trigger ./jgfs_post_manager:release_postanl + event 1 release_pgrb2_anl + endtask + task jgfs_post_f00 + trigger ./jgfs_post_manager:release_post00 + endtask + task jgfs_post_f01 + trigger ./jgfs_post_manager:release_post01 + endtask + task jgfs_post_f384 + trigger ./jgfs_post_manager:release_post384 + endtask + task jgfs_pgrb2_spec_post + trigger ./jgfs_post_f336 == complete and ./jgfs_post_f384 == complete + endtask + task jgfs_post_manager + trigger ../jgfs_analysis == complete and ../forecast == complete + event 1 release_postanl + event 2 release_post00 + event 3 release_post01 + event 4 release_post02 + event 5 release_post03 + event 6 release_post04 + event 7 release_post05 + event 8 release_post06 + event 9 release_post07 + event 10 release_post08 + event 11 release_post09 + event 12 release_post10 + event 13 release_post11 + event 14 release_post12 + event 15 release_post13 + event 16 release_post14 + event 17 release_post15 + event 18 release_post16 + event 19 release_post17 + event 20 release_post18 + event 21 release_post19 + event 22 release_post20 + event 23 release_post21 + event 24 release_post22 + event 25 release_post23 + event 26 release_post24 + event 27 release_post25 + event 28 release_post26 + event 29 release_post27 + event 30 release_post28 + event 31 release_post29 + event 32 release_post30 + event 33 release_post31 + event 34 release_post32 + event 35 release_post33 + event 36 release_post34 + event 37 release_post35 + event 38 release_post36 + event 39 release_post37 + event 40 release_post38 + event 41 release_post39 + event 42 release_post40 + event 43 release_post41 + event 44 release_post42 + event 45 release_post43 + event 46 release_post44 + event 47 release_post45 + event 48 release_post46 + event 49 release_post47 + event 50 release_post48 + event 51 release_post49 + event 52 release_post50 + event 53 release_post51 + event 54 release_post52 + event 55 release_post53 + event 56 release_post54 + event 57 release_post55 + event 58 release_post56 + event 59 release_post57 + event 60 release_post58 + event 61 release_post59 + event 62 release_post60 + event 63 release_post61 + event 64 release_post62 + event 65 release_post63 + event 66 release_post64 + event 67 release_post65 + event 68 release_post66 + event 69 release_post67 + event 70 release_post68 + event 71 release_post69 + event 72 release_post70 + event 73 release_post71 + event 74 release_post72 + event 75 release_post73 + event 76 release_post74 + event 77 release_post75 + event 78 release_post76 + event 79 release_post77 + event 80 release_post78 + event 81 release_post79 + event 82 release_post80 + event 83 release_post81 + event 84 release_post82 + event 85 release_post83 + event 86 release_post84 + event 87 release_post85 + event 88 release_post86 + event 89 release_post87 + event 90 release_post88 + event 91 release_post89 + event 92 release_post90 + event 93 release_post91 + event 94 release_post92 + event 95 release_post93 + event 96 release_post94 + event 97 release_post95 + event 98 release_post96 + event 99 release_post97 + event 100 release_post98 + event 101 release_post99 + event 102 release_post100 + event 103 release_post101 + event 104 release_post102 + event 105 release_post103 + event 106 release_post104 + event 107 release_post105 + event 108 release_post106 + event 109 release_post107 + event 110 release_post108 + event 111 release_post109 + event 112 release_post110 + event 113 release_post111 + event 114 release_post112 + event 115 release_post113 + event 116 release_post114 + event 117 release_post115 + event 118 release_post116 + event 119 release_post117 + event 120 release_post118 + event 121 release_post119 + event 122 release_post120 + event 123 release_post123 + event 124 release_post126 + event 125 release_post129 + event 126 release_post132 + event 127 release_post135 + event 128 release_post138 + event 129 release_post141 + event 130 release_post144 + event 131 release_post147 + event 132 release_post150 + event 133 release_post153 + event 134 release_post156 + event 135 release_post159 + event 136 release_post162 + event 137 release_post165 + event 138 release_post168 + event 139 release_post171 + event 140 release_post174 + event 141 release_post177 + event 142 release_post180 + event 143 release_post183 + event 144 release_post186 + event 145 release_post189 + event 146 release_post192 + event 147 release_post195 + event 148 release_post198 + event 149 release_post201 + event 150 release_post204 + event 151 release_post207 + event 152 release_post210 + event 153 release_post213 + event 154 release_post216 + event 155 release_post219 + event 156 release_post222 + event 157 release_post225 + event 158 release_post228 + event 159 release_post231 + event 160 release_post234 + event 161 release_post237 + event 162 release_post240 + event 163 release_post252 + event 164 release_post264 + event 165 release_post276 + event 166 release_post288 + event 167 release_post300 + event 168 release_post312 + event 169 release_post324 + event 170 release_post336 + event 171 release_post348 + event 172 release_post360 + event 173 release_post372 + event 174 release_post384 + endtask + task jgfs_post_f02 + trigger ./jgfs_post_manager:release_post02 + endtask + task jgfs_post_f03 + trigger ./jgfs_post_manager:release_post03 + endtask + task jgfs_post_f04 + trigger ./jgfs_post_manager:release_post04 + endtask + task jgfs_post_f05 + trigger ./jgfs_post_manager:release_post05 + endtask + task jgfs_post_f06 + trigger ./jgfs_post_manager:release_post06 + endtask + task jgfs_post_f07 + trigger ./jgfs_post_manager:release_post07 + endtask + task jgfs_post_f08 + trigger ./jgfs_post_manager:release_post08 + endtask + task jgfs_post_f09 + trigger ./jgfs_post_manager:release_post09 + endtask + task jgfs_post_f10 + trigger ./jgfs_post_manager:release_post10 + endtask + task jgfs_post_f11 + trigger ./jgfs_post_manager:release_post11 + endtask + task jgfs_post_f12 + trigger ./jgfs_post_manager:release_post12 + endtask + task jgfs_post_f13 + trigger ./jgfs_post_manager:release_post13 + endtask + task jgfs_post_f14 + trigger ./jgfs_post_manager:release_post14 + endtask + task jgfs_post_f15 + trigger ./jgfs_post_manager:release_post15 + endtask + task jgfs_post_f16 + trigger ./jgfs_post_manager:release_post16 + endtask + task jgfs_post_f17 + trigger ./jgfs_post_manager:release_post17 + endtask + task jgfs_post_f18 + trigger ./jgfs_post_manager:release_post18 + endtask + task jgfs_post_f19 + trigger ./jgfs_post_manager:release_post19 + endtask + task jgfs_post_f20 + trigger ./jgfs_post_manager:release_post20 + endtask + task jgfs_post_f21 + trigger ./jgfs_post_manager:release_post21 + endtask + task jgfs_post_f22 + trigger ./jgfs_post_manager:release_post22 + endtask + task jgfs_post_f23 + trigger ./jgfs_post_manager:release_post23 + endtask + task jgfs_post_f24 + trigger ./jgfs_post_manager:release_post24 + endtask + task jgfs_post_f25 + trigger ./jgfs_post_manager:release_post25 + endtask + task jgfs_post_f26 + trigger ./jgfs_post_manager:release_post26 + endtask + task jgfs_post_f27 + trigger ./jgfs_post_manager:release_post27 + endtask + task jgfs_post_f28 + trigger ./jgfs_post_manager:release_post28 + endtask + task jgfs_post_f29 + trigger ./jgfs_post_manager:release_post29 + endtask + task jgfs_post_f30 + trigger ./jgfs_post_manager:release_post30 + endtask + task jgfs_post_f31 + trigger ./jgfs_post_manager:release_post31 + endtask + task jgfs_post_f32 + trigger ./jgfs_post_manager:release_post32 + endtask + task jgfs_post_f33 + trigger ./jgfs_post_manager:release_post33 + endtask + task jgfs_post_f34 + trigger ./jgfs_post_manager:release_post34 + endtask + task jgfs_post_f35 + trigger ./jgfs_post_manager:release_post35 + endtask + task jgfs_post_f36 + trigger ./jgfs_post_manager:release_post36 + endtask + task jgfs_post_f37 + trigger ./jgfs_post_manager:release_post37 + endtask + task jgfs_post_f38 + trigger ./jgfs_post_manager:release_post38 + endtask + task jgfs_post_f39 + trigger ./jgfs_post_manager:release_post39 + endtask + task jgfs_post_f40 + trigger ./jgfs_post_manager:release_post40 + endtask + task jgfs_post_f41 + trigger ./jgfs_post_manager:release_post41 + endtask + task jgfs_post_f42 + trigger ./jgfs_post_manager:release_post42 + endtask + task jgfs_post_f43 + trigger ./jgfs_post_manager:release_post43 + endtask + task jgfs_post_f44 + trigger ./jgfs_post_manager:release_post44 + endtask + task jgfs_post_f45 + trigger ./jgfs_post_manager:release_post45 + endtask + task jgfs_post_f46 + trigger ./jgfs_post_manager:release_post46 + endtask + task jgfs_post_f47 + trigger ./jgfs_post_manager:release_post47 + endtask + task jgfs_post_f48 + trigger ./jgfs_post_manager:release_post48 + endtask + task jgfs_post_f49 + trigger ./jgfs_post_manager:release_post49 + endtask + task jgfs_post_f50 + trigger ./jgfs_post_manager:release_post50 + endtask + task jgfs_post_f51 + trigger ./jgfs_post_manager:release_post51 + endtask + task jgfs_post_f52 + trigger ./jgfs_post_manager:release_post52 + endtask + task jgfs_post_f53 + trigger ./jgfs_post_manager:release_post53 + endtask + task jgfs_post_f54 + trigger ./jgfs_post_manager:release_post54 + endtask + task jgfs_post_f55 + trigger ./jgfs_post_manager:release_post55 + endtask + task jgfs_post_f56 + trigger ./jgfs_post_manager:release_post56 + endtask + task jgfs_post_f57 + trigger ./jgfs_post_manager:release_post57 + endtask + task jgfs_post_f58 + trigger ./jgfs_post_manager:release_post58 + endtask + task jgfs_post_f59 + trigger ./jgfs_post_manager:release_post59 + endtask + task jgfs_post_f60 + trigger ./jgfs_post_manager:release_post60 + endtask + task jgfs_post_f61 + trigger ./jgfs_post_manager:release_post61 + endtask + task jgfs_post_f62 + trigger ./jgfs_post_manager:release_post62 + endtask + task jgfs_post_f63 + trigger ./jgfs_post_manager:release_post63 + endtask + task jgfs_post_f64 + trigger ./jgfs_post_manager:release_post64 + endtask + task jgfs_post_f65 + trigger ./jgfs_post_manager:release_post65 + endtask + task jgfs_post_f66 + trigger ./jgfs_post_manager:release_post66 + endtask + task jgfs_post_f67 + trigger ./jgfs_post_manager:release_post67 + endtask + task jgfs_post_f68 + trigger ./jgfs_post_manager:release_post68 + endtask + task jgfs_post_f69 + trigger ./jgfs_post_manager:release_post69 + endtask + task jgfs_post_f70 + trigger ./jgfs_post_manager:release_post70 + endtask + task jgfs_post_f71 + trigger ./jgfs_post_manager:release_post71 + endtask + task jgfs_post_f72 + trigger ./jgfs_post_manager:release_post72 + endtask + task jgfs_post_f73 + trigger ./jgfs_post_manager:release_post73 + endtask + task jgfs_post_f74 + trigger ./jgfs_post_manager:release_post74 + endtask + task jgfs_post_f75 + trigger ./jgfs_post_manager:release_post75 + endtask + task jgfs_post_f76 + trigger ./jgfs_post_manager:release_post76 + endtask + task jgfs_post_f77 + trigger ./jgfs_post_manager:release_post77 + endtask + task jgfs_post_f78 + trigger ./jgfs_post_manager:release_post78 + endtask + task jgfs_post_f79 + trigger ./jgfs_post_manager:release_post79 + endtask + task jgfs_post_f80 + trigger ./jgfs_post_manager:release_post80 + endtask + task jgfs_post_f81 + trigger ./jgfs_post_manager:release_post81 + endtask + task jgfs_post_f82 + trigger ./jgfs_post_manager:release_post82 + endtask + task jgfs_post_f83 + trigger ./jgfs_post_manager:release_post83 + endtask + task jgfs_post_f84 + trigger ./jgfs_post_manager:release_post84 + endtask + task jgfs_post_f85 + trigger ./jgfs_post_manager:release_post85 + endtask + task jgfs_post_f86 + trigger ./jgfs_post_manager:release_post86 + endtask + task jgfs_post_f87 + trigger ./jgfs_post_manager:release_post87 + endtask + task jgfs_post_f88 + trigger ./jgfs_post_manager:release_post88 + endtask + task jgfs_post_f89 + trigger ./jgfs_post_manager:release_post89 + endtask + task jgfs_post_f90 + trigger ./jgfs_post_manager:release_post90 + endtask + task jgfs_post_f91 + trigger ./jgfs_post_manager:release_post91 + endtask + task jgfs_post_f92 + trigger ./jgfs_post_manager:release_post92 + endtask + task jgfs_post_f93 + trigger ./jgfs_post_manager:release_post93 + endtask + task jgfs_post_f94 + trigger ./jgfs_post_manager:release_post94 + endtask + task jgfs_post_f95 + trigger ./jgfs_post_manager:release_post95 + endtask + task jgfs_post_f96 + trigger ./jgfs_post_manager:release_post96 + endtask + task jgfs_post_f97 + trigger ./jgfs_post_manager:release_post97 + endtask + task jgfs_post_f98 + trigger ./jgfs_post_manager:release_post98 + endtask + task jgfs_post_f99 + trigger ./jgfs_post_manager:release_post99 + endtask + task jgfs_post_f100 + trigger ./jgfs_post_manager:release_post100 + endtask + task jgfs_post_f101 + trigger ./jgfs_post_manager:release_post101 + endtask + task jgfs_post_f102 + trigger ./jgfs_post_manager:release_post102 + endtask + task jgfs_post_f103 + trigger ./jgfs_post_manager:release_post103 + endtask + task jgfs_post_f104 + trigger ./jgfs_post_manager:release_post104 + endtask + task jgfs_post_f105 + trigger ./jgfs_post_manager:release_post105 + endtask + task jgfs_post_f106 + trigger ./jgfs_post_manager:release_post106 + endtask + task jgfs_post_f107 + trigger ./jgfs_post_manager:release_post107 + endtask + task jgfs_post_f108 + trigger ./jgfs_post_manager:release_post108 + endtask + task jgfs_post_f109 + trigger ./jgfs_post_manager:release_post109 + endtask + task jgfs_post_f110 + trigger ./jgfs_post_manager:release_post110 + endtask + task jgfs_post_f111 + trigger ./jgfs_post_manager:release_post111 + endtask + task jgfs_post_f112 + trigger ./jgfs_post_manager:release_post112 + endtask + task jgfs_post_f113 + trigger ./jgfs_post_manager:release_post113 + endtask + task jgfs_post_f114 + trigger ./jgfs_post_manager:release_post114 + endtask + task jgfs_post_f115 + trigger ./jgfs_post_manager:release_post115 + endtask + task jgfs_post_f116 + trigger ./jgfs_post_manager:release_post116 + endtask + task jgfs_post_f117 + trigger ./jgfs_post_manager:release_post117 + endtask + task jgfs_post_f118 + trigger ./jgfs_post_manager:release_post118 + endtask + task jgfs_post_f119 + trigger ./jgfs_post_manager:release_post119 + endtask + task jgfs_post_f120 + trigger ./jgfs_post_manager:release_post120 + endtask + task jgfs_post_f123 + trigger ./jgfs_post_manager:release_post123 + endtask + task jgfs_post_f126 + trigger ./jgfs_post_manager:release_post126 + endtask + task jgfs_post_f129 + trigger ./jgfs_post_manager:release_post129 + endtask + task jgfs_post_f132 + trigger ./jgfs_post_manager:release_post132 + endtask + task jgfs_post_f135 + trigger ./jgfs_post_manager:release_post135 + endtask + task jgfs_post_f138 + trigger ./jgfs_post_manager:release_post138 + endtask + task jgfs_post_f141 + trigger ./jgfs_post_manager:release_post141 + endtask + task jgfs_post_f144 + trigger ./jgfs_post_manager:release_post144 + endtask + task jgfs_post_f147 + trigger ./jgfs_post_manager:release_post147 + endtask + task jgfs_post_f150 + trigger ./jgfs_post_manager:release_post150 + endtask + task jgfs_post_f153 + trigger ./jgfs_post_manager:release_post153 + endtask + task jgfs_post_f156 + trigger ./jgfs_post_manager:release_post156 + endtask + task jgfs_post_f159 + trigger ./jgfs_post_manager:release_post159 + endtask + task jgfs_post_f162 + trigger ./jgfs_post_manager:release_post162 + endtask + task jgfs_post_f165 + trigger ./jgfs_post_manager:release_post165 + endtask + task jgfs_post_f168 + trigger ./jgfs_post_manager:release_post168 + endtask + task jgfs_post_f171 + trigger ./jgfs_post_manager:release_post171 + endtask + task jgfs_post_f174 + trigger ./jgfs_post_manager:release_post174 + endtask + task jgfs_post_f177 + trigger ./jgfs_post_manager:release_post177 + endtask + task jgfs_post_f180 + trigger ./jgfs_post_manager:release_post180 + endtask + task jgfs_post_f183 + trigger ./jgfs_post_manager:release_post183 + endtask + task jgfs_post_f186 + trigger ./jgfs_post_manager:release_post186 + endtask + task jgfs_post_f189 + trigger ./jgfs_post_manager:release_post189 + endtask + task jgfs_post_f192 + trigger ./jgfs_post_manager:release_post192 + endtask + task jgfs_post_f195 + trigger ./jgfs_post_manager:release_post195 + endtask + task jgfs_post_f198 + trigger ./jgfs_post_manager:release_post198 + endtask + task jgfs_post_f201 + trigger ./jgfs_post_manager:release_post201 + endtask + task jgfs_post_f204 + trigger ./jgfs_post_manager:release_post204 + endtask + task jgfs_post_f207 + trigger ./jgfs_post_manager:release_post207 + endtask + task jgfs_post_f210 + trigger ./jgfs_post_manager:release_post210 + endtask + task jgfs_post_f213 + trigger ./jgfs_post_manager:release_post213 + endtask + task jgfs_post_f216 + trigger ./jgfs_post_manager:release_post216 + endtask + task jgfs_post_f219 + trigger ./jgfs_post_manager:release_post219 + endtask + task jgfs_post_f222 + trigger ./jgfs_post_manager:release_post222 + endtask + task jgfs_post_f225 + trigger ./jgfs_post_manager:release_post225 + endtask + task jgfs_post_f228 + trigger ./jgfs_post_manager:release_post228 + endtask + task jgfs_post_f231 + trigger ./jgfs_post_manager:release_post231 + endtask + task jgfs_post_f234 + trigger ./jgfs_post_manager:release_post234 + endtask + task jgfs_post_f237 + trigger ./jgfs_post_manager:release_post237 + endtask + task jgfs_post_f240 + trigger ./jgfs_post_manager:release_post240 + endtask + task jgfs_post_f252 + trigger ./jgfs_post_manager:release_post252 + endtask + task jgfs_post_f264 + trigger ./jgfs_post_manager:release_post264 + endtask + task jgfs_post_f276 + trigger ./jgfs_post_manager:release_post276 + endtask + task jgfs_post_f288 + trigger ./jgfs_post_manager:release_post288 + endtask + task jgfs_post_f300 + trigger ./jgfs_post_manager:release_post300 + endtask + task jgfs_post_f312 + trigger ./jgfs_post_manager:release_post312 + endtask + task jgfs_post_f324 + trigger ./jgfs_post_manager:release_post324 + endtask + task jgfs_post_f336 + trigger ./jgfs_post_manager:release_post336 + endtask + task jgfs_post_f348 + trigger ./jgfs_post_manager:release_post348 + endtask + task jgfs_post_f360 + trigger ./jgfs_post_manager:release_post360 + endtask + task jgfs_post_f372 + trigger ./jgfs_post_manager:release_post372 + endtask + endfamily + family prdgen + task jgfs_pgrb2_manager + trigger ../post == complete + event 1 release_pgrb2_00 + event 2 release_post384 + endtask + task jgfs_pgrb2_anl + endtask + task jgfs_pgrb2_f00 + endtask + task jgfs_pgrb2_f01 + endtask + task jgfs_pgrb2_f02 + endtask + task jgfs_pgrb2_f03 + endtask + task jgfs_pgrb2_f04 + endtask + task jgfs_pgrb2_f05 + endtask + task jgfs_pgrb2_f06 + endtask + task jgfs_pgrb2_f07 + endtask + task jgfs_pgrb2_f08 + endtask + task jgfs_pgrb2_f09 + endtask + task jgfs_pgrb2_f10 + endtask + task jgfs_pgrb2_f11 + endtask + task jgfs_pgrb2_f12 + endtask + task jgfs_pgrb2_f13 + endtask + task jgfs_pgrb2_f14 + endtask + task jgfs_pgrb2_f15 + endtask + task jgfs_pgrb2_f16 + endtask + task jgfs_pgrb2_f17 + endtask + task jgfs_pgrb2_f18 + endtask + task jgfs_pgrb2_f19 + endtask + task jgfs_pgrb2_f20 + endtask + task jgfs_pgrb2_f21 + endtask + task jgfs_pgrb2_f22 + endtask + task jgfs_pgrb2_f23 + endtask + task jgfs_pgrb2_f24 + endtask + task jgfs_pgrb2_f25 + endtask + task jgfs_pgrb2_f26 + endtask + task jgfs_pgrb2_f27 + endtask + task jgfs_pgrb2_f28 + endtask + task jgfs_pgrb2_f29 + endtask + task jgfs_pgrb2_f30 + endtask + task jgfs_pgrb2_f31 + endtask + task jgfs_pgrb2_f32 + endtask + task jgfs_pgrb2_f33 + endtask + task jgfs_pgrb2_f34 + endtask + task jgfs_pgrb2_f35 + endtask + task jgfs_pgrb2_f36 + endtask + task jgfs_pgrb2_f37 + endtask + task jgfs_pgrb2_f38 + endtask + task jgfs_pgrb2_f39 + endtask + task jgfs_pgrb2_f40 + endtask + task jgfs_pgrb2_f41 + endtask + task jgfs_pgrb2_f42 + endtask + task jgfs_pgrb2_f43 + endtask + task jgfs_pgrb2_f44 + endtask + task jgfs_pgrb2_f45 + endtask + task jgfs_pgrb2_f46 + endtask + task jgfs_pgrb2_f47 + endtask + task jgfs_pgrb2_f48 + endtask + task jgfs_pgrb2_f49 + endtask + task jgfs_pgrb2_f50 + endtask + task jgfs_pgrb2_f51 + endtask + task jgfs_pgrb2_f52 + endtask + task jgfs_pgrb2_f53 + endtask + task jgfs_pgrb2_f54 + endtask + task jgfs_pgrb2_f55 + endtask + task jgfs_pgrb2_f56 + endtask + task jgfs_pgrb2_f57 + endtask + task jgfs_pgrb2_f58 + endtask + task jgfs_pgrb2_f59 + endtask + task jgfs_pgrb2_f60 + endtask + task jgfs_pgrb2_f61 + endtask + task jgfs_pgrb2_f62 + endtask + task jgfs_pgrb2_f63 + endtask + task jgfs_pgrb2_f64 + endtask + task jgfs_pgrb2_f65 + endtask + task jgfs_pgrb2_f66 + endtask + task jgfs_pgrb2_f67 + endtask + task jgfs_pgrb2_f68 + endtask + task jgfs_pgrb2_f69 + endtask + task jgfs_pgrb2_f70 + endtask + task jgfs_pgrb2_f71 + endtask + task jgfs_pgrb2_f72 + endtask + task jgfs_pgrb2_f73 + endtask + task jgfs_pgrb2_f74 + endtask + task jgfs_pgrb2_f75 + endtask + task jgfs_pgrb2_f76 + endtask + task jgfs_pgrb2_f77 + endtask + task jgfs_pgrb2_f78 + endtask + task jgfs_pgrb2_f79 + endtask + task jgfs_pgrb2_f80 + endtask + task jgfs_pgrb2_f81 + endtask + task jgfs_pgrb2_f82 + endtask + task jgfs_pgrb2_f83 + endtask + task jgfs_pgrb2_f84 + endtask + task jgfs_pgrb2_f85 + endtask + task jgfs_pgrb2_f86 + endtask + task jgfs_pgrb2_f87 + endtask + task jgfs_pgrb2_f88 + endtask + task jgfs_pgrb2_f89 + endtask + task jgfs_pgrb2_f90 + endtask + task jgfs_pgrb2_f91 + endtask + task jgfs_pgrb2_f92 + endtask + task jgfs_pgrb2_f93 + endtask + task jgfs_pgrb2_f94 + endtask + task jgfs_pgrb2_f95 + endtask + task jgfs_pgrb2_f96 + endtask + task jgfs_pgrb2_f97 + endtask + task jgfs_pgrb2_f98 + endtask + task jgfs_pgrb2_f99 + endtask + task jgfs_pgrb2_f100 + endtask + task jgfs_pgrb2_f101 + endtask + task jgfs_pgrb2_f102 + endtask + task jgfs_pgrb2_f103 + endtask + task jgfs_pgrb2_f104 + endtask + task jgfs_pgrb2_f105 + endtask + task jgfs_pgrb2_f106 + endtask + task jgfs_pgrb2_f107 + endtask + task jgfs_pgrb2_f108 + endtask + task jgfs_pgrb2_f109 + endtask + task jgfs_pgrb2_f110 + endtask + task jgfs_pgrb2_f111 + endtask + task jgfs_pgrb2_f112 + endtask + task jgfs_pgrb2_f113 + endtask + task jgfs_pgrb2_f114 + endtask + task jgfs_pgrb2_f115 + endtask + task jgfs_pgrb2_f116 + endtask + task jgfs_pgrb2_f117 + endtask + task jgfs_pgrb2_f118 + endtask + task jgfs_pgrb2_f119 + endtask + task jgfs_pgrb2_f120 + endtask + task jgfs_pgrb2_f123 + endtask + task jgfs_pgrb2_f126 + endtask + task jgfs_pgrb2_f129 + endtask + task jgfs_pgrb2_f132 + endtask + task jgfs_pgrb2_f135 + endtask + task jgfs_pgrb2_f138 + endtask + task jgfs_pgrb2_f141 + endtask + task jgfs_pgrb2_f144 + endtask + task jgfs_pgrb2_f147 + endtask + task jgfs_pgrb2_f150 + endtask + task jgfs_pgrb2_f153 + endtask + task jgfs_pgrb2_f156 + endtask + task jgfs_pgrb2_f159 + endtask + task jgfs_pgrb2_f162 + endtask + task jgfs_pgrb2_f165 + endtask + task jgfs_pgrb2_f168 + endtask + task jgfs_pgrb2_f171 + endtask + task jgfs_pgrb2_f174 + endtask + task jgfs_pgrb2_f177 + endtask + task jgfs_pgrb2_f180 + endtask + task jgfs_pgrb2_f183 + endtask + task jgfs_pgrb2_f186 + endtask + task jgfs_pgrb2_f189 + endtask + task jgfs_pgrb2_f192 + endtask + task jgfs_pgrb2_f195 + endtask + task jgfs_pgrb2_f198 + endtask + task jgfs_pgrb2_f201 + endtask + task jgfs_pgrb2_f204 + endtask + task jgfs_pgrb2_f207 + endtask + task jgfs_pgrb2_f210 + endtask + task jgfs_pgrb2_f213 + endtask + task jgfs_pgrb2_f216 + endtask + task jgfs_pgrb2_f219 + endtask + task jgfs_pgrb2_f222 + endtask + task jgfs_pgrb2_f225 + endtask + task jgfs_pgrb2_f228 + endtask + task jgfs_pgrb2_f231 + endtask + task jgfs_pgrb2_f234 + endtask + task jgfs_pgrb2_f237 + endtask + task jgfs_pgrb2_f240 + endtask + task jgfs_pgrb2_f252 + endtask + task jgfs_pgrb2_f264 + endtask + task jgfs_pgrb2_f276 + endtask + task jgfs_pgrb2_f288 + endtask + task jgfs_pgrb2_f300 + endtask + task jgfs_pgrb2_f312 + endtask + task jgfs_pgrb2_f324 + endtask + task jgfs_pgrb2_f336 + endtask + task jgfs_pgrb2_f348 + endtask + task jgfs_pgrb2_f360 + endtask + task jgfs_pgrb2_f372 + endtask + task jgfs_pgrb2_f384 + endtask + endfamily + family gempak + task jgfs_gempak_upapgif + trigger ../dump/jgfs_dump == complete + endtask + task jgfs_gempak_ncdc + trigger ./jgfs_gempak == active or ./jgfs_gempak == complete + endtask + task jgfs_gempak + trigger ../jgfs_analysis == complete + endtask + task jgfs_gempak_meta + trigger ../jgfs_analysis == complete + endtask + task jgfs_pgrb2_spec_gempak + trigger ../post/jgfs_pgrb2_spec_post == complete + endtask + endfamily + endfamily family gdas task jgdas_verfrad trigger ./enkf == complete endtask task jgdas_vminmon - trigger ./jgdas_analysis == complete + trigger ./analysis/jgdas_analysis_high == complete endtask family dump task jgdas_ics - event 1 release_gdas00_ics + event 1 release_gdas00_ics endtask task jgdas_tropcy_qc_reloc trigger ./jgdas_dump == complete endtask task jgdas_dump - event 1 release_sfcprep + event 1 release_sfcprep endtask endfamily family prep @@ -46,7 +1308,42 @@ suite prod00 trigger ../dump/jgdas_dump == complete and ../dump/jgdas_tropcy_qc_reloc == complete endtask task jgdas_prep_post - trigger ../jgdas_analysis == complete + trigger ../analysis/jgdas_analysis_high == complete + endtask + endfamily + family analysis + task jgdas_analysis_high + trigger ../prep/jgdas_prep == complete and ../prep/jgdas_emcsfc_sfc_prep == complete + event 1 release_fcst + endtask + endfamily + family forecast + task jgdas_forecast + trigger ../analysis/jgdas_analysis_high:release_fcst and ../enkf/innovate == complete + event 1 release_fcst + endtask + endfamily + family post_processing + family bulletins + task jgdas_mknavybulls + trigger ../../dump/jgdas_dump == complete + endtask + endfamily + endfamily + family gempak + task jgdas_gempak + trigger ../forecast/jgdas_forecast == complete + endtask + task jgdas_gempak_meta + trigger ./jgdas_gempak == complete + endtask + task jgdas_gempak_ncdc + trigger ./jgdas_gempak == complete + endtask + endfamily + family post + task jgdas_post + trigger ../forecast/jgdas_forecast == complete endtask endfamily family enkf @@ -55,129 +1352,53 @@ suite prod00 endtask family innovate trigger ./jgdas_enkf_select_obs == complete - task grp1 + task jgdas_enkf_innovate_obs_grp1 endtask - task grp2 + task jgdas_enkf_innovate_obs_grp2 endtask - task grp3 + task jgdas_enkf_innovate_obs_grp3 endtask - task grp4 + task jgdas_enkf_innovate_obs_grp4 endtask - task grp5 + task jgdas_enkf_innovate_obs_grp5 endtask - task grp6 + task jgdas_enkf_innovate_obs_grp6 endtask - task grp7 + task jgdas_enkf_innovate_obs_grp7 endtask - task grp8 + task jgdas_enkf_innovate_obs_grp8 endtask endfamily task jgdas_enkf_update trigger ./innovate == complete endtask task jgdas_enkf_inflate_recenter - trigger ./jgdas_enkf_update == complete and ../jgdas_analysis == complete + trigger ./jgdas_enkf_update == complete and ../analysis/jgdas_analysis_high == complete endtask family forecast trigger ./jgdas_enkf_inflate_recenter == complete - task grp1 + task jgdas_enkf_fcst_grp1 endtask - task grp2 + task jgdas_enkf_fcst_grp2 endtask - task grp3 + task jgdas_enkf_fcst_grp3 endtask - task grp4 + task jgdas_enkf_fcst_grp4 endtask - task grp5 + task jgdas_enkf_fcst_grp5 endtask - task grp6 + task jgdas_enkf_fcst_grp6 endtask - task grp7 + task jgdas_enkf_fcst_grp7 endtask - task grp8 + task jgdas_enkf_fcst_grp8 endtask endfamily task jgdas_enkf_post trigger ./forecast == complete endtask endfamily - task jgdas_analysis - trigger ./prep/jgdas_prep == complete and ./prep/jgdas_emcsfc_sfc_prep == complete and /prod18/gdas/enkf/jgdas_enkf_post == complete - event 1 release_fcst - endtask - task jgdas_forecast - trigger ./jgdas_analysis:release_fcst and ./enkf/innovate == complete - event 1 release_fcst - endtask - task post - trigger ./jgdas_forecast == complete - endtask - task vrfy - trigger ./post == complete - endtask - endfamily - family gfs - family dump - task jgfs_tropcy_qc_reloc - trigger ./jgfs_dump == complete - event 1 jtwc_bull_email - endtask - task jgfs_dump - event 1 release_sfcprep - endtask - endfamily - family prep - task jgfs_emcsfc_sfc_prep - trigger ../dump/jgfs_dump:release_sfcprep - endtask - task jgfs_prep - trigger ../dump == complete - endtask - task jgfs_prep_post - trigger ../jgfs_analysis == complete - endtask - endfamily - task jgfs_analysis - trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete and /prod18/gdas/enkf/jgdas_enkf_post == complete - endtask - task jgfs_vminmon - trigger ./jgfs_analysis == complete - endtask - task jgfs_forecast - trigger ./jgfs_analysis == complete - endtask - task jgfs_post - trigger ./jgfs_forecast == complete - endtask - task jgfs_vrfy - trigger ./jgfs_post == complete - endtask - endfamily - family archive - task gdasarch - trigger ../gdas/jgdas_verfrad == complete - endtask - task gfsarch - trigger ../gfs/jgfs_vrfy == complete - endtask - family earc - trigger ../gdas/enkf/jgdas_enkf_post == complete - task grp1 - endtask - task grp2 - endtask - task grp3 - endtask - task grp4 - endtask - task grp5 - endtask - task grp6 - endtask - task grp7 - endtask - task grp8 - endtask - endfamily endfamily + task cycle_end + endtask endsuite diff --git a/model/ecflow_fv3gfs/defs/prod06.def b/model/ecflow_fv3gfs/defs/prod06.def index 2bc2f40..19ffa17 100644 --- a/model/ecflow_fv3gfs/defs/prod06.def +++ b/model/ecflow_fv3gfs/defs/prod06.def @@ -20,22 +20,1284 @@ suite prod06 edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' + family gfs + family dump + task jgfs_tropcy_qc_reloc + trigger ./jgfs_dump == complete + event 1 jtwc_bull_email + endtask + task jgfs_dump + event 1 release_sfcprep + endtask + endfamily + family prep + task jgfs_emcsfc_sfc_prep + trigger ../dump/jgfs_dump:release_sfcprep + endtask + task jgfs_prep + trigger ../dump == complete + endtask + task jgfs_prep_post + trigger ../jgfs_analysis == complete + endtask + endfamily + task jgfs_analysis + trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete + endtask + task jgfs_vminmon + trigger ./jgfs_analysis == complete + endtask + family forecast + task jgfs_forecast_high + trigger ../jgfs_analysis == complete + endtask + task jgfs_forecast_low + trigger ./jgfs_forecast_high == complete + endtask + endfamily + family sminit_guam + task jgfs_sminit_guam_even + trigger ../post/jgfs_post_anl == active or ../post/jgfs_post_anl == complete + endtask + task jgfs_sminit_guam_odd + trigger ../post/jgfs_post_anl == active or ../post/jgfs_post_anl == complete + endtask + endfamily + family post_processing + task jgfs_wafs_gcip + trigger ../prdgen/jgfs_pgrb2_f03 == complete + endtask + family fax + task jgfs_fax_f00 + trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_anl == complete + endtask + task jgfs_fax_anl + trigger ../../prdgen/jgfs_pgrb2_anl == complete + endtask + task jgfs_fax_wafs_f12 + trigger ../../prdgen/jgfs_pgrb2_f12 == complete + endtask + task jgfs_fax_wafs_f24 + trigger ../../prdgen/jgfs_pgrb2_f24 == complete + endtask + task jgfs_fax_wafs_f36 + trigger ../../prdgen/jgfs_pgrb2_f36 == complete + endtask + endfamily + family grib_wafs + task jgfs_wafs_f00 + trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f120 == complete and ../grib2_wafs/jgfs_wafs_grib2 == complete + endtask + task jgfs_wafs_f06 + trigger ../../prdgen/jgfs_pgrb2_f06 == complete and ./jgfs_wafs_f00 == complete + endtask + task jgfs_wafs_f12 + trigger ../../prdgen/jgfs_pgrb2_f12 == complete and ./jgfs_wafs_f06 == complete + endtask + task jgfs_wafs_f18 + trigger ../../prdgen/jgfs_pgrb2_f18 == complete and ./jgfs_wafs_f12 == complete + endtask + task jgfs_wafs_f24 + trigger ../../prdgen/jgfs_pgrb2_f24 == complete and ./jgfs_wafs_f18 == complete + endtask + task jgfs_wafs_f30 + trigger ../../prdgen/jgfs_pgrb2_f30 == complete and ./jgfs_wafs_f24 == complete + endtask + task jgfs_wafs_f36 + trigger ../../prdgen/jgfs_pgrb2_f36 == complete and ./jgfs_wafs_f30 == complete + endtask + task jgfs_wafs_f42 + trigger ../../prdgen/jgfs_pgrb2_f42 == complete and ./jgfs_wafs_f36 == complete + endtask + task jgfs_wafs_f48 + trigger ../../prdgen/jgfs_pgrb2_f48 == complete and ./jgfs_wafs_f42 == complete + endtask + task jgfs_wafs_f54 + trigger ../../prdgen/jgfs_pgrb2_f54 == complete and ./jgfs_wafs_f48 == complete + endtask + task jgfs_wafs_f60 + trigger ../../prdgen/jgfs_pgrb2_f60 == complete and ./jgfs_wafs_f54 == complete + endtask + task jgfs_wafs_f66 + trigger ../../prdgen/jgfs_pgrb2_f66 == complete and ./jgfs_wafs_f60 == complete + endtask + task jgfs_wafs_f72 + trigger ../../prdgen/jgfs_pgrb2_f72 == complete and ./jgfs_wafs_f66 == complete + endtask + task jgfs_wafs_f78 + trigger ../../prdgen/jgfs_pgrb2_f78 == complete and ./jgfs_wafs_f72 == complete + endtask + task jgfs_wafs_f84 + trigger ../../prdgen/jgfs_pgrb2_f84 == complete and ./jgfs_wafs_f78 == complete + endtask + task jgfs_wafs_f90 + trigger ../../prdgen/jgfs_pgrb2_f90 == complete and ./jgfs_wafs_f84 == complete + endtask + task jgfs_wafs_f96 + trigger ../../prdgen/jgfs_pgrb2_f96 == complete and ./jgfs_wafs_f90 == complete + endtask + task jgfs_wafs_f102 + trigger ../../prdgen/jgfs_pgrb2_f102 == complete and ./jgfs_wafs_f96 == complete + endtask + task jgfs_wafs_f108 + trigger ../../prdgen/jgfs_pgrb2_f108 == complete and ./jgfs_wafs_f102 == complete + endtask + task jgfs_wafs_f114 + trigger ../../prdgen/jgfs_pgrb2_f114 == complete and ./jgfs_wafs_f108 == complete + endtask + task jgfs_wafs_f120 + trigger ../../prdgen/jgfs_pgrb2_f120 == complete and ./jgfs_wafs_f114 == complete + endtask + endfamily + family bufr_sounding + task jgfs_postsnd + trigger ../../post/jgfs_post_manager:release_post00 + endtask + endfamily + family bulletins + task jgfs_fbwind + trigger ../../post/jgfs_post_f06 == complete and ../../post/jgfs_post_f12 == complete and ../../post/jgfs_post_f24 == complete + endtask + task jgfs_cyclone_tracker + trigger ../../post/jgfs_post_f00 == complete and ../../post/jgfs_post_f06 == complete and ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f06 == complete + endtask + endfamily + family grib2_wafs + task jgfs_wafs_grib2 + trigger ../../prdgen/jgfs_pgrb2_f00 == complete + endtask + task jgfs_wafs_blending + trigger ./jgfs_wafs_grib2 == complete + endtask + endfamily + family grib_awips + task jgfs_awips_f00 + trigger ../../prdgen/jgfs_pgrb2_f00 == complete + endtask + task jgfs_awips_f06 + trigger ../../prdgen/jgfs_pgrb2_f06 == complete + endtask + task jgfs_awips_f240 + trigger ../../prdgen/jgfs_pgrb2_f240 == complete + endtask + endfamily + family awips_1p0deg + task jgfs_awips_f000 + trigger ../../prdgen/jgfs_pgrb2_f00 == complete + endtask + task jgfs_awips_f006 + trigger ../../prdgen/jgfs_pgrb2_f06 == complete + endtask + task jgfs_awips_f240 + trigger ../../prdgen/jgfs_pgrb2_f240 == complete + endtask + endfamily + family awips_20km + task jgfs_awips_f000 + trigger ../../prdgen/jgfs_pgrb2_f00 == complete + endtask + task jgfs_awips_f003 + trigger ../../prdgen/jgfs_pgrb2_f03 == complete + endtask + task jgfs_awips_f240 + trigger ../../prdgen/jgfs_pgrb2_f240 == complete + endtask + endfamily + endfamily + family post + task jgfs_post_anl + trigger ./jgfs_post_manager:release_postanl + event 1 release_pgrb2_anl + endtask + task jgfs_post_f00 + trigger ./jgfs_post_manager:release_post00 + endtask + task jgfs_post_f01 + trigger ./jgfs_post_manager:release_post01 + endtask + task jgfs_post_f384 + trigger ./jgfs_post_manager:release_post384 + endtask + task jgfs_pgrb2_spec_post + trigger ./jgfs_post_f336 == complete and ./jgfs_post_f384 == complete + endtask + task jgfs_post_manager + trigger ../jgfs_analysis == complete and ../forecast == complete + event 1 release_postanl + event 2 release_post00 + event 3 release_post01 + event 4 release_post02 + event 5 release_post03 + event 6 release_post04 + event 7 release_post05 + event 8 release_post06 + event 9 release_post07 + event 10 release_post08 + event 11 release_post09 + event 12 release_post10 + event 13 release_post11 + event 14 release_post12 + event 15 release_post13 + event 16 release_post14 + event 17 release_post15 + event 18 release_post16 + event 19 release_post17 + event 20 release_post18 + event 21 release_post19 + event 22 release_post20 + event 23 release_post21 + event 24 release_post22 + event 25 release_post23 + event 26 release_post24 + event 27 release_post25 + event 28 release_post26 + event 29 release_post27 + event 30 release_post28 + event 31 release_post29 + event 32 release_post30 + event 33 release_post31 + event 34 release_post32 + event 35 release_post33 + event 36 release_post34 + event 37 release_post35 + event 38 release_post36 + event 39 release_post37 + event 40 release_post38 + event 41 release_post39 + event 42 release_post40 + event 43 release_post41 + event 44 release_post42 + event 45 release_post43 + event 46 release_post44 + event 47 release_post45 + event 48 release_post46 + event 49 release_post47 + event 50 release_post48 + event 51 release_post49 + event 52 release_post50 + event 53 release_post51 + event 54 release_post52 + event 55 release_post53 + event 56 release_post54 + event 57 release_post55 + event 58 release_post56 + event 59 release_post57 + event 60 release_post58 + event 61 release_post59 + event 62 release_post60 + event 63 release_post61 + event 64 release_post62 + event 65 release_post63 + event 66 release_post64 + event 67 release_post65 + event 68 release_post66 + event 69 release_post67 + event 70 release_post68 + event 71 release_post69 + event 72 release_post70 + event 73 release_post71 + event 74 release_post72 + event 75 release_post73 + event 76 release_post74 + event 77 release_post75 + event 78 release_post76 + event 79 release_post77 + event 80 release_post78 + event 81 release_post79 + event 82 release_post80 + event 83 release_post81 + event 84 release_post82 + event 85 release_post83 + event 86 release_post84 + event 87 release_post85 + event 88 release_post86 + event 89 release_post87 + event 90 release_post88 + event 91 release_post89 + event 92 release_post90 + event 93 release_post91 + event 94 release_post92 + event 95 release_post93 + event 96 release_post94 + event 97 release_post95 + event 98 release_post96 + event 99 release_post97 + event 100 release_post98 + event 101 release_post99 + event 102 release_post100 + event 103 release_post101 + event 104 release_post102 + event 105 release_post103 + event 106 release_post104 + event 107 release_post105 + event 108 release_post106 + event 109 release_post107 + event 110 release_post108 + event 111 release_post109 + event 112 release_post110 + event 113 release_post111 + event 114 release_post112 + event 115 release_post113 + event 116 release_post114 + event 117 release_post115 + event 118 release_post116 + event 119 release_post117 + event 120 release_post118 + event 121 release_post119 + event 122 release_post120 + event 123 release_post123 + event 124 release_post126 + event 125 release_post129 + event 126 release_post132 + event 127 release_post135 + event 128 release_post138 + event 129 release_post141 + event 130 release_post144 + event 131 release_post147 + event 132 release_post150 + event 133 release_post153 + event 134 release_post156 + event 135 release_post159 + event 136 release_post162 + event 137 release_post165 + event 138 release_post168 + event 139 release_post171 + event 140 release_post174 + event 141 release_post177 + event 142 release_post180 + event 143 release_post183 + event 144 release_post186 + event 145 release_post189 + event 146 release_post192 + event 147 release_post195 + event 148 release_post198 + event 149 release_post201 + event 150 release_post204 + event 151 release_post207 + event 152 release_post210 + event 153 release_post213 + event 154 release_post216 + event 155 release_post219 + event 156 release_post222 + event 157 release_post225 + event 158 release_post228 + event 159 release_post231 + event 160 release_post234 + event 161 release_post237 + event 162 release_post240 + event 163 release_post252 + event 164 release_post264 + event 165 release_post276 + event 166 release_post288 + event 167 release_post300 + event 168 release_post312 + event 169 release_post324 + event 170 release_post336 + event 171 release_post348 + event 172 release_post360 + event 173 release_post372 + event 174 release_post384 + endtask + task jgfs_post_f02 + trigger ./jgfs_post_manager:release_post02 + endtask + task jgfs_post_f03 + trigger ./jgfs_post_manager:release_post03 + endtask + task jgfs_post_f04 + trigger ./jgfs_post_manager:release_post04 + endtask + task jgfs_post_f05 + trigger ./jgfs_post_manager:release_post05 + endtask + task jgfs_post_f06 + trigger ./jgfs_post_manager:release_post06 + endtask + task jgfs_post_f07 + trigger ./jgfs_post_manager:release_post07 + endtask + task jgfs_post_f08 + trigger ./jgfs_post_manager:release_post08 + endtask + task jgfs_post_f09 + trigger ./jgfs_post_manager:release_post09 + endtask + task jgfs_post_f10 + trigger ./jgfs_post_manager:release_post10 + endtask + task jgfs_post_f11 + trigger ./jgfs_post_manager:release_post11 + endtask + task jgfs_post_f12 + trigger ./jgfs_post_manager:release_post12 + endtask + task jgfs_post_f13 + trigger ./jgfs_post_manager:release_post13 + endtask + task jgfs_post_f14 + trigger ./jgfs_post_manager:release_post14 + endtask + task jgfs_post_f15 + trigger ./jgfs_post_manager:release_post15 + endtask + task jgfs_post_f16 + trigger ./jgfs_post_manager:release_post16 + endtask + task jgfs_post_f17 + trigger ./jgfs_post_manager:release_post17 + endtask + task jgfs_post_f18 + trigger ./jgfs_post_manager:release_post18 + endtask + task jgfs_post_f19 + trigger ./jgfs_post_manager:release_post19 + endtask + task jgfs_post_f20 + trigger ./jgfs_post_manager:release_post20 + endtask + task jgfs_post_f21 + trigger ./jgfs_post_manager:release_post21 + endtask + task jgfs_post_f22 + trigger ./jgfs_post_manager:release_post22 + endtask + task jgfs_post_f23 + trigger ./jgfs_post_manager:release_post23 + endtask + task jgfs_post_f24 + trigger ./jgfs_post_manager:release_post24 + endtask + task jgfs_post_f25 + trigger ./jgfs_post_manager:release_post25 + endtask + task jgfs_post_f26 + trigger ./jgfs_post_manager:release_post26 + endtask + task jgfs_post_f27 + trigger ./jgfs_post_manager:release_post27 + endtask + task jgfs_post_f28 + trigger ./jgfs_post_manager:release_post28 + endtask + task jgfs_post_f29 + trigger ./jgfs_post_manager:release_post29 + endtask + task jgfs_post_f30 + trigger ./jgfs_post_manager:release_post30 + endtask + task jgfs_post_f31 + trigger ./jgfs_post_manager:release_post31 + endtask + task jgfs_post_f32 + trigger ./jgfs_post_manager:release_post32 + endtask + task jgfs_post_f33 + trigger ./jgfs_post_manager:release_post33 + endtask + task jgfs_post_f34 + trigger ./jgfs_post_manager:release_post34 + endtask + task jgfs_post_f35 + trigger ./jgfs_post_manager:release_post35 + endtask + task jgfs_post_f36 + trigger ./jgfs_post_manager:release_post36 + endtask + task jgfs_post_f37 + trigger ./jgfs_post_manager:release_post37 + endtask + task jgfs_post_f38 + trigger ./jgfs_post_manager:release_post38 + endtask + task jgfs_post_f39 + trigger ./jgfs_post_manager:release_post39 + endtask + task jgfs_post_f40 + trigger ./jgfs_post_manager:release_post40 + endtask + task jgfs_post_f41 + trigger ./jgfs_post_manager:release_post41 + endtask + task jgfs_post_f42 + trigger ./jgfs_post_manager:release_post42 + endtask + task jgfs_post_f43 + trigger ./jgfs_post_manager:release_post43 + endtask + task jgfs_post_f44 + trigger ./jgfs_post_manager:release_post44 + endtask + task jgfs_post_f45 + trigger ./jgfs_post_manager:release_post45 + endtask + task jgfs_post_f46 + trigger ./jgfs_post_manager:release_post46 + endtask + task jgfs_post_f47 + trigger ./jgfs_post_manager:release_post47 + endtask + task jgfs_post_f48 + trigger ./jgfs_post_manager:release_post48 + endtask + task jgfs_post_f49 + trigger ./jgfs_post_manager:release_post49 + endtask + task jgfs_post_f50 + trigger ./jgfs_post_manager:release_post50 + endtask + task jgfs_post_f51 + trigger ./jgfs_post_manager:release_post51 + endtask + task jgfs_post_f52 + trigger ./jgfs_post_manager:release_post52 + endtask + task jgfs_post_f53 + trigger ./jgfs_post_manager:release_post53 + endtask + task jgfs_post_f54 + trigger ./jgfs_post_manager:release_post54 + endtask + task jgfs_post_f55 + trigger ./jgfs_post_manager:release_post55 + endtask + task jgfs_post_f56 + trigger ./jgfs_post_manager:release_post56 + endtask + task jgfs_post_f57 + trigger ./jgfs_post_manager:release_post57 + endtask + task jgfs_post_f58 + trigger ./jgfs_post_manager:release_post58 + endtask + task jgfs_post_f59 + trigger ./jgfs_post_manager:release_post59 + endtask + task jgfs_post_f60 + trigger ./jgfs_post_manager:release_post60 + endtask + task jgfs_post_f61 + trigger ./jgfs_post_manager:release_post61 + endtask + task jgfs_post_f62 + trigger ./jgfs_post_manager:release_post62 + endtask + task jgfs_post_f63 + trigger ./jgfs_post_manager:release_post63 + endtask + task jgfs_post_f64 + trigger ./jgfs_post_manager:release_post64 + endtask + task jgfs_post_f65 + trigger ./jgfs_post_manager:release_post65 + endtask + task jgfs_post_f66 + trigger ./jgfs_post_manager:release_post66 + endtask + task jgfs_post_f67 + trigger ./jgfs_post_manager:release_post67 + endtask + task jgfs_post_f68 + trigger ./jgfs_post_manager:release_post68 + endtask + task jgfs_post_f69 + trigger ./jgfs_post_manager:release_post69 + endtask + task jgfs_post_f70 + trigger ./jgfs_post_manager:release_post70 + endtask + task jgfs_post_f71 + trigger ./jgfs_post_manager:release_post71 + endtask + task jgfs_post_f72 + trigger ./jgfs_post_manager:release_post72 + endtask + task jgfs_post_f73 + trigger ./jgfs_post_manager:release_post73 + endtask + task jgfs_post_f74 + trigger ./jgfs_post_manager:release_post74 + endtask + task jgfs_post_f75 + trigger ./jgfs_post_manager:release_post75 + endtask + task jgfs_post_f76 + trigger ./jgfs_post_manager:release_post76 + endtask + task jgfs_post_f77 + trigger ./jgfs_post_manager:release_post77 + endtask + task jgfs_post_f78 + trigger ./jgfs_post_manager:release_post78 + endtask + task jgfs_post_f79 + trigger ./jgfs_post_manager:release_post79 + endtask + task jgfs_post_f80 + trigger ./jgfs_post_manager:release_post80 + endtask + task jgfs_post_f81 + trigger ./jgfs_post_manager:release_post81 + endtask + task jgfs_post_f82 + trigger ./jgfs_post_manager:release_post82 + endtask + task jgfs_post_f83 + trigger ./jgfs_post_manager:release_post83 + endtask + task jgfs_post_f84 + trigger ./jgfs_post_manager:release_post84 + endtask + task jgfs_post_f85 + trigger ./jgfs_post_manager:release_post85 + endtask + task jgfs_post_f86 + trigger ./jgfs_post_manager:release_post86 + endtask + task jgfs_post_f87 + trigger ./jgfs_post_manager:release_post87 + endtask + task jgfs_post_f88 + trigger ./jgfs_post_manager:release_post88 + endtask + task jgfs_post_f89 + trigger ./jgfs_post_manager:release_post89 + endtask + task jgfs_post_f90 + trigger ./jgfs_post_manager:release_post90 + endtask + task jgfs_post_f91 + trigger ./jgfs_post_manager:release_post91 + endtask + task jgfs_post_f92 + trigger ./jgfs_post_manager:release_post92 + endtask + task jgfs_post_f93 + trigger ./jgfs_post_manager:release_post93 + endtask + task jgfs_post_f94 + trigger ./jgfs_post_manager:release_post94 + endtask + task jgfs_post_f95 + trigger ./jgfs_post_manager:release_post95 + endtask + task jgfs_post_f96 + trigger ./jgfs_post_manager:release_post96 + endtask + task jgfs_post_f97 + trigger ./jgfs_post_manager:release_post97 + endtask + task jgfs_post_f98 + trigger ./jgfs_post_manager:release_post98 + endtask + task jgfs_post_f99 + trigger ./jgfs_post_manager:release_post99 + endtask + task jgfs_post_f100 + trigger ./jgfs_post_manager:release_post100 + endtask + task jgfs_post_f101 + trigger ./jgfs_post_manager:release_post101 + endtask + task jgfs_post_f102 + trigger ./jgfs_post_manager:release_post102 + endtask + task jgfs_post_f103 + trigger ./jgfs_post_manager:release_post103 + endtask + task jgfs_post_f104 + trigger ./jgfs_post_manager:release_post104 + endtask + task jgfs_post_f105 + trigger ./jgfs_post_manager:release_post105 + endtask + task jgfs_post_f106 + trigger ./jgfs_post_manager:release_post106 + endtask + task jgfs_post_f107 + trigger ./jgfs_post_manager:release_post107 + endtask + task jgfs_post_f108 + trigger ./jgfs_post_manager:release_post108 + endtask + task jgfs_post_f109 + trigger ./jgfs_post_manager:release_post109 + endtask + task jgfs_post_f110 + trigger ./jgfs_post_manager:release_post110 + endtask + task jgfs_post_f111 + trigger ./jgfs_post_manager:release_post111 + endtask + task jgfs_post_f112 + trigger ./jgfs_post_manager:release_post112 + endtask + task jgfs_post_f113 + trigger ./jgfs_post_manager:release_post113 + endtask + task jgfs_post_f114 + trigger ./jgfs_post_manager:release_post114 + endtask + task jgfs_post_f115 + trigger ./jgfs_post_manager:release_post115 + endtask + task jgfs_post_f116 + trigger ./jgfs_post_manager:release_post116 + endtask + task jgfs_post_f117 + trigger ./jgfs_post_manager:release_post117 + endtask + task jgfs_post_f118 + trigger ./jgfs_post_manager:release_post118 + endtask + task jgfs_post_f119 + trigger ./jgfs_post_manager:release_post119 + endtask + task jgfs_post_f120 + trigger ./jgfs_post_manager:release_post120 + endtask + task jgfs_post_f123 + trigger ./jgfs_post_manager:release_post123 + endtask + task jgfs_post_f126 + trigger ./jgfs_post_manager:release_post126 + endtask + task jgfs_post_f129 + trigger ./jgfs_post_manager:release_post129 + endtask + task jgfs_post_f132 + trigger ./jgfs_post_manager:release_post132 + endtask + task jgfs_post_f135 + trigger ./jgfs_post_manager:release_post135 + endtask + task jgfs_post_f138 + trigger ./jgfs_post_manager:release_post138 + endtask + task jgfs_post_f141 + trigger ./jgfs_post_manager:release_post141 + endtask + task jgfs_post_f144 + trigger ./jgfs_post_manager:release_post144 + endtask + task jgfs_post_f147 + trigger ./jgfs_post_manager:release_post147 + endtask + task jgfs_post_f150 + trigger ./jgfs_post_manager:release_post150 + endtask + task jgfs_post_f153 + trigger ./jgfs_post_manager:release_post153 + endtask + task jgfs_post_f156 + trigger ./jgfs_post_manager:release_post156 + endtask + task jgfs_post_f159 + trigger ./jgfs_post_manager:release_post159 + endtask + task jgfs_post_f162 + trigger ./jgfs_post_manager:release_post162 + endtask + task jgfs_post_f165 + trigger ./jgfs_post_manager:release_post165 + endtask + task jgfs_post_f168 + trigger ./jgfs_post_manager:release_post168 + endtask + task jgfs_post_f171 + trigger ./jgfs_post_manager:release_post171 + endtask + task jgfs_post_f174 + trigger ./jgfs_post_manager:release_post174 + endtask + task jgfs_post_f177 + trigger ./jgfs_post_manager:release_post177 + endtask + task jgfs_post_f180 + trigger ./jgfs_post_manager:release_post180 + endtask + task jgfs_post_f183 + trigger ./jgfs_post_manager:release_post183 + endtask + task jgfs_post_f186 + trigger ./jgfs_post_manager:release_post186 + endtask + task jgfs_post_f189 + trigger ./jgfs_post_manager:release_post189 + endtask + task jgfs_post_f192 + trigger ./jgfs_post_manager:release_post192 + endtask + task jgfs_post_f195 + trigger ./jgfs_post_manager:release_post195 + endtask + task jgfs_post_f198 + trigger ./jgfs_post_manager:release_post198 + endtask + task jgfs_post_f201 + trigger ./jgfs_post_manager:release_post201 + endtask + task jgfs_post_f204 + trigger ./jgfs_post_manager:release_post204 + endtask + task jgfs_post_f207 + trigger ./jgfs_post_manager:release_post207 + endtask + task jgfs_post_f210 + trigger ./jgfs_post_manager:release_post210 + endtask + task jgfs_post_f213 + trigger ./jgfs_post_manager:release_post213 + endtask + task jgfs_post_f216 + trigger ./jgfs_post_manager:release_post216 + endtask + task jgfs_post_f219 + trigger ./jgfs_post_manager:release_post219 + endtask + task jgfs_post_f222 + trigger ./jgfs_post_manager:release_post222 + endtask + task jgfs_post_f225 + trigger ./jgfs_post_manager:release_post225 + endtask + task jgfs_post_f228 + trigger ./jgfs_post_manager:release_post228 + endtask + task jgfs_post_f231 + trigger ./jgfs_post_manager:release_post231 + endtask + task jgfs_post_f234 + trigger ./jgfs_post_manager:release_post234 + endtask + task jgfs_post_f237 + trigger ./jgfs_post_manager:release_post237 + endtask + task jgfs_post_f240 + trigger ./jgfs_post_manager:release_post240 + endtask + task jgfs_post_f252 + trigger ./jgfs_post_manager:release_post252 + endtask + task jgfs_post_f264 + trigger ./jgfs_post_manager:release_post264 + endtask + task jgfs_post_f276 + trigger ./jgfs_post_manager:release_post276 + endtask + task jgfs_post_f288 + trigger ./jgfs_post_manager:release_post288 + endtask + task jgfs_post_f300 + trigger ./jgfs_post_manager:release_post300 + endtask + task jgfs_post_f312 + trigger ./jgfs_post_manager:release_post312 + endtask + task jgfs_post_f324 + trigger ./jgfs_post_manager:release_post324 + endtask + task jgfs_post_f336 + trigger ./jgfs_post_manager:release_post336 + endtask + task jgfs_post_f348 + trigger ./jgfs_post_manager:release_post348 + endtask + task jgfs_post_f360 + trigger ./jgfs_post_manager:release_post360 + endtask + task jgfs_post_f372 + trigger ./jgfs_post_manager:release_post372 + endtask + endfamily + family prdgen + task jgfs_pgrb2_manager + trigger ../post == complete + event 1 release_pgrb2_00 + event 2 release_post384 + endtask + task jgfs_pgrb2_anl + endtask + task jgfs_pgrb2_f00 + endtask + task jgfs_pgrb2_f01 + endtask + task jgfs_pgrb2_f02 + endtask + task jgfs_pgrb2_f03 + endtask + task jgfs_pgrb2_f04 + endtask + task jgfs_pgrb2_f05 + endtask + task jgfs_pgrb2_f06 + endtask + task jgfs_pgrb2_f07 + endtask + task jgfs_pgrb2_f08 + endtask + task jgfs_pgrb2_f09 + endtask + task jgfs_pgrb2_f10 + endtask + task jgfs_pgrb2_f11 + endtask + task jgfs_pgrb2_f12 + endtask + task jgfs_pgrb2_f13 + endtask + task jgfs_pgrb2_f14 + endtask + task jgfs_pgrb2_f15 + endtask + task jgfs_pgrb2_f16 + endtask + task jgfs_pgrb2_f17 + endtask + task jgfs_pgrb2_f18 + endtask + task jgfs_pgrb2_f19 + endtask + task jgfs_pgrb2_f20 + endtask + task jgfs_pgrb2_f21 + endtask + task jgfs_pgrb2_f22 + endtask + task jgfs_pgrb2_f23 + endtask + task jgfs_pgrb2_f24 + endtask + task jgfs_pgrb2_f25 + endtask + task jgfs_pgrb2_f26 + endtask + task jgfs_pgrb2_f27 + endtask + task jgfs_pgrb2_f28 + endtask + task jgfs_pgrb2_f29 + endtask + task jgfs_pgrb2_f30 + endtask + task jgfs_pgrb2_f31 + endtask + task jgfs_pgrb2_f32 + endtask + task jgfs_pgrb2_f33 + endtask + task jgfs_pgrb2_f34 + endtask + task jgfs_pgrb2_f35 + endtask + task jgfs_pgrb2_f36 + endtask + task jgfs_pgrb2_f37 + endtask + task jgfs_pgrb2_f38 + endtask + task jgfs_pgrb2_f39 + endtask + task jgfs_pgrb2_f40 + endtask + task jgfs_pgrb2_f41 + endtask + task jgfs_pgrb2_f42 + endtask + task jgfs_pgrb2_f43 + endtask + task jgfs_pgrb2_f44 + endtask + task jgfs_pgrb2_f45 + endtask + task jgfs_pgrb2_f46 + endtask + task jgfs_pgrb2_f47 + endtask + task jgfs_pgrb2_f48 + endtask + task jgfs_pgrb2_f49 + endtask + task jgfs_pgrb2_f50 + endtask + task jgfs_pgrb2_f51 + endtask + task jgfs_pgrb2_f52 + endtask + task jgfs_pgrb2_f53 + endtask + task jgfs_pgrb2_f54 + endtask + task jgfs_pgrb2_f55 + endtask + task jgfs_pgrb2_f56 + endtask + task jgfs_pgrb2_f57 + endtask + task jgfs_pgrb2_f58 + endtask + task jgfs_pgrb2_f59 + endtask + task jgfs_pgrb2_f60 + endtask + task jgfs_pgrb2_f61 + endtask + task jgfs_pgrb2_f62 + endtask + task jgfs_pgrb2_f63 + endtask + task jgfs_pgrb2_f64 + endtask + task jgfs_pgrb2_f65 + endtask + task jgfs_pgrb2_f66 + endtask + task jgfs_pgrb2_f67 + endtask + task jgfs_pgrb2_f68 + endtask + task jgfs_pgrb2_f69 + endtask + task jgfs_pgrb2_f70 + endtask + task jgfs_pgrb2_f71 + endtask + task jgfs_pgrb2_f72 + endtask + task jgfs_pgrb2_f73 + endtask + task jgfs_pgrb2_f74 + endtask + task jgfs_pgrb2_f75 + endtask + task jgfs_pgrb2_f76 + endtask + task jgfs_pgrb2_f77 + endtask + task jgfs_pgrb2_f78 + endtask + task jgfs_pgrb2_f79 + endtask + task jgfs_pgrb2_f80 + endtask + task jgfs_pgrb2_f81 + endtask + task jgfs_pgrb2_f82 + endtask + task jgfs_pgrb2_f83 + endtask + task jgfs_pgrb2_f84 + endtask + task jgfs_pgrb2_f85 + endtask + task jgfs_pgrb2_f86 + endtask + task jgfs_pgrb2_f87 + endtask + task jgfs_pgrb2_f88 + endtask + task jgfs_pgrb2_f89 + endtask + task jgfs_pgrb2_f90 + endtask + task jgfs_pgrb2_f91 + endtask + task jgfs_pgrb2_f92 + endtask + task jgfs_pgrb2_f93 + endtask + task jgfs_pgrb2_f94 + endtask + task jgfs_pgrb2_f95 + endtask + task jgfs_pgrb2_f96 + endtask + task jgfs_pgrb2_f97 + endtask + task jgfs_pgrb2_f98 + endtask + task jgfs_pgrb2_f99 + endtask + task jgfs_pgrb2_f100 + endtask + task jgfs_pgrb2_f101 + endtask + task jgfs_pgrb2_f102 + endtask + task jgfs_pgrb2_f103 + endtask + task jgfs_pgrb2_f104 + endtask + task jgfs_pgrb2_f105 + endtask + task jgfs_pgrb2_f106 + endtask + task jgfs_pgrb2_f107 + endtask + task jgfs_pgrb2_f108 + endtask + task jgfs_pgrb2_f109 + endtask + task jgfs_pgrb2_f110 + endtask + task jgfs_pgrb2_f111 + endtask + task jgfs_pgrb2_f112 + endtask + task jgfs_pgrb2_f113 + endtask + task jgfs_pgrb2_f114 + endtask + task jgfs_pgrb2_f115 + endtask + task jgfs_pgrb2_f116 + endtask + task jgfs_pgrb2_f117 + endtask + task jgfs_pgrb2_f118 + endtask + task jgfs_pgrb2_f119 + endtask + task jgfs_pgrb2_f120 + endtask + task jgfs_pgrb2_f123 + endtask + task jgfs_pgrb2_f126 + endtask + task jgfs_pgrb2_f129 + endtask + task jgfs_pgrb2_f132 + endtask + task jgfs_pgrb2_f135 + endtask + task jgfs_pgrb2_f138 + endtask + task jgfs_pgrb2_f141 + endtask + task jgfs_pgrb2_f144 + endtask + task jgfs_pgrb2_f147 + endtask + task jgfs_pgrb2_f150 + endtask + task jgfs_pgrb2_f153 + endtask + task jgfs_pgrb2_f156 + endtask + task jgfs_pgrb2_f159 + endtask + task jgfs_pgrb2_f162 + endtask + task jgfs_pgrb2_f165 + endtask + task jgfs_pgrb2_f168 + endtask + task jgfs_pgrb2_f171 + endtask + task jgfs_pgrb2_f174 + endtask + task jgfs_pgrb2_f177 + endtask + task jgfs_pgrb2_f180 + endtask + task jgfs_pgrb2_f183 + endtask + task jgfs_pgrb2_f186 + endtask + task jgfs_pgrb2_f189 + endtask + task jgfs_pgrb2_f192 + endtask + task jgfs_pgrb2_f195 + endtask + task jgfs_pgrb2_f198 + endtask + task jgfs_pgrb2_f201 + endtask + task jgfs_pgrb2_f204 + endtask + task jgfs_pgrb2_f207 + endtask + task jgfs_pgrb2_f210 + endtask + task jgfs_pgrb2_f213 + endtask + task jgfs_pgrb2_f216 + endtask + task jgfs_pgrb2_f219 + endtask + task jgfs_pgrb2_f222 + endtask + task jgfs_pgrb2_f225 + endtask + task jgfs_pgrb2_f228 + endtask + task jgfs_pgrb2_f231 + endtask + task jgfs_pgrb2_f234 + endtask + task jgfs_pgrb2_f237 + endtask + task jgfs_pgrb2_f240 + endtask + task jgfs_pgrb2_f252 + endtask + task jgfs_pgrb2_f264 + endtask + task jgfs_pgrb2_f276 + endtask + task jgfs_pgrb2_f288 + endtask + task jgfs_pgrb2_f300 + endtask + task jgfs_pgrb2_f312 + endtask + task jgfs_pgrb2_f324 + endtask + task jgfs_pgrb2_f336 + endtask + task jgfs_pgrb2_f348 + endtask + task jgfs_pgrb2_f360 + endtask + task jgfs_pgrb2_f372 + endtask + task jgfs_pgrb2_f384 + endtask + endfamily + family gempak + task jgfs_gempak_upapgif + trigger ../dump/jgfs_dump == complete + endtask + task jgfs_gempak_ncdc + trigger ./jgfs_gempak == active or ./jgfs_gempak == complete + endtask + task jgfs_gempak + trigger ../jgfs_analysis == complete + endtask + task jgfs_gempak_meta + trigger ../jgfs_analysis == complete + endtask + task jgfs_pgrb2_spec_gempak + trigger ../post/jgfs_pgrb2_spec_post == complete + endtask + endfamily + endfamily family gdas task jgdas_verfrad trigger ./enkf == complete endtask task jgdas_vminmon - trigger ./jgdas_analysis == complete + trigger ./analysis/jgdas_analysis_high == complete endtask family dump task jgdas_ics - event 1 release_gdas00_ics + event 1 release_gdas00_ics endtask task jgdas_tropcy_qc_reloc trigger ./jgdas_dump == complete endtask task jgdas_dump - event 1 release_sfcprep + event 1 release_sfcprep endtask endfamily family prep @@ -46,7 +1308,42 @@ suite prod06 trigger ../dump/jgdas_dump == complete and ../dump/jgdas_tropcy_qc_reloc == complete endtask task jgdas_prep_post - trigger ../jgdas_analysis == complete + trigger ../analysis/jgdas_analysis_high == complete + endtask + endfamily + family analysis + task jgdas_analysis_high + trigger ../prep/jgdas_prep == complete and ../prep/jgdas_emcsfc_sfc_prep == complete + event 1 release_fcst + endtask + endfamily + family forecast + task jgdas_forecast + trigger ../analysis/jgdas_analysis_high:release_fcst and ../enkf/innovate == complete + event 1 release_fcst + endtask + endfamily + family post_processing + family bulletins + task jgdas_mknavybulls + trigger ../../dump/jgdas_dump == complete + endtask + endfamily + endfamily + family gempak + task jgdas_gempak + trigger ../forecast/jgdas_forecast == complete + endtask + task jgdas_gempak_meta + trigger ./jgdas_gempak == complete + endtask + task jgdas_gempak_ncdc + trigger ./jgdas_gempak == complete + endtask + endfamily + family post + task jgdas_post + trigger ../forecast/jgdas_forecast == complete endtask endfamily family enkf @@ -55,129 +1352,53 @@ suite prod06 endtask family innovate trigger ./jgdas_enkf_select_obs == complete - task grp1 + task jgdas_enkf_innovate_obs_grp1 endtask - task grp2 + task jgdas_enkf_innovate_obs_grp2 endtask - task grp3 + task jgdas_enkf_innovate_obs_grp3 endtask - task grp4 + task jgdas_enkf_innovate_obs_grp4 endtask - task grp5 + task jgdas_enkf_innovate_obs_grp5 endtask - task grp6 + task jgdas_enkf_innovate_obs_grp6 endtask - task grp7 + task jgdas_enkf_innovate_obs_grp7 endtask - task grp8 + task jgdas_enkf_innovate_obs_grp8 endtask endfamily task jgdas_enkf_update trigger ./innovate == complete endtask task jgdas_enkf_inflate_recenter - trigger ./jgdas_enkf_update == complete and ../jgdas_analysis == complete + trigger ./jgdas_enkf_update == complete and ../analysis/jgdas_analysis_high == complete endtask family forecast trigger ./jgdas_enkf_inflate_recenter == complete - task grp1 + task jgdas_enkf_fcst_grp1 endtask - task grp2 + task jgdas_enkf_fcst_grp2 endtask - task grp3 + task jgdas_enkf_fcst_grp3 endtask - task grp4 + task jgdas_enkf_fcst_grp4 endtask - task grp5 + task jgdas_enkf_fcst_grp5 endtask - task grp6 + task jgdas_enkf_fcst_grp6 endtask - task grp7 + task jgdas_enkf_fcst_grp7 endtask - task grp8 + task jgdas_enkf_fcst_grp8 endtask endfamily task jgdas_enkf_post trigger ./forecast == complete endtask endfamily - task jgdas_analysis - trigger ./prep/jgdas_prep == complete and ./prep/jgdas_emcsfc_sfc_prep == complete and /prod00/gdas/enkf/jgdas_enkf_post == complete - event 1 release_fcst - endtask - task jgdas_forecast - trigger ./jgdas_analysis:release_fcst and ./enkf/innovate == complete - event 1 release_fcst - endtask - task post - trigger ./jgdas_forecast == complete - endtask - task vrfy - trigger ./post == complete - endtask - endfamily - family gfs - family dump - task jgfs_tropcy_qc_reloc - trigger ./jgfs_dump == complete - event 1 jtwc_bull_email - endtask - task jgfs_dump - event 1 release_sfcprep - endtask - endfamily - family prep - task jgfs_emcsfc_sfc_prep - trigger ../dump/jgfs_dump:release_sfcprep - endtask - task jgfs_prep - trigger ../dump == complete - endtask - task jgfs_prep_post - trigger ../jgfs_analysis == complete - endtask - endfamily - task jgfs_analysis - trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete and /prod00/gdas/enkf/jgdas_enkf_post == complete - endtask - task jgfs_vminmon - trigger ./jgfs_analysis == complete - endtask - task jgfs_forecast - trigger ./jgfs_analysis == complete - endtask - task jgfs_post - trigger ./jgfs_forecast == complete - endtask - task jgfs_vrfy - trigger ./jgfs_post == complete - endtask - endfamily - family archive - task gdasarch - trigger ../gdas/jgdas_verfrad == complete - endtask - task gfsarch - trigger ../gfs/jgfs_vrfy == complete - endtask - family earc - trigger ../gdas/enkf/jgdas_enkf_post == complete - task grp1 - endtask - task grp2 - endtask - task grp3 - endtask - task grp4 - endtask - task grp5 - endtask - task grp6 - endtask - task grp7 - endtask - task grp8 - endtask - endfamily endfamily + task cycle_end + endtask endsuite diff --git a/model/ecflow_fv3gfs/defs/prod12.def b/model/ecflow_fv3gfs/defs/prod12.def index c383e2d..11c16fc 100644 --- a/model/ecflow_fv3gfs/defs/prod12.def +++ b/model/ecflow_fv3gfs/defs/prod12.def @@ -20,22 +20,1284 @@ suite prod12 edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' + family gfs + family dump + task jgfs_tropcy_qc_reloc + trigger ./jgfs_dump == complete + event 1 jtwc_bull_email + endtask + task jgfs_dump + event 1 release_sfcprep + endtask + endfamily + family prep + task jgfs_emcsfc_sfc_prep + trigger ../dump/jgfs_dump:release_sfcprep + endtask + task jgfs_prep + trigger ../dump == complete + endtask + task jgfs_prep_post + trigger ../jgfs_analysis == complete + endtask + endfamily + task jgfs_analysis + trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete + endtask + task jgfs_vminmon + trigger ./jgfs_analysis == complete + endtask + family forecast + task jgfs_forecast_high + trigger ../jgfs_analysis == complete + endtask + task jgfs_forecast_low + trigger ./jgfs_forecast_high == complete + endtask + endfamily + family sminit_guam + task jgfs_sminit_guam_even + trigger ../post/jgfs_post_anl == active or ../post/jgfs_post_anl == complete + endtask + task jgfs_sminit_guam_odd + trigger ../post/jgfs_post_anl == active or ../post/jgfs_post_anl == complete + endtask + endfamily + family post_processing + task jgfs_wafs_gcip + trigger ../prdgen/jgfs_pgrb2_f03 == complete + endtask + family fax + task jgfs_fax_f00 + trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_anl == complete + endtask + task jgfs_fax_anl + trigger ../../prdgen/jgfs_pgrb2_anl == complete + endtask + task jgfs_fax_wafs_f12 + trigger ../../prdgen/jgfs_pgrb2_f12 == complete + endtask + task jgfs_fax_wafs_f24 + trigger ../../prdgen/jgfs_pgrb2_f24 == complete + endtask + task jgfs_fax_wafs_f36 + trigger ../../prdgen/jgfs_pgrb2_f36 == complete + endtask + endfamily + family grib_wafs + task jgfs_wafs_f00 + trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f120 == complete and ../grib2_wafs/jgfs_wafs_grib2 == complete + endtask + task jgfs_wafs_f06 + trigger ../../prdgen/jgfs_pgrb2_f06 == complete and ./jgfs_wafs_f00 == complete + endtask + task jgfs_wafs_f12 + trigger ../../prdgen/jgfs_pgrb2_f12 == complete and ./jgfs_wafs_f06 == complete + endtask + task jgfs_wafs_f18 + trigger ../../prdgen/jgfs_pgrb2_f18 == complete and ./jgfs_wafs_f12 == complete + endtask + task jgfs_wafs_f24 + trigger ../../prdgen/jgfs_pgrb2_f24 == complete and ./jgfs_wafs_f18 == complete + endtask + task jgfs_wafs_f30 + trigger ../../prdgen/jgfs_pgrb2_f30 == complete and ./jgfs_wafs_f24 == complete + endtask + task jgfs_wafs_f36 + trigger ../../prdgen/jgfs_pgrb2_f36 == complete and ./jgfs_wafs_f30 == complete + endtask + task jgfs_wafs_f42 + trigger ../../prdgen/jgfs_pgrb2_f42 == complete and ./jgfs_wafs_f36 == complete + endtask + task jgfs_wafs_f48 + trigger ../../prdgen/jgfs_pgrb2_f48 == complete and ./jgfs_wafs_f42 == complete + endtask + task jgfs_wafs_f54 + trigger ../../prdgen/jgfs_pgrb2_f54 == complete and ./jgfs_wafs_f48 == complete + endtask + task jgfs_wafs_f60 + trigger ../../prdgen/jgfs_pgrb2_f60 == complete and ./jgfs_wafs_f54 == complete + endtask + task jgfs_wafs_f66 + trigger ../../prdgen/jgfs_pgrb2_f66 == complete and ./jgfs_wafs_f60 == complete + endtask + task jgfs_wafs_f72 + trigger ../../prdgen/jgfs_pgrb2_f72 == complete and ./jgfs_wafs_f66 == complete + endtask + task jgfs_wafs_f78 + trigger ../../prdgen/jgfs_pgrb2_f78 == complete and ./jgfs_wafs_f72 == complete + endtask + task jgfs_wafs_f84 + trigger ../../prdgen/jgfs_pgrb2_f84 == complete and ./jgfs_wafs_f78 == complete + endtask + task jgfs_wafs_f90 + trigger ../../prdgen/jgfs_pgrb2_f90 == complete and ./jgfs_wafs_f84 == complete + endtask + task jgfs_wafs_f96 + trigger ../../prdgen/jgfs_pgrb2_f96 == complete and ./jgfs_wafs_f90 == complete + endtask + task jgfs_wafs_f102 + trigger ../../prdgen/jgfs_pgrb2_f102 == complete and ./jgfs_wafs_f96 == complete + endtask + task jgfs_wafs_f108 + trigger ../../prdgen/jgfs_pgrb2_f108 == complete and ./jgfs_wafs_f102 == complete + endtask + task jgfs_wafs_f114 + trigger ../../prdgen/jgfs_pgrb2_f114 == complete and ./jgfs_wafs_f108 == complete + endtask + task jgfs_wafs_f120 + trigger ../../prdgen/jgfs_pgrb2_f120 == complete and ./jgfs_wafs_f114 == complete + endtask + endfamily + family bufr_sounding + task jgfs_postsnd + trigger ../../post/jgfs_post_manager:release_post00 + endtask + endfamily + family bulletins + task jgfs_fbwind + trigger ../../post/jgfs_post_f06 == complete and ../../post/jgfs_post_f12 == complete and ../../post/jgfs_post_f24 == complete + endtask + task jgfs_cyclone_tracker + trigger ../../post/jgfs_post_f00 == complete and ../../post/jgfs_post_f06 == complete and ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f06 == complete + endtask + endfamily + family grib2_wafs + task jgfs_wafs_grib2 + trigger ../../prdgen/jgfs_pgrb2_f00 == complete + endtask + task jgfs_wafs_blending + trigger ./jgfs_wafs_grib2 == complete + endtask + endfamily + family grib_awips + task jgfs_awips_f00 + trigger ../../prdgen/jgfs_pgrb2_f00 == complete + endtask + task jgfs_awips_f06 + trigger ../../prdgen/jgfs_pgrb2_f06 == complete + endtask + task jgfs_awips_f240 + trigger ../../prdgen/jgfs_pgrb2_f240 == complete + endtask + endfamily + family awips_1p0deg + task jgfs_awips_f000 + trigger ../../prdgen/jgfs_pgrb2_f00 == complete + endtask + task jgfs_awips_f006 + trigger ../../prdgen/jgfs_pgrb2_f06 == complete + endtask + task jgfs_awips_f240 + trigger ../../prdgen/jgfs_pgrb2_f240 == complete + endtask + endfamily + family awips_20km + task jgfs_awips_f000 + trigger ../../prdgen/jgfs_pgrb2_f00 == complete + endtask + task jgfs_awips_f003 + trigger ../../prdgen/jgfs_pgrb2_f03 == complete + endtask + task jgfs_awips_f240 + trigger ../../prdgen/jgfs_pgrb2_f240 == complete + endtask + endfamily + endfamily + family post + task jgfs_post_anl + trigger ./jgfs_post_manager:release_postanl + event 1 release_pgrb2_anl + endtask + task jgfs_post_f00 + trigger ./jgfs_post_manager:release_post00 + endtask + task jgfs_post_f01 + trigger ./jgfs_post_manager:release_post01 + endtask + task jgfs_post_f384 + trigger ./jgfs_post_manager:release_post384 + endtask + task jgfs_pgrb2_spec_post + trigger ./jgfs_post_f336 == complete and ./jgfs_post_f384 == complete + endtask + task jgfs_post_manager + trigger ../jgfs_analysis == complete and ../forecast == complete + event 1 release_postanl + event 2 release_post00 + event 3 release_post01 + event 4 release_post02 + event 5 release_post03 + event 6 release_post04 + event 7 release_post05 + event 8 release_post06 + event 9 release_post07 + event 10 release_post08 + event 11 release_post09 + event 12 release_post10 + event 13 release_post11 + event 14 release_post12 + event 15 release_post13 + event 16 release_post14 + event 17 release_post15 + event 18 release_post16 + event 19 release_post17 + event 20 release_post18 + event 21 release_post19 + event 22 release_post20 + event 23 release_post21 + event 24 release_post22 + event 25 release_post23 + event 26 release_post24 + event 27 release_post25 + event 28 release_post26 + event 29 release_post27 + event 30 release_post28 + event 31 release_post29 + event 32 release_post30 + event 33 release_post31 + event 34 release_post32 + event 35 release_post33 + event 36 release_post34 + event 37 release_post35 + event 38 release_post36 + event 39 release_post37 + event 40 release_post38 + event 41 release_post39 + event 42 release_post40 + event 43 release_post41 + event 44 release_post42 + event 45 release_post43 + event 46 release_post44 + event 47 release_post45 + event 48 release_post46 + event 49 release_post47 + event 50 release_post48 + event 51 release_post49 + event 52 release_post50 + event 53 release_post51 + event 54 release_post52 + event 55 release_post53 + event 56 release_post54 + event 57 release_post55 + event 58 release_post56 + event 59 release_post57 + event 60 release_post58 + event 61 release_post59 + event 62 release_post60 + event 63 release_post61 + event 64 release_post62 + event 65 release_post63 + event 66 release_post64 + event 67 release_post65 + event 68 release_post66 + event 69 release_post67 + event 70 release_post68 + event 71 release_post69 + event 72 release_post70 + event 73 release_post71 + event 74 release_post72 + event 75 release_post73 + event 76 release_post74 + event 77 release_post75 + event 78 release_post76 + event 79 release_post77 + event 80 release_post78 + event 81 release_post79 + event 82 release_post80 + event 83 release_post81 + event 84 release_post82 + event 85 release_post83 + event 86 release_post84 + event 87 release_post85 + event 88 release_post86 + event 89 release_post87 + event 90 release_post88 + event 91 release_post89 + event 92 release_post90 + event 93 release_post91 + event 94 release_post92 + event 95 release_post93 + event 96 release_post94 + event 97 release_post95 + event 98 release_post96 + event 99 release_post97 + event 100 release_post98 + event 101 release_post99 + event 102 release_post100 + event 103 release_post101 + event 104 release_post102 + event 105 release_post103 + event 106 release_post104 + event 107 release_post105 + event 108 release_post106 + event 109 release_post107 + event 110 release_post108 + event 111 release_post109 + event 112 release_post110 + event 113 release_post111 + event 114 release_post112 + event 115 release_post113 + event 116 release_post114 + event 117 release_post115 + event 118 release_post116 + event 119 release_post117 + event 120 release_post118 + event 121 release_post119 + event 122 release_post120 + event 123 release_post123 + event 124 release_post126 + event 125 release_post129 + event 126 release_post132 + event 127 release_post135 + event 128 release_post138 + event 129 release_post141 + event 130 release_post144 + event 131 release_post147 + event 132 release_post150 + event 133 release_post153 + event 134 release_post156 + event 135 release_post159 + event 136 release_post162 + event 137 release_post165 + event 138 release_post168 + event 139 release_post171 + event 140 release_post174 + event 141 release_post177 + event 142 release_post180 + event 143 release_post183 + event 144 release_post186 + event 145 release_post189 + event 146 release_post192 + event 147 release_post195 + event 148 release_post198 + event 149 release_post201 + event 150 release_post204 + event 151 release_post207 + event 152 release_post210 + event 153 release_post213 + event 154 release_post216 + event 155 release_post219 + event 156 release_post222 + event 157 release_post225 + event 158 release_post228 + event 159 release_post231 + event 160 release_post234 + event 161 release_post237 + event 162 release_post240 + event 163 release_post252 + event 164 release_post264 + event 165 release_post276 + event 166 release_post288 + event 167 release_post300 + event 168 release_post312 + event 169 release_post324 + event 170 release_post336 + event 171 release_post348 + event 172 release_post360 + event 173 release_post372 + event 174 release_post384 + endtask + task jgfs_post_f02 + trigger ./jgfs_post_manager:release_post02 + endtask + task jgfs_post_f03 + trigger ./jgfs_post_manager:release_post03 + endtask + task jgfs_post_f04 + trigger ./jgfs_post_manager:release_post04 + endtask + task jgfs_post_f05 + trigger ./jgfs_post_manager:release_post05 + endtask + task jgfs_post_f06 + trigger ./jgfs_post_manager:release_post06 + endtask + task jgfs_post_f07 + trigger ./jgfs_post_manager:release_post07 + endtask + task jgfs_post_f08 + trigger ./jgfs_post_manager:release_post08 + endtask + task jgfs_post_f09 + trigger ./jgfs_post_manager:release_post09 + endtask + task jgfs_post_f10 + trigger ./jgfs_post_manager:release_post10 + endtask + task jgfs_post_f11 + trigger ./jgfs_post_manager:release_post11 + endtask + task jgfs_post_f12 + trigger ./jgfs_post_manager:release_post12 + endtask + task jgfs_post_f13 + trigger ./jgfs_post_manager:release_post13 + endtask + task jgfs_post_f14 + trigger ./jgfs_post_manager:release_post14 + endtask + task jgfs_post_f15 + trigger ./jgfs_post_manager:release_post15 + endtask + task jgfs_post_f16 + trigger ./jgfs_post_manager:release_post16 + endtask + task jgfs_post_f17 + trigger ./jgfs_post_manager:release_post17 + endtask + task jgfs_post_f18 + trigger ./jgfs_post_manager:release_post18 + endtask + task jgfs_post_f19 + trigger ./jgfs_post_manager:release_post19 + endtask + task jgfs_post_f20 + trigger ./jgfs_post_manager:release_post20 + endtask + task jgfs_post_f21 + trigger ./jgfs_post_manager:release_post21 + endtask + task jgfs_post_f22 + trigger ./jgfs_post_manager:release_post22 + endtask + task jgfs_post_f23 + trigger ./jgfs_post_manager:release_post23 + endtask + task jgfs_post_f24 + trigger ./jgfs_post_manager:release_post24 + endtask + task jgfs_post_f25 + trigger ./jgfs_post_manager:release_post25 + endtask + task jgfs_post_f26 + trigger ./jgfs_post_manager:release_post26 + endtask + task jgfs_post_f27 + trigger ./jgfs_post_manager:release_post27 + endtask + task jgfs_post_f28 + trigger ./jgfs_post_manager:release_post28 + endtask + task jgfs_post_f29 + trigger ./jgfs_post_manager:release_post29 + endtask + task jgfs_post_f30 + trigger ./jgfs_post_manager:release_post30 + endtask + task jgfs_post_f31 + trigger ./jgfs_post_manager:release_post31 + endtask + task jgfs_post_f32 + trigger ./jgfs_post_manager:release_post32 + endtask + task jgfs_post_f33 + trigger ./jgfs_post_manager:release_post33 + endtask + task jgfs_post_f34 + trigger ./jgfs_post_manager:release_post34 + endtask + task jgfs_post_f35 + trigger ./jgfs_post_manager:release_post35 + endtask + task jgfs_post_f36 + trigger ./jgfs_post_manager:release_post36 + endtask + task jgfs_post_f37 + trigger ./jgfs_post_manager:release_post37 + endtask + task jgfs_post_f38 + trigger ./jgfs_post_manager:release_post38 + endtask + task jgfs_post_f39 + trigger ./jgfs_post_manager:release_post39 + endtask + task jgfs_post_f40 + trigger ./jgfs_post_manager:release_post40 + endtask + task jgfs_post_f41 + trigger ./jgfs_post_manager:release_post41 + endtask + task jgfs_post_f42 + trigger ./jgfs_post_manager:release_post42 + endtask + task jgfs_post_f43 + trigger ./jgfs_post_manager:release_post43 + endtask + task jgfs_post_f44 + trigger ./jgfs_post_manager:release_post44 + endtask + task jgfs_post_f45 + trigger ./jgfs_post_manager:release_post45 + endtask + task jgfs_post_f46 + trigger ./jgfs_post_manager:release_post46 + endtask + task jgfs_post_f47 + trigger ./jgfs_post_manager:release_post47 + endtask + task jgfs_post_f48 + trigger ./jgfs_post_manager:release_post48 + endtask + task jgfs_post_f49 + trigger ./jgfs_post_manager:release_post49 + endtask + task jgfs_post_f50 + trigger ./jgfs_post_manager:release_post50 + endtask + task jgfs_post_f51 + trigger ./jgfs_post_manager:release_post51 + endtask + task jgfs_post_f52 + trigger ./jgfs_post_manager:release_post52 + endtask + task jgfs_post_f53 + trigger ./jgfs_post_manager:release_post53 + endtask + task jgfs_post_f54 + trigger ./jgfs_post_manager:release_post54 + endtask + task jgfs_post_f55 + trigger ./jgfs_post_manager:release_post55 + endtask + task jgfs_post_f56 + trigger ./jgfs_post_manager:release_post56 + endtask + task jgfs_post_f57 + trigger ./jgfs_post_manager:release_post57 + endtask + task jgfs_post_f58 + trigger ./jgfs_post_manager:release_post58 + endtask + task jgfs_post_f59 + trigger ./jgfs_post_manager:release_post59 + endtask + task jgfs_post_f60 + trigger ./jgfs_post_manager:release_post60 + endtask + task jgfs_post_f61 + trigger ./jgfs_post_manager:release_post61 + endtask + task jgfs_post_f62 + trigger ./jgfs_post_manager:release_post62 + endtask + task jgfs_post_f63 + trigger ./jgfs_post_manager:release_post63 + endtask + task jgfs_post_f64 + trigger ./jgfs_post_manager:release_post64 + endtask + task jgfs_post_f65 + trigger ./jgfs_post_manager:release_post65 + endtask + task jgfs_post_f66 + trigger ./jgfs_post_manager:release_post66 + endtask + task jgfs_post_f67 + trigger ./jgfs_post_manager:release_post67 + endtask + task jgfs_post_f68 + trigger ./jgfs_post_manager:release_post68 + endtask + task jgfs_post_f69 + trigger ./jgfs_post_manager:release_post69 + endtask + task jgfs_post_f70 + trigger ./jgfs_post_manager:release_post70 + endtask + task jgfs_post_f71 + trigger ./jgfs_post_manager:release_post71 + endtask + task jgfs_post_f72 + trigger ./jgfs_post_manager:release_post72 + endtask + task jgfs_post_f73 + trigger ./jgfs_post_manager:release_post73 + endtask + task jgfs_post_f74 + trigger ./jgfs_post_manager:release_post74 + endtask + task jgfs_post_f75 + trigger ./jgfs_post_manager:release_post75 + endtask + task jgfs_post_f76 + trigger ./jgfs_post_manager:release_post76 + endtask + task jgfs_post_f77 + trigger ./jgfs_post_manager:release_post77 + endtask + task jgfs_post_f78 + trigger ./jgfs_post_manager:release_post78 + endtask + task jgfs_post_f79 + trigger ./jgfs_post_manager:release_post79 + endtask + task jgfs_post_f80 + trigger ./jgfs_post_manager:release_post80 + endtask + task jgfs_post_f81 + trigger ./jgfs_post_manager:release_post81 + endtask + task jgfs_post_f82 + trigger ./jgfs_post_manager:release_post82 + endtask + task jgfs_post_f83 + trigger ./jgfs_post_manager:release_post83 + endtask + task jgfs_post_f84 + trigger ./jgfs_post_manager:release_post84 + endtask + task jgfs_post_f85 + trigger ./jgfs_post_manager:release_post85 + endtask + task jgfs_post_f86 + trigger ./jgfs_post_manager:release_post86 + endtask + task jgfs_post_f87 + trigger ./jgfs_post_manager:release_post87 + endtask + task jgfs_post_f88 + trigger ./jgfs_post_manager:release_post88 + endtask + task jgfs_post_f89 + trigger ./jgfs_post_manager:release_post89 + endtask + task jgfs_post_f90 + trigger ./jgfs_post_manager:release_post90 + endtask + task jgfs_post_f91 + trigger ./jgfs_post_manager:release_post91 + endtask + task jgfs_post_f92 + trigger ./jgfs_post_manager:release_post92 + endtask + task jgfs_post_f93 + trigger ./jgfs_post_manager:release_post93 + endtask + task jgfs_post_f94 + trigger ./jgfs_post_manager:release_post94 + endtask + task jgfs_post_f95 + trigger ./jgfs_post_manager:release_post95 + endtask + task jgfs_post_f96 + trigger ./jgfs_post_manager:release_post96 + endtask + task jgfs_post_f97 + trigger ./jgfs_post_manager:release_post97 + endtask + task jgfs_post_f98 + trigger ./jgfs_post_manager:release_post98 + endtask + task jgfs_post_f99 + trigger ./jgfs_post_manager:release_post99 + endtask + task jgfs_post_f100 + trigger ./jgfs_post_manager:release_post100 + endtask + task jgfs_post_f101 + trigger ./jgfs_post_manager:release_post101 + endtask + task jgfs_post_f102 + trigger ./jgfs_post_manager:release_post102 + endtask + task jgfs_post_f103 + trigger ./jgfs_post_manager:release_post103 + endtask + task jgfs_post_f104 + trigger ./jgfs_post_manager:release_post104 + endtask + task jgfs_post_f105 + trigger ./jgfs_post_manager:release_post105 + endtask + task jgfs_post_f106 + trigger ./jgfs_post_manager:release_post106 + endtask + task jgfs_post_f107 + trigger ./jgfs_post_manager:release_post107 + endtask + task jgfs_post_f108 + trigger ./jgfs_post_manager:release_post108 + endtask + task jgfs_post_f109 + trigger ./jgfs_post_manager:release_post109 + endtask + task jgfs_post_f110 + trigger ./jgfs_post_manager:release_post110 + endtask + task jgfs_post_f111 + trigger ./jgfs_post_manager:release_post111 + endtask + task jgfs_post_f112 + trigger ./jgfs_post_manager:release_post112 + endtask + task jgfs_post_f113 + trigger ./jgfs_post_manager:release_post113 + endtask + task jgfs_post_f114 + trigger ./jgfs_post_manager:release_post114 + endtask + task jgfs_post_f115 + trigger ./jgfs_post_manager:release_post115 + endtask + task jgfs_post_f116 + trigger ./jgfs_post_manager:release_post116 + endtask + task jgfs_post_f117 + trigger ./jgfs_post_manager:release_post117 + endtask + task jgfs_post_f118 + trigger ./jgfs_post_manager:release_post118 + endtask + task jgfs_post_f119 + trigger ./jgfs_post_manager:release_post119 + endtask + task jgfs_post_f120 + trigger ./jgfs_post_manager:release_post120 + endtask + task jgfs_post_f123 + trigger ./jgfs_post_manager:release_post123 + endtask + task jgfs_post_f126 + trigger ./jgfs_post_manager:release_post126 + endtask + task jgfs_post_f129 + trigger ./jgfs_post_manager:release_post129 + endtask + task jgfs_post_f132 + trigger ./jgfs_post_manager:release_post132 + endtask + task jgfs_post_f135 + trigger ./jgfs_post_manager:release_post135 + endtask + task jgfs_post_f138 + trigger ./jgfs_post_manager:release_post138 + endtask + task jgfs_post_f141 + trigger ./jgfs_post_manager:release_post141 + endtask + task jgfs_post_f144 + trigger ./jgfs_post_manager:release_post144 + endtask + task jgfs_post_f147 + trigger ./jgfs_post_manager:release_post147 + endtask + task jgfs_post_f150 + trigger ./jgfs_post_manager:release_post150 + endtask + task jgfs_post_f153 + trigger ./jgfs_post_manager:release_post153 + endtask + task jgfs_post_f156 + trigger ./jgfs_post_manager:release_post156 + endtask + task jgfs_post_f159 + trigger ./jgfs_post_manager:release_post159 + endtask + task jgfs_post_f162 + trigger ./jgfs_post_manager:release_post162 + endtask + task jgfs_post_f165 + trigger ./jgfs_post_manager:release_post165 + endtask + task jgfs_post_f168 + trigger ./jgfs_post_manager:release_post168 + endtask + task jgfs_post_f171 + trigger ./jgfs_post_manager:release_post171 + endtask + task jgfs_post_f174 + trigger ./jgfs_post_manager:release_post174 + endtask + task jgfs_post_f177 + trigger ./jgfs_post_manager:release_post177 + endtask + task jgfs_post_f180 + trigger ./jgfs_post_manager:release_post180 + endtask + task jgfs_post_f183 + trigger ./jgfs_post_manager:release_post183 + endtask + task jgfs_post_f186 + trigger ./jgfs_post_manager:release_post186 + endtask + task jgfs_post_f189 + trigger ./jgfs_post_manager:release_post189 + endtask + task jgfs_post_f192 + trigger ./jgfs_post_manager:release_post192 + endtask + task jgfs_post_f195 + trigger ./jgfs_post_manager:release_post195 + endtask + task jgfs_post_f198 + trigger ./jgfs_post_manager:release_post198 + endtask + task jgfs_post_f201 + trigger ./jgfs_post_manager:release_post201 + endtask + task jgfs_post_f204 + trigger ./jgfs_post_manager:release_post204 + endtask + task jgfs_post_f207 + trigger ./jgfs_post_manager:release_post207 + endtask + task jgfs_post_f210 + trigger ./jgfs_post_manager:release_post210 + endtask + task jgfs_post_f213 + trigger ./jgfs_post_manager:release_post213 + endtask + task jgfs_post_f216 + trigger ./jgfs_post_manager:release_post216 + endtask + task jgfs_post_f219 + trigger ./jgfs_post_manager:release_post219 + endtask + task jgfs_post_f222 + trigger ./jgfs_post_manager:release_post222 + endtask + task jgfs_post_f225 + trigger ./jgfs_post_manager:release_post225 + endtask + task jgfs_post_f228 + trigger ./jgfs_post_manager:release_post228 + endtask + task jgfs_post_f231 + trigger ./jgfs_post_manager:release_post231 + endtask + task jgfs_post_f234 + trigger ./jgfs_post_manager:release_post234 + endtask + task jgfs_post_f237 + trigger ./jgfs_post_manager:release_post237 + endtask + task jgfs_post_f240 + trigger ./jgfs_post_manager:release_post240 + endtask + task jgfs_post_f252 + trigger ./jgfs_post_manager:release_post252 + endtask + task jgfs_post_f264 + trigger ./jgfs_post_manager:release_post264 + endtask + task jgfs_post_f276 + trigger ./jgfs_post_manager:release_post276 + endtask + task jgfs_post_f288 + trigger ./jgfs_post_manager:release_post288 + endtask + task jgfs_post_f300 + trigger ./jgfs_post_manager:release_post300 + endtask + task jgfs_post_f312 + trigger ./jgfs_post_manager:release_post312 + endtask + task jgfs_post_f324 + trigger ./jgfs_post_manager:release_post324 + endtask + task jgfs_post_f336 + trigger ./jgfs_post_manager:release_post336 + endtask + task jgfs_post_f348 + trigger ./jgfs_post_manager:release_post348 + endtask + task jgfs_post_f360 + trigger ./jgfs_post_manager:release_post360 + endtask + task jgfs_post_f372 + trigger ./jgfs_post_manager:release_post372 + endtask + endfamily + family prdgen + task jgfs_pgrb2_manager + trigger ../post == complete + event 1 release_pgrb2_00 + event 2 release_post384 + endtask + task jgfs_pgrb2_anl + endtask + task jgfs_pgrb2_f00 + endtask + task jgfs_pgrb2_f01 + endtask + task jgfs_pgrb2_f02 + endtask + task jgfs_pgrb2_f03 + endtask + task jgfs_pgrb2_f04 + endtask + task jgfs_pgrb2_f05 + endtask + task jgfs_pgrb2_f06 + endtask + task jgfs_pgrb2_f07 + endtask + task jgfs_pgrb2_f08 + endtask + task jgfs_pgrb2_f09 + endtask + task jgfs_pgrb2_f10 + endtask + task jgfs_pgrb2_f11 + endtask + task jgfs_pgrb2_f12 + endtask + task jgfs_pgrb2_f13 + endtask + task jgfs_pgrb2_f14 + endtask + task jgfs_pgrb2_f15 + endtask + task jgfs_pgrb2_f16 + endtask + task jgfs_pgrb2_f17 + endtask + task jgfs_pgrb2_f18 + endtask + task jgfs_pgrb2_f19 + endtask + task jgfs_pgrb2_f20 + endtask + task jgfs_pgrb2_f21 + endtask + task jgfs_pgrb2_f22 + endtask + task jgfs_pgrb2_f23 + endtask + task jgfs_pgrb2_f24 + endtask + task jgfs_pgrb2_f25 + endtask + task jgfs_pgrb2_f26 + endtask + task jgfs_pgrb2_f27 + endtask + task jgfs_pgrb2_f28 + endtask + task jgfs_pgrb2_f29 + endtask + task jgfs_pgrb2_f30 + endtask + task jgfs_pgrb2_f31 + endtask + task jgfs_pgrb2_f32 + endtask + task jgfs_pgrb2_f33 + endtask + task jgfs_pgrb2_f34 + endtask + task jgfs_pgrb2_f35 + endtask + task jgfs_pgrb2_f36 + endtask + task jgfs_pgrb2_f37 + endtask + task jgfs_pgrb2_f38 + endtask + task jgfs_pgrb2_f39 + endtask + task jgfs_pgrb2_f40 + endtask + task jgfs_pgrb2_f41 + endtask + task jgfs_pgrb2_f42 + endtask + task jgfs_pgrb2_f43 + endtask + task jgfs_pgrb2_f44 + endtask + task jgfs_pgrb2_f45 + endtask + task jgfs_pgrb2_f46 + endtask + task jgfs_pgrb2_f47 + endtask + task jgfs_pgrb2_f48 + endtask + task jgfs_pgrb2_f49 + endtask + task jgfs_pgrb2_f50 + endtask + task jgfs_pgrb2_f51 + endtask + task jgfs_pgrb2_f52 + endtask + task jgfs_pgrb2_f53 + endtask + task jgfs_pgrb2_f54 + endtask + task jgfs_pgrb2_f55 + endtask + task jgfs_pgrb2_f56 + endtask + task jgfs_pgrb2_f57 + endtask + task jgfs_pgrb2_f58 + endtask + task jgfs_pgrb2_f59 + endtask + task jgfs_pgrb2_f60 + endtask + task jgfs_pgrb2_f61 + endtask + task jgfs_pgrb2_f62 + endtask + task jgfs_pgrb2_f63 + endtask + task jgfs_pgrb2_f64 + endtask + task jgfs_pgrb2_f65 + endtask + task jgfs_pgrb2_f66 + endtask + task jgfs_pgrb2_f67 + endtask + task jgfs_pgrb2_f68 + endtask + task jgfs_pgrb2_f69 + endtask + task jgfs_pgrb2_f70 + endtask + task jgfs_pgrb2_f71 + endtask + task jgfs_pgrb2_f72 + endtask + task jgfs_pgrb2_f73 + endtask + task jgfs_pgrb2_f74 + endtask + task jgfs_pgrb2_f75 + endtask + task jgfs_pgrb2_f76 + endtask + task jgfs_pgrb2_f77 + endtask + task jgfs_pgrb2_f78 + endtask + task jgfs_pgrb2_f79 + endtask + task jgfs_pgrb2_f80 + endtask + task jgfs_pgrb2_f81 + endtask + task jgfs_pgrb2_f82 + endtask + task jgfs_pgrb2_f83 + endtask + task jgfs_pgrb2_f84 + endtask + task jgfs_pgrb2_f85 + endtask + task jgfs_pgrb2_f86 + endtask + task jgfs_pgrb2_f87 + endtask + task jgfs_pgrb2_f88 + endtask + task jgfs_pgrb2_f89 + endtask + task jgfs_pgrb2_f90 + endtask + task jgfs_pgrb2_f91 + endtask + task jgfs_pgrb2_f92 + endtask + task jgfs_pgrb2_f93 + endtask + task jgfs_pgrb2_f94 + endtask + task jgfs_pgrb2_f95 + endtask + task jgfs_pgrb2_f96 + endtask + task jgfs_pgrb2_f97 + endtask + task jgfs_pgrb2_f98 + endtask + task jgfs_pgrb2_f99 + endtask + task jgfs_pgrb2_f100 + endtask + task jgfs_pgrb2_f101 + endtask + task jgfs_pgrb2_f102 + endtask + task jgfs_pgrb2_f103 + endtask + task jgfs_pgrb2_f104 + endtask + task jgfs_pgrb2_f105 + endtask + task jgfs_pgrb2_f106 + endtask + task jgfs_pgrb2_f107 + endtask + task jgfs_pgrb2_f108 + endtask + task jgfs_pgrb2_f109 + endtask + task jgfs_pgrb2_f110 + endtask + task jgfs_pgrb2_f111 + endtask + task jgfs_pgrb2_f112 + endtask + task jgfs_pgrb2_f113 + endtask + task jgfs_pgrb2_f114 + endtask + task jgfs_pgrb2_f115 + endtask + task jgfs_pgrb2_f116 + endtask + task jgfs_pgrb2_f117 + endtask + task jgfs_pgrb2_f118 + endtask + task jgfs_pgrb2_f119 + endtask + task jgfs_pgrb2_f120 + endtask + task jgfs_pgrb2_f123 + endtask + task jgfs_pgrb2_f126 + endtask + task jgfs_pgrb2_f129 + endtask + task jgfs_pgrb2_f132 + endtask + task jgfs_pgrb2_f135 + endtask + task jgfs_pgrb2_f138 + endtask + task jgfs_pgrb2_f141 + endtask + task jgfs_pgrb2_f144 + endtask + task jgfs_pgrb2_f147 + endtask + task jgfs_pgrb2_f150 + endtask + task jgfs_pgrb2_f153 + endtask + task jgfs_pgrb2_f156 + endtask + task jgfs_pgrb2_f159 + endtask + task jgfs_pgrb2_f162 + endtask + task jgfs_pgrb2_f165 + endtask + task jgfs_pgrb2_f168 + endtask + task jgfs_pgrb2_f171 + endtask + task jgfs_pgrb2_f174 + endtask + task jgfs_pgrb2_f177 + endtask + task jgfs_pgrb2_f180 + endtask + task jgfs_pgrb2_f183 + endtask + task jgfs_pgrb2_f186 + endtask + task jgfs_pgrb2_f189 + endtask + task jgfs_pgrb2_f192 + endtask + task jgfs_pgrb2_f195 + endtask + task jgfs_pgrb2_f198 + endtask + task jgfs_pgrb2_f201 + endtask + task jgfs_pgrb2_f204 + endtask + task jgfs_pgrb2_f207 + endtask + task jgfs_pgrb2_f210 + endtask + task jgfs_pgrb2_f213 + endtask + task jgfs_pgrb2_f216 + endtask + task jgfs_pgrb2_f219 + endtask + task jgfs_pgrb2_f222 + endtask + task jgfs_pgrb2_f225 + endtask + task jgfs_pgrb2_f228 + endtask + task jgfs_pgrb2_f231 + endtask + task jgfs_pgrb2_f234 + endtask + task jgfs_pgrb2_f237 + endtask + task jgfs_pgrb2_f240 + endtask + task jgfs_pgrb2_f252 + endtask + task jgfs_pgrb2_f264 + endtask + task jgfs_pgrb2_f276 + endtask + task jgfs_pgrb2_f288 + endtask + task jgfs_pgrb2_f300 + endtask + task jgfs_pgrb2_f312 + endtask + task jgfs_pgrb2_f324 + endtask + task jgfs_pgrb2_f336 + endtask + task jgfs_pgrb2_f348 + endtask + task jgfs_pgrb2_f360 + endtask + task jgfs_pgrb2_f372 + endtask + task jgfs_pgrb2_f384 + endtask + endfamily + family gempak + task jgfs_gempak_upapgif + trigger ../dump/jgfs_dump == complete + endtask + task jgfs_gempak_ncdc + trigger ./jgfs_gempak == active or ./jgfs_gempak == complete + endtask + task jgfs_gempak + trigger ../jgfs_analysis == complete + endtask + task jgfs_gempak_meta + trigger ../jgfs_analysis == complete + endtask + task jgfs_pgrb2_spec_gempak + trigger ../post/jgfs_pgrb2_spec_post == complete + endtask + endfamily + endfamily family gdas task jgdas_verfrad trigger ./enkf == complete endtask task jgdas_vminmon - trigger ./jgdas_analysis == complete + trigger ./analysis/jgdas_analysis_high == complete endtask family dump task jgdas_ics - event 1 release_gdas00_ics + event 1 release_gdas00_ics endtask task jgdas_tropcy_qc_reloc trigger ./jgdas_dump == complete endtask task jgdas_dump - event 1 release_sfcprep + event 1 release_sfcprep endtask endfamily family prep @@ -46,7 +1308,42 @@ suite prod12 trigger ../dump/jgdas_dump == complete and ../dump/jgdas_tropcy_qc_reloc == complete endtask task jgdas_prep_post - trigger ../jgdas_analysis == complete + trigger ../analysis/jgdas_analysis_high == complete + endtask + endfamily + family analysis + task jgdas_analysis_high + trigger ../prep/jgdas_prep == complete and ../prep/jgdas_emcsfc_sfc_prep == complete + event 1 release_fcst + endtask + endfamily + family forecast + task jgdas_forecast + trigger ../analysis/jgdas_analysis_high:release_fcst and ../enkf/innovate == complete + event 1 release_fcst + endtask + endfamily + family post_processing + family bulletins + task jgdas_mknavybulls + trigger ../../dump/jgdas_dump == complete + endtask + endfamily + endfamily + family gempak + task jgdas_gempak + trigger ../forecast/jgdas_forecast == complete + endtask + task jgdas_gempak_meta + trigger ./jgdas_gempak == complete + endtask + task jgdas_gempak_ncdc + trigger ./jgdas_gempak == complete + endtask + endfamily + family post + task jgdas_post + trigger ../forecast/jgdas_forecast == complete endtask endfamily family enkf @@ -55,129 +1352,53 @@ suite prod12 endtask family innovate trigger ./jgdas_enkf_select_obs == complete - task grp1 + task jgdas_enkf_innovate_obs_grp1 endtask - task grp2 + task jgdas_enkf_innovate_obs_grp2 endtask - task grp3 + task jgdas_enkf_innovate_obs_grp3 endtask - task grp4 + task jgdas_enkf_innovate_obs_grp4 endtask - task grp5 + task jgdas_enkf_innovate_obs_grp5 endtask - task grp6 + task jgdas_enkf_innovate_obs_grp6 endtask - task grp7 + task jgdas_enkf_innovate_obs_grp7 endtask - task grp8 + task jgdas_enkf_innovate_obs_grp8 endtask endfamily task jgdas_enkf_update trigger ./innovate == complete endtask task jgdas_enkf_inflate_recenter - trigger ./jgdas_enkf_update == complete and ../jgdas_analysis == complete + trigger ./jgdas_enkf_update == complete and ../analysis/jgdas_analysis_high == complete endtask family forecast trigger ./jgdas_enkf_inflate_recenter == complete - task grp1 + task jgdas_enkf_fcst_grp1 endtask - task grp2 + task jgdas_enkf_fcst_grp2 endtask - task grp3 + task jgdas_enkf_fcst_grp3 endtask - task grp4 + task jgdas_enkf_fcst_grp4 endtask - task grp5 + task jgdas_enkf_fcst_grp5 endtask - task grp6 + task jgdas_enkf_fcst_grp6 endtask - task grp7 + task jgdas_enkf_fcst_grp7 endtask - task grp8 + task jgdas_enkf_fcst_grp8 endtask endfamily task jgdas_enkf_post trigger ./forecast == complete endtask endfamily - task jgdas_analysis - trigger ./prep/jgdas_prep == complete and ./prep/jgdas_emcsfc_sfc_prep == complete and /prod06/gdas/enkf/jgdas_enkf_post == complete - event 1 release_fcst - endtask - task jgdas_forecast - trigger ./jgdas_analysis:release_fcst and ./enkf/innovate == complete - event 1 release_fcst - endtask - task post - trigger ./jgdas_forecast == complete - endtask - task vrfy - trigger ./post == complete - endtask - endfamily - family gfs - family dump - task jgfs_tropcy_qc_reloc - trigger ./jgfs_dump == complete - event 1 jtwc_bull_email - endtask - task jgfs_dump - event 1 release_sfcprep - endtask - endfamily - family prep - task jgfs_emcsfc_sfc_prep - trigger ../dump/jgfs_dump:release_sfcprep - endtask - task jgfs_prep - trigger ../dump == complete - endtask - task jgfs_prep_post - trigger ../jgfs_analysis == complete - endtask - endfamily - task jgfs_analysis - trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete and /prod06/gdas/enkf/jgdas_enkf_post == complete - endtask - task jgfs_vminmon - trigger ./jgfs_analysis == complete - endtask - task jgfs_forecast - trigger ./jgfs_analysis == complete - endtask - task jgfs_post - trigger ./jgfs_forecast == complete - endtask - task jgfs_vrfy - trigger ./jgfs_post == complete - endtask - endfamily - family archive - task gdasarch - trigger ../gdas/jgdas_verfrad == complete - endtask - task gfsarch - trigger ../gfs/jgfs_vrfy == complete - endtask - family earc - trigger ../gdas/enkf/jgdas_enkf_post == complete - task grp1 - endtask - task grp2 - endtask - task grp3 - endtask - task grp4 - endtask - task grp5 - endtask - task grp6 - endtask - task grp7 - endtask - task grp8 - endtask - endfamily endfamily + task cycle_end + endtask endsuite diff --git a/model/ecflow_fv3gfs/defs/prod18.def b/model/ecflow_fv3gfs/defs/prod18.def index 3593572..5d2932c 100644 --- a/model/ecflow_fv3gfs/defs/prod18.def +++ b/model/ecflow_fv3gfs/defs/prod18.def @@ -20,22 +20,1284 @@ suite prod18 edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' + family gfs + family dump + task jgfs_tropcy_qc_reloc + trigger ./jgfs_dump == complete + event 1 jtwc_bull_email + endtask + task jgfs_dump + event 1 release_sfcprep + endtask + endfamily + family prep + task jgfs_emcsfc_sfc_prep + trigger ../dump/jgfs_dump:release_sfcprep + endtask + task jgfs_prep + trigger ../dump == complete + endtask + task jgfs_prep_post + trigger ../jgfs_analysis == complete + endtask + endfamily + task jgfs_analysis + trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete + endtask + task jgfs_vminmon + trigger ./jgfs_analysis == complete + endtask + family forecast + task jgfs_forecast_high + trigger ../jgfs_analysis == complete + endtask + task jgfs_forecast_low + trigger ./jgfs_forecast_high == complete + endtask + endfamily + family sminit_guam + task jgfs_sminit_guam_even + trigger ../post/jgfs_post_anl == active or ../post/jgfs_post_anl == complete + endtask + task jgfs_sminit_guam_odd + trigger ../post/jgfs_post_anl == active or ../post/jgfs_post_anl == complete + endtask + endfamily + family post_processing + task jgfs_wafs_gcip + trigger ../prdgen/jgfs_pgrb2_f03 == complete + endtask + family fax + task jgfs_fax_f00 + trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_anl == complete + endtask + task jgfs_fax_anl + trigger ../../prdgen/jgfs_pgrb2_anl == complete + endtask + task jgfs_fax_wafs_f12 + trigger ../../prdgen/jgfs_pgrb2_f12 == complete + endtask + task jgfs_fax_wafs_f24 + trigger ../../prdgen/jgfs_pgrb2_f24 == complete + endtask + task jgfs_fax_wafs_f36 + trigger ../../prdgen/jgfs_pgrb2_f36 == complete + endtask + endfamily + family grib_wafs + task jgfs_wafs_f00 + trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f120 == complete and ../grib2_wafs/jgfs_wafs_grib2 == complete + endtask + task jgfs_wafs_f06 + trigger ../../prdgen/jgfs_pgrb2_f06 == complete and ./jgfs_wafs_f00 == complete + endtask + task jgfs_wafs_f12 + trigger ../../prdgen/jgfs_pgrb2_f12 == complete and ./jgfs_wafs_f06 == complete + endtask + task jgfs_wafs_f18 + trigger ../../prdgen/jgfs_pgrb2_f18 == complete and ./jgfs_wafs_f12 == complete + endtask + task jgfs_wafs_f24 + trigger ../../prdgen/jgfs_pgrb2_f24 == complete and ./jgfs_wafs_f18 == complete + endtask + task jgfs_wafs_f30 + trigger ../../prdgen/jgfs_pgrb2_f30 == complete and ./jgfs_wafs_f24 == complete + endtask + task jgfs_wafs_f36 + trigger ../../prdgen/jgfs_pgrb2_f36 == complete and ./jgfs_wafs_f30 == complete + endtask + task jgfs_wafs_f42 + trigger ../../prdgen/jgfs_pgrb2_f42 == complete and ./jgfs_wafs_f36 == complete + endtask + task jgfs_wafs_f48 + trigger ../../prdgen/jgfs_pgrb2_f48 == complete and ./jgfs_wafs_f42 == complete + endtask + task jgfs_wafs_f54 + trigger ../../prdgen/jgfs_pgrb2_f54 == complete and ./jgfs_wafs_f48 == complete + endtask + task jgfs_wafs_f60 + trigger ../../prdgen/jgfs_pgrb2_f60 == complete and ./jgfs_wafs_f54 == complete + endtask + task jgfs_wafs_f66 + trigger ../../prdgen/jgfs_pgrb2_f66 == complete and ./jgfs_wafs_f60 == complete + endtask + task jgfs_wafs_f72 + trigger ../../prdgen/jgfs_pgrb2_f72 == complete and ./jgfs_wafs_f66 == complete + endtask + task jgfs_wafs_f78 + trigger ../../prdgen/jgfs_pgrb2_f78 == complete and ./jgfs_wafs_f72 == complete + endtask + task jgfs_wafs_f84 + trigger ../../prdgen/jgfs_pgrb2_f84 == complete and ./jgfs_wafs_f78 == complete + endtask + task jgfs_wafs_f90 + trigger ../../prdgen/jgfs_pgrb2_f90 == complete and ./jgfs_wafs_f84 == complete + endtask + task jgfs_wafs_f96 + trigger ../../prdgen/jgfs_pgrb2_f96 == complete and ./jgfs_wafs_f90 == complete + endtask + task jgfs_wafs_f102 + trigger ../../prdgen/jgfs_pgrb2_f102 == complete and ./jgfs_wafs_f96 == complete + endtask + task jgfs_wafs_f108 + trigger ../../prdgen/jgfs_pgrb2_f108 == complete and ./jgfs_wafs_f102 == complete + endtask + task jgfs_wafs_f114 + trigger ../../prdgen/jgfs_pgrb2_f114 == complete and ./jgfs_wafs_f108 == complete + endtask + task jgfs_wafs_f120 + trigger ../../prdgen/jgfs_pgrb2_f120 == complete and ./jgfs_wafs_f114 == complete + endtask + endfamily + family bufr_sounding + task jgfs_postsnd + trigger ../../post/jgfs_post_manager:release_post00 + endtask + endfamily + family bulletins + task jgfs_fbwind + trigger ../../post/jgfs_post_f06 == complete and ../../post/jgfs_post_f12 == complete and ../../post/jgfs_post_f24 == complete + endtask + task jgfs_cyclone_tracker + trigger ../../post/jgfs_post_f00 == complete and ../../post/jgfs_post_f06 == complete and ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f06 == complete + endtask + endfamily + family grib2_wafs + task jgfs_wafs_grib2 + trigger ../../prdgen/jgfs_pgrb2_f00 == complete + endtask + task jgfs_wafs_blending + trigger ./jgfs_wafs_grib2 == complete + endtask + endfamily + family grib_awips + task jgfs_awips_f00 + trigger ../../prdgen/jgfs_pgrb2_f00 == complete + endtask + task jgfs_awips_f06 + trigger ../../prdgen/jgfs_pgrb2_f06 == complete + endtask + task jgfs_awips_f240 + trigger ../../prdgen/jgfs_pgrb2_f240 == complete + endtask + endfamily + family awips_1p0deg + task jgfs_awips_f000 + trigger ../../prdgen/jgfs_pgrb2_f00 == complete + endtask + task jgfs_awips_f006 + trigger ../../prdgen/jgfs_pgrb2_f06 == complete + endtask + task jgfs_awips_f240 + trigger ../../prdgen/jgfs_pgrb2_f240 == complete + endtask + endfamily + family awips_20km + task jgfs_awips_f000 + trigger ../../prdgen/jgfs_pgrb2_f00 == complete + endtask + task jgfs_awips_f003 + trigger ../../prdgen/jgfs_pgrb2_f03 == complete + endtask + task jgfs_awips_f240 + trigger ../../prdgen/jgfs_pgrb2_f240 == complete + endtask + endfamily + endfamily + family post + task jgfs_post_anl + trigger ./jgfs_post_manager:release_postanl + event 1 release_pgrb2_anl + endtask + task jgfs_post_f00 + trigger ./jgfs_post_manager:release_post00 + endtask + task jgfs_post_f01 + trigger ./jgfs_post_manager:release_post01 + endtask + task jgfs_post_f384 + trigger ./jgfs_post_manager:release_post384 + endtask + task jgfs_pgrb2_spec_post + trigger ./jgfs_post_f336 == complete and ./jgfs_post_f384 == complete + endtask + task jgfs_post_manager + trigger ../jgfs_analysis == complete and ../forecast == complete + event 1 release_postanl + event 2 release_post00 + event 3 release_post01 + event 4 release_post02 + event 5 release_post03 + event 6 release_post04 + event 7 release_post05 + event 8 release_post06 + event 9 release_post07 + event 10 release_post08 + event 11 release_post09 + event 12 release_post10 + event 13 release_post11 + event 14 release_post12 + event 15 release_post13 + event 16 release_post14 + event 17 release_post15 + event 18 release_post16 + event 19 release_post17 + event 20 release_post18 + event 21 release_post19 + event 22 release_post20 + event 23 release_post21 + event 24 release_post22 + event 25 release_post23 + event 26 release_post24 + event 27 release_post25 + event 28 release_post26 + event 29 release_post27 + event 30 release_post28 + event 31 release_post29 + event 32 release_post30 + event 33 release_post31 + event 34 release_post32 + event 35 release_post33 + event 36 release_post34 + event 37 release_post35 + event 38 release_post36 + event 39 release_post37 + event 40 release_post38 + event 41 release_post39 + event 42 release_post40 + event 43 release_post41 + event 44 release_post42 + event 45 release_post43 + event 46 release_post44 + event 47 release_post45 + event 48 release_post46 + event 49 release_post47 + event 50 release_post48 + event 51 release_post49 + event 52 release_post50 + event 53 release_post51 + event 54 release_post52 + event 55 release_post53 + event 56 release_post54 + event 57 release_post55 + event 58 release_post56 + event 59 release_post57 + event 60 release_post58 + event 61 release_post59 + event 62 release_post60 + event 63 release_post61 + event 64 release_post62 + event 65 release_post63 + event 66 release_post64 + event 67 release_post65 + event 68 release_post66 + event 69 release_post67 + event 70 release_post68 + event 71 release_post69 + event 72 release_post70 + event 73 release_post71 + event 74 release_post72 + event 75 release_post73 + event 76 release_post74 + event 77 release_post75 + event 78 release_post76 + event 79 release_post77 + event 80 release_post78 + event 81 release_post79 + event 82 release_post80 + event 83 release_post81 + event 84 release_post82 + event 85 release_post83 + event 86 release_post84 + event 87 release_post85 + event 88 release_post86 + event 89 release_post87 + event 90 release_post88 + event 91 release_post89 + event 92 release_post90 + event 93 release_post91 + event 94 release_post92 + event 95 release_post93 + event 96 release_post94 + event 97 release_post95 + event 98 release_post96 + event 99 release_post97 + event 100 release_post98 + event 101 release_post99 + event 102 release_post100 + event 103 release_post101 + event 104 release_post102 + event 105 release_post103 + event 106 release_post104 + event 107 release_post105 + event 108 release_post106 + event 109 release_post107 + event 110 release_post108 + event 111 release_post109 + event 112 release_post110 + event 113 release_post111 + event 114 release_post112 + event 115 release_post113 + event 116 release_post114 + event 117 release_post115 + event 118 release_post116 + event 119 release_post117 + event 120 release_post118 + event 121 release_post119 + event 122 release_post120 + event 123 release_post123 + event 124 release_post126 + event 125 release_post129 + event 126 release_post132 + event 127 release_post135 + event 128 release_post138 + event 129 release_post141 + event 130 release_post144 + event 131 release_post147 + event 132 release_post150 + event 133 release_post153 + event 134 release_post156 + event 135 release_post159 + event 136 release_post162 + event 137 release_post165 + event 138 release_post168 + event 139 release_post171 + event 140 release_post174 + event 141 release_post177 + event 142 release_post180 + event 143 release_post183 + event 144 release_post186 + event 145 release_post189 + event 146 release_post192 + event 147 release_post195 + event 148 release_post198 + event 149 release_post201 + event 150 release_post204 + event 151 release_post207 + event 152 release_post210 + event 153 release_post213 + event 154 release_post216 + event 155 release_post219 + event 156 release_post222 + event 157 release_post225 + event 158 release_post228 + event 159 release_post231 + event 160 release_post234 + event 161 release_post237 + event 162 release_post240 + event 163 release_post252 + event 164 release_post264 + event 165 release_post276 + event 166 release_post288 + event 167 release_post300 + event 168 release_post312 + event 169 release_post324 + event 170 release_post336 + event 171 release_post348 + event 172 release_post360 + event 173 release_post372 + event 174 release_post384 + endtask + task jgfs_post_f02 + trigger ./jgfs_post_manager:release_post02 + endtask + task jgfs_post_f03 + trigger ./jgfs_post_manager:release_post03 + endtask + task jgfs_post_f04 + trigger ./jgfs_post_manager:release_post04 + endtask + task jgfs_post_f05 + trigger ./jgfs_post_manager:release_post05 + endtask + task jgfs_post_f06 + trigger ./jgfs_post_manager:release_post06 + endtask + task jgfs_post_f07 + trigger ./jgfs_post_manager:release_post07 + endtask + task jgfs_post_f08 + trigger ./jgfs_post_manager:release_post08 + endtask + task jgfs_post_f09 + trigger ./jgfs_post_manager:release_post09 + endtask + task jgfs_post_f10 + trigger ./jgfs_post_manager:release_post10 + endtask + task jgfs_post_f11 + trigger ./jgfs_post_manager:release_post11 + endtask + task jgfs_post_f12 + trigger ./jgfs_post_manager:release_post12 + endtask + task jgfs_post_f13 + trigger ./jgfs_post_manager:release_post13 + endtask + task jgfs_post_f14 + trigger ./jgfs_post_manager:release_post14 + endtask + task jgfs_post_f15 + trigger ./jgfs_post_manager:release_post15 + endtask + task jgfs_post_f16 + trigger ./jgfs_post_manager:release_post16 + endtask + task jgfs_post_f17 + trigger ./jgfs_post_manager:release_post17 + endtask + task jgfs_post_f18 + trigger ./jgfs_post_manager:release_post18 + endtask + task jgfs_post_f19 + trigger ./jgfs_post_manager:release_post19 + endtask + task jgfs_post_f20 + trigger ./jgfs_post_manager:release_post20 + endtask + task jgfs_post_f21 + trigger ./jgfs_post_manager:release_post21 + endtask + task jgfs_post_f22 + trigger ./jgfs_post_manager:release_post22 + endtask + task jgfs_post_f23 + trigger ./jgfs_post_manager:release_post23 + endtask + task jgfs_post_f24 + trigger ./jgfs_post_manager:release_post24 + endtask + task jgfs_post_f25 + trigger ./jgfs_post_manager:release_post25 + endtask + task jgfs_post_f26 + trigger ./jgfs_post_manager:release_post26 + endtask + task jgfs_post_f27 + trigger ./jgfs_post_manager:release_post27 + endtask + task jgfs_post_f28 + trigger ./jgfs_post_manager:release_post28 + endtask + task jgfs_post_f29 + trigger ./jgfs_post_manager:release_post29 + endtask + task jgfs_post_f30 + trigger ./jgfs_post_manager:release_post30 + endtask + task jgfs_post_f31 + trigger ./jgfs_post_manager:release_post31 + endtask + task jgfs_post_f32 + trigger ./jgfs_post_manager:release_post32 + endtask + task jgfs_post_f33 + trigger ./jgfs_post_manager:release_post33 + endtask + task jgfs_post_f34 + trigger ./jgfs_post_manager:release_post34 + endtask + task jgfs_post_f35 + trigger ./jgfs_post_manager:release_post35 + endtask + task jgfs_post_f36 + trigger ./jgfs_post_manager:release_post36 + endtask + task jgfs_post_f37 + trigger ./jgfs_post_manager:release_post37 + endtask + task jgfs_post_f38 + trigger ./jgfs_post_manager:release_post38 + endtask + task jgfs_post_f39 + trigger ./jgfs_post_manager:release_post39 + endtask + task jgfs_post_f40 + trigger ./jgfs_post_manager:release_post40 + endtask + task jgfs_post_f41 + trigger ./jgfs_post_manager:release_post41 + endtask + task jgfs_post_f42 + trigger ./jgfs_post_manager:release_post42 + endtask + task jgfs_post_f43 + trigger ./jgfs_post_manager:release_post43 + endtask + task jgfs_post_f44 + trigger ./jgfs_post_manager:release_post44 + endtask + task jgfs_post_f45 + trigger ./jgfs_post_manager:release_post45 + endtask + task jgfs_post_f46 + trigger ./jgfs_post_manager:release_post46 + endtask + task jgfs_post_f47 + trigger ./jgfs_post_manager:release_post47 + endtask + task jgfs_post_f48 + trigger ./jgfs_post_manager:release_post48 + endtask + task jgfs_post_f49 + trigger ./jgfs_post_manager:release_post49 + endtask + task jgfs_post_f50 + trigger ./jgfs_post_manager:release_post50 + endtask + task jgfs_post_f51 + trigger ./jgfs_post_manager:release_post51 + endtask + task jgfs_post_f52 + trigger ./jgfs_post_manager:release_post52 + endtask + task jgfs_post_f53 + trigger ./jgfs_post_manager:release_post53 + endtask + task jgfs_post_f54 + trigger ./jgfs_post_manager:release_post54 + endtask + task jgfs_post_f55 + trigger ./jgfs_post_manager:release_post55 + endtask + task jgfs_post_f56 + trigger ./jgfs_post_manager:release_post56 + endtask + task jgfs_post_f57 + trigger ./jgfs_post_manager:release_post57 + endtask + task jgfs_post_f58 + trigger ./jgfs_post_manager:release_post58 + endtask + task jgfs_post_f59 + trigger ./jgfs_post_manager:release_post59 + endtask + task jgfs_post_f60 + trigger ./jgfs_post_manager:release_post60 + endtask + task jgfs_post_f61 + trigger ./jgfs_post_manager:release_post61 + endtask + task jgfs_post_f62 + trigger ./jgfs_post_manager:release_post62 + endtask + task jgfs_post_f63 + trigger ./jgfs_post_manager:release_post63 + endtask + task jgfs_post_f64 + trigger ./jgfs_post_manager:release_post64 + endtask + task jgfs_post_f65 + trigger ./jgfs_post_manager:release_post65 + endtask + task jgfs_post_f66 + trigger ./jgfs_post_manager:release_post66 + endtask + task jgfs_post_f67 + trigger ./jgfs_post_manager:release_post67 + endtask + task jgfs_post_f68 + trigger ./jgfs_post_manager:release_post68 + endtask + task jgfs_post_f69 + trigger ./jgfs_post_manager:release_post69 + endtask + task jgfs_post_f70 + trigger ./jgfs_post_manager:release_post70 + endtask + task jgfs_post_f71 + trigger ./jgfs_post_manager:release_post71 + endtask + task jgfs_post_f72 + trigger ./jgfs_post_manager:release_post72 + endtask + task jgfs_post_f73 + trigger ./jgfs_post_manager:release_post73 + endtask + task jgfs_post_f74 + trigger ./jgfs_post_manager:release_post74 + endtask + task jgfs_post_f75 + trigger ./jgfs_post_manager:release_post75 + endtask + task jgfs_post_f76 + trigger ./jgfs_post_manager:release_post76 + endtask + task jgfs_post_f77 + trigger ./jgfs_post_manager:release_post77 + endtask + task jgfs_post_f78 + trigger ./jgfs_post_manager:release_post78 + endtask + task jgfs_post_f79 + trigger ./jgfs_post_manager:release_post79 + endtask + task jgfs_post_f80 + trigger ./jgfs_post_manager:release_post80 + endtask + task jgfs_post_f81 + trigger ./jgfs_post_manager:release_post81 + endtask + task jgfs_post_f82 + trigger ./jgfs_post_manager:release_post82 + endtask + task jgfs_post_f83 + trigger ./jgfs_post_manager:release_post83 + endtask + task jgfs_post_f84 + trigger ./jgfs_post_manager:release_post84 + endtask + task jgfs_post_f85 + trigger ./jgfs_post_manager:release_post85 + endtask + task jgfs_post_f86 + trigger ./jgfs_post_manager:release_post86 + endtask + task jgfs_post_f87 + trigger ./jgfs_post_manager:release_post87 + endtask + task jgfs_post_f88 + trigger ./jgfs_post_manager:release_post88 + endtask + task jgfs_post_f89 + trigger ./jgfs_post_manager:release_post89 + endtask + task jgfs_post_f90 + trigger ./jgfs_post_manager:release_post90 + endtask + task jgfs_post_f91 + trigger ./jgfs_post_manager:release_post91 + endtask + task jgfs_post_f92 + trigger ./jgfs_post_manager:release_post92 + endtask + task jgfs_post_f93 + trigger ./jgfs_post_manager:release_post93 + endtask + task jgfs_post_f94 + trigger ./jgfs_post_manager:release_post94 + endtask + task jgfs_post_f95 + trigger ./jgfs_post_manager:release_post95 + endtask + task jgfs_post_f96 + trigger ./jgfs_post_manager:release_post96 + endtask + task jgfs_post_f97 + trigger ./jgfs_post_manager:release_post97 + endtask + task jgfs_post_f98 + trigger ./jgfs_post_manager:release_post98 + endtask + task jgfs_post_f99 + trigger ./jgfs_post_manager:release_post99 + endtask + task jgfs_post_f100 + trigger ./jgfs_post_manager:release_post100 + endtask + task jgfs_post_f101 + trigger ./jgfs_post_manager:release_post101 + endtask + task jgfs_post_f102 + trigger ./jgfs_post_manager:release_post102 + endtask + task jgfs_post_f103 + trigger ./jgfs_post_manager:release_post103 + endtask + task jgfs_post_f104 + trigger ./jgfs_post_manager:release_post104 + endtask + task jgfs_post_f105 + trigger ./jgfs_post_manager:release_post105 + endtask + task jgfs_post_f106 + trigger ./jgfs_post_manager:release_post106 + endtask + task jgfs_post_f107 + trigger ./jgfs_post_manager:release_post107 + endtask + task jgfs_post_f108 + trigger ./jgfs_post_manager:release_post108 + endtask + task jgfs_post_f109 + trigger ./jgfs_post_manager:release_post109 + endtask + task jgfs_post_f110 + trigger ./jgfs_post_manager:release_post110 + endtask + task jgfs_post_f111 + trigger ./jgfs_post_manager:release_post111 + endtask + task jgfs_post_f112 + trigger ./jgfs_post_manager:release_post112 + endtask + task jgfs_post_f113 + trigger ./jgfs_post_manager:release_post113 + endtask + task jgfs_post_f114 + trigger ./jgfs_post_manager:release_post114 + endtask + task jgfs_post_f115 + trigger ./jgfs_post_manager:release_post115 + endtask + task jgfs_post_f116 + trigger ./jgfs_post_manager:release_post116 + endtask + task jgfs_post_f117 + trigger ./jgfs_post_manager:release_post117 + endtask + task jgfs_post_f118 + trigger ./jgfs_post_manager:release_post118 + endtask + task jgfs_post_f119 + trigger ./jgfs_post_manager:release_post119 + endtask + task jgfs_post_f120 + trigger ./jgfs_post_manager:release_post120 + endtask + task jgfs_post_f123 + trigger ./jgfs_post_manager:release_post123 + endtask + task jgfs_post_f126 + trigger ./jgfs_post_manager:release_post126 + endtask + task jgfs_post_f129 + trigger ./jgfs_post_manager:release_post129 + endtask + task jgfs_post_f132 + trigger ./jgfs_post_manager:release_post132 + endtask + task jgfs_post_f135 + trigger ./jgfs_post_manager:release_post135 + endtask + task jgfs_post_f138 + trigger ./jgfs_post_manager:release_post138 + endtask + task jgfs_post_f141 + trigger ./jgfs_post_manager:release_post141 + endtask + task jgfs_post_f144 + trigger ./jgfs_post_manager:release_post144 + endtask + task jgfs_post_f147 + trigger ./jgfs_post_manager:release_post147 + endtask + task jgfs_post_f150 + trigger ./jgfs_post_manager:release_post150 + endtask + task jgfs_post_f153 + trigger ./jgfs_post_manager:release_post153 + endtask + task jgfs_post_f156 + trigger ./jgfs_post_manager:release_post156 + endtask + task jgfs_post_f159 + trigger ./jgfs_post_manager:release_post159 + endtask + task jgfs_post_f162 + trigger ./jgfs_post_manager:release_post162 + endtask + task jgfs_post_f165 + trigger ./jgfs_post_manager:release_post165 + endtask + task jgfs_post_f168 + trigger ./jgfs_post_manager:release_post168 + endtask + task jgfs_post_f171 + trigger ./jgfs_post_manager:release_post171 + endtask + task jgfs_post_f174 + trigger ./jgfs_post_manager:release_post174 + endtask + task jgfs_post_f177 + trigger ./jgfs_post_manager:release_post177 + endtask + task jgfs_post_f180 + trigger ./jgfs_post_manager:release_post180 + endtask + task jgfs_post_f183 + trigger ./jgfs_post_manager:release_post183 + endtask + task jgfs_post_f186 + trigger ./jgfs_post_manager:release_post186 + endtask + task jgfs_post_f189 + trigger ./jgfs_post_manager:release_post189 + endtask + task jgfs_post_f192 + trigger ./jgfs_post_manager:release_post192 + endtask + task jgfs_post_f195 + trigger ./jgfs_post_manager:release_post195 + endtask + task jgfs_post_f198 + trigger ./jgfs_post_manager:release_post198 + endtask + task jgfs_post_f201 + trigger ./jgfs_post_manager:release_post201 + endtask + task jgfs_post_f204 + trigger ./jgfs_post_manager:release_post204 + endtask + task jgfs_post_f207 + trigger ./jgfs_post_manager:release_post207 + endtask + task jgfs_post_f210 + trigger ./jgfs_post_manager:release_post210 + endtask + task jgfs_post_f213 + trigger ./jgfs_post_manager:release_post213 + endtask + task jgfs_post_f216 + trigger ./jgfs_post_manager:release_post216 + endtask + task jgfs_post_f219 + trigger ./jgfs_post_manager:release_post219 + endtask + task jgfs_post_f222 + trigger ./jgfs_post_manager:release_post222 + endtask + task jgfs_post_f225 + trigger ./jgfs_post_manager:release_post225 + endtask + task jgfs_post_f228 + trigger ./jgfs_post_manager:release_post228 + endtask + task jgfs_post_f231 + trigger ./jgfs_post_manager:release_post231 + endtask + task jgfs_post_f234 + trigger ./jgfs_post_manager:release_post234 + endtask + task jgfs_post_f237 + trigger ./jgfs_post_manager:release_post237 + endtask + task jgfs_post_f240 + trigger ./jgfs_post_manager:release_post240 + endtask + task jgfs_post_f252 + trigger ./jgfs_post_manager:release_post252 + endtask + task jgfs_post_f264 + trigger ./jgfs_post_manager:release_post264 + endtask + task jgfs_post_f276 + trigger ./jgfs_post_manager:release_post276 + endtask + task jgfs_post_f288 + trigger ./jgfs_post_manager:release_post288 + endtask + task jgfs_post_f300 + trigger ./jgfs_post_manager:release_post300 + endtask + task jgfs_post_f312 + trigger ./jgfs_post_manager:release_post312 + endtask + task jgfs_post_f324 + trigger ./jgfs_post_manager:release_post324 + endtask + task jgfs_post_f336 + trigger ./jgfs_post_manager:release_post336 + endtask + task jgfs_post_f348 + trigger ./jgfs_post_manager:release_post348 + endtask + task jgfs_post_f360 + trigger ./jgfs_post_manager:release_post360 + endtask + task jgfs_post_f372 + trigger ./jgfs_post_manager:release_post372 + endtask + endfamily + family prdgen + task jgfs_pgrb2_manager + trigger ../post == complete + event 1 release_pgrb2_00 + event 2 release_post384 + endtask + task jgfs_pgrb2_anl + endtask + task jgfs_pgrb2_f00 + endtask + task jgfs_pgrb2_f01 + endtask + task jgfs_pgrb2_f02 + endtask + task jgfs_pgrb2_f03 + endtask + task jgfs_pgrb2_f04 + endtask + task jgfs_pgrb2_f05 + endtask + task jgfs_pgrb2_f06 + endtask + task jgfs_pgrb2_f07 + endtask + task jgfs_pgrb2_f08 + endtask + task jgfs_pgrb2_f09 + endtask + task jgfs_pgrb2_f10 + endtask + task jgfs_pgrb2_f11 + endtask + task jgfs_pgrb2_f12 + endtask + task jgfs_pgrb2_f13 + endtask + task jgfs_pgrb2_f14 + endtask + task jgfs_pgrb2_f15 + endtask + task jgfs_pgrb2_f16 + endtask + task jgfs_pgrb2_f17 + endtask + task jgfs_pgrb2_f18 + endtask + task jgfs_pgrb2_f19 + endtask + task jgfs_pgrb2_f20 + endtask + task jgfs_pgrb2_f21 + endtask + task jgfs_pgrb2_f22 + endtask + task jgfs_pgrb2_f23 + endtask + task jgfs_pgrb2_f24 + endtask + task jgfs_pgrb2_f25 + endtask + task jgfs_pgrb2_f26 + endtask + task jgfs_pgrb2_f27 + endtask + task jgfs_pgrb2_f28 + endtask + task jgfs_pgrb2_f29 + endtask + task jgfs_pgrb2_f30 + endtask + task jgfs_pgrb2_f31 + endtask + task jgfs_pgrb2_f32 + endtask + task jgfs_pgrb2_f33 + endtask + task jgfs_pgrb2_f34 + endtask + task jgfs_pgrb2_f35 + endtask + task jgfs_pgrb2_f36 + endtask + task jgfs_pgrb2_f37 + endtask + task jgfs_pgrb2_f38 + endtask + task jgfs_pgrb2_f39 + endtask + task jgfs_pgrb2_f40 + endtask + task jgfs_pgrb2_f41 + endtask + task jgfs_pgrb2_f42 + endtask + task jgfs_pgrb2_f43 + endtask + task jgfs_pgrb2_f44 + endtask + task jgfs_pgrb2_f45 + endtask + task jgfs_pgrb2_f46 + endtask + task jgfs_pgrb2_f47 + endtask + task jgfs_pgrb2_f48 + endtask + task jgfs_pgrb2_f49 + endtask + task jgfs_pgrb2_f50 + endtask + task jgfs_pgrb2_f51 + endtask + task jgfs_pgrb2_f52 + endtask + task jgfs_pgrb2_f53 + endtask + task jgfs_pgrb2_f54 + endtask + task jgfs_pgrb2_f55 + endtask + task jgfs_pgrb2_f56 + endtask + task jgfs_pgrb2_f57 + endtask + task jgfs_pgrb2_f58 + endtask + task jgfs_pgrb2_f59 + endtask + task jgfs_pgrb2_f60 + endtask + task jgfs_pgrb2_f61 + endtask + task jgfs_pgrb2_f62 + endtask + task jgfs_pgrb2_f63 + endtask + task jgfs_pgrb2_f64 + endtask + task jgfs_pgrb2_f65 + endtask + task jgfs_pgrb2_f66 + endtask + task jgfs_pgrb2_f67 + endtask + task jgfs_pgrb2_f68 + endtask + task jgfs_pgrb2_f69 + endtask + task jgfs_pgrb2_f70 + endtask + task jgfs_pgrb2_f71 + endtask + task jgfs_pgrb2_f72 + endtask + task jgfs_pgrb2_f73 + endtask + task jgfs_pgrb2_f74 + endtask + task jgfs_pgrb2_f75 + endtask + task jgfs_pgrb2_f76 + endtask + task jgfs_pgrb2_f77 + endtask + task jgfs_pgrb2_f78 + endtask + task jgfs_pgrb2_f79 + endtask + task jgfs_pgrb2_f80 + endtask + task jgfs_pgrb2_f81 + endtask + task jgfs_pgrb2_f82 + endtask + task jgfs_pgrb2_f83 + endtask + task jgfs_pgrb2_f84 + endtask + task jgfs_pgrb2_f85 + endtask + task jgfs_pgrb2_f86 + endtask + task jgfs_pgrb2_f87 + endtask + task jgfs_pgrb2_f88 + endtask + task jgfs_pgrb2_f89 + endtask + task jgfs_pgrb2_f90 + endtask + task jgfs_pgrb2_f91 + endtask + task jgfs_pgrb2_f92 + endtask + task jgfs_pgrb2_f93 + endtask + task jgfs_pgrb2_f94 + endtask + task jgfs_pgrb2_f95 + endtask + task jgfs_pgrb2_f96 + endtask + task jgfs_pgrb2_f97 + endtask + task jgfs_pgrb2_f98 + endtask + task jgfs_pgrb2_f99 + endtask + task jgfs_pgrb2_f100 + endtask + task jgfs_pgrb2_f101 + endtask + task jgfs_pgrb2_f102 + endtask + task jgfs_pgrb2_f103 + endtask + task jgfs_pgrb2_f104 + endtask + task jgfs_pgrb2_f105 + endtask + task jgfs_pgrb2_f106 + endtask + task jgfs_pgrb2_f107 + endtask + task jgfs_pgrb2_f108 + endtask + task jgfs_pgrb2_f109 + endtask + task jgfs_pgrb2_f110 + endtask + task jgfs_pgrb2_f111 + endtask + task jgfs_pgrb2_f112 + endtask + task jgfs_pgrb2_f113 + endtask + task jgfs_pgrb2_f114 + endtask + task jgfs_pgrb2_f115 + endtask + task jgfs_pgrb2_f116 + endtask + task jgfs_pgrb2_f117 + endtask + task jgfs_pgrb2_f118 + endtask + task jgfs_pgrb2_f119 + endtask + task jgfs_pgrb2_f120 + endtask + task jgfs_pgrb2_f123 + endtask + task jgfs_pgrb2_f126 + endtask + task jgfs_pgrb2_f129 + endtask + task jgfs_pgrb2_f132 + endtask + task jgfs_pgrb2_f135 + endtask + task jgfs_pgrb2_f138 + endtask + task jgfs_pgrb2_f141 + endtask + task jgfs_pgrb2_f144 + endtask + task jgfs_pgrb2_f147 + endtask + task jgfs_pgrb2_f150 + endtask + task jgfs_pgrb2_f153 + endtask + task jgfs_pgrb2_f156 + endtask + task jgfs_pgrb2_f159 + endtask + task jgfs_pgrb2_f162 + endtask + task jgfs_pgrb2_f165 + endtask + task jgfs_pgrb2_f168 + endtask + task jgfs_pgrb2_f171 + endtask + task jgfs_pgrb2_f174 + endtask + task jgfs_pgrb2_f177 + endtask + task jgfs_pgrb2_f180 + endtask + task jgfs_pgrb2_f183 + endtask + task jgfs_pgrb2_f186 + endtask + task jgfs_pgrb2_f189 + endtask + task jgfs_pgrb2_f192 + endtask + task jgfs_pgrb2_f195 + endtask + task jgfs_pgrb2_f198 + endtask + task jgfs_pgrb2_f201 + endtask + task jgfs_pgrb2_f204 + endtask + task jgfs_pgrb2_f207 + endtask + task jgfs_pgrb2_f210 + endtask + task jgfs_pgrb2_f213 + endtask + task jgfs_pgrb2_f216 + endtask + task jgfs_pgrb2_f219 + endtask + task jgfs_pgrb2_f222 + endtask + task jgfs_pgrb2_f225 + endtask + task jgfs_pgrb2_f228 + endtask + task jgfs_pgrb2_f231 + endtask + task jgfs_pgrb2_f234 + endtask + task jgfs_pgrb2_f237 + endtask + task jgfs_pgrb2_f240 + endtask + task jgfs_pgrb2_f252 + endtask + task jgfs_pgrb2_f264 + endtask + task jgfs_pgrb2_f276 + endtask + task jgfs_pgrb2_f288 + endtask + task jgfs_pgrb2_f300 + endtask + task jgfs_pgrb2_f312 + endtask + task jgfs_pgrb2_f324 + endtask + task jgfs_pgrb2_f336 + endtask + task jgfs_pgrb2_f348 + endtask + task jgfs_pgrb2_f360 + endtask + task jgfs_pgrb2_f372 + endtask + task jgfs_pgrb2_f384 + endtask + endfamily + family gempak + task jgfs_gempak_upapgif + trigger ../dump/jgfs_dump == complete + endtask + task jgfs_gempak_ncdc + trigger ./jgfs_gempak == active or ./jgfs_gempak == complete + endtask + task jgfs_gempak + trigger ../jgfs_analysis == complete + endtask + task jgfs_gempak_meta + trigger ../jgfs_analysis == complete + endtask + task jgfs_pgrb2_spec_gempak + trigger ../post/jgfs_pgrb2_spec_post == complete + endtask + endfamily + endfamily family gdas task jgdas_verfrad trigger ./enkf == complete endtask task jgdas_vminmon - trigger ./jgdas_analysis == complete + trigger ./analysis/jgdas_analysis_high == complete endtask family dump task jgdas_ics - event 1 release_gdas00_ics + event 1 release_gdas00_ics endtask task jgdas_tropcy_qc_reloc trigger ./jgdas_dump == complete endtask task jgdas_dump - event 1 release_sfcprep + event 1 release_sfcprep endtask endfamily family prep @@ -46,7 +1308,42 @@ suite prod18 trigger ../dump/jgdas_dump == complete and ../dump/jgdas_tropcy_qc_reloc == complete endtask task jgdas_prep_post - trigger ../jgdas_analysis == complete + trigger ../analysis/jgdas_analysis_high == complete + endtask + endfamily + family analysis + task jgdas_analysis_high + trigger ../prep/jgdas_prep == complete and ../prep/jgdas_emcsfc_sfc_prep == complete + event 1 release_fcst + endtask + endfamily + family forecast + task jgdas_forecast + trigger ../analysis/jgdas_analysis_high:release_fcst and ../enkf/innovate == complete + event 1 release_fcst + endtask + endfamily + family post_processing + family bulletins + task jgdas_mknavybulls + trigger ../../dump/jgdas_dump == complete + endtask + endfamily + endfamily + family gempak + task jgdas_gempak + trigger ../forecast/jgdas_forecast == complete + endtask + task jgdas_gempak_meta + trigger ./jgdas_gempak == complete + endtask + task jgdas_gempak_ncdc + trigger ./jgdas_gempak == complete + endtask + endfamily + family post + task jgdas_post + trigger ../forecast/jgdas_forecast == complete endtask endfamily family enkf @@ -55,129 +1352,53 @@ suite prod18 endtask family innovate trigger ./jgdas_enkf_select_obs == complete - task grp1 + task jgdas_enkf_innovate_obs_grp1 endtask - task grp2 + task jgdas_enkf_innovate_obs_grp2 endtask - task grp3 + task jgdas_enkf_innovate_obs_grp3 endtask - task grp4 + task jgdas_enkf_innovate_obs_grp4 endtask - task grp5 + task jgdas_enkf_innovate_obs_grp5 endtask - task grp6 + task jgdas_enkf_innovate_obs_grp6 endtask - task grp7 + task jgdas_enkf_innovate_obs_grp7 endtask - task grp8 + task jgdas_enkf_innovate_obs_grp8 endtask endfamily task jgdas_enkf_update trigger ./innovate == complete endtask task jgdas_enkf_inflate_recenter - trigger ./jgdas_enkf_update == complete and ../jgdas_analysis == complete + trigger ./jgdas_enkf_update == complete and ../analysis/jgdas_analysis_high == complete endtask family forecast trigger ./jgdas_enkf_inflate_recenter == complete - task grp1 + task jgdas_enkf_fcst_grp1 endtask - task grp2 + task jgdas_enkf_fcst_grp2 endtask - task grp3 + task jgdas_enkf_fcst_grp3 endtask - task grp4 + task jgdas_enkf_fcst_grp4 endtask - task grp5 + task jgdas_enkf_fcst_grp5 endtask - task grp6 + task jgdas_enkf_fcst_grp6 endtask - task grp7 + task jgdas_enkf_fcst_grp7 endtask - task grp8 + task jgdas_enkf_fcst_grp8 endtask endfamily task jgdas_enkf_post trigger ./forecast == complete endtask endfamily - task jgdas_analysis - trigger ./prep/jgdas_prep == complete and ./prep/jgdas_emcsfc_sfc_prep == complete and /prod12/gdas/enkf/jgdas_enkf_post == complete - event 1 release_fcst - endtask - task jgdas_forecast - trigger ./jgdas_analysis:release_fcst and ./enkf/innovate == complete - event 1 release_fcst - endtask - task post - trigger ./jgdas_forecast == complete - endtask - task vrfy - trigger ./post == complete - endtask - endfamily - family gfs - family dump - task jgfs_tropcy_qc_reloc - trigger ./jgfs_dump == complete - event 1 jtwc_bull_email - endtask - task jgfs_dump - event 1 release_sfcprep - endtask - endfamily - family prep - task jgfs_emcsfc_sfc_prep - trigger ../dump/jgfs_dump:release_sfcprep - endtask - task jgfs_prep - trigger ../dump == complete - endtask - task jgfs_prep_post - trigger ../jgfs_analysis == complete - endtask - endfamily - task jgfs_analysis - trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete and /prod12/gdas/enkf/jgdas_enkf_post == complete - endtask - task jgfs_vminmon - trigger ./jgfs_analysis == complete - endtask - task jgfs_forecast - trigger ./jgfs_analysis == complete - endtask - task jgfs_post - trigger ./jgfs_forecast == complete - endtask - task jgfs_vrfy - trigger ./jgfs_post == complete - endtask - endfamily - family archive - task gdasarch - trigger ../gdas/jgdas_verfrad == complete - endtask - task gfsarch - trigger ../gfs/jgfs_vrfy == complete - endtask - family earc - trigger ../gdas/enkf/jgdas_enkf_post == complete - task grp1 - endtask - task grp2 - endtask - task grp3 - endtask - task grp4 - endtask - task grp5 - endtask - task grp6 - endtask - task grp7 - endtask - task grp8 - endtask - endfamily endfamily + task cycle_end + endtask endsuite diff --git a/model/ecflow_fv3gfs/ecf_file.yaml b/model/ecflow_fv3gfs/ecf_file.yaml new file mode 100644 index 0000000..5433583 --- /dev/null +++ b/model/ecflow_fv3gfs/ecf_file.yaml @@ -0,0 +1,71 @@ +ecf_file_logic: &ecf_file_logic + + # Default resources for a job are serial + resources: !calc doc.resource_demo.run_nothing + + ecf_file: | + #! /bin/sh + {ecf_batch_resources} + %include + %include + + set -x + + {ecf_resource_more} + + export model={model_name} + %include + + ############################################################ + # Load modules + ############################################################ + . $MODULESHOME/init/sh + {ecf_module_commands} + module list + + ############################################################# + # WCOSS environment settings + ############################################################# + + {ecf_environment_settings} + + ########################################################### + export cyc=%CYC% + + # CALL executable job script here + ${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + + %include + %manual + + ecf_module_commands: |- + # Add any "module" commands here (switch, load, use, etc.) + + ecf_environment_settings: |- + # Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + + ecf_batch_resources: |- + {sched.batch_accounting(accounting,jobname=task_path_var,outerr="%ECF_OUT%/"+task_path_var+"_t"+"%CYC%"+"z.log")} + {sched.batch_resources(resources)} + + ecf_resource_more: |- + {ecf_maybe_ntasks} + {ecf_maybe_ptile} + {ecf_maybe_threads} + + ecf_maybe_ntasks: !FirstTrue + - when: !calc resources.total_ranks()>0 + do: !expand 'export ntasks={resources.total_ranks()}' + - otherwise: "# No MPI in use, so I am not setting $ntasks." + + ecf_maybe_threads: !FirstTrue + - when: !calc resources.has_threads() + do: !expand 'export threads={resources[0]["OMP_NUM_THREADS"]}' + - otherwise: "# No OpenMP in use, so I am not setting $threads" + + ecf_maybe_ptile: !FirstTrue + - when: !calc resources.has_threads() or resources.total_ranks()>0 + do: !expand 'export ptile={sched.max_ranks_per_node(resources)}' + - otherwise: "# Neither OpenMP nor MPI are in use, so I am not setting $ptile" + + diff --git a/model/ecflow_fv3gfs/make-ecflow-suite.py b/model/ecflow_fv3gfs/make-ecflow-suite.py index 229e0bd..1ad0adf 100755 --- a/model/ecflow_fv3gfs/make-ecflow-suite.py +++ b/model/ecflow_fv3gfs/make-ecflow-suite.py @@ -5,7 +5,8 @@ from crow.metascheduler import to_ecflow from crow.config import from_file, Suite -conf=from_file('rocoto.yaml','settings.yaml','suite_def.yaml','resources.yaml') +conf=from_file('ecf_file.yaml', 'rocoto.yaml', 'settings.yaml', + 'suite_def.yaml', 'resources.yaml') suite=Suite(conf.suite) suite_defs, ecf_files = to_ecflow(suite) diff --git a/model/ecflow_fv3gfs/resources.yaml b/model/ecflow_fv3gfs/resources.yaml index 7829809..1ee95c0 100644 --- a/model/ecflow_fv3gfs/resources.yaml +++ b/model/ecflow_fv3gfs/resources.yaml @@ -20,7 +20,7 @@ resource_demo: &resource_demo args: - placeholder - run_nothing: # Special placeholder for "do nothing" !JobRequest + run_nothing: !JobRequest # Special placeholder for "do nothing" - memory: "300M" exe: nothing diff --git a/model/ecflow_fv3gfs/scripts/prod00/cycle_end.ecf b/model/ecflow_fv3gfs/scripts/prod00/cycle_end.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/cycle_end.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/analysis/jgdas_analysis_high.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/analysis/jgdas_analysis_high.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/analysis/jgdas_analysis_high.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf index 007ef46..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.dump.jgdas_dump -#BSUB -o %ECF_OUT%/gdas.dump.jgdas_dump_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_DUMP +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf index 028184c..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.dump.jgdas_ics -#BSUB -o %ECF_OUT%/gdas.dump.jgdas_ics_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_ICS +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf index 153c823..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.dump.jgdas_tropcy_qc_reloc -#BSUB -o %ECF_OUT%/gdas.dump.jgdas_tropcy_qc_reloc_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_TROPCY_QC_RELOC +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf index 148f357..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf @@ -1,12 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_inflate_recenter -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_inflate_recenter_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=20 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_ENKF_INFLATE_RECENTER +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf index dea827f..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf @@ -1,12 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_post -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_post_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=20 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_ENKF_POST +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf index 69ebc26..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf @@ -1,12 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_select_obs -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_select_obs_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_ENKF_SELECT_OBS +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf index 31eed27..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf @@ -1,12 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_update -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_update_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=40 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_ENKF_UPDATE +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/forecast/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/forecast/jgdas_forecast.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/forecast/jgdas_forecast.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_meta.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_meta.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_ncdc.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_ncdc.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf index 02d9ddf..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.jgdas_verfrad -#BSUB -o %ECF_OUT%/gdas.jgdas_verfrad_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_VERFRAD +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf index 3c67ea6..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.jgdas_vminmon -#BSUB -o %ECF_OUT%/gdas.jgdas_vminmon_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_VMINMON +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/post/jgdas_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/post/jgdas_post.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/post/jgdas_post.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf index 5d5a392..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep.jgdas_emcsfc_sfc_prep -#BSUB -o %ECF_OUT%/gdas.prep.jgdas_emcsfc_sfc_prep_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_EMCSFC_SFC_PREP +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf index f76c25e..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf @@ -1,12 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep.jgdas_prep -#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=3 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_PREP +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf index 9d87497..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep.jgdas_prep_post -#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_post_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_PREP_POST +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf index e6a3ce3..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.dump.jgfs_dump -#BSUB -o %ECF_OUT%/gfs.dump.jgfs_dump_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGFS_DUMP +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf index 1712817..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.dump.jgfs_tropcy_qc_reloc -#BSUB -o %ECF_OUT%/gfs.dump.jgfs_tropcy_qc_reloc_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGFS_TROPCY_QC_RELOC +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_high.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_high.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_high.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_low.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_low.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_low.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_meta.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_meta.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_ncdc.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_ncdc.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_upapgif.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_upapgif.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_upapgif.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf index 3f3eef2..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf @@ -1,12 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.jgfs_analysis -#BSUB -o %ECF_OUT%/gfs.jgfs_analysis_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=60 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGFS_ANALYSIS +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf index a101bd8..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.jgfs_vminmon -#BSUB -o %ECF_OUT%/gfs.jgfs_vminmon_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGFS_VMINMON +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_pgrb2_spec_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_pgrb2_spec_post.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_pgrb2_spec_post.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_anl.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_anl.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_anl.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f00.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f00.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f00.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f01.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f01.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f01.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f02.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f02.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f02.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f03.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f03.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f03.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f04.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f04.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f04.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f05.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f05.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f05.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f06.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f06.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f06.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f07.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f07.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f07.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f08.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f08.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f08.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f09.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f09.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f09.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f10.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f10.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f10.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f100.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f100.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f100.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f101.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f101.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f101.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f102.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f102.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f102.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f103.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f103.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f103.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f104.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f104.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f104.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f105.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f105.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f105.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f106.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f106.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f106.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f107.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f107.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f107.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f108.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f108.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f108.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f109.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f109.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f109.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f11.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f11.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f11.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f110.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f110.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f110.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f111.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f111.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f111.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f112.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f112.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f112.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f113.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f113.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f113.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f114.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f114.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f114.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f115.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f115.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f115.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f116.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f116.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f116.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f117.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f117.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f117.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f118.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f118.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f118.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f119.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f119.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f119.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f12.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f12.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f12.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f120.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f120.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f120.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f123.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f123.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f123.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f126.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f126.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f126.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f129.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f129.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f129.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f13.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f13.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f13.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f132.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f132.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f132.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f135.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f135.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f135.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f138.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f138.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f138.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f14.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f14.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f14.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f141.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f141.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f141.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f144.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f144.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f144.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f147.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f147.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f147.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f15.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f15.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f15.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f150.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f150.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f150.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f153.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f153.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f153.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f156.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f156.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f156.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f159.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f159.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f159.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f16.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f16.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f16.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f162.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f162.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f162.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f165.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f165.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f165.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f168.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f168.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f168.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f17.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f17.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f17.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f171.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f171.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f171.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f174.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f174.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f174.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f177.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f177.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f177.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f18.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f18.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f18.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f180.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f180.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f180.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f183.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f183.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f183.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f186.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f186.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f186.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f189.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f189.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f189.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f19.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f19.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f19.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f192.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f192.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f192.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f195.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f195.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f195.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f198.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f198.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f198.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f20.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f20.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f20.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f201.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f201.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f201.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f204.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f204.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f204.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f207.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f207.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f207.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f21.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f21.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f21.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f210.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f210.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f210.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f213.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f213.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f213.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f216.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f216.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f216.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f219.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f219.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f219.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f22.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f22.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f22.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f222.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f222.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f222.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f225.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f225.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f225.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f228.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f228.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f228.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f23.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f23.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f23.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f231.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f231.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f231.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f234.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f234.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f234.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f237.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f237.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f237.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f24.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f24.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f24.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f240.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f240.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f240.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f25.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f25.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f25.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f252.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f252.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f252.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f26.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f26.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f26.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f264.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f264.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f264.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f27.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f27.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f27.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f276.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f276.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f276.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f28.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f28.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f28.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f288.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f288.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f288.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f29.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f29.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f29.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f30.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f30.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f30.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f300.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f300.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f300.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f31.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f31.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f31.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f312.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f312.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f312.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f32.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f32.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f32.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f324.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f324.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f324.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f33.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f33.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f33.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f336.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f336.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f336.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f34.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f34.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f34.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f348.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f348.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f348.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f35.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f35.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f35.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f36.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f36.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f36.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f360.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f360.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f360.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f37.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f37.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f37.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f372.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f372.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f372.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f38.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f38.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f38.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f384.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f384.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f384.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f39.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f39.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f39.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f40.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f40.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f40.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f41.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f41.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f41.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f42.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f42.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f42.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f43.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f43.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f43.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f44.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f44.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f44.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f45.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f45.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f45.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f46.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f46.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f46.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f47.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f47.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f47.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f48.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f48.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f48.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f49.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f49.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f49.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f50.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f50.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f50.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f51.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f51.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f51.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f52.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f52.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f52.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f53.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f53.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f53.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f54.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f54.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f54.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f55.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f55.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f55.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f56.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f56.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f56.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f57.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f57.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f57.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f58.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f58.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f58.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f59.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f59.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f59.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f60.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f60.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f60.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f61.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f61.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f61.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f62.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f62.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f62.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f63.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f63.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f63.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f64.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f64.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f64.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f65.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f65.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f65.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f66.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f66.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f66.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f67.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f67.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f67.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f68.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f68.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f68.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f69.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f69.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f69.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f70.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f70.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f70.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f71.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f71.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f71.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f72.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f72.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f72.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f73.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f73.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f73.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f74.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f74.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f74.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f75.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f75.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f75.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f76.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f76.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f76.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f77.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f77.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f77.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f78.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f78.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f78.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f79.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f79.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f79.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f80.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f80.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f80.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f81.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f81.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f81.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f82.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f82.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f82.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f83.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f83.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f83.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f84.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f84.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f84.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f85.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f85.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f85.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f86.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f86.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f86.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f87.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f87.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f87.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f88.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f88.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f88.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f89.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f89.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f89.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f90.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f90.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f90.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f91.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f91.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f91.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f92.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f92.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f92.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f93.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f93.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f93.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f94.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f94.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f94.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f95.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f95.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f95.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f96.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f96.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f96.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f97.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f97.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f97.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f98.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f98.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f98.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f99.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f99.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f99.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_manager.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_manager.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_manager.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_fbwind.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_fbwind.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_fbwind.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_anl.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_anl.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_anl.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_f00.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_f00.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_f00.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/jgfs_wafs_gcip.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/jgfs_wafs_gcip.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/jgfs_wafs_gcip.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_anl.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_anl.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_anl.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f00.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f00.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f00.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f01.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f01.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f01.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f02.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f02.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f02.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f03.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f03.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f03.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f04.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f04.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f04.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f05.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f05.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f05.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f06.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f06.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f06.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f07.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f07.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f07.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f08.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f08.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f08.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f09.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f09.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f09.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f10.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f10.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f10.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f100.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f100.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f100.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f101.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f101.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f101.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f102.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f102.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f102.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f103.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f103.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f103.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f104.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f104.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f104.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f105.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f105.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f105.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f106.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f106.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f106.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f107.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f107.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f107.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f108.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f108.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f108.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f109.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f109.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f109.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f11.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f11.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f11.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f110.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f110.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f110.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f111.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f111.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f111.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f112.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f112.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f112.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f113.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f113.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f113.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f114.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f114.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f114.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f115.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f115.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f115.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f116.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f116.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f116.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f117.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f117.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f117.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f118.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f118.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f118.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f119.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f119.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f119.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f12.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f12.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f12.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f120.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f120.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f120.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f123.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f123.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f123.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f126.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f126.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f126.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f129.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f129.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f129.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f13.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f13.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f13.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f132.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f132.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f132.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f135.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f135.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f135.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f138.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f138.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f138.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f14.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f14.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f14.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f141.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f141.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f141.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f144.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f144.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f144.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f147.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f147.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f147.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f15.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f15.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f15.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f150.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f150.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f150.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f153.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f153.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f153.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f156.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f156.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f156.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f159.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f159.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f159.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f16.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f16.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f16.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f162.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f162.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f162.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f165.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f165.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f165.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f168.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f168.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f168.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f17.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f17.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f17.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f171.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f171.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f171.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f174.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f174.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f174.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f177.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f177.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f177.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f18.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f18.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f18.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f180.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f180.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f180.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f183.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f183.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f183.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f186.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f186.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f186.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f189.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f189.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f189.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f19.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f19.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f19.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f192.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f192.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f192.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f195.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f195.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f195.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f198.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f198.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f198.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f20.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f20.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f20.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f201.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f201.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f201.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f204.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f204.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f204.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f207.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f207.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f207.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f21.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f21.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f21.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f210.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f210.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f210.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f213.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f213.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f213.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f216.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f216.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f216.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f219.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f219.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f219.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f22.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f22.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f22.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f222.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f222.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f222.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f225.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f225.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f225.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f228.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f228.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f228.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f23.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f23.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f23.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f231.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f231.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f231.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f234.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f234.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f234.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f237.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f237.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f237.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f24.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f24.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f24.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f240.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f240.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f240.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f25.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f25.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f25.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f252.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f252.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f252.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f26.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f26.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f26.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f264.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f264.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f264.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f27.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f27.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f27.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f276.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f276.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f276.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f28.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f28.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f28.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f288.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f288.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f288.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f29.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f29.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f29.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f30.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f30.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f30.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f300.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f300.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f300.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f31.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f31.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f31.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f312.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f312.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f312.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f32.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f32.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f32.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f324.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f324.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f324.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f33.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f33.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f33.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f336.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f336.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f336.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f34.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f34.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f34.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f348.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f348.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f348.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f35.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f35.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f35.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f36.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f36.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f36.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f360.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f360.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f360.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f37.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f37.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f37.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f372.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f372.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f372.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f38.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f38.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f38.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f384.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f384.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f384.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f39.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f39.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f39.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f40.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f40.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f40.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f41.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f41.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f41.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f42.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f42.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f42.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f43.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f43.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f43.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f44.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f44.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f44.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f45.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f45.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f45.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f46.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f46.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f46.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f47.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f47.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f47.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f48.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f48.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f48.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f49.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f49.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f49.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f50.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f50.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f50.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f51.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f51.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f51.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f52.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f52.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f52.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f53.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f53.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f53.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f54.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f54.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f54.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f55.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f55.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f55.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f56.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f56.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f56.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f57.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f57.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f57.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f58.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f58.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f58.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f59.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f59.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f59.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f60.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f60.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f60.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f61.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f61.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f61.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f62.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f62.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f62.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f63.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f63.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f63.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f64.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f64.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f64.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f65.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f65.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f65.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f66.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f66.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f66.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f67.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f67.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f67.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f68.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f68.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f68.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f69.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f69.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f69.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f70.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f70.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f70.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f71.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f71.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f71.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f72.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f72.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f72.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f73.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f73.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f73.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f74.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f74.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f74.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f75.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f75.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f75.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f76.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f76.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f76.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f77.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f77.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f77.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f78.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f78.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f78.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f79.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f79.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f79.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f80.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f80.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f80.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f81.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f81.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f81.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f82.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f82.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f82.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f83.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f83.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f83.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f84.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f84.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f84.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f85.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f85.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f85.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f86.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f86.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f86.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f87.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f87.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f87.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f88.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f88.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f88.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f89.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f89.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f89.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f90.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f90.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f90.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f91.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f91.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f91.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f92.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f92.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f92.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f93.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f93.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f93.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f94.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f94.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f94.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f95.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f95.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f95.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f96.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f96.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f96.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f97.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f97.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f97.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f98.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f98.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f98.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f99.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f99.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f99.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_manager.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_manager.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_manager.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf index 1918888..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep.jgfs_emcsfc_sfc_prep -#BSUB -o %ECF_OUT%/gfs.prep.jgfs_emcsfc_sfc_prep_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGFS_EMCSFC_SFC_PREP +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf index c040ef2..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf @@ -1,12 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep.jgfs_prep -#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=3 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGFS_PREP +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf index 16762fe..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep.jgfs_prep_post -#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_post_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGFS_PREP_POST +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_even.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_even.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_even.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/cycle_end.ecf b/model/ecflow_fv3gfs/scripts/prod06/cycle_end.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/cycle_end.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/analysis/jgdas_analysis_high.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/analysis/jgdas_analysis_high.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/analysis/jgdas_analysis_high.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf index 007ef46..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.dump.jgdas_dump -#BSUB -o %ECF_OUT%/gdas.dump.jgdas_dump_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_DUMP +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf index 028184c..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.dump.jgdas_ics -#BSUB -o %ECF_OUT%/gdas.dump.jgdas_ics_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_ICS +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf index 153c823..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.dump.jgdas_tropcy_qc_reloc -#BSUB -o %ECF_OUT%/gdas.dump.jgdas_tropcy_qc_reloc_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_TROPCY_QC_RELOC +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf index 148f357..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf @@ -1,12 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_inflate_recenter -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_inflate_recenter_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=20 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_ENKF_INFLATE_RECENTER +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf index dea827f..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf @@ -1,12 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_post -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_post_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=20 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_ENKF_POST +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf index 69ebc26..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf @@ -1,12 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_select_obs -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_select_obs_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_ENKF_SELECT_OBS +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf index 31eed27..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf @@ -1,12 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_update -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_update_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=40 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_ENKF_UPDATE +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/forecast/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/forecast/jgdas_forecast.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/forecast/jgdas_forecast.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_meta.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_meta.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_ncdc.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_ncdc.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf index 02d9ddf..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.jgdas_verfrad -#BSUB -o %ECF_OUT%/gdas.jgdas_verfrad_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_VERFRAD +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf index 3c67ea6..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.jgdas_vminmon -#BSUB -o %ECF_OUT%/gdas.jgdas_vminmon_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_VMINMON +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/post/jgdas_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/post/jgdas_post.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/post/jgdas_post.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf index 5d5a392..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep.jgdas_emcsfc_sfc_prep -#BSUB -o %ECF_OUT%/gdas.prep.jgdas_emcsfc_sfc_prep_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_EMCSFC_SFC_PREP +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf index f76c25e..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf @@ -1,12 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep.jgdas_prep -#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=3 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_PREP +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf index 9d87497..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep.jgdas_prep_post -#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_post_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_PREP_POST +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf index e6a3ce3..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.dump.jgfs_dump -#BSUB -o %ECF_OUT%/gfs.dump.jgfs_dump_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGFS_DUMP +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf index 1712817..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.dump.jgfs_tropcy_qc_reloc -#BSUB -o %ECF_OUT%/gfs.dump.jgfs_tropcy_qc_reloc_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGFS_TROPCY_QC_RELOC +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_high.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_high.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_high.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_low.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_low.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_low.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_meta.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_meta.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_ncdc.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_ncdc.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_upapgif.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_upapgif.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_upapgif.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf index 3f3eef2..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf @@ -1,12 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.jgfs_analysis -#BSUB -o %ECF_OUT%/gfs.jgfs_analysis_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=60 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGFS_ANALYSIS +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf index a101bd8..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.jgfs_vminmon -#BSUB -o %ECF_OUT%/gfs.jgfs_vminmon_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGFS_VMINMON +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_pgrb2_spec_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_pgrb2_spec_post.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_pgrb2_spec_post.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_anl.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_anl.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_anl.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f00.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f00.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f00.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f01.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f01.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f01.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f02.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f02.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f02.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f03.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f03.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f03.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f04.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f04.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f04.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f05.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f05.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f05.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f06.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f06.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f06.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f07.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f07.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f07.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f08.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f08.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f08.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f09.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f09.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f09.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f10.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f10.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f10.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f100.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f100.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f100.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f101.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f101.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f101.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f102.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f102.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f102.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f103.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f103.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f103.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f104.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f104.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f104.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f105.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f105.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f105.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f106.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f106.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f106.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f107.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f107.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f107.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f108.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f108.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f108.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f109.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f109.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f109.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f11.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f11.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f11.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f110.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f110.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f110.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f111.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f111.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f111.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f112.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f112.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f112.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f113.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f113.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f113.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f114.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f114.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f114.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f115.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f115.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f115.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f116.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f116.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f116.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f117.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f117.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f117.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f118.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f118.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f118.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f119.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f119.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f119.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f12.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f12.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f12.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f120.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f120.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f120.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f123.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f123.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f123.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f126.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f126.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f126.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f129.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f129.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f129.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f13.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f13.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f13.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f132.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f132.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f132.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f135.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f135.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f135.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f138.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f138.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f138.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f14.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f14.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f14.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f141.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f141.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f141.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f144.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f144.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f144.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f147.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f147.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f147.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f15.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f15.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f15.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f150.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f150.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f150.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f153.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f153.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f153.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f156.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f156.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f156.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f159.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f159.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f159.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f16.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f16.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f16.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f162.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f162.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f162.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f165.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f165.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f165.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f168.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f168.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f168.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f17.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f17.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f17.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f171.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f171.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f171.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f174.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f174.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f174.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f177.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f177.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f177.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f18.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f18.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f18.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f180.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f180.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f180.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f183.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f183.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f183.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f186.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f186.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f186.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f189.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f189.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f189.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f19.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f19.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f19.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f192.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f192.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f192.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f195.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f195.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f195.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f198.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f198.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f198.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f20.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f20.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f20.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f201.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f201.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f201.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f204.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f204.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f204.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f207.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f207.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f207.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f21.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f21.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f21.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f210.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f210.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f210.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f213.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f213.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f213.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f216.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f216.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f216.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f219.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f219.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f219.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f22.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f22.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f22.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f222.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f222.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f222.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f225.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f225.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f225.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f228.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f228.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f228.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f23.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f23.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f23.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f231.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f231.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f231.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f234.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f234.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f234.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f237.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f237.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f237.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f24.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f24.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f24.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f240.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f240.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f240.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f25.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f25.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f25.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f252.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f252.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f252.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f26.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f26.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f26.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f264.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f264.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f264.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f27.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f27.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f27.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f276.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f276.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f276.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f28.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f28.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f28.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f288.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f288.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f288.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f29.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f29.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f29.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f30.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f30.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f30.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f300.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f300.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f300.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f31.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f31.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f31.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f312.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f312.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f312.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f32.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f32.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f32.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f324.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f324.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f324.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f33.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f33.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f33.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f336.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f336.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f336.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f34.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f34.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f34.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f348.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f348.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f348.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f35.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f35.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f35.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f36.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f36.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f36.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f360.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f360.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f360.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f37.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f37.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f37.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f372.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f372.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f372.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f38.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f38.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f38.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f384.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f384.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f384.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f39.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f39.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f39.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f40.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f40.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f40.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f41.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f41.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f41.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f42.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f42.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f42.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f43.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f43.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f43.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f44.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f44.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f44.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f45.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f45.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f45.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f46.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f46.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f46.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f47.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f47.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f47.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f48.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f48.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f48.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f49.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f49.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f49.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f50.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f50.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f50.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f51.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f51.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f51.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f52.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f52.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f52.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f53.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f53.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f53.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f54.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f54.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f54.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f55.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f55.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f55.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f56.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f56.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f56.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f57.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f57.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f57.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f58.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f58.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f58.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f59.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f59.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f59.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f60.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f60.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f60.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f61.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f61.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f61.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f62.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f62.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f62.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f63.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f63.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f63.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f64.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f64.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f64.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f65.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f65.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f65.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f66.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f66.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f66.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f67.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f67.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f67.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f68.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f68.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f68.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f69.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f69.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f69.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f70.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f70.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f70.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f71.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f71.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f71.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f72.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f72.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f72.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f73.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f73.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f73.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f74.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f74.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f74.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f75.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f75.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f75.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f76.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f76.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f76.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f77.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f77.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f77.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f78.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f78.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f78.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f79.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f79.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f79.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f80.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f80.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f80.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f81.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f81.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f81.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f82.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f82.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f82.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f83.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f83.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f83.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f84.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f84.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f84.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f85.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f85.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f85.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f86.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f86.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f86.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f87.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f87.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f87.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f88.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f88.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f88.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f89.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f89.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f89.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f90.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f90.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f90.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f91.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f91.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f91.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f92.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f92.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f92.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f93.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f93.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f93.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f94.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f94.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f94.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f95.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f95.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f95.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f96.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f96.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f96.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f97.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f97.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f97.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f98.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f98.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f98.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f99.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f99.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f99.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_manager.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_manager.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_manager.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_fbwind.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_fbwind.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_fbwind.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_anl.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_anl.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_anl.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_f00.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_f00.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_f00.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/jgfs_wafs_gcip.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/jgfs_wafs_gcip.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/jgfs_wafs_gcip.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_anl.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_anl.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_anl.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f00.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f00.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f00.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f01.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f01.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f01.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f02.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f02.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f02.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f03.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f03.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f03.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f04.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f04.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f04.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f05.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f05.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f05.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f06.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f06.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f06.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f07.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f07.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f07.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f08.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f08.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f08.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f09.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f09.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f09.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f10.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f10.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f10.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f100.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f100.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f100.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f101.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f101.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f101.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f102.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f102.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f102.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f103.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f103.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f103.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f104.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f104.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f104.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f105.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f105.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f105.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f106.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f106.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f106.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f107.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f107.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f107.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f108.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f108.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f108.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f109.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f109.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f109.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f11.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f11.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f11.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f110.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f110.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f110.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f111.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f111.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f111.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f112.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f112.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f112.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f113.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f113.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f113.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f114.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f114.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f114.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f115.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f115.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f115.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f116.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f116.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f116.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f117.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f117.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f117.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f118.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f118.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f118.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f119.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f119.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f119.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f12.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f12.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f12.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f120.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f120.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f120.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f123.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f123.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f123.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f126.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f126.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f126.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f129.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f129.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f129.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f13.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f13.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f13.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f132.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f132.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f132.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f135.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f135.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f135.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f138.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f138.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f138.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f14.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f14.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f14.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f141.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f141.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f141.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f144.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f144.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f144.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f147.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f147.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f147.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f15.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f15.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f15.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f150.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f150.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f150.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f153.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f153.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f153.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f156.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f156.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f156.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f159.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f159.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f159.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f16.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f16.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f16.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f162.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f162.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f162.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f165.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f165.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f165.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f168.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f168.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f168.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f17.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f17.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f17.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f171.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f171.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f171.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f174.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f174.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f174.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f177.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f177.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f177.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f18.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f18.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f18.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f180.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f180.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f180.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f183.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f183.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f183.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f186.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f186.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f186.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f189.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f189.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f189.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f19.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f19.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f19.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f192.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f192.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f192.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f195.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f195.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f195.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f198.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f198.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f198.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f20.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f20.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f20.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f201.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f201.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f201.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f204.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f204.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f204.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f207.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f207.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f207.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f21.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f21.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f21.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f210.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f210.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f210.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f213.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f213.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f213.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f216.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f216.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f216.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f219.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f219.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f219.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f22.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f22.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f22.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f222.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f222.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f222.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f225.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f225.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f225.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f228.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f228.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f228.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f23.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f23.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f23.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f231.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f231.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f231.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f234.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f234.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f234.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f237.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f237.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f237.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f24.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f24.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f24.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f240.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f240.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f240.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f25.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f25.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f25.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f252.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f252.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f252.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f26.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f26.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f26.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f264.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f264.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f264.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f27.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f27.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f27.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f276.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f276.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f276.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f28.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f28.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f28.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f288.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f288.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f288.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f29.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f29.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f29.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f30.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f30.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f30.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f300.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f300.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f300.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f31.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f31.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f31.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f312.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f312.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f312.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f32.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f32.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f32.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f324.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f324.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f324.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f33.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f33.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f33.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f336.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f336.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f336.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f34.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f34.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f34.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f348.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f348.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f348.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f35.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f35.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f35.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f36.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f36.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f36.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f360.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f360.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f360.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f37.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f37.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f37.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f372.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f372.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f372.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f38.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f38.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f38.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f384.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f384.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f384.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f39.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f39.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f39.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f40.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f40.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f40.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f41.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f41.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f41.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f42.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f42.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f42.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f43.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f43.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f43.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f44.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f44.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f44.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f45.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f45.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f45.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f46.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f46.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f46.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f47.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f47.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f47.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f48.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f48.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f48.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f49.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f49.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f49.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f50.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f50.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f50.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f51.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f51.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f51.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f52.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f52.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f52.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f53.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f53.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f53.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f54.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f54.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f54.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f55.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f55.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f55.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f56.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f56.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f56.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f57.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f57.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f57.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f58.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f58.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f58.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f59.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f59.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f59.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f60.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f60.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f60.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f61.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f61.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f61.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f62.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f62.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f62.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f63.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f63.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f63.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f64.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f64.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f64.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f65.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f65.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f65.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f66.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f66.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f66.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f67.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f67.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f67.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f68.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f68.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f68.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f69.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f69.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f69.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f70.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f70.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f70.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f71.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f71.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f71.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f72.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f72.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f72.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f73.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f73.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f73.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f74.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f74.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f74.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f75.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f75.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f75.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f76.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f76.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f76.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f77.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f77.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f77.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f78.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f78.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f78.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f79.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f79.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f79.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f80.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f80.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f80.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f81.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f81.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f81.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f82.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f82.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f82.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f83.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f83.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f83.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f84.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f84.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f84.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f85.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f85.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f85.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f86.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f86.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f86.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f87.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f87.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f87.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f88.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f88.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f88.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f89.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f89.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f89.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f90.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f90.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f90.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f91.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f91.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f91.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f92.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f92.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f92.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f93.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f93.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f93.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f94.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f94.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f94.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f95.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f95.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f95.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f96.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f96.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f96.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f97.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f97.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f97.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f98.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f98.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f98.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f99.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f99.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f99.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_manager.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_manager.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_manager.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf index 1918888..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep.jgfs_emcsfc_sfc_prep -#BSUB -o %ECF_OUT%/gfs.prep.jgfs_emcsfc_sfc_prep_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGFS_EMCSFC_SFC_PREP +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf index c040ef2..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf @@ -1,12 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep.jgfs_prep -#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=3 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGFS_PREP +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf index 16762fe..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep.jgfs_prep_post -#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_post_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGFS_PREP_POST +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_even.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_even.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_even.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/cycle_end.ecf b/model/ecflow_fv3gfs/scripts/prod12/cycle_end.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/cycle_end.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/analysis/jgdas_analysis_high.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/analysis/jgdas_analysis_high.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/analysis/jgdas_analysis_high.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf index 007ef46..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.dump.jgdas_dump -#BSUB -o %ECF_OUT%/gdas.dump.jgdas_dump_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_DUMP +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf index 028184c..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.dump.jgdas_ics -#BSUB -o %ECF_OUT%/gdas.dump.jgdas_ics_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_ICS +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf index 153c823..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.dump.jgdas_tropcy_qc_reloc -#BSUB -o %ECF_OUT%/gdas.dump.jgdas_tropcy_qc_reloc_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_TROPCY_QC_RELOC +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf index 148f357..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf @@ -1,12 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_inflate_recenter -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_inflate_recenter_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=20 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_ENKF_INFLATE_RECENTER +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf index dea827f..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf @@ -1,12 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_post -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_post_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=20 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_ENKF_POST +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf index 69ebc26..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf @@ -1,12 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_select_obs -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_select_obs_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_ENKF_SELECT_OBS +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf index 31eed27..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf @@ -1,12 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_update -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_update_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=40 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_ENKF_UPDATE +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/forecast/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/forecast/jgdas_forecast.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/forecast/jgdas_forecast.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_meta.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_meta.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_ncdc.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_ncdc.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf index 02d9ddf..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.jgdas_verfrad -#BSUB -o %ECF_OUT%/gdas.jgdas_verfrad_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_VERFRAD +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf index 3c67ea6..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.jgdas_vminmon -#BSUB -o %ECF_OUT%/gdas.jgdas_vminmon_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_VMINMON +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/post/jgdas_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/post/jgdas_post.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/post/jgdas_post.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf index 5d5a392..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep.jgdas_emcsfc_sfc_prep -#BSUB -o %ECF_OUT%/gdas.prep.jgdas_emcsfc_sfc_prep_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_EMCSFC_SFC_PREP +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf index f76c25e..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf @@ -1,12 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep.jgdas_prep -#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=3 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_PREP +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf index 9d87497..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep.jgdas_prep_post -#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_post_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_PREP_POST +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf index e6a3ce3..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.dump.jgfs_dump -#BSUB -o %ECF_OUT%/gfs.dump.jgfs_dump_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGFS_DUMP +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf index 1712817..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.dump.jgfs_tropcy_qc_reloc -#BSUB -o %ECF_OUT%/gfs.dump.jgfs_tropcy_qc_reloc_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGFS_TROPCY_QC_RELOC +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_high.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_high.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_high.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_low.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_low.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_low.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_meta.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_meta.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_ncdc.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_ncdc.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_upapgif.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_upapgif.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_upapgif.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf index 3f3eef2..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf @@ -1,12 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.jgfs_analysis -#BSUB -o %ECF_OUT%/gfs.jgfs_analysis_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=60 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGFS_ANALYSIS +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf index a101bd8..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.jgfs_vminmon -#BSUB -o %ECF_OUT%/gfs.jgfs_vminmon_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGFS_VMINMON +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_pgrb2_spec_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_pgrb2_spec_post.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_pgrb2_spec_post.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_anl.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_anl.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_anl.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f00.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f00.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f00.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f01.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f01.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f01.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f02.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f02.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f02.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f03.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f03.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f03.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f04.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f04.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f04.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f05.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f05.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f05.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f06.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f06.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f06.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f07.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f07.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f07.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f08.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f08.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f08.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f09.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f09.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f09.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f10.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f10.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f10.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f100.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f100.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f100.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f101.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f101.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f101.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f102.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f102.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f102.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f103.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f103.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f103.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f104.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f104.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f104.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f105.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f105.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f105.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f106.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f106.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f106.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f107.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f107.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f107.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f108.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f108.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f108.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f109.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f109.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f109.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f11.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f11.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f11.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f110.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f110.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f110.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f111.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f111.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f111.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f112.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f112.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f112.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f113.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f113.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f113.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f114.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f114.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f114.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f115.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f115.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f115.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f116.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f116.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f116.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f117.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f117.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f117.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f118.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f118.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f118.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f119.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f119.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f119.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f12.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f12.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f12.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f120.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f120.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f120.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f123.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f123.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f123.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f126.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f126.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f126.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f129.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f129.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f129.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f13.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f13.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f13.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f132.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f132.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f132.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f135.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f135.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f135.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f138.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f138.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f138.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f14.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f14.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f14.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f141.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f141.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f141.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f144.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f144.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f144.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f147.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f147.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f147.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f15.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f15.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f15.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f150.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f150.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f150.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f153.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f153.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f153.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f156.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f156.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f156.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f159.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f159.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f159.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f16.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f16.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f16.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f162.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f162.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f162.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f165.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f165.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f165.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f168.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f168.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f168.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f17.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f17.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f17.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f171.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f171.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f171.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f174.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f174.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f174.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f177.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f177.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f177.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f18.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f18.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f18.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f180.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f180.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f180.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f183.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f183.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f183.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f186.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f186.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f186.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f189.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f189.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f189.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f19.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f19.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f19.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f192.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f192.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f192.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f195.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f195.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f195.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f198.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f198.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f198.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f20.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f20.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f20.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f201.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f201.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f201.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f204.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f204.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f204.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f207.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f207.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f207.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f21.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f21.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f21.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f210.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f210.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f210.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f213.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f213.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f213.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f216.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f216.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f216.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f219.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f219.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f219.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f22.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f22.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f22.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f222.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f222.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f222.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f225.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f225.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f225.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f228.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f228.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f228.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f23.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f23.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f23.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f231.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f231.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f231.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f234.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f234.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f234.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f237.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f237.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f237.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f24.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f24.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f24.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f240.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f240.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f240.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f25.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f25.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f25.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f252.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f252.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f252.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f26.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f26.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f26.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f264.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f264.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f264.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f27.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f27.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f27.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f276.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f276.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f276.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f28.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f28.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f28.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f288.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f288.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f288.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f29.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f29.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f29.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f30.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f30.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f30.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f300.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f300.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f300.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f31.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f31.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f31.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f312.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f312.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f312.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f32.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f32.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f32.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f324.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f324.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f324.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f33.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f33.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f33.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f336.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f336.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f336.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f34.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f34.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f34.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f348.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f348.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f348.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f35.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f35.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f35.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f36.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f36.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f36.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f360.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f360.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f360.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f37.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f37.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f37.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f372.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f372.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f372.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f38.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f38.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f38.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f384.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f384.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f384.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f39.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f39.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f39.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f40.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f40.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f40.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f41.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f41.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f41.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f42.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f42.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f42.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f43.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f43.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f43.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f44.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f44.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f44.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f45.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f45.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f45.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f46.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f46.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f46.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f47.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f47.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f47.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f48.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f48.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f48.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f49.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f49.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f49.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f50.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f50.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f50.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f51.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f51.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f51.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f52.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f52.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f52.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f53.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f53.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f53.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f54.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f54.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f54.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f55.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f55.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f55.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f56.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f56.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f56.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f57.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f57.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f57.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f58.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f58.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f58.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f59.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f59.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f59.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f60.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f60.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f60.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f61.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f61.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f61.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f62.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f62.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f62.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f63.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f63.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f63.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f64.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f64.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f64.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f65.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f65.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f65.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f66.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f66.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f66.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f67.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f67.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f67.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f68.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f68.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f68.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f69.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f69.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f69.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f70.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f70.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f70.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f71.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f71.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f71.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f72.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f72.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f72.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f73.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f73.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f73.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f74.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f74.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f74.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f75.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f75.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f75.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f76.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f76.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f76.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f77.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f77.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f77.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f78.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f78.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f78.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f79.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f79.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f79.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f80.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f80.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f80.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f81.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f81.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f81.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f82.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f82.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f82.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f83.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f83.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f83.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f84.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f84.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f84.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f85.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f85.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f85.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f86.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f86.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f86.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f87.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f87.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f87.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f88.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f88.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f88.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f89.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f89.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f89.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f90.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f90.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f90.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f91.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f91.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f91.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f92.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f92.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f92.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f93.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f93.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f93.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f94.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f94.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f94.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f95.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f95.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f95.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f96.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f96.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f96.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f97.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f97.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f97.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f98.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f98.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f98.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f99.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f99.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f99.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_manager.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_manager.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_manager.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_fbwind.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_fbwind.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_fbwind.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_anl.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_anl.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_anl.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_f00.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_f00.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_f00.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/jgfs_wafs_gcip.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/jgfs_wafs_gcip.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/jgfs_wafs_gcip.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_anl.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_anl.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_anl.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f00.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f00.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f00.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f01.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f01.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f01.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f02.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f02.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f02.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f03.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f03.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f03.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f04.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f04.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f04.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f05.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f05.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f05.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f06.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f06.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f06.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f07.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f07.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f07.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f08.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f08.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f08.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f09.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f09.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f09.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f10.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f10.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f10.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f100.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f100.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f100.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f101.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f101.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f101.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f102.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f102.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f102.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f103.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f103.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f103.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f104.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f104.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f104.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f105.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f105.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f105.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f106.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f106.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f106.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f107.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f107.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f107.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f108.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f108.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f108.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f109.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f109.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f109.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f11.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f11.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f11.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f110.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f110.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f110.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f111.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f111.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f111.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f112.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f112.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f112.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f113.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f113.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f113.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f114.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f114.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f114.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f115.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f115.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f115.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f116.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f116.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f116.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f117.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f117.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f117.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f118.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f118.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f118.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f119.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f119.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f119.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f12.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f12.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f12.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f120.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f120.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f120.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f123.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f123.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f123.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f126.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f126.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f126.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f129.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f129.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f129.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f13.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f13.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f13.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f132.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f132.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f132.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f135.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f135.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f135.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f138.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f138.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f138.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f14.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f14.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f14.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f141.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f141.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f141.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f144.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f144.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f144.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f147.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f147.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f147.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f15.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f15.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f15.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f150.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f150.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f150.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f153.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f153.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f153.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f156.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f156.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f156.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f159.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f159.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f159.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f16.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f16.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f16.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f162.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f162.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f162.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f165.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f165.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f165.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f168.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f168.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f168.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f17.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f17.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f17.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f171.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f171.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f171.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f174.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f174.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f174.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f177.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f177.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f177.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f18.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f18.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f18.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f180.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f180.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f180.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f183.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f183.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f183.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f186.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f186.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f186.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f189.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f189.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f189.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f19.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f19.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f19.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f192.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f192.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f192.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f195.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f195.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f195.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f198.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f198.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f198.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f20.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f20.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f20.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f201.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f201.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f201.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f204.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f204.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f204.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f207.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f207.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f207.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f21.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f21.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f21.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f210.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f210.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f210.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f213.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f213.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f213.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f216.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f216.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f216.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f219.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f219.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f219.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f22.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f22.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f22.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f222.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f222.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f222.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f225.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f225.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f225.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f228.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f228.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f228.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f23.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f23.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f23.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f231.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f231.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f231.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f234.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f234.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f234.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f237.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f237.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f237.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f24.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f24.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f24.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f240.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f240.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f240.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f25.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f25.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f25.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f252.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f252.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f252.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f26.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f26.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f26.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f264.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f264.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f264.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f27.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f27.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f27.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f276.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f276.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f276.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f28.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f28.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f28.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f288.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f288.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f288.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f29.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f29.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f29.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f30.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f30.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f30.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f300.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f300.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f300.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f31.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f31.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f31.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f312.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f312.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f312.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f32.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f32.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f32.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f324.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f324.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f324.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f33.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f33.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f33.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f336.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f336.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f336.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f34.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f34.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f34.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f348.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f348.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f348.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f35.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f35.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f35.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f36.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f36.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f36.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f360.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f360.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f360.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f37.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f37.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f37.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f372.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f372.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f372.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f38.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f38.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f38.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f384.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f384.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f384.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f39.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f39.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f39.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f40.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f40.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f40.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f41.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f41.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f41.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f42.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f42.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f42.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f43.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f43.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f43.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f44.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f44.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f44.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f45.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f45.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f45.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f46.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f46.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f46.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f47.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f47.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f47.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f48.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f48.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f48.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f49.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f49.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f49.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f50.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f50.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f50.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f51.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f51.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f51.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f52.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f52.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f52.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f53.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f53.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f53.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f54.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f54.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f54.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f55.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f55.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f55.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f56.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f56.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f56.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f57.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f57.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f57.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f58.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f58.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f58.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f59.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f59.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f59.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f60.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f60.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f60.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f61.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f61.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f61.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f62.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f62.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f62.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f63.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f63.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f63.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f64.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f64.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f64.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f65.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f65.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f65.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f66.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f66.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f66.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f67.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f67.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f67.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f68.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f68.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f68.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f69.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f69.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f69.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f70.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f70.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f70.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f71.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f71.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f71.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f72.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f72.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f72.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f73.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f73.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f73.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f74.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f74.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f74.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f75.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f75.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f75.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f76.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f76.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f76.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f77.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f77.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f77.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f78.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f78.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f78.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f79.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f79.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f79.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f80.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f80.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f80.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f81.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f81.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f81.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f82.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f82.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f82.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f83.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f83.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f83.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f84.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f84.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f84.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f85.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f85.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f85.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f86.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f86.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f86.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f87.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f87.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f87.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f88.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f88.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f88.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f89.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f89.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f89.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f90.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f90.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f90.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f91.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f91.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f91.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f92.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f92.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f92.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f93.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f93.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f93.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f94.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f94.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f94.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f95.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f95.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f95.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f96.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f96.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f96.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f97.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f97.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f97.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f98.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f98.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f98.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f99.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f99.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f99.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_manager.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_manager.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_manager.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf index 1918888..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep.jgfs_emcsfc_sfc_prep -#BSUB -o %ECF_OUT%/gfs.prep.jgfs_emcsfc_sfc_prep_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGFS_EMCSFC_SFC_PREP +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf index c040ef2..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf @@ -1,12 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep.jgfs_prep -#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=3 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGFS_PREP +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf index 16762fe..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep.jgfs_prep_post -#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_post_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGFS_PREP_POST +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_even.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_even.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_even.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/cycle_end.ecf b/model/ecflow_fv3gfs/scripts/prod18/cycle_end.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/cycle_end.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/analysis/jgdas_analysis_high.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/analysis/jgdas_analysis_high.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/analysis/jgdas_analysis_high.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf index 007ef46..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.dump.jgdas_dump -#BSUB -o %ECF_OUT%/gdas.dump.jgdas_dump_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_DUMP +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf index 028184c..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.dump.jgdas_ics -#BSUB -o %ECF_OUT%/gdas.dump.jgdas_ics_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_ICS +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf index 153c823..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.dump.jgdas_tropcy_qc_reloc -#BSUB -o %ECF_OUT%/gdas.dump.jgdas_tropcy_qc_reloc_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_TROPCY_QC_RELOC +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf index 148f357..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf @@ -1,12 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_inflate_recenter -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_inflate_recenter_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=20 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_ENKF_INFLATE_RECENTER +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf index dea827f..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf @@ -1,12 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_post -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_post_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=20 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_ENKF_POST +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf index 69ebc26..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf @@ -1,12 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_select_obs -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_select_obs_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_ENKF_SELECT_OBS +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf index 31eed27..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf @@ -1,12 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_update -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_update_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=40 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_ENKF_UPDATE +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/forecast/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/forecast/jgdas_forecast.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/forecast/jgdas_forecast.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_meta.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_meta.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_ncdc.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_ncdc.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf index 02d9ddf..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.jgdas_verfrad -#BSUB -o %ECF_OUT%/gdas.jgdas_verfrad_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_VERFRAD +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf index 3c67ea6..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.jgdas_vminmon -#BSUB -o %ECF_OUT%/gdas.jgdas_vminmon_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_VMINMON +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/post/jgdas_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/post/jgdas_post.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/post/jgdas_post.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf index 5d5a392..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep.jgdas_emcsfc_sfc_prep -#BSUB -o %ECF_OUT%/gdas.prep.jgdas_emcsfc_sfc_prep_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_EMCSFC_SFC_PREP +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf index f76c25e..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf @@ -1,12 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep.jgdas_prep -#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=3 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_PREP +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf index 9d87497..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep.jgdas_prep_post -#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_post_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGDAS_PREP_POST +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf index e6a3ce3..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.dump.jgfs_dump -#BSUB -o %ECF_OUT%/gfs.dump.jgfs_dump_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGFS_DUMP +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf index 1712817..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.dump.jgfs_tropcy_qc_reloc -#BSUB -o %ECF_OUT%/gfs.dump.jgfs_tropcy_qc_reloc_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGFS_TROPCY_QC_RELOC +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_high.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_high.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_high.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_low.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_low.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_low.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_meta.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_meta.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_ncdc.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_ncdc.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_upapgif.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_upapgif.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_upapgif.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf index 3f3eef2..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf @@ -1,12 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.jgfs_analysis -#BSUB -o %ECF_OUT%/gfs.jgfs_analysis_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=60 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGFS_ANALYSIS +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf index a101bd8..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.jgfs_vminmon -#BSUB -o %ECF_OUT%/gfs.jgfs_vminmon_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGFS_VMINMON +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_pgrb2_spec_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_pgrb2_spec_post.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_pgrb2_spec_post.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_anl.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_anl.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_anl.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f00.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f00.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f00.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f01.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f01.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f01.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f02.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f02.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f02.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f03.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f03.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f03.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f04.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f04.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f04.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f05.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f05.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f05.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f06.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f06.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f06.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f07.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f07.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f07.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f08.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f08.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f08.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f09.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f09.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f09.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f10.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f10.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f10.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f100.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f100.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f100.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f101.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f101.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f101.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f102.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f102.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f102.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f103.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f103.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f103.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f104.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f104.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f104.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f105.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f105.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f105.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f106.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f106.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f106.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f107.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f107.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f107.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f108.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f108.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f108.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f109.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f109.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f109.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f11.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f11.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f11.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f110.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f110.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f110.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f111.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f111.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f111.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f112.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f112.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f112.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f113.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f113.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f113.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f114.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f114.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f114.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f115.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f115.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f115.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f116.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f116.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f116.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f117.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f117.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f117.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f118.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f118.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f118.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f119.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f119.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f119.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f12.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f12.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f12.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f120.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f120.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f120.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f123.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f123.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f123.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f126.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f126.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f126.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f129.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f129.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f129.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f13.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f13.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f13.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f132.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f132.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f132.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f135.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f135.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f135.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f138.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f138.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f138.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f14.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f14.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f14.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f141.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f141.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f141.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f144.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f144.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f144.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f147.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f147.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f147.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f15.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f15.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f15.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f150.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f150.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f150.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f153.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f153.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f153.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f156.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f156.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f156.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f159.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f159.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f159.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f16.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f16.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f16.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f162.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f162.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f162.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f165.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f165.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f165.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f168.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f168.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f168.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f17.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f17.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f17.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f171.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f171.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f171.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f174.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f174.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f174.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f177.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f177.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f177.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f18.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f18.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f18.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f180.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f180.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f180.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f183.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f183.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f183.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f186.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f186.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f186.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f189.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f189.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f189.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f19.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f19.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f19.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f192.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f192.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f192.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f195.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f195.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f195.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f198.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f198.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f198.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f20.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f20.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f20.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f201.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f201.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f201.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f204.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f204.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f204.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f207.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f207.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f207.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f21.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f21.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f21.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f210.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f210.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f210.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f213.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f213.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f213.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f216.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f216.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f216.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f219.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f219.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f219.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f22.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f22.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f22.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f222.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f222.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f222.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f225.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f225.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f225.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f228.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f228.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f228.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f23.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f23.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f23.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f231.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f231.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f231.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f234.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f234.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f234.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f237.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f237.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f237.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f24.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f24.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f24.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f240.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f240.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f240.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f25.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f25.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f25.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f252.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f252.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f252.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f26.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f26.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f26.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f264.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f264.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f264.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f27.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f27.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f27.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f276.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f276.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f276.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f28.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f28.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f28.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f288.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f288.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f288.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f29.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f29.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f29.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f30.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f30.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f30.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f300.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f300.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f300.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f31.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f31.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f31.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f312.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f312.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f312.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f32.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f32.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f32.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f324.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f324.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f324.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f33.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f33.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f33.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f336.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f336.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f336.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f34.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f34.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f34.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f348.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f348.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f348.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f35.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f35.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f35.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f36.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f36.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f36.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f360.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f360.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f360.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f37.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f37.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f37.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f372.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f372.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f372.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f38.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f38.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f38.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f384.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f384.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f384.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f39.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f39.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f39.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f40.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f40.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f40.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f41.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f41.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f41.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f42.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f42.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f42.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f43.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f43.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f43.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f44.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f44.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f44.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f45.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f45.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f45.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f46.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f46.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f46.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f47.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f47.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f47.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f48.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f48.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f48.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f49.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f49.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f49.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f50.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f50.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f50.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f51.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f51.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f51.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f52.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f52.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f52.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f53.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f53.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f53.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f54.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f54.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f54.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f55.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f55.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f55.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f56.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f56.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f56.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f57.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f57.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f57.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f58.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f58.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f58.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f59.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f59.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f59.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f60.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f60.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f60.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f61.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f61.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f61.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f62.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f62.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f62.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f63.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f63.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f63.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f64.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f64.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f64.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f65.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f65.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f65.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f66.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f66.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f66.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f67.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f67.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f67.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f68.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f68.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f68.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f69.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f69.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f69.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f70.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f70.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f70.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f71.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f71.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f71.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f72.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f72.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f72.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f73.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f73.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f73.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f74.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f74.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f74.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f75.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f75.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f75.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f76.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f76.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f76.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f77.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f77.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f77.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f78.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f78.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f78.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f79.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f79.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f79.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f80.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f80.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f80.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f81.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f81.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f81.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f82.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f82.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f82.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f83.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f83.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f83.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f84.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f84.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f84.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f85.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f85.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f85.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f86.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f86.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f86.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f87.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f87.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f87.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f88.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f88.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f88.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f89.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f89.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f89.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f90.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f90.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f90.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f91.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f91.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f91.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f92.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f92.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f92.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f93.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f93.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f93.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f94.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f94.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f94.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f95.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f95.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f95.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f96.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f96.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f96.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f97.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f97.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f97.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f98.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f98.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f98.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f99.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f99.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f99.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_manager.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_manager.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_manager.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_fbwind.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_fbwind.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_fbwind.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_anl.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_anl.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_anl.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_f00.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_f00.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_f00.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/jgfs_wafs_gcip.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/jgfs_wafs_gcip.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/jgfs_wafs_gcip.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_anl.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_anl.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_anl.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f00.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f00.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f00.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f01.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f01.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f01.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f02.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f02.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f02.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f03.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f03.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f03.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f04.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f04.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f04.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f05.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f05.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f05.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f06.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f06.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f06.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f07.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f07.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f07.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f08.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f08.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f08.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f09.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f09.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f09.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f10.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f10.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f10.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f100.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f100.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f100.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f101.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f101.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f101.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f102.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f102.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f102.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f103.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f103.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f103.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f104.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f104.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f104.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f105.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f105.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f105.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f106.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f106.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f106.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f107.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f107.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f107.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f108.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f108.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f108.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f109.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f109.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f109.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f11.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f11.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f11.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f110.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f110.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f110.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f111.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f111.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f111.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f112.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f112.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f112.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f113.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f113.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f113.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f114.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f114.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f114.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f115.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f115.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f115.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f116.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f116.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f116.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f117.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f117.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f117.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f118.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f118.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f118.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f119.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f119.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f119.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f12.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f12.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f12.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f120.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f120.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f120.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f123.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f123.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f123.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f126.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f126.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f126.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f129.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f129.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f129.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f13.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f13.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f13.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f132.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f132.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f132.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f135.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f135.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f135.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f138.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f138.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f138.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f14.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f14.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f14.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f141.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f141.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f141.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f144.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f144.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f144.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f147.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f147.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f147.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f15.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f15.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f15.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f150.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f150.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f150.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f153.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f153.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f153.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f156.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f156.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f156.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f159.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f159.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f159.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f16.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f16.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f16.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f162.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f162.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f162.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f165.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f165.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f165.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f168.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f168.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f168.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f17.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f17.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f17.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f171.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f171.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f171.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f174.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f174.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f174.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f177.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f177.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f177.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f18.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f18.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f18.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f180.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f180.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f180.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f183.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f183.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f183.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f186.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f186.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f186.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f189.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f189.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f189.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f19.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f19.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f19.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f192.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f192.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f192.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f195.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f195.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f195.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f198.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f198.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f198.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f20.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f20.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f20.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f201.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f201.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f201.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f204.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f204.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f204.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f207.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f207.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f207.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f21.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f21.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f21.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f210.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f210.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f210.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f213.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f213.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f213.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f216.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f216.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f216.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f219.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f219.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f219.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f22.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f22.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f22.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f222.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f222.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f222.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f225.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f225.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f225.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f228.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f228.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f228.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f23.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f23.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f23.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f231.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f231.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f231.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f234.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f234.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f234.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f237.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f237.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f237.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f24.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f24.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f24.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f240.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f240.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f240.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f25.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f25.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f25.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f252.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f252.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f252.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f26.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f26.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f26.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f264.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f264.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f264.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f27.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f27.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f27.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f276.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f276.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f276.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f28.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f28.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f28.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f288.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f288.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f288.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f29.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f29.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f29.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f30.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f30.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f30.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f300.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f300.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f300.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f31.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f31.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f31.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f312.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f312.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f312.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f32.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f32.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f32.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f324.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f324.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f324.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f33.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f33.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f33.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f336.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f336.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f336.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f34.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f34.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f34.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f348.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f348.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f348.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f35.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f35.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f35.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f36.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f36.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f36.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f360.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f360.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f360.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f37.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f37.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f37.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f372.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f372.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f372.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f38.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f38.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f38.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f384.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f384.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f384.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f39.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f39.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f39.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f40.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f40.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f40.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f41.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f41.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f41.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f42.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f42.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f42.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f43.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f43.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f43.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f44.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f44.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f44.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f45.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f45.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f45.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f46.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f46.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f46.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f47.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f47.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f47.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f48.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f48.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f48.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f49.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f49.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f49.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f50.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f50.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f50.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f51.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f51.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f51.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f52.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f52.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f52.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f53.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f53.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f53.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f54.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f54.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f54.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f55.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f55.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f55.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f56.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f56.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f56.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f57.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f57.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f57.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f58.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f58.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f58.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f59.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f59.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f59.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f60.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f60.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f60.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f61.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f61.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f61.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f62.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f62.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f62.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f63.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f63.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f63.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f64.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f64.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f64.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f65.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f65.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f65.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f66.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f66.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f66.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f67.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f67.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f67.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f68.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f68.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f68.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f69.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f69.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f69.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f70.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f70.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f70.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f71.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f71.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f71.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f72.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f72.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f72.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f73.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f73.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f73.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f74.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f74.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f74.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f75.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f75.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f75.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f76.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f76.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f76.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f77.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f77.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f77.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f78.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f78.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f78.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f79.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f79.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f79.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f80.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f80.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f80.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f81.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f81.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f81.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f82.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f82.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f82.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f83.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f83.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f83.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f84.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f84.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f84.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f85.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f85.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f85.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f86.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f86.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f86.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f87.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f87.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f87.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f88.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f88.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f88.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f89.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f89.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f89.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f90.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f90.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f90.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f91.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f91.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f91.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f92.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f92.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f92.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f93.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f93.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f93.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f94.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f94.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f94.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f95.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f95.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f95.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f96.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f96.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f96.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f97.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f97.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f97.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f98.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f98.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f98.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f99.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f99.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f99.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_manager.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_manager.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_manager.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf index 1918888..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep.jgfs_emcsfc_sfc_prep -#BSUB -o %ECF_OUT%/gfs.prep.jgfs_emcsfc_sfc_prep_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGFS_EMCSFC_SFC_PREP +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf index c040ef2..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf @@ -1,12 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep.jgfs_prep -#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=3 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGFS_PREP +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf index 16762fe..64ef876 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf @@ -1,11 +1,33 @@ #! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep.jgfs_prep_post -#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_post_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 +{ecf_batch_resources} %include -echo ${JOBgfs}/JGFS_PREP_POST +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_even.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_even.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_even.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf new file mode 100644 index 0000000..64ef876 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf @@ -0,0 +1,33 @@ +#! /bin/sh +{ecf_batch_resources} +%include +%include + +set -x + +{ecf_resource_more} + +export model={model_name} +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +{ecf_module_commands} +module list + +############################################################# +# WCOSS environment settings +############################################################# + +{ecf_environment_settings} + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + +%include +%manual diff --git a/model/ecflow_fv3gfs/settings.yaml b/model/ecflow_fv3gfs/settings.yaml index 847a1f3..c009a92 100644 --- a/model/ecflow_fv3gfs/settings.yaml +++ b/model/ecflow_fv3gfs/settings.yaml @@ -16,6 +16,9 @@ settings: PROJECT: GFS-T2O QUEUESERV: dev_transfer + forecast_hours: !calc tools.seq(0,120,1)+tools.seq(123,240,3)+tools.seq(240,384,12) + wafs_last_hour: !calc 120 + # NOTE TO SELF: replace experiment_name with %EMCPEN% COM: !expand '/gpfs/hps2/ptmp/emc.glopara/{experiment_name}/com' DATAROOT: !expand '/gpfs/hps2/stmp/emc.glopara/{experiment_name}' diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index 6d6d504..006e9b5 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -24,22 +24,14 @@ exclusive_accounting: &exclusive_accounting queue: !calc metasched.varref('QUEUE') project: !calc metasched.varref('PROJECT') -ecf_file_template: &ecf_file_template !expand | - #! /bin/sh - {sched.batch_accounting(accounting,jobname=task_path_var,outerr="%ECF_OUT%/"+task_path_var+"_t"+"%CYC%"+"z.log") - }{sched.batch_resources(resources)} - %include - echo ${{JOBgfs}}/{J_JOB} - %include - shared_task_template: &shared_task_template - ecf_file: *ecf_file_template + <<: *ecf_file_logic accounting: *shared_accounting J_JOB: !calc tools.to_upper(task_path_var[-1]) Rocoto: *rocoto_task_template exclusive_task_template: &exclusive_task_template - ecf_file: *ecf_file_template + <<: *ecf_file_logic accounting: *exclusive_accounting J_JOB: !calc tools.to_upper(task_path_list[-1]) Rocoto: *rocoto_task_template @@ -144,12 +136,12 @@ suite: !Cycle sminit_guam: !Family jgfs_sminit_guam_even: !Task <<: *exclusive_task_template - Trigger: !Depend ( up.post.jgfs_post_anl eq active or up.post.jgfs_post_anl ) + Trigger: !Depend ( up.post.jgfs_post_anl.is_running() | up.post.jgfs_post_anl ) resources: !calc ( doc.resource_demo.run_nothing ) jgfs_sminit_guam_odd: !Task <<: *exclusive_task_template - Trigger: !Depend ( up.post.jgfs_post_anl eq active or up.post.jgfs_post_anl ) + Trigger: !Depend ( up.post.jgfs_post_anl.is_running() | up.post.jgfs_post_anl ) resources: !calc ( doc.resource_demo.run_nothing ) post_processing: !Family @@ -195,36 +187,20 @@ suite: !Cycle Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f36 resources: !calc ( doc.resource_demo.run_nothing ) - grib_wafs: !Family - jgfs_wafs_f00: !Task - ecflow_def: | - edit FCSTHR '00' - <<: *exclusive_task_template - Trigger: !Depend ( up.up.prdgen.jgfs_pgrb2_f00 & up.up.prdgen.jgfs_pgrb2_f120 & up.grib2_wafs.jgfs_wafs_grib2 ) - resources: !calc ( doc.resource_demo.run_nothing ) - - jgfs_wafs_f06: !Task - ecflow_def: | - edit FCSTHR '06' - <<: *exclusive_task_template - Trigger: !Depend ( up.up.prdgen.jgfs_pgrb2_f06 & jgfs_wafs_f00 ) - resources: !calc ( doc.resource_demo.run_nothing ) - - jgfs_wafs_f12: !Task - ecflow_def: | - edit FCSTHR '12' - <<: *exclusive_task_template - Trigger: !Depend ( up.up.prdgen.jgfs_pgrb2_f12 & jgfs_wafs_f06 ) - resources: !calc ( doc.resource_demo.run_nothing ) - - # tasks every 6 hours till f120 - - jgfs_wafs_f120: !Task - ecflow_def: | - edit FCSTHR '120' + grib_wafs: !TaskArray + Dimensions: + fhr: !calc tools.seq(0,doc.settings.wafs_last_hour,6) + jgfs_wafs: !TaskElement <<: *exclusive_task_template - Trigger: !Depend ( up.up.prdgen.jgfs_pgrb2_f120 & jgfs_wafs_f114 ) + Name: !expand 'jgfs_wafs_f{idx.fhr:02d}' + Trigger: !FirstTrue + - when: !calc idx.fhr == 0 + do: !Depend ( up.up.prdgen.jgfs_pgrb2_f00 & up.up.prdgen.jgfs_pgrb2_f120 & up.grib2_wafs.jgfs_wafs_grib2 ) + - otherwise: !Depend >- + up.up.prdgen.depend("jgfs_pgrb2_f{N:02d}",N=[ idx.fhr ]) + & this.depend("jgfs_wafs_f{N:02d}",N=[ idx.fhr-6 ]) resources: !calc ( doc.resource_demo.run_nothing ) + ecflow_def: !expand "edit FCSTHR '{idx.fhr:02d}'" bufr_sounding: !Family jgfs_postsnd: !Task @@ -340,14 +316,23 @@ suite: !Cycle Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f240 resources: !calc ( doc.resource_demo.run_nothing ) - post: !Family - jgfs_post_manager: !Task + post: !TaskArray + Dimensions: + fhr: !calc doc.settings.forecast_hours + jgfs_post_manager_el: !TaskElement <<: *exclusive_task_template + Foreach: [] + Name: jgfs_post_manager Trigger: !Depend ( up.jgfs_analysis & up.forecast ) release_postanl: !DataEvent {file="/dev/null"} - release_post00: !DataEvent {file="/dev/null"} - # events from release_post00 to release_post384 - release_post384: !DataEvent {file="/dev/null"} + release_post_fhr: !DataEventElement + Name: !expand "release_post{idx.fhr:02d}" + Foreach: [ fhr ] + file: "/dev/null" + + # release_post00: !DataEvent {file="/dev/null"} + # # events from release_post00 to release_post384 + # release_post384: !DataEvent {file="/dev/null"} resources: !calc ( doc.resource_demo.run_nothing ) jgfs_post_anl: !Task @@ -359,6 +344,14 @@ suite: !Cycle release_pgrb2_anl: !DataEvent {file="/dev/null"} resources: !calc ( doc.resource_demo.run_nothing ) + jgfs_post_f: !TaskElement + <<: *exclusive_task_template + Name: !expand jgfs_post_f{idx.fhr:02d} + ecflow_def: | + edit FHR: 'f{idx.fhr:02d}' + edit HR: '{idx.fhr:02d}' + Trigger: !Depend jgfs_post_manager.depend('release_post{N:02d}',N=idx.fhr) + jgfs_post_f00: !Task ecflow_def: | edit FHR 'f00' @@ -399,7 +392,10 @@ suite: !Cycle Trigger: !Depend ( jgfs_post_f336 & jgfs_post_f384 ) resources: !calc ( doc.resource_demo.run_nothing ) - prdgen: !Family + prdgen: !TaskArray + Dimensions: + fhr: !calc doc.settings.forecast_hours + jgfs_pgrb2_manager: !Task <<: *exclusive_task_template Trigger: !Depend up.post @@ -410,6 +406,24 @@ suite: !Cycle release_post384: !DataEvent {file="/dev/null"} resources: !calc ( doc.resource_demo.run_nothing ) + pgrb_f: !TaskElement + <<: *exclusive_task_template + Foreach: [ fhr ] + Name: !expand "jgfs_pgrb2_f{idx.fhr:02d}" + resources: !calc ( doc.resource_demo.run_gfspost ) + J_JOB: JGLOBAL_POST + ecflow_def: !expand | + edit FHR '{idx.fhr:02d}' + edit HR '{idx.fhr:02d}' + + jgfs_pgrb2_anl: !Task + <<: *exclusive_task_template + resources: !calc ( doc.resource_demo.run_gfspost ) + J_JOB: JGLOBAL_POST + ecflow_def: !expand | + edit FHR 'anl' + edit HR 'anl' + #Need to add other tasks in this prdgen family gempak: !Family @@ -420,7 +434,7 @@ suite: !Cycle jgfs_gempak_ncdc: !Task <<: *exclusive_task_template - Trigger: !Depend jgfs_gempak eq active + Trigger: !Depend jgfs_gempak.is_running() | jgfs_gempak.is_completed() resources: !calc ( doc.resource_demo.run_nothing ) jgfs_gempak: !Task @@ -453,7 +467,7 @@ suite: !Cycle jgdas_vminmon: !Task <<: *exclusive_task_template - Trigger: !Depend jgdas_analysis + Trigger: !Depend analysis.jgdas_analysis_high resources: !calc ( doc.resource_demo.run_nothing ) dump: !Family @@ -513,14 +527,14 @@ suite: !Cycle forecast: !Family #jgdas_forecast_high: !Task # <<: *exclusive_task_template - # Trigger: !Depend ( up.jgdas_analysis.release_fcst & up.enkf.innovate ) + # Trigger: !Depend ( up.analysis.jgdas_analysis_high.release_fcst & up.enkf.innovate ) # release_fcst: !DataEvent {file="/dev/null"} # resources: !calc ( doc.resource_demo.run_gdasfcst ) # J_JOB: JGLOBAL_FORECAST jgdas_forecast: !Task <<: *exclusive_task_template - Trigger: !Depend ( up.jgdas_analysis.release_fcst & up.enkf.innovate ) + Trigger: !Depend ( up.analysis.jgdas_analysis_high.release_fcst & up.enkf.innovate ) release_fcst: !DataEvent {file="/dev/null"} resources: !calc ( doc.resource_demo.run_gdasfcst ) J_JOB: JGLOBAL_FORECAST @@ -537,7 +551,7 @@ suite: !Cycle gempak: !Family jgdas_gempak: !Task <<: *exclusive_task_template - Trigger: !Depend up.forecast/jgdas_forecast + Trigger: !Depend up.forecast.jgdas_forecast resources: !calc ( doc.resource_demo.run_nothing ) jgdas_gempak_meta: !Task @@ -582,10 +596,10 @@ suite: !Cycle groupid: !calc tools.seq(1,doc.settings.ENKF_INNOVATE_GROUPS,1) jgdas_enkf_innovate_obs_grp: !TaskElement <<: *exclusive_task_template - Foreach: [ group ] + Foreach: [ groupid ] Name: !expand "jgdas_enkf_innovate_obs_grp{idx.groupid}" resources: !calc ( doc.resource_demo.run_eomg ) - J_JOB: jgdas_innovate + J_JOB: JGDAS_INNOVATE jgdas_enkf_update: !Task <<: *exclusive_task_template @@ -596,7 +610,7 @@ suite: !Cycle jgdas_enkf_inflate_recenter: !Task <<: *exclusive_task_template - Trigger: !Depend ( jgdas_enkf_update & up.jgdas_analysis ) + Trigger: !Depend ( jgdas_enkf_update & up.analysis.jgdas_analysis_high ) resources: !calc ( doc.resource_demo.run_ecen ) forecast: !TaskArray @@ -605,7 +619,7 @@ suite: !Cycle groupid: !calc tools.seq(1,doc.settings.ENKF_FORECAST_GROUPS,1) jgdas_enkf_fcst_grp: !TaskElement <<: *exclusive_task_template - Foreach: [ group ] + Foreach: [ groupid ] Name: !expand "jgdas_enkf_fcst_grp{idx.groupid}" resources: !calc ( doc.resource_demo.run_efcs ) J_JOB: JGLOBAL_FORECAST From c955d6336cfeccfb6a3bc56f78f939004d4f1e5a Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Tue, 13 Feb 2018 17:27:26 +0000 Subject: [PATCH 323/487] Expand vars in ecf files within ecflow_fv3gfs. --- model/ecflow_fv3gfs/defs/prod00.def | 215 +++++++++++++++--- model/ecflow_fv3gfs/defs/prod06.def | 215 +++++++++++++++--- model/ecflow_fv3gfs/defs/prod12.def | 215 +++++++++++++++--- model/ecflow_fv3gfs/defs/prod18.def | 215 +++++++++++++++--- model/ecflow_fv3gfs/ecf_file.yaml | 12 +- .../scripts/prod00/cycle_end.ecf | 33 ++- .../gdas/analysis/jgdas_analysis_high.ecf | 33 ++- .../scripts/prod00/gdas/dump/jgdas_dump.ecf | 21 +- .../scripts/prod00/gdas/dump/jgdas_ics.ecf | 21 +- .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 21 +- .../enkf/forecast/jgdas_enkf_fcst_grp1.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp10.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp11.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp12.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp13.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp14.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp15.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp16.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp2.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp3.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp4.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp5.ecf | 22 +- .../enkf/forecast/jgdas_enkf_fcst_grp6.ecf | 22 +- .../enkf/forecast/jgdas_enkf_fcst_grp7.ecf | 22 +- .../enkf/forecast/jgdas_enkf_fcst_grp8.ecf | 22 +- .../enkf/forecast/jgdas_enkf_fcst_grp9.ecf | 33 ++- .../innovate/jgdas_enkf_innovate_obs_grp1.ecf | 33 ++- .../jgdas_enkf_innovate_obs_grp10.ecf | 33 ++- .../jgdas_enkf_innovate_obs_grp11.ecf | 33 ++- .../jgdas_enkf_innovate_obs_grp12.ecf | 33 ++- .../jgdas_enkf_innovate_obs_grp13.ecf | 33 ++- .../jgdas_enkf_innovate_obs_grp14.ecf | 33 ++- .../jgdas_enkf_innovate_obs_grp15.ecf | 33 ++- .../jgdas_enkf_innovate_obs_grp16.ecf | 33 ++- .../innovate/jgdas_enkf_innovate_obs_grp2.ecf | 33 ++- .../innovate/jgdas_enkf_innovate_obs_grp3.ecf | 33 ++- .../innovate/jgdas_enkf_innovate_obs_grp4.ecf | 33 ++- .../innovate/jgdas_enkf_innovate_obs_grp5.ecf | 22 +- .../innovate/jgdas_enkf_innovate_obs_grp6.ecf | 22 +- .../innovate/jgdas_enkf_innovate_obs_grp7.ecf | 22 +- .../innovate/jgdas_enkf_innovate_obs_grp8.ecf | 22 +- .../innovate/jgdas_enkf_innovate_obs_grp9.ecf | 33 ++- .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 22 +- .../prod00/gdas/enkf/jgdas_enkf_post.ecf | 22 +- .../gdas/enkf/jgdas_enkf_select_obs.ecf | 22 +- .../prod00/gdas/enkf/jgdas_enkf_update.ecf | 22 +- .../prod00/gdas/forecast/jgdas_forecast.ecf | 33 ++- .../prod00/gdas/gempak/jgdas_gempak.ecf | 21 +- .../prod00/gdas/gempak/jgdas_gempak_meta.ecf | 21 +- .../prod00/gdas/gempak/jgdas_gempak_ncdc.ecf | 21 +- .../scripts/prod00/gdas/jgdas_verfrad.ecf | 21 +- .../scripts/prod00/gdas/jgdas_vminmon.ecf | 21 +- .../scripts/prod00/gdas/post/jgdas_post.ecf | 33 ++- .../bulletins/jgdas_mknavybulls.ecf | 21 +- .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 21 +- .../scripts/prod00/gdas/prep/jgdas_prep.ecf | 22 +- .../prod00/gdas/prep/jgdas_prep_post.ecf | 21 +- .../scripts/prod00/gfs/dump/jgfs_dump.ecf | 21 +- .../prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 21 +- .../gfs/forecast/jgfs_forecast_high.ecf | 22 +- .../prod00/gfs/forecast/jgfs_forecast_low.ecf | 33 ++- .../scripts/prod00/gfs/gempak/jgfs_gempak.ecf | 21 +- .../prod00/gfs/gempak/jgfs_gempak_meta.ecf | 21 +- .../prod00/gfs/gempak/jgfs_gempak_ncdc.ecf | 21 +- .../prod00/gfs/gempak/jgfs_gempak_upapgif.ecf | 21 +- .../scripts/prod00/gfs/jgfs_analysis.ecf | 22 +- .../scripts/prod00/gfs/jgfs_vminmon.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_anl.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f00.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f01.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f02.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f03.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f04.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f05.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f06.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f07.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f08.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f09.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f10.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f100.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f101.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f102.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f103.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f104.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f105.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f106.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f107.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f108.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f109.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f11.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f110.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f111.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f112.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f113.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f114.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f115.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f116.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f117.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f118.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f119.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f12.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f120.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f123.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f126.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f129.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f13.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f132.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f135.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f138.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f14.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f141.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f144.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f147.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f15.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f150.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f153.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f156.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f159.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f16.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f162.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f165.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f168.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f17.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f171.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f174.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f177.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f18.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f180.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f183.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f186.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f189.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f19.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f192.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f195.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f198.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f20.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f201.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f204.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f207.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f21.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f210.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f213.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f216.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f219.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f22.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f222.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f225.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f228.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f23.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f231.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f234.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f237.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f24.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f240.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f25.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f252.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f26.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f264.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f27.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f276.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f28.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f288.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f29.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f30.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f300.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f31.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f312.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f32.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f324.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f33.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f336.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f34.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f348.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f35.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f36.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f360.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f37.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f372.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f38.ecf | 21 +- .../prod00/gfs/post/jgfs_post_f384.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f39.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f40.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f41.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f42.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f43.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f44.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f45.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f46.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f47.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f48.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f49.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f50.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f51.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f52.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f53.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f54.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f55.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f56.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f57.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f58.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f59.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f60.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f61.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f62.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f63.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f64.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f65.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f66.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f67.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f68.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f69.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f70.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f71.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f72.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f73.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f74.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f75.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f76.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f77.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f78.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f79.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f80.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f81.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f82.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f83.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f84.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f85.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f86.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f87.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f88.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f89.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f90.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f91.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f92.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f93.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f94.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f95.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f96.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f97.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f98.ecf | 21 +- .../scripts/prod00/gfs/post/jgfs_post_f99.ecf | 21 +- .../prod00/gfs/post/jgfs_post_manager.ecf | 21 +- .../awips_1p0deg/jgfs_awips_f000.ecf | 21 +- .../awips_1p0deg/jgfs_awips_f006.ecf | 21 +- .../awips_1p0deg/jgfs_awips_f240.ecf | 21 +- .../awips_20km/jgfs_awips_f000.ecf | 21 +- .../bufr_sounding/jgfs_postsnd.ecf | 21 +- .../bulletins/jgfs_cyclone_tracker.ecf | 21 +- .../post_processing/bulletins/jgfs_fbwind.ecf | 21 +- .../prod00/gfs/post_processing/dummy.ecf | 33 ++- .../gfs/post_processing/fax/jgfs_fax_anl.ecf | 21 +- .../gfs/post_processing/fax/jgfs_fax_f00.ecf | 21 +- .../post_processing/fax/jgfs_fax_wafs_f12.ecf | 21 +- .../post_processing/fax/jgfs_fax_wafs_f24.ecf | 21 +- .../post_processing/fax/jgfs_fax_wafs_f36.ecf | 21 +- .../grib2_wafs/jgfs_wafs_blending.ecf | 21 +- .../grib2_wafs/jgfs_wafs_grib2.ecf | 21 +- .../grib_awips/jgfs_awips_f00.ecf | 21 +- .../grib_awips/jgfs_awips_f06.ecf | 21 +- .../grib_awips/jgfs_awips_f240.ecf | 21 +- .../grib_wafs/jgfs_wafs_f00.ecf | 21 +- .../grib_wafs/jgfs_wafs_f06.ecf | 21 +- .../grib_wafs/jgfs_wafs_f102.ecf | 21 +- .../grib_wafs/jgfs_wafs_f108.ecf | 21 +- .../grib_wafs/jgfs_wafs_f114.ecf | 21 +- .../grib_wafs/jgfs_wafs_f12.ecf | 21 +- .../grib_wafs/jgfs_wafs_f120.ecf | 21 +- .../grib_wafs/jgfs_wafs_f18.ecf | 21 +- .../grib_wafs/jgfs_wafs_f24.ecf | 21 +- .../grib_wafs/jgfs_wafs_f30.ecf | 21 +- .../grib_wafs/jgfs_wafs_f36.ecf | 21 +- .../grib_wafs/jgfs_wafs_f42.ecf | 21 +- .../grib_wafs/jgfs_wafs_f48.ecf | 21 +- .../grib_wafs/jgfs_wafs_f54.ecf | 21 +- .../grib_wafs/jgfs_wafs_f60.ecf | 21 +- .../grib_wafs/jgfs_wafs_f66.ecf | 21 +- .../grib_wafs/jgfs_wafs_f72.ecf | 21 +- .../grib_wafs/jgfs_wafs_f78.ecf | 21 +- .../grib_wafs/jgfs_wafs_f84.ecf | 21 +- .../grib_wafs/jgfs_wafs_f90.ecf | 21 +- .../grib_wafs/jgfs_wafs_f96.ecf | 21 +- .../prod00/gfs/prdgen/jgfs_pgrb2_anl.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f00.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f01.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f02.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f03.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f04.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f05.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f06.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f07.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f08.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f09.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f10.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f100.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f101.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f102.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f103.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f104.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f105.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f106.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f107.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f108.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f109.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f11.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f110.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f111.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f112.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f113.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f114.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f115.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f116.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f117.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f118.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f119.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f12.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f120.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f123.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f126.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f129.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f13.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f132.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f135.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f138.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f14.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f141.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f144.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f147.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f15.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f150.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f153.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f156.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f159.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f16.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f162.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f165.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f168.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f17.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f171.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f174.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f177.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f18.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f180.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f183.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f186.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f189.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f19.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f192.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f195.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f198.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f20.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f201.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f204.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f207.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f21.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f210.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f213.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f216.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f219.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f22.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f222.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f225.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f228.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f23.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f231.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f234.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f237.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f24.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f240.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f25.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f252.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f26.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f264.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f27.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f276.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f28.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f288.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f29.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f30.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f300.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f31.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f312.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f32.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f324.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f33.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f336.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f34.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f348.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f35.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f36.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f360.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f37.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f372.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f38.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f384.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f39.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f40.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f41.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f42.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f43.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f44.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f45.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f46.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f47.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f48.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f49.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f50.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f51.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f52.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f53.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f54.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f55.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f56.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f57.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f58.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f59.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f60.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f61.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f62.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f63.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f64.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f65.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f66.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f67.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f68.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f69.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f70.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f71.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f72.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f73.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f74.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f75.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f76.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f77.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f78.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f79.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f80.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f81.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f82.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f83.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f84.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f85.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f86.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f87.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f88.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f89.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f90.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f91.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f92.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f93.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f94.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f95.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f96.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f97.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f98.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f99.ecf | 22 +- .../prod00/gfs/prdgen/jgfs_pgrb2_manager.ecf | 21 +- .../prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 21 +- .../scripts/prod00/gfs/prep/jgfs_prep.ecf | 22 +- .../prod00/gfs/prep/jgfs_prep_post.ecf | 21 +- .../gfs/sminit_guam/jgfs_sminit_guam_even.ecf | 21 +- .../gfs/sminit_guam/jgfs_sminit_guam_odd.ecf | 21 +- .../scripts/prod06/cycle_end.ecf | 33 ++- .../gdas/analysis/jgdas_analysis_high.ecf | 33 ++- .../scripts/prod06/gdas/dump/jgdas_dump.ecf | 21 +- .../scripts/prod06/gdas/dump/jgdas_ics.ecf | 21 +- .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 21 +- .../enkf/forecast/jgdas_enkf_fcst_grp1.ecf | 22 +- .../enkf/forecast/jgdas_enkf_fcst_grp10.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp11.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp12.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp13.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp14.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp15.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp16.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp2.ecf | 22 +- .../enkf/forecast/jgdas_enkf_fcst_grp3.ecf | 22 +- .../enkf/forecast/jgdas_enkf_fcst_grp4.ecf | 22 +- .../enkf/forecast/jgdas_enkf_fcst_grp5.ecf | 22 +- .../enkf/forecast/jgdas_enkf_fcst_grp6.ecf | 22 +- .../enkf/forecast/jgdas_enkf_fcst_grp7.ecf | 22 +- .../enkf/forecast/jgdas_enkf_fcst_grp8.ecf | 22 +- .../enkf/forecast/jgdas_enkf_fcst_grp9.ecf | 33 ++- .../innovate/jgdas_enkf_innovate_obs_grp1.ecf | 22 +- .../jgdas_enkf_innovate_obs_grp10.ecf | 33 ++- .../jgdas_enkf_innovate_obs_grp11.ecf | 33 ++- .../jgdas_enkf_innovate_obs_grp12.ecf | 33 ++- .../jgdas_enkf_innovate_obs_grp13.ecf | 33 ++- .../jgdas_enkf_innovate_obs_grp14.ecf | 33 ++- .../jgdas_enkf_innovate_obs_grp15.ecf | 33 ++- .../jgdas_enkf_innovate_obs_grp16.ecf | 33 ++- .../innovate/jgdas_enkf_innovate_obs_grp2.ecf | 22 +- .../innovate/jgdas_enkf_innovate_obs_grp3.ecf | 22 +- .../innovate/jgdas_enkf_innovate_obs_grp4.ecf | 22 +- .../innovate/jgdas_enkf_innovate_obs_grp5.ecf | 22 +- .../innovate/jgdas_enkf_innovate_obs_grp6.ecf | 22 +- .../innovate/jgdas_enkf_innovate_obs_grp7.ecf | 22 +- .../innovate/jgdas_enkf_innovate_obs_grp8.ecf | 22 +- .../innovate/jgdas_enkf_innovate_obs_grp9.ecf | 33 ++- .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 22 +- .../prod06/gdas/enkf/jgdas_enkf_post.ecf | 22 +- .../gdas/enkf/jgdas_enkf_select_obs.ecf | 22 +- .../prod06/gdas/enkf/jgdas_enkf_update.ecf | 22 +- .../prod06/gdas/forecast/jgdas_forecast.ecf | 33 ++- .../prod06/gdas/gempak/jgdas_gempak.ecf | 21 +- .../prod06/gdas/gempak/jgdas_gempak_meta.ecf | 21 +- .../prod06/gdas/gempak/jgdas_gempak_ncdc.ecf | 21 +- .../scripts/prod06/gdas/jgdas_verfrad.ecf | 21 +- .../scripts/prod06/gdas/jgdas_vminmon.ecf | 21 +- .../scripts/prod06/gdas/post/jgdas_post.ecf | 33 ++- .../bulletins/jgdas_mknavybulls.ecf | 21 +- .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 21 +- .../scripts/prod06/gdas/prep/jgdas_prep.ecf | 22 +- .../prod06/gdas/prep/jgdas_prep_post.ecf | 21 +- .../scripts/prod06/gfs/dump/jgfs_dump.ecf | 21 +- .../prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 21 +- .../gfs/forecast/jgfs_forecast_high.ecf | 22 +- .../prod06/gfs/forecast/jgfs_forecast_low.ecf | 33 ++- .../scripts/prod06/gfs/gempak/jgfs_gempak.ecf | 21 +- .../prod06/gfs/gempak/jgfs_gempak_meta.ecf | 21 +- .../prod06/gfs/gempak/jgfs_gempak_ncdc.ecf | 21 +- .../prod06/gfs/gempak/jgfs_gempak_upapgif.ecf | 21 +- .../scripts/prod06/gfs/jgfs_analysis.ecf | 22 +- .../scripts/prod06/gfs/jgfs_vminmon.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_anl.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f00.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f01.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f02.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f03.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f04.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f05.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f06.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f07.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f08.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f09.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f10.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f100.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f101.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f102.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f103.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f104.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f105.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f106.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f107.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f108.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f109.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f11.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f110.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f111.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f112.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f113.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f114.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f115.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f116.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f117.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f118.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f119.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f12.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f120.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f123.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f126.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f129.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f13.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f132.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f135.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f138.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f14.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f141.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f144.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f147.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f15.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f150.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f153.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f156.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f159.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f16.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f162.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f165.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f168.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f17.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f171.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f174.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f177.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f18.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f180.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f183.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f186.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f189.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f19.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f192.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f195.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f198.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f20.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f201.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f204.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f207.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f21.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f210.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f213.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f216.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f219.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f22.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f222.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f225.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f228.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f23.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f231.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f234.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f237.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f24.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f240.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f25.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f252.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f26.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f264.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f27.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f276.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f28.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f288.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f29.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f30.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f300.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f31.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f312.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f32.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f324.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f33.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f336.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f34.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f348.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f35.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f36.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f360.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f37.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f372.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f38.ecf | 21 +- .../prod06/gfs/post/jgfs_post_f384.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f39.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f40.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f41.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f42.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f43.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f44.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f45.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f46.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f47.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f48.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f49.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f50.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f51.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f52.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f53.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f54.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f55.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f56.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f57.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f58.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f59.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f60.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f61.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f62.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f63.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f64.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f65.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f66.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f67.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f68.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f69.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f70.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f71.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f72.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f73.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f74.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f75.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f76.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f77.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f78.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f79.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f80.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f81.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f82.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f83.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f84.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f85.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f86.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f87.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f88.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f89.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f90.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f91.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f92.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f93.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f94.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f95.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f96.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f97.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f98.ecf | 21 +- .../scripts/prod06/gfs/post/jgfs_post_f99.ecf | 21 +- .../prod06/gfs/post/jgfs_post_manager.ecf | 21 +- .../awips_1p0deg/jgfs_awips_f000.ecf | 21 +- .../awips_1p0deg/jgfs_awips_f006.ecf | 21 +- .../awips_1p0deg/jgfs_awips_f240.ecf | 21 +- .../awips_20km/jgfs_awips_f000.ecf | 21 +- .../bufr_sounding/jgfs_postsnd.ecf | 21 +- .../bulletins/jgfs_cyclone_tracker.ecf | 21 +- .../post_processing/bulletins/jgfs_fbwind.ecf | 21 +- .../prod06/gfs/post_processing/dummy.ecf | 33 ++- .../gfs/post_processing/fax/jgfs_fax_anl.ecf | 21 +- .../gfs/post_processing/fax/jgfs_fax_f00.ecf | 21 +- .../post_processing/fax/jgfs_fax_wafs_f12.ecf | 21 +- .../post_processing/fax/jgfs_fax_wafs_f24.ecf | 21 +- .../post_processing/fax/jgfs_fax_wafs_f36.ecf | 21 +- .../grib2_wafs/jgfs_wafs_blending.ecf | 21 +- .../grib2_wafs/jgfs_wafs_grib2.ecf | 21 +- .../grib_awips/jgfs_awips_f00.ecf | 21 +- .../grib_awips/jgfs_awips_f06.ecf | 21 +- .../grib_awips/jgfs_awips_f240.ecf | 21 +- .../grib_wafs/jgfs_wafs_f00.ecf | 21 +- .../grib_wafs/jgfs_wafs_f06.ecf | 21 +- .../grib_wafs/jgfs_wafs_f102.ecf | 21 +- .../grib_wafs/jgfs_wafs_f108.ecf | 21 +- .../grib_wafs/jgfs_wafs_f114.ecf | 21 +- .../grib_wafs/jgfs_wafs_f12.ecf | 21 +- .../grib_wafs/jgfs_wafs_f120.ecf | 21 +- .../grib_wafs/jgfs_wafs_f18.ecf | 21 +- .../grib_wafs/jgfs_wafs_f24.ecf | 21 +- .../grib_wafs/jgfs_wafs_f30.ecf | 21 +- .../grib_wafs/jgfs_wafs_f36.ecf | 21 +- .../grib_wafs/jgfs_wafs_f42.ecf | 21 +- .../grib_wafs/jgfs_wafs_f48.ecf | 21 +- .../grib_wafs/jgfs_wafs_f54.ecf | 21 +- .../grib_wafs/jgfs_wafs_f60.ecf | 21 +- .../grib_wafs/jgfs_wafs_f66.ecf | 21 +- .../grib_wafs/jgfs_wafs_f72.ecf | 21 +- .../grib_wafs/jgfs_wafs_f78.ecf | 21 +- .../grib_wafs/jgfs_wafs_f84.ecf | 21 +- .../grib_wafs/jgfs_wafs_f90.ecf | 21 +- .../grib_wafs/jgfs_wafs_f96.ecf | 21 +- .../prod06/gfs/prdgen/jgfs_pgrb2_anl.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f00.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f01.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f02.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f03.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f04.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f05.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f06.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f07.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f08.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f09.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f10.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f100.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f101.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f102.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f103.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f104.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f105.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f106.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f107.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f108.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f109.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f11.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f110.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f111.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f112.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f113.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f114.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f115.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f116.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f117.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f118.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f119.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f12.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f120.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f123.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f126.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f129.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f13.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f132.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f135.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f138.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f14.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f141.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f144.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f147.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f15.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f150.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f153.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f156.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f159.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f16.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f162.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f165.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f168.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f17.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f171.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f174.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f177.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f18.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f180.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f183.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f186.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f189.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f19.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f192.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f195.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f198.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f20.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f201.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f204.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f207.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f21.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f210.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f213.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f216.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f219.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f22.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f222.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f225.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f228.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f23.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f231.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f234.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f237.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f24.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f240.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f25.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f252.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f26.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f264.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f27.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f276.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f28.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f288.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f29.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f30.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f300.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f31.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f312.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f32.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f324.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f33.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f336.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f34.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f348.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f35.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f36.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f360.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f37.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f372.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f38.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f384.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f39.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f40.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f41.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f42.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f43.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f44.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f45.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f46.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f47.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f48.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f49.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f50.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f51.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f52.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f53.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f54.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f55.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f56.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f57.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f58.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f59.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f60.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f61.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f62.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f63.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f64.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f65.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f66.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f67.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f68.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f69.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f70.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f71.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f72.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f73.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f74.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f75.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f76.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f77.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f78.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f79.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f80.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f81.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f82.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f83.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f84.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f85.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f86.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f87.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f88.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f89.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f90.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f91.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f92.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f93.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f94.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f95.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f96.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f97.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f98.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f99.ecf | 22 +- .../prod06/gfs/prdgen/jgfs_pgrb2_manager.ecf | 21 +- .../prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 21 +- .../scripts/prod06/gfs/prep/jgfs_prep.ecf | 22 +- .../prod06/gfs/prep/jgfs_prep_post.ecf | 21 +- .../gfs/sminit_guam/jgfs_sminit_guam_even.ecf | 21 +- .../gfs/sminit_guam/jgfs_sminit_guam_odd.ecf | 21 +- .../scripts/prod12/cycle_end.ecf | 21 +- .../gdas/analysis/jgdas_analysis_high.ecf | 33 ++- .../scripts/prod12/gdas/dump/jgdas_dump.ecf | 21 +- .../scripts/prod12/gdas/dump/jgdas_ics.ecf | 21 +- .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 21 +- .../enkf/forecast/jgdas_enkf_fcst_grp1.ecf | 22 +- .../enkf/forecast/jgdas_enkf_fcst_grp10.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp11.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp12.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp13.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp14.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp15.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp16.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp2.ecf | 22 +- .../enkf/forecast/jgdas_enkf_fcst_grp3.ecf | 22 +- .../enkf/forecast/jgdas_enkf_fcst_grp4.ecf | 22 +- .../enkf/forecast/jgdas_enkf_fcst_grp5.ecf | 22 +- .../enkf/forecast/jgdas_enkf_fcst_grp6.ecf | 22 +- .../enkf/forecast/jgdas_enkf_fcst_grp7.ecf | 22 +- .../enkf/forecast/jgdas_enkf_fcst_grp8.ecf | 22 +- .../enkf/forecast/jgdas_enkf_fcst_grp9.ecf | 33 ++- .../innovate/jgdas_enkf_innovate_obs_grp1.ecf | 22 +- .../jgdas_enkf_innovate_obs_grp10.ecf | 33 ++- .../jgdas_enkf_innovate_obs_grp11.ecf | 33 ++- .../jgdas_enkf_innovate_obs_grp12.ecf | 33 ++- .../jgdas_enkf_innovate_obs_grp13.ecf | 33 ++- .../jgdas_enkf_innovate_obs_grp14.ecf | 33 ++- .../jgdas_enkf_innovate_obs_grp15.ecf | 33 ++- .../jgdas_enkf_innovate_obs_grp16.ecf | 33 ++- .../innovate/jgdas_enkf_innovate_obs_grp2.ecf | 22 +- .../innovate/jgdas_enkf_innovate_obs_grp3.ecf | 22 +- .../innovate/jgdas_enkf_innovate_obs_grp4.ecf | 22 +- .../innovate/jgdas_enkf_innovate_obs_grp5.ecf | 22 +- .../innovate/jgdas_enkf_innovate_obs_grp6.ecf | 22 +- .../innovate/jgdas_enkf_innovate_obs_grp7.ecf | 22 +- .../innovate/jgdas_enkf_innovate_obs_grp8.ecf | 22 +- .../innovate/jgdas_enkf_innovate_obs_grp9.ecf | 33 ++- .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 22 +- .../prod12/gdas/enkf/jgdas_enkf_post.ecf | 22 +- .../gdas/enkf/jgdas_enkf_select_obs.ecf | 22 +- .../prod12/gdas/enkf/jgdas_enkf_update.ecf | 22 +- .../prod12/gdas/forecast/jgdas_forecast.ecf | 33 ++- .../prod12/gdas/gempak/jgdas_gempak.ecf | 21 +- .../prod12/gdas/gempak/jgdas_gempak_meta.ecf | 21 +- .../prod12/gdas/gempak/jgdas_gempak_ncdc.ecf | 21 +- .../scripts/prod12/gdas/jgdas_verfrad.ecf | 21 +- .../scripts/prod12/gdas/jgdas_vminmon.ecf | 21 +- .../scripts/prod12/gdas/post/jgdas_post.ecf | 33 ++- .../bulletins/jgdas_mknavybulls.ecf | 21 +- .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 21 +- .../scripts/prod12/gdas/prep/jgdas_prep.ecf | 22 +- .../prod12/gdas/prep/jgdas_prep_post.ecf | 21 +- .../scripts/prod12/gfs/dump/jgfs_dump.ecf | 21 +- .../prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 21 +- .../gfs/forecast/jgfs_forecast_high.ecf | 22 +- .../prod12/gfs/forecast/jgfs_forecast_low.ecf | 22 +- .../scripts/prod12/gfs/gempak/jgfs_gempak.ecf | 21 +- .../prod12/gfs/gempak/jgfs_gempak_meta.ecf | 21 +- .../prod12/gfs/gempak/jgfs_gempak_ncdc.ecf | 21 +- .../prod12/gfs/gempak/jgfs_gempak_upapgif.ecf | 21 +- .../scripts/prod12/gfs/jgfs_analysis.ecf | 22 +- .../scripts/prod12/gfs/jgfs_vminmon.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_anl.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f00.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f01.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f02.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f03.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f04.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f05.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f06.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f07.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f08.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f09.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f10.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f100.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f101.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f102.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f103.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f104.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f105.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f106.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f107.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f108.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f109.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f11.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f110.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f111.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f112.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f113.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f114.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f115.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f116.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f117.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f118.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f119.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f12.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f120.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f123.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f126.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f129.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f13.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f132.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f135.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f138.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f14.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f141.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f144.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f147.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f15.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f150.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f153.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f156.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f159.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f16.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f162.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f165.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f168.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f17.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f171.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f174.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f177.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f18.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f180.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f183.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f186.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f189.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f19.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f192.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f195.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f198.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f20.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f201.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f204.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f207.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f21.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f210.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f213.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f216.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f219.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f22.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f222.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f225.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f228.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f23.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f231.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f234.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f237.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f24.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f240.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f25.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f252.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f26.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f264.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f27.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f276.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f28.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f288.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f29.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f30.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f300.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f31.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f312.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f32.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f324.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f33.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f336.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f34.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f348.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f35.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f36.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f360.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f37.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f372.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f38.ecf | 21 +- .../prod12/gfs/post/jgfs_post_f384.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f39.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f40.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f41.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f42.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f43.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f44.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f45.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f46.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f47.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f48.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f49.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f50.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f51.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f52.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f53.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f54.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f55.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f56.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f57.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f58.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f59.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f60.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f61.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f62.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f63.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f64.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f65.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f66.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f67.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f68.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f69.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f70.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f71.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f72.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f73.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f74.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f75.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f76.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f77.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f78.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f79.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f80.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f81.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f82.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f83.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f84.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f85.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f86.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f87.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f88.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f89.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f90.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f91.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f92.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f93.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f94.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f95.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f96.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f97.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f98.ecf | 21 +- .../scripts/prod12/gfs/post/jgfs_post_f99.ecf | 21 +- .../prod12/gfs/post/jgfs_post_manager.ecf | 21 +- .../awips_1p0deg/jgfs_awips_f000.ecf | 21 +- .../awips_1p0deg/jgfs_awips_f006.ecf | 21 +- .../awips_1p0deg/jgfs_awips_f240.ecf | 21 +- .../awips_20km/jgfs_awips_f000.ecf | 21 +- .../bufr_sounding/jgfs_postsnd.ecf | 21 +- .../bulletins/jgfs_cyclone_tracker.ecf | 21 +- .../post_processing/bulletins/jgfs_fbwind.ecf | 21 +- .../prod12/gfs/post_processing/dummy.ecf | 33 ++- .../gfs/post_processing/fax/jgfs_fax_anl.ecf | 21 +- .../gfs/post_processing/fax/jgfs_fax_f00.ecf | 21 +- .../post_processing/fax/jgfs_fax_wafs_f12.ecf | 21 +- .../post_processing/fax/jgfs_fax_wafs_f24.ecf | 21 +- .../post_processing/fax/jgfs_fax_wafs_f36.ecf | 21 +- .../grib2_wafs/jgfs_wafs_blending.ecf | 21 +- .../grib2_wafs/jgfs_wafs_grib2.ecf | 21 +- .../grib_awips/jgfs_awips_f00.ecf | 21 +- .../grib_awips/jgfs_awips_f06.ecf | 21 +- .../grib_awips/jgfs_awips_f240.ecf | 21 +- .../grib_wafs/jgfs_wafs_f00.ecf | 21 +- .../grib_wafs/jgfs_wafs_f06.ecf | 21 +- .../grib_wafs/jgfs_wafs_f102.ecf | 21 +- .../grib_wafs/jgfs_wafs_f108.ecf | 21 +- .../grib_wafs/jgfs_wafs_f114.ecf | 21 +- .../grib_wafs/jgfs_wafs_f12.ecf | 21 +- .../grib_wafs/jgfs_wafs_f120.ecf | 21 +- .../grib_wafs/jgfs_wafs_f18.ecf | 21 +- .../grib_wafs/jgfs_wafs_f24.ecf | 21 +- .../grib_wafs/jgfs_wafs_f30.ecf | 21 +- .../grib_wafs/jgfs_wafs_f36.ecf | 21 +- .../grib_wafs/jgfs_wafs_f42.ecf | 21 +- .../grib_wafs/jgfs_wafs_f48.ecf | 21 +- .../grib_wafs/jgfs_wafs_f54.ecf | 21 +- .../grib_wafs/jgfs_wafs_f60.ecf | 21 +- .../grib_wafs/jgfs_wafs_f66.ecf | 21 +- .../grib_wafs/jgfs_wafs_f72.ecf | 21 +- .../grib_wafs/jgfs_wafs_f78.ecf | 21 +- .../grib_wafs/jgfs_wafs_f84.ecf | 21 +- .../grib_wafs/jgfs_wafs_f90.ecf | 21 +- .../grib_wafs/jgfs_wafs_f96.ecf | 21 +- .../prod12/gfs/prdgen/jgfs_pgrb2_anl.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f00.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f01.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f02.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f03.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f04.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f05.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f06.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f07.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f08.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f09.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f10.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f100.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f101.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f102.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f103.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f104.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f105.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f106.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f107.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f108.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f109.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f11.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f110.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f111.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f112.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f113.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f114.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f115.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f116.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f117.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f118.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f119.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f12.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f120.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f123.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f126.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f129.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f13.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f132.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f135.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f138.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f14.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f141.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f144.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f147.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f15.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f150.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f153.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f156.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f159.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f16.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f162.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f165.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f168.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f17.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f171.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f174.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f177.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f18.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f180.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f183.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f186.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f189.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f19.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f192.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f195.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f198.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f20.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f201.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f204.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f207.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f21.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f210.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f213.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f216.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f219.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f22.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f222.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f225.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f228.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f23.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f231.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f234.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f237.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f24.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f240.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f25.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f252.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f26.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f264.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f27.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f276.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f28.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f288.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f29.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f30.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f300.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f31.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f312.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f32.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f324.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f33.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f336.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f34.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f348.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f35.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f36.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f360.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f37.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f372.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f38.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f384.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f39.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f40.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f41.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f42.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f43.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f44.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f45.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f46.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f47.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f48.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f49.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f50.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f51.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f52.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f53.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f54.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f55.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f56.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f57.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f58.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f59.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f60.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f61.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f62.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f63.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f64.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f65.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f66.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f67.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f68.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f69.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f70.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f71.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f72.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f73.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f74.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f75.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f76.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f77.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f78.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f79.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f80.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f81.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f82.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f83.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f84.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f85.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f86.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f87.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f88.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f89.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f90.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f91.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f92.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f93.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f94.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f95.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f96.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f97.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f98.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f99.ecf | 22 +- .../prod12/gfs/prdgen/jgfs_pgrb2_manager.ecf | 21 +- .../prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 21 +- .../scripts/prod12/gfs/prep/jgfs_prep.ecf | 22 +- .../prod12/gfs/prep/jgfs_prep_post.ecf | 21 +- .../gfs/sminit_guam/jgfs_sminit_guam_even.ecf | 21 +- .../gfs/sminit_guam/jgfs_sminit_guam_odd.ecf | 21 +- .../scripts/prod18/cycle_end.ecf | 21 +- .../gdas/analysis/jgdas_analysis_high.ecf | 33 ++- .../scripts/prod18/gdas/dump/jgdas_dump.ecf | 21 +- .../scripts/prod18/gdas/dump/jgdas_ics.ecf | 21 +- .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 21 +- .../enkf/forecast/jgdas_enkf_fcst_grp1.ecf | 22 +- .../enkf/forecast/jgdas_enkf_fcst_grp10.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp11.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp12.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp13.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp14.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp15.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp16.ecf | 33 ++- .../enkf/forecast/jgdas_enkf_fcst_grp2.ecf | 22 +- .../enkf/forecast/jgdas_enkf_fcst_grp3.ecf | 22 +- .../enkf/forecast/jgdas_enkf_fcst_grp4.ecf | 22 +- .../enkf/forecast/jgdas_enkf_fcst_grp5.ecf | 22 +- .../enkf/forecast/jgdas_enkf_fcst_grp6.ecf | 22 +- .../enkf/forecast/jgdas_enkf_fcst_grp7.ecf | 22 +- .../enkf/forecast/jgdas_enkf_fcst_grp8.ecf | 22 +- .../enkf/forecast/jgdas_enkf_fcst_grp9.ecf | 33 ++- .../innovate/jgdas_enkf_innovate_obs_grp1.ecf | 22 +- .../jgdas_enkf_innovate_obs_grp10.ecf | 33 ++- .../jgdas_enkf_innovate_obs_grp11.ecf | 33 ++- .../jgdas_enkf_innovate_obs_grp12.ecf | 33 ++- .../jgdas_enkf_innovate_obs_grp13.ecf | 33 ++- .../jgdas_enkf_innovate_obs_grp14.ecf | 33 ++- .../jgdas_enkf_innovate_obs_grp15.ecf | 33 ++- .../jgdas_enkf_innovate_obs_grp16.ecf | 33 ++- .../innovate/jgdas_enkf_innovate_obs_grp2.ecf | 22 +- .../innovate/jgdas_enkf_innovate_obs_grp3.ecf | 22 +- .../innovate/jgdas_enkf_innovate_obs_grp4.ecf | 22 +- .../innovate/jgdas_enkf_innovate_obs_grp5.ecf | 22 +- .../innovate/jgdas_enkf_innovate_obs_grp6.ecf | 22 +- .../innovate/jgdas_enkf_innovate_obs_grp7.ecf | 22 +- .../innovate/jgdas_enkf_innovate_obs_grp8.ecf | 22 +- .../innovate/jgdas_enkf_innovate_obs_grp9.ecf | 33 ++- .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 22 +- .../prod18/gdas/enkf/jgdas_enkf_post.ecf | 22 +- .../gdas/enkf/jgdas_enkf_select_obs.ecf | 22 +- .../prod18/gdas/enkf/jgdas_enkf_update.ecf | 22 +- .../prod18/gdas/forecast/jgdas_forecast.ecf | 33 ++- .../prod18/gdas/gempak/jgdas_gempak.ecf | 21 +- .../prod18/gdas/gempak/jgdas_gempak_meta.ecf | 21 +- .../prod18/gdas/gempak/jgdas_gempak_ncdc.ecf | 21 +- .../scripts/prod18/gdas/jgdas_verfrad.ecf | 21 +- .../scripts/prod18/gdas/jgdas_vminmon.ecf | 21 +- .../scripts/prod18/gdas/post/jgdas_post.ecf | 33 ++- .../bulletins/jgdas_mknavybulls.ecf | 21 +- .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 21 +- .../scripts/prod18/gdas/prep/jgdas_prep.ecf | 22 +- .../prod18/gdas/prep/jgdas_prep_post.ecf | 21 +- .../scripts/prod18/gfs/dump/jgfs_dump.ecf | 21 +- .../prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 21 +- .../gfs/forecast/jgfs_forecast_high.ecf | 22 +- .../prod18/gfs/forecast/jgfs_forecast_low.ecf | 22 +- .../scripts/prod18/gfs/gempak/jgfs_gempak.ecf | 21 +- .../prod18/gfs/gempak/jgfs_gempak_meta.ecf | 21 +- .../prod18/gfs/gempak/jgfs_gempak_ncdc.ecf | 21 +- .../prod18/gfs/gempak/jgfs_gempak_upapgif.ecf | 21 +- .../scripts/prod18/gfs/jgfs_analysis.ecf | 22 +- .../scripts/prod18/gfs/jgfs_vminmon.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_anl.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f00.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f01.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f02.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f03.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f04.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f05.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f06.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f07.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f08.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f09.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f10.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f100.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f101.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f102.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f103.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f104.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f105.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f106.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f107.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f108.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f109.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f11.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f110.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f111.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f112.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f113.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f114.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f115.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f116.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f117.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f118.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f119.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f12.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f120.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f123.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f126.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f129.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f13.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f132.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f135.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f138.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f14.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f141.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f144.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f147.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f15.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f150.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f153.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f156.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f159.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f16.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f162.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f165.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f168.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f17.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f171.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f174.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f177.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f18.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f180.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f183.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f186.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f189.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f19.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f192.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f195.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f198.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f20.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f201.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f204.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f207.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f21.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f210.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f213.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f216.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f219.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f22.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f222.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f225.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f228.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f23.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f231.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f234.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f237.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f24.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f240.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f25.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f252.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f26.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f264.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f27.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f276.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f28.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f288.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f29.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f30.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f300.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f31.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f312.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f32.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f324.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f33.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f336.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f34.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f348.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f35.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f36.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f360.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f37.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f372.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f38.ecf | 21 +- .../prod18/gfs/post/jgfs_post_f384.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f39.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f40.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f41.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f42.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f43.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f44.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f45.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f46.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f47.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f48.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f49.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f50.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f51.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f52.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f53.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f54.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f55.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f56.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f57.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f58.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f59.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f60.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f61.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f62.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f63.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f64.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f65.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f66.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f67.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f68.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f69.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f70.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f71.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f72.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f73.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f74.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f75.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f76.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f77.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f78.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f79.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f80.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f81.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f82.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f83.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f84.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f85.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f86.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f87.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f88.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f89.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f90.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f91.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f92.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f93.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f94.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f95.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f96.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f97.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f98.ecf | 21 +- .../scripts/prod18/gfs/post/jgfs_post_f99.ecf | 21 +- .../prod18/gfs/post/jgfs_post_manager.ecf | 21 +- .../awips_1p0deg/jgfs_awips_f000.ecf | 21 +- .../awips_1p0deg/jgfs_awips_f006.ecf | 21 +- .../awips_1p0deg/jgfs_awips_f240.ecf | 21 +- .../awips_20km/jgfs_awips_f000.ecf | 21 +- .../bufr_sounding/jgfs_postsnd.ecf | 21 +- .../bulletins/jgfs_cyclone_tracker.ecf | 21 +- .../post_processing/bulletins/jgfs_fbwind.ecf | 21 +- .../prod18/gfs/post_processing/dummy.ecf | 33 ++- .../gfs/post_processing/fax/jgfs_fax_anl.ecf | 21 +- .../gfs/post_processing/fax/jgfs_fax_f00.ecf | 21 +- .../post_processing/fax/jgfs_fax_wafs_f12.ecf | 21 +- .../post_processing/fax/jgfs_fax_wafs_f24.ecf | 21 +- .../post_processing/fax/jgfs_fax_wafs_f36.ecf | 21 +- .../grib2_wafs/jgfs_wafs_blending.ecf | 21 +- .../grib2_wafs/jgfs_wafs_grib2.ecf | 21 +- .../grib_awips/jgfs_awips_f00.ecf | 21 +- .../grib_awips/jgfs_awips_f06.ecf | 21 +- .../grib_awips/jgfs_awips_f240.ecf | 21 +- .../grib_wafs/jgfs_wafs_f00.ecf | 21 +- .../grib_wafs/jgfs_wafs_f06.ecf | 21 +- .../grib_wafs/jgfs_wafs_f102.ecf | 21 +- .../grib_wafs/jgfs_wafs_f108.ecf | 21 +- .../grib_wafs/jgfs_wafs_f114.ecf | 21 +- .../grib_wafs/jgfs_wafs_f12.ecf | 21 +- .../grib_wafs/jgfs_wafs_f120.ecf | 21 +- .../grib_wafs/jgfs_wafs_f18.ecf | 21 +- .../grib_wafs/jgfs_wafs_f24.ecf | 21 +- .../grib_wafs/jgfs_wafs_f30.ecf | 21 +- .../grib_wafs/jgfs_wafs_f36.ecf | 21 +- .../grib_wafs/jgfs_wafs_f42.ecf | 21 +- .../grib_wafs/jgfs_wafs_f48.ecf | 21 +- .../grib_wafs/jgfs_wafs_f54.ecf | 21 +- .../grib_wafs/jgfs_wafs_f60.ecf | 21 +- .../grib_wafs/jgfs_wafs_f66.ecf | 21 +- .../grib_wafs/jgfs_wafs_f72.ecf | 21 +- .../grib_wafs/jgfs_wafs_f78.ecf | 21 +- .../grib_wafs/jgfs_wafs_f84.ecf | 21 +- .../grib_wafs/jgfs_wafs_f90.ecf | 21 +- .../grib_wafs/jgfs_wafs_f96.ecf | 21 +- .../prod18/gfs/prdgen/jgfs_pgrb2_anl.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f00.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f01.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f02.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f03.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f04.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f05.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f06.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f07.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f08.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f09.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f10.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f100.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f101.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f102.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f103.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f104.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f105.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f106.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f107.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f108.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f109.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f11.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f110.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f111.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f112.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f113.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f114.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f115.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f116.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f117.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f118.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f119.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f12.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f120.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f123.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f126.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f129.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f13.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f132.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f135.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f138.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f14.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f141.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f144.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f147.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f15.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f150.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f153.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f156.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f159.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f16.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f162.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f165.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f168.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f17.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f171.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f174.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f177.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f18.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f180.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f183.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f186.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f189.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f19.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f192.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f195.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f198.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f20.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f201.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f204.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f207.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f21.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f210.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f213.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f216.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f219.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f22.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f222.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f225.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f228.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f23.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f231.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f234.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f237.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f24.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f240.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f25.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f252.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f26.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f264.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f27.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f276.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f28.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f288.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f29.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f30.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f300.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f31.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f312.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f32.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f324.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f33.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f336.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f34.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f348.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f35.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f36.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f360.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f37.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f372.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f38.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f384.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f39.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f40.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f41.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f42.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f43.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f44.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f45.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f46.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f47.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f48.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f49.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f50.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f51.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f52.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f53.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f54.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f55.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f56.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f57.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f58.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f59.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f60.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f61.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f62.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f63.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f64.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f65.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f66.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f67.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f68.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f69.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f70.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f71.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f72.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f73.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f74.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f75.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f76.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f77.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f78.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f79.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f80.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f81.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f82.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f83.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f84.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f85.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f86.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f87.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f88.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f89.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f90.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f91.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f92.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f93.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f94.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f95.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f96.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f97.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f98.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f99.ecf | 22 +- .../prod18/gfs/prdgen/jgfs_pgrb2_manager.ecf | 21 +- .../prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 21 +- .../scripts/prod18/gfs/prep/jgfs_prep.ecf | 22 +- .../prod18/gfs/prep/jgfs_prep_post.ecf | 21 +- .../gfs/sminit_guam/jgfs_sminit_guam_even.ecf | 21 +- .../gfs/sminit_guam/jgfs_sminit_guam_odd.ecf | 21 +- model/ecflow_fv3gfs/suite_def.yaml | 3 + 1830 files changed, 30473 insertions(+), 10596 deletions(-) diff --git a/model/ecflow_fv3gfs/defs/prod00.def b/model/ecflow_fv3gfs/defs/prod00.def index ab43f02..8c49d5f 100644 --- a/model/ecflow_fv3gfs/defs/prod00.def +++ b/model/ecflow_fv3gfs/defs/prod00.def @@ -20,6 +20,7 @@ suite prod00 edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' + edit MODEL_NAME 'gfs' family gfs family dump task jgfs_tropcy_qc_reloc @@ -64,8 +65,8 @@ suite prod00 endtask endfamily family post_processing - task jgfs_wafs_gcip - trigger ../prdgen/jgfs_pgrb2_f03 == complete + task dummy + trigger ../forecast == complete endtask family fax task jgfs_fax_f00 @@ -196,12 +197,6 @@ suite prod00 task jgfs_awips_f000 trigger ../../prdgen/jgfs_pgrb2_f00 == complete endtask - task jgfs_awips_f003 - trigger ../../prdgen/jgfs_pgrb2_f03 == complete - endtask - task jgfs_awips_f240 - trigger ../../prdgen/jgfs_pgrb2_f240 == complete - endtask endfamily endfamily family post @@ -209,18 +204,6 @@ suite prod00 trigger ./jgfs_post_manager:release_postanl event 1 release_pgrb2_anl endtask - task jgfs_post_f00 - trigger ./jgfs_post_manager:release_post00 - endtask - task jgfs_post_f01 - trigger ./jgfs_post_manager:release_post01 - endtask - task jgfs_post_f384 - trigger ./jgfs_post_manager:release_post384 - endtask - task jgfs_pgrb2_spec_post - trigger ./jgfs_post_f336 == complete and ./jgfs_post_f384 == complete - endtask task jgfs_post_manager trigger ../jgfs_analysis == complete and ../forecast == complete event 1 release_postanl @@ -398,6 +381,12 @@ suite prod00 event 173 release_post372 event 174 release_post384 endtask + task jgfs_post_f00 + trigger ./jgfs_post_manager:release_post00 + endtask + task jgfs_post_f01 + trigger ./jgfs_post_manager:release_post01 + endtask task jgfs_post_f02 trigger ./jgfs_post_manager:release_post02 endtask @@ -908,14 +897,189 @@ suite prod00 task jgfs_post_f372 trigger ./jgfs_post_manager:release_post372 endtask + task jgfs_post_f384 + trigger ./jgfs_post_manager:release_post384 + endtask endfamily family prdgen + task jgfs_pgrb2_anl + endtask task jgfs_pgrb2_manager trigger ../post == complete - event 1 release_pgrb2_00 - event 2 release_post384 - endtask - task jgfs_pgrb2_anl + event 1 release_postanl + event 2 release_post00 + event 3 release_post01 + event 4 release_post02 + event 5 release_post03 + event 6 release_post04 + event 7 release_post05 + event 8 release_post06 + event 9 release_post07 + event 10 release_post08 + event 11 release_post09 + event 12 release_post10 + event 13 release_post11 + event 14 release_post12 + event 15 release_post13 + event 16 release_post14 + event 17 release_post15 + event 18 release_post16 + event 19 release_post17 + event 20 release_post18 + event 21 release_post19 + event 22 release_post20 + event 23 release_post21 + event 24 release_post22 + event 25 release_post23 + event 26 release_post24 + event 27 release_post25 + event 28 release_post26 + event 29 release_post27 + event 30 release_post28 + event 31 release_post29 + event 32 release_post30 + event 33 release_post31 + event 34 release_post32 + event 35 release_post33 + event 36 release_post34 + event 37 release_post35 + event 38 release_post36 + event 39 release_post37 + event 40 release_post38 + event 41 release_post39 + event 42 release_post40 + event 43 release_post41 + event 44 release_post42 + event 45 release_post43 + event 46 release_post44 + event 47 release_post45 + event 48 release_post46 + event 49 release_post47 + event 50 release_post48 + event 51 release_post49 + event 52 release_post50 + event 53 release_post51 + event 54 release_post52 + event 55 release_post53 + event 56 release_post54 + event 57 release_post55 + event 58 release_post56 + event 59 release_post57 + event 60 release_post58 + event 61 release_post59 + event 62 release_post60 + event 63 release_post61 + event 64 release_post62 + event 65 release_post63 + event 66 release_post64 + event 67 release_post65 + event 68 release_post66 + event 69 release_post67 + event 70 release_post68 + event 71 release_post69 + event 72 release_post70 + event 73 release_post71 + event 74 release_post72 + event 75 release_post73 + event 76 release_post74 + event 77 release_post75 + event 78 release_post76 + event 79 release_post77 + event 80 release_post78 + event 81 release_post79 + event 82 release_post80 + event 83 release_post81 + event 84 release_post82 + event 85 release_post83 + event 86 release_post84 + event 87 release_post85 + event 88 release_post86 + event 89 release_post87 + event 90 release_post88 + event 91 release_post89 + event 92 release_post90 + event 93 release_post91 + event 94 release_post92 + event 95 release_post93 + event 96 release_post94 + event 97 release_post95 + event 98 release_post96 + event 99 release_post97 + event 100 release_post98 + event 101 release_post99 + event 102 release_post100 + event 103 release_post101 + event 104 release_post102 + event 105 release_post103 + event 106 release_post104 + event 107 release_post105 + event 108 release_post106 + event 109 release_post107 + event 110 release_post108 + event 111 release_post109 + event 112 release_post110 + event 113 release_post111 + event 114 release_post112 + event 115 release_post113 + event 116 release_post114 + event 117 release_post115 + event 118 release_post116 + event 119 release_post117 + event 120 release_post118 + event 121 release_post119 + event 122 release_post120 + event 123 release_post123 + event 124 release_post126 + event 125 release_post129 + event 126 release_post132 + event 127 release_post135 + event 128 release_post138 + event 129 release_post141 + event 130 release_post144 + event 131 release_post147 + event 132 release_post150 + event 133 release_post153 + event 134 release_post156 + event 135 release_post159 + event 136 release_post162 + event 137 release_post165 + event 138 release_post168 + event 139 release_post171 + event 140 release_post174 + event 141 release_post177 + event 142 release_post180 + event 143 release_post183 + event 144 release_post186 + event 145 release_post189 + event 146 release_post192 + event 147 release_post195 + event 148 release_post198 + event 149 release_post201 + event 150 release_post204 + event 151 release_post207 + event 152 release_post210 + event 153 release_post213 + event 154 release_post216 + event 155 release_post219 + event 156 release_post222 + event 157 release_post225 + event 158 release_post228 + event 159 release_post231 + event 160 release_post234 + event 161 release_post237 + event 162 release_post240 + event 163 release_post252 + event 164 release_post264 + event 165 release_post276 + event 166 release_post288 + event 167 release_post300 + event 168 release_post312 + event 169 release_post324 + event 170 release_post336 + event 171 release_post348 + event 172 release_post360 + event 173 release_post372 + event 174 release_post384 endtask task jgfs_pgrb2_f00 endtask @@ -1277,9 +1441,6 @@ suite prod00 task jgfs_gempak_meta trigger ../jgfs_analysis == complete endtask - task jgfs_pgrb2_spec_gempak - trigger ../post/jgfs_pgrb2_spec_post == complete - endtask endfamily endfamily family gdas diff --git a/model/ecflow_fv3gfs/defs/prod06.def b/model/ecflow_fv3gfs/defs/prod06.def index 25d2f9c..a0f3294 100644 --- a/model/ecflow_fv3gfs/defs/prod06.def +++ b/model/ecflow_fv3gfs/defs/prod06.def @@ -20,6 +20,7 @@ suite prod06 edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' + edit MODEL_NAME 'gfs' family gfs family dump task jgfs_tropcy_qc_reloc @@ -64,8 +65,8 @@ suite prod06 endtask endfamily family post_processing - task jgfs_wafs_gcip - trigger ../prdgen/jgfs_pgrb2_f03 == complete + task dummy + trigger ../forecast == complete endtask family fax task jgfs_fax_f00 @@ -196,12 +197,6 @@ suite prod06 task jgfs_awips_f000 trigger ../../prdgen/jgfs_pgrb2_f00 == complete endtask - task jgfs_awips_f003 - trigger ../../prdgen/jgfs_pgrb2_f03 == complete - endtask - task jgfs_awips_f240 - trigger ../../prdgen/jgfs_pgrb2_f240 == complete - endtask endfamily endfamily family post @@ -209,18 +204,6 @@ suite prod06 trigger ./jgfs_post_manager:release_postanl event 1 release_pgrb2_anl endtask - task jgfs_post_f00 - trigger ./jgfs_post_manager:release_post00 - endtask - task jgfs_post_f01 - trigger ./jgfs_post_manager:release_post01 - endtask - task jgfs_post_f384 - trigger ./jgfs_post_manager:release_post384 - endtask - task jgfs_pgrb2_spec_post - trigger ./jgfs_post_f336 == complete and ./jgfs_post_f384 == complete - endtask task jgfs_post_manager trigger ../jgfs_analysis == complete and ../forecast == complete event 1 release_postanl @@ -398,6 +381,12 @@ suite prod06 event 173 release_post372 event 174 release_post384 endtask + task jgfs_post_f00 + trigger ./jgfs_post_manager:release_post00 + endtask + task jgfs_post_f01 + trigger ./jgfs_post_manager:release_post01 + endtask task jgfs_post_f02 trigger ./jgfs_post_manager:release_post02 endtask @@ -908,14 +897,189 @@ suite prod06 task jgfs_post_f372 trigger ./jgfs_post_manager:release_post372 endtask + task jgfs_post_f384 + trigger ./jgfs_post_manager:release_post384 + endtask endfamily family prdgen + task jgfs_pgrb2_anl + endtask task jgfs_pgrb2_manager trigger ../post == complete - event 1 release_pgrb2_00 - event 2 release_post384 - endtask - task jgfs_pgrb2_anl + event 1 release_postanl + event 2 release_post00 + event 3 release_post01 + event 4 release_post02 + event 5 release_post03 + event 6 release_post04 + event 7 release_post05 + event 8 release_post06 + event 9 release_post07 + event 10 release_post08 + event 11 release_post09 + event 12 release_post10 + event 13 release_post11 + event 14 release_post12 + event 15 release_post13 + event 16 release_post14 + event 17 release_post15 + event 18 release_post16 + event 19 release_post17 + event 20 release_post18 + event 21 release_post19 + event 22 release_post20 + event 23 release_post21 + event 24 release_post22 + event 25 release_post23 + event 26 release_post24 + event 27 release_post25 + event 28 release_post26 + event 29 release_post27 + event 30 release_post28 + event 31 release_post29 + event 32 release_post30 + event 33 release_post31 + event 34 release_post32 + event 35 release_post33 + event 36 release_post34 + event 37 release_post35 + event 38 release_post36 + event 39 release_post37 + event 40 release_post38 + event 41 release_post39 + event 42 release_post40 + event 43 release_post41 + event 44 release_post42 + event 45 release_post43 + event 46 release_post44 + event 47 release_post45 + event 48 release_post46 + event 49 release_post47 + event 50 release_post48 + event 51 release_post49 + event 52 release_post50 + event 53 release_post51 + event 54 release_post52 + event 55 release_post53 + event 56 release_post54 + event 57 release_post55 + event 58 release_post56 + event 59 release_post57 + event 60 release_post58 + event 61 release_post59 + event 62 release_post60 + event 63 release_post61 + event 64 release_post62 + event 65 release_post63 + event 66 release_post64 + event 67 release_post65 + event 68 release_post66 + event 69 release_post67 + event 70 release_post68 + event 71 release_post69 + event 72 release_post70 + event 73 release_post71 + event 74 release_post72 + event 75 release_post73 + event 76 release_post74 + event 77 release_post75 + event 78 release_post76 + event 79 release_post77 + event 80 release_post78 + event 81 release_post79 + event 82 release_post80 + event 83 release_post81 + event 84 release_post82 + event 85 release_post83 + event 86 release_post84 + event 87 release_post85 + event 88 release_post86 + event 89 release_post87 + event 90 release_post88 + event 91 release_post89 + event 92 release_post90 + event 93 release_post91 + event 94 release_post92 + event 95 release_post93 + event 96 release_post94 + event 97 release_post95 + event 98 release_post96 + event 99 release_post97 + event 100 release_post98 + event 101 release_post99 + event 102 release_post100 + event 103 release_post101 + event 104 release_post102 + event 105 release_post103 + event 106 release_post104 + event 107 release_post105 + event 108 release_post106 + event 109 release_post107 + event 110 release_post108 + event 111 release_post109 + event 112 release_post110 + event 113 release_post111 + event 114 release_post112 + event 115 release_post113 + event 116 release_post114 + event 117 release_post115 + event 118 release_post116 + event 119 release_post117 + event 120 release_post118 + event 121 release_post119 + event 122 release_post120 + event 123 release_post123 + event 124 release_post126 + event 125 release_post129 + event 126 release_post132 + event 127 release_post135 + event 128 release_post138 + event 129 release_post141 + event 130 release_post144 + event 131 release_post147 + event 132 release_post150 + event 133 release_post153 + event 134 release_post156 + event 135 release_post159 + event 136 release_post162 + event 137 release_post165 + event 138 release_post168 + event 139 release_post171 + event 140 release_post174 + event 141 release_post177 + event 142 release_post180 + event 143 release_post183 + event 144 release_post186 + event 145 release_post189 + event 146 release_post192 + event 147 release_post195 + event 148 release_post198 + event 149 release_post201 + event 150 release_post204 + event 151 release_post207 + event 152 release_post210 + event 153 release_post213 + event 154 release_post216 + event 155 release_post219 + event 156 release_post222 + event 157 release_post225 + event 158 release_post228 + event 159 release_post231 + event 160 release_post234 + event 161 release_post237 + event 162 release_post240 + event 163 release_post252 + event 164 release_post264 + event 165 release_post276 + event 166 release_post288 + event 167 release_post300 + event 168 release_post312 + event 169 release_post324 + event 170 release_post336 + event 171 release_post348 + event 172 release_post360 + event 173 release_post372 + event 174 release_post384 endtask task jgfs_pgrb2_f00 endtask @@ -1277,9 +1441,6 @@ suite prod06 task jgfs_gempak_meta trigger ../jgfs_analysis == complete endtask - task jgfs_pgrb2_spec_gempak - trigger ../post/jgfs_pgrb2_spec_post == complete - endtask endfamily endfamily family gdas diff --git a/model/ecflow_fv3gfs/defs/prod12.def b/model/ecflow_fv3gfs/defs/prod12.def index 1644e67..f4380ae 100644 --- a/model/ecflow_fv3gfs/defs/prod12.def +++ b/model/ecflow_fv3gfs/defs/prod12.def @@ -20,6 +20,7 @@ suite prod12 edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' + edit MODEL_NAME 'gfs' family gfs family dump task jgfs_tropcy_qc_reloc @@ -64,8 +65,8 @@ suite prod12 endtask endfamily family post_processing - task jgfs_wafs_gcip - trigger ../prdgen/jgfs_pgrb2_f03 == complete + task dummy + trigger ../forecast == complete endtask family fax task jgfs_fax_f00 @@ -196,12 +197,6 @@ suite prod12 task jgfs_awips_f000 trigger ../../prdgen/jgfs_pgrb2_f00 == complete endtask - task jgfs_awips_f003 - trigger ../../prdgen/jgfs_pgrb2_f03 == complete - endtask - task jgfs_awips_f240 - trigger ../../prdgen/jgfs_pgrb2_f240 == complete - endtask endfamily endfamily family post @@ -209,18 +204,6 @@ suite prod12 trigger ./jgfs_post_manager:release_postanl event 1 release_pgrb2_anl endtask - task jgfs_post_f00 - trigger ./jgfs_post_manager:release_post00 - endtask - task jgfs_post_f01 - trigger ./jgfs_post_manager:release_post01 - endtask - task jgfs_post_f384 - trigger ./jgfs_post_manager:release_post384 - endtask - task jgfs_pgrb2_spec_post - trigger ./jgfs_post_f336 == complete and ./jgfs_post_f384 == complete - endtask task jgfs_post_manager trigger ../jgfs_analysis == complete and ../forecast == complete event 1 release_postanl @@ -398,6 +381,12 @@ suite prod12 event 173 release_post372 event 174 release_post384 endtask + task jgfs_post_f00 + trigger ./jgfs_post_manager:release_post00 + endtask + task jgfs_post_f01 + trigger ./jgfs_post_manager:release_post01 + endtask task jgfs_post_f02 trigger ./jgfs_post_manager:release_post02 endtask @@ -908,14 +897,189 @@ suite prod12 task jgfs_post_f372 trigger ./jgfs_post_manager:release_post372 endtask + task jgfs_post_f384 + trigger ./jgfs_post_manager:release_post384 + endtask endfamily family prdgen + task jgfs_pgrb2_anl + endtask task jgfs_pgrb2_manager trigger ../post == complete - event 1 release_pgrb2_00 - event 2 release_post384 - endtask - task jgfs_pgrb2_anl + event 1 release_postanl + event 2 release_post00 + event 3 release_post01 + event 4 release_post02 + event 5 release_post03 + event 6 release_post04 + event 7 release_post05 + event 8 release_post06 + event 9 release_post07 + event 10 release_post08 + event 11 release_post09 + event 12 release_post10 + event 13 release_post11 + event 14 release_post12 + event 15 release_post13 + event 16 release_post14 + event 17 release_post15 + event 18 release_post16 + event 19 release_post17 + event 20 release_post18 + event 21 release_post19 + event 22 release_post20 + event 23 release_post21 + event 24 release_post22 + event 25 release_post23 + event 26 release_post24 + event 27 release_post25 + event 28 release_post26 + event 29 release_post27 + event 30 release_post28 + event 31 release_post29 + event 32 release_post30 + event 33 release_post31 + event 34 release_post32 + event 35 release_post33 + event 36 release_post34 + event 37 release_post35 + event 38 release_post36 + event 39 release_post37 + event 40 release_post38 + event 41 release_post39 + event 42 release_post40 + event 43 release_post41 + event 44 release_post42 + event 45 release_post43 + event 46 release_post44 + event 47 release_post45 + event 48 release_post46 + event 49 release_post47 + event 50 release_post48 + event 51 release_post49 + event 52 release_post50 + event 53 release_post51 + event 54 release_post52 + event 55 release_post53 + event 56 release_post54 + event 57 release_post55 + event 58 release_post56 + event 59 release_post57 + event 60 release_post58 + event 61 release_post59 + event 62 release_post60 + event 63 release_post61 + event 64 release_post62 + event 65 release_post63 + event 66 release_post64 + event 67 release_post65 + event 68 release_post66 + event 69 release_post67 + event 70 release_post68 + event 71 release_post69 + event 72 release_post70 + event 73 release_post71 + event 74 release_post72 + event 75 release_post73 + event 76 release_post74 + event 77 release_post75 + event 78 release_post76 + event 79 release_post77 + event 80 release_post78 + event 81 release_post79 + event 82 release_post80 + event 83 release_post81 + event 84 release_post82 + event 85 release_post83 + event 86 release_post84 + event 87 release_post85 + event 88 release_post86 + event 89 release_post87 + event 90 release_post88 + event 91 release_post89 + event 92 release_post90 + event 93 release_post91 + event 94 release_post92 + event 95 release_post93 + event 96 release_post94 + event 97 release_post95 + event 98 release_post96 + event 99 release_post97 + event 100 release_post98 + event 101 release_post99 + event 102 release_post100 + event 103 release_post101 + event 104 release_post102 + event 105 release_post103 + event 106 release_post104 + event 107 release_post105 + event 108 release_post106 + event 109 release_post107 + event 110 release_post108 + event 111 release_post109 + event 112 release_post110 + event 113 release_post111 + event 114 release_post112 + event 115 release_post113 + event 116 release_post114 + event 117 release_post115 + event 118 release_post116 + event 119 release_post117 + event 120 release_post118 + event 121 release_post119 + event 122 release_post120 + event 123 release_post123 + event 124 release_post126 + event 125 release_post129 + event 126 release_post132 + event 127 release_post135 + event 128 release_post138 + event 129 release_post141 + event 130 release_post144 + event 131 release_post147 + event 132 release_post150 + event 133 release_post153 + event 134 release_post156 + event 135 release_post159 + event 136 release_post162 + event 137 release_post165 + event 138 release_post168 + event 139 release_post171 + event 140 release_post174 + event 141 release_post177 + event 142 release_post180 + event 143 release_post183 + event 144 release_post186 + event 145 release_post189 + event 146 release_post192 + event 147 release_post195 + event 148 release_post198 + event 149 release_post201 + event 150 release_post204 + event 151 release_post207 + event 152 release_post210 + event 153 release_post213 + event 154 release_post216 + event 155 release_post219 + event 156 release_post222 + event 157 release_post225 + event 158 release_post228 + event 159 release_post231 + event 160 release_post234 + event 161 release_post237 + event 162 release_post240 + event 163 release_post252 + event 164 release_post264 + event 165 release_post276 + event 166 release_post288 + event 167 release_post300 + event 168 release_post312 + event 169 release_post324 + event 170 release_post336 + event 171 release_post348 + event 172 release_post360 + event 173 release_post372 + event 174 release_post384 endtask task jgfs_pgrb2_f00 endtask @@ -1277,9 +1441,6 @@ suite prod12 task jgfs_gempak_meta trigger ../jgfs_analysis == complete endtask - task jgfs_pgrb2_spec_gempak - trigger ../post/jgfs_pgrb2_spec_post == complete - endtask endfamily endfamily family gdas diff --git a/model/ecflow_fv3gfs/defs/prod18.def b/model/ecflow_fv3gfs/defs/prod18.def index 9082046..863644e 100644 --- a/model/ecflow_fv3gfs/defs/prod18.def +++ b/model/ecflow_fv3gfs/defs/prod18.def @@ -20,6 +20,7 @@ suite prod18 edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' + edit MODEL_NAME 'gfs' family gfs family dump task jgfs_tropcy_qc_reloc @@ -64,8 +65,8 @@ suite prod18 endtask endfamily family post_processing - task jgfs_wafs_gcip - trigger ../prdgen/jgfs_pgrb2_f03 == complete + task dummy + trigger ../forecast == complete endtask family fax task jgfs_fax_f00 @@ -196,12 +197,6 @@ suite prod18 task jgfs_awips_f000 trigger ../../prdgen/jgfs_pgrb2_f00 == complete endtask - task jgfs_awips_f003 - trigger ../../prdgen/jgfs_pgrb2_f03 == complete - endtask - task jgfs_awips_f240 - trigger ../../prdgen/jgfs_pgrb2_f240 == complete - endtask endfamily endfamily family post @@ -209,18 +204,6 @@ suite prod18 trigger ./jgfs_post_manager:release_postanl event 1 release_pgrb2_anl endtask - task jgfs_post_f00 - trigger ./jgfs_post_manager:release_post00 - endtask - task jgfs_post_f01 - trigger ./jgfs_post_manager:release_post01 - endtask - task jgfs_post_f384 - trigger ./jgfs_post_manager:release_post384 - endtask - task jgfs_pgrb2_spec_post - trigger ./jgfs_post_f336 == complete and ./jgfs_post_f384 == complete - endtask task jgfs_post_manager trigger ../jgfs_analysis == complete and ../forecast == complete event 1 release_postanl @@ -398,6 +381,12 @@ suite prod18 event 173 release_post372 event 174 release_post384 endtask + task jgfs_post_f00 + trigger ./jgfs_post_manager:release_post00 + endtask + task jgfs_post_f01 + trigger ./jgfs_post_manager:release_post01 + endtask task jgfs_post_f02 trigger ./jgfs_post_manager:release_post02 endtask @@ -908,14 +897,189 @@ suite prod18 task jgfs_post_f372 trigger ./jgfs_post_manager:release_post372 endtask + task jgfs_post_f384 + trigger ./jgfs_post_manager:release_post384 + endtask endfamily family prdgen + task jgfs_pgrb2_anl + endtask task jgfs_pgrb2_manager trigger ../post == complete - event 1 release_pgrb2_00 - event 2 release_post384 - endtask - task jgfs_pgrb2_anl + event 1 release_postanl + event 2 release_post00 + event 3 release_post01 + event 4 release_post02 + event 5 release_post03 + event 6 release_post04 + event 7 release_post05 + event 8 release_post06 + event 9 release_post07 + event 10 release_post08 + event 11 release_post09 + event 12 release_post10 + event 13 release_post11 + event 14 release_post12 + event 15 release_post13 + event 16 release_post14 + event 17 release_post15 + event 18 release_post16 + event 19 release_post17 + event 20 release_post18 + event 21 release_post19 + event 22 release_post20 + event 23 release_post21 + event 24 release_post22 + event 25 release_post23 + event 26 release_post24 + event 27 release_post25 + event 28 release_post26 + event 29 release_post27 + event 30 release_post28 + event 31 release_post29 + event 32 release_post30 + event 33 release_post31 + event 34 release_post32 + event 35 release_post33 + event 36 release_post34 + event 37 release_post35 + event 38 release_post36 + event 39 release_post37 + event 40 release_post38 + event 41 release_post39 + event 42 release_post40 + event 43 release_post41 + event 44 release_post42 + event 45 release_post43 + event 46 release_post44 + event 47 release_post45 + event 48 release_post46 + event 49 release_post47 + event 50 release_post48 + event 51 release_post49 + event 52 release_post50 + event 53 release_post51 + event 54 release_post52 + event 55 release_post53 + event 56 release_post54 + event 57 release_post55 + event 58 release_post56 + event 59 release_post57 + event 60 release_post58 + event 61 release_post59 + event 62 release_post60 + event 63 release_post61 + event 64 release_post62 + event 65 release_post63 + event 66 release_post64 + event 67 release_post65 + event 68 release_post66 + event 69 release_post67 + event 70 release_post68 + event 71 release_post69 + event 72 release_post70 + event 73 release_post71 + event 74 release_post72 + event 75 release_post73 + event 76 release_post74 + event 77 release_post75 + event 78 release_post76 + event 79 release_post77 + event 80 release_post78 + event 81 release_post79 + event 82 release_post80 + event 83 release_post81 + event 84 release_post82 + event 85 release_post83 + event 86 release_post84 + event 87 release_post85 + event 88 release_post86 + event 89 release_post87 + event 90 release_post88 + event 91 release_post89 + event 92 release_post90 + event 93 release_post91 + event 94 release_post92 + event 95 release_post93 + event 96 release_post94 + event 97 release_post95 + event 98 release_post96 + event 99 release_post97 + event 100 release_post98 + event 101 release_post99 + event 102 release_post100 + event 103 release_post101 + event 104 release_post102 + event 105 release_post103 + event 106 release_post104 + event 107 release_post105 + event 108 release_post106 + event 109 release_post107 + event 110 release_post108 + event 111 release_post109 + event 112 release_post110 + event 113 release_post111 + event 114 release_post112 + event 115 release_post113 + event 116 release_post114 + event 117 release_post115 + event 118 release_post116 + event 119 release_post117 + event 120 release_post118 + event 121 release_post119 + event 122 release_post120 + event 123 release_post123 + event 124 release_post126 + event 125 release_post129 + event 126 release_post132 + event 127 release_post135 + event 128 release_post138 + event 129 release_post141 + event 130 release_post144 + event 131 release_post147 + event 132 release_post150 + event 133 release_post153 + event 134 release_post156 + event 135 release_post159 + event 136 release_post162 + event 137 release_post165 + event 138 release_post168 + event 139 release_post171 + event 140 release_post174 + event 141 release_post177 + event 142 release_post180 + event 143 release_post183 + event 144 release_post186 + event 145 release_post189 + event 146 release_post192 + event 147 release_post195 + event 148 release_post198 + event 149 release_post201 + event 150 release_post204 + event 151 release_post207 + event 152 release_post210 + event 153 release_post213 + event 154 release_post216 + event 155 release_post219 + event 156 release_post222 + event 157 release_post225 + event 158 release_post228 + event 159 release_post231 + event 160 release_post234 + event 161 release_post237 + event 162 release_post240 + event 163 release_post252 + event 164 release_post264 + event 165 release_post276 + event 166 release_post288 + event 167 release_post300 + event 168 release_post312 + event 169 release_post324 + event 170 release_post336 + event 171 release_post348 + event 172 release_post360 + event 173 release_post372 + event 174 release_post384 endtask task jgfs_pgrb2_f00 endtask @@ -1277,9 +1441,6 @@ suite prod18 task jgfs_gempak_meta trigger ../jgfs_analysis == complete endtask - task jgfs_pgrb2_spec_gempak - trigger ../post/jgfs_pgrb2_spec_post == complete - endtask endfamily endfamily family gdas diff --git a/model/ecflow_fv3gfs/ecf_file.yaml b/model/ecflow_fv3gfs/ecf_file.yaml index 5433583..aee2111 100644 --- a/model/ecflow_fv3gfs/ecf_file.yaml +++ b/model/ecflow_fv3gfs/ecf_file.yaml @@ -3,7 +3,7 @@ ecf_file_logic: &ecf_file_logic # Default resources for a job are serial resources: !calc doc.resource_demo.run_nothing - ecf_file: | + ecf_file: !expand | #! /bin/sh {ecf_batch_resources} %include @@ -13,7 +13,7 @@ ecf_file_logic: &ecf_file_logic {ecf_resource_more} - export model={model_name} + export model=%MODEL_NAME% %include ############################################################ @@ -37,6 +37,10 @@ ecf_file_logic: &ecf_file_logic %include %manual + {ecf_manual} + + ecf_manual: | + # FIXME: Insert manual for this job. ecf_module_commands: |- # Add any "module" commands here (switch, load, use, etc.) @@ -44,11 +48,11 @@ ecf_file_logic: &ecf_file_logic ecf_environment_settings: |- # Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - ecf_batch_resources: |- + ecf_batch_resources: !expand |- {sched.batch_accounting(accounting,jobname=task_path_var,outerr="%ECF_OUT%/"+task_path_var+"_t"+"%CYC%"+"z.log")} {sched.batch_resources(resources)} - ecf_resource_more: |- + ecf_resource_more: !expand |- {ecf_maybe_ntasks} {ecf_maybe_ptile} {ecf_maybe_threads} diff --git a/model/ecflow_fv3gfs/scripts/prod00/cycle_end.ecf b/model/ecflow_fv3gfs/scripts/prod00/cycle_end.ecf index 16137de..c9cfff9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/cycle_end.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/cycle_end.ecf @@ -3,9 +3,40 @@ #BSUB -P %PROJECT% #BSUB -J cycle_end #BSUB -o %ECF_OUT%/cycle_end_t%CYC%z.log + #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/CYCLE_END +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/analysis/jgdas_analysis_high.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/analysis/jgdas_analysis_high.ecf index 2a48f9c..05da1e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/analysis/jgdas_analysis_high.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/analysis/jgdas_analysis_high.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.analysis.jgdas_analysis_high #BSUB -o %ECF_OUT%/gdas.analysis.jgdas_analysis_high_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=60 %include -echo ${JOBgfs}/JGDAS_ANALYSIS_HIGH +%include + +set -x + +export ntasks=360 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf index 64ef876..56c4f2a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.dump.jgdas_dump +#BSUB -o %ECF_OUT%/gdas.dump.jgdas_dump_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf index 64ef876..2b40958 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.dump.jgdas_ics +#BSUB -o %ECF_OUT%/gdas.dump.jgdas_ics_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf index 64ef876..d5233d5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.dump.jgdas_tropcy_qc_reloc +#BSUB -o %ECF_OUT%/gdas.dump.jgdas_tropcy_qc_reloc_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf index ec5b931..9b0d13d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp1 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp1_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf index 699d8ea..3f764d5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp10 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp10_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf index 410ea26..de4e53e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp11 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp11_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf index 2710937..6bc023c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp12 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp12_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf index 3703b78..23e5309 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp13 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp13_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf index aaeed6b..2fa837f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp14 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp14_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf index 3e7fadd..91750df 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp15 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp15_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf index 7ec1cdf..53954b4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp16 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp16_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf index 4d9cba4..0160e4d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp2 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp2_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf index a359ccb..a3b9840 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp3 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp3_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf index b518546..1f0a322 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp4 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp4_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf index 64ef876..6864171 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp5_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf index 64ef876..187d773 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp6_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf index 64ef876..002f57f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp7_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf index 64ef876..09621bb 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp8_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf index 5a6f83d..95cfc73 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp9 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp9_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf index 7bc1cc2..6bf675b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp1 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp1_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf index 5581bdb..729cdf0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp10 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp10_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf index 7b703e1..724158b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp11 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp11_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf index a98096f..c2ce735 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp12 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp12_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf index 16f0bbf..6ebbb84 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp13 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp13_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf index b566596..1cabbee 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp14 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp14_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf index 1dedd19..0127116 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp15 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp15_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf index 0e9c166..e983650 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp16 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp16_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf index 4dbb7bf..1db68ea 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp2 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp2_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf index d934e3a..f4cc80c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp3 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp3_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf index 682df77..e46ae93 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp4 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp4_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf index 64ef876..cbb7cb8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp5_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf index 64ef876..78e11e8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp6_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf index 64ef876..5e7f8e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp7_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf index 64ef876..33e4705 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp8_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf index dd1a50e..894d204 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp9 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp9_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf index 64ef876..523b024 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_inflate_recenter +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_inflate_recenter_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=20 %include %include set -x -{ecf_resource_more} +export ntasks=80 +export ptile=4 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf index 64ef876..91d0963 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_post +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_post_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=20 %include %include set -x -{ecf_resource_more} +export ntasks=80 +export ptile=4 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf index 64ef876..5511157 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_select_obs +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_select_obs_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=4 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf index 64ef876..ca328f0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_update +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_update_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=40 %include %include set -x -{ecf_resource_more} +export ntasks=240 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/forecast/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/forecast/jgdas_forecast.ecf index 5caf47b..d7aaa39 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/forecast/jgdas_forecast.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/forecast/jgdas_forecast.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.forecast.jgdas_forecast #BSUB -o %ECF_OUT%/gdas.forecast.jgdas_forecast_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=1024] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak.ecf index 64ef876..326ae5d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.gempak.jgdas_gempak +#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_meta.ecf index 64ef876..a465314 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_meta.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_meta.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.gempak.jgdas_gempak_meta +#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_meta_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_ncdc.ecf index 64ef876..9fc1394 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_ncdc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_ncdc.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.gempak.jgdas_gempak_ncdc +#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_ncdc_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf index 64ef876..f821cbe 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.jgdas_verfrad +#BSUB -o %ECF_OUT%/gdas.jgdas_verfrad_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf index 64ef876..172f26e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.jgdas_vminmon +#BSUB -o %ECF_OUT%/gdas.jgdas_vminmon_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/post/jgdas_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/post/jgdas_post.ecf index 085d129..70279e2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/post/jgdas_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/post/jgdas_post.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.post.jgdas_post #BSUB -o %ECF_OUT%/gdas.post.jgdas_post_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=6 %include -echo ${JOBgfs}/post +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf index 64ef876..a318919 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post_processing.bulletins.jgdas_mknavybulls +#BSUB -o %ECF_OUT%/gdas.post_processing.bulletins.jgdas_mknavybulls_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf index 64ef876..64edefc 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep.jgdas_emcsfc_sfc_prep +#BSUB -o %ECF_OUT%/gdas.prep.jgdas_emcsfc_sfc_prep_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf index 64ef876..02bbbf4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep.jgdas_prep +#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=3 %include %include set -x -{ecf_resource_more} +export ntasks=6 +export ptile=2 +export threads=9223372036854775807 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf index 64ef876..ca2a67c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep.jgdas_prep_post +#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_post_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf index 64ef876..3efddef 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.dump.jgfs_dump +#BSUB -o %ECF_OUT%/gfs.dump.jgfs_dump_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf index 64ef876..ec9a7c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.dump.jgfs_tropcy_qc_reloc +#BSUB -o %ECF_OUT%/gfs.dump.jgfs_tropcy_qc_reloc_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_high.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_high.ecf index 64ef876..e0c8d37 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_high.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_high.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.forecast.jgfs_forecast_high +#BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_high_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_low.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_low.ecf index 0de7e8d..7d60768 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_low.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_low.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gfs.forecast.jgfs_forecast_low #BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_low_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=1024] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak.ecf index 64ef876..e98d868 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_meta.ecf index 64ef876..2064a33 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_meta.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_meta.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak_meta +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_meta_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_ncdc.ecf index 64ef876..28aef49 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_ncdc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_ncdc.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak_ncdc +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_ncdc_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_upapgif.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_upapgif.ecf index 64ef876..c376157 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_upapgif.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_upapgif.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak_upapgif +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_upapgif_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf index 64ef876..2e09f03 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.jgfs_analysis +#BSUB -o %ECF_OUT%/gfs.jgfs_analysis_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=60 %include %include set -x -{ecf_resource_more} +export ntasks=360 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf index 64ef876..12539f0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.jgfs_vminmon +#BSUB -o %ECF_OUT%/gfs.jgfs_vminmon_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_anl.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_anl.ecf index 64ef876..1592c9a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_anl.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_anl +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_anl_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f00.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f00.ecf index 64ef876..174874a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f00.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f00 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f00_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f01.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f01.ecf index 64ef876..07b5e97 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f01.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f01.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f01 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f01_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f02.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f02.ecf index 64ef876..6bd5de2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f02.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f02.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f02 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f02_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f03.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f03.ecf index 64ef876..5512cc7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f03.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f03.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f03 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f03_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f04.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f04.ecf index 64ef876..d44cabf 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f04.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f04.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f04 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f04_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f05.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f05.ecf index 64ef876..933e206 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f05.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f05.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f05 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f05_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f06.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f06.ecf index 64ef876..4580f6f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f06.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f06 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f06_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f07.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f07.ecf index 64ef876..6723ea8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f07.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f07.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f07 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f07_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f08.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f08.ecf index 64ef876..a0d3fb0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f08.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f08.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f08 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f08_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f09.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f09.ecf index 64ef876..bd0a087 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f09.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f09.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f09 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f09_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f10.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f10.ecf index 64ef876..f7c6240 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f10.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f10 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f10_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f100.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f100.ecf index 64ef876..0c15761 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f100.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f100 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f100_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f101.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f101.ecf index 64ef876..9cdfd50 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f101.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f101 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f101_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f102.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f102.ecf index 64ef876..0a69199 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f102.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f102 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f102_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f103.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f103.ecf index 64ef876..2eacdfc 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f103.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f103 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f103_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f104.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f104.ecf index 64ef876..3f5a24c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f104.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f104 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f104_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f105.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f105.ecf index 64ef876..81b8adc 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f105.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f105 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f105_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f106.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f106.ecf index 64ef876..c43cc00 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f106.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f106 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f106_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f107.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f107.ecf index 64ef876..97e8e47 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f107.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f107 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f107_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f108.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f108.ecf index 64ef876..cacd1f8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f108.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f108 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f108_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f109.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f109.ecf index 64ef876..33d2681 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f109.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f109 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f109_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f11.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f11.ecf index 64ef876..976f809 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f11.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f11 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f11_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f110.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f110.ecf index 64ef876..5b908ac 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f110.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f110 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f110_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f111.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f111.ecf index 64ef876..29f1f05 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f111.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f111 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f111_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f112.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f112.ecf index 64ef876..e156772 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f112.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f112 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f112_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f113.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f113.ecf index 64ef876..974a118 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f113.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f113 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f113_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f114.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f114.ecf index 64ef876..ad6263f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f114.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f114 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f114_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f115.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f115.ecf index 64ef876..040c9cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f115.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f115 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f115_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f116.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f116.ecf index 64ef876..0650cdc 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f116.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f116 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f116_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f117.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f117.ecf index 64ef876..2c1213e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f117.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f117 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f117_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f118.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f118.ecf index 64ef876..1f2e3e2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f118.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f118 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f118_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f119.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f119.ecf index 64ef876..a01c60e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f119.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f119 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f119_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f12.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f12.ecf index 64ef876..a975052 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f12.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f12 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f12_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f120.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f120.ecf index 64ef876..af5a95b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f120.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f120 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f120_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f123.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f123.ecf index 64ef876..39be5f3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f123.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f123 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f123_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f126.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f126.ecf index 64ef876..0ba19e7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f126.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f126 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f126_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f129.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f129.ecf index 64ef876..11c9a8e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f129.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f129 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f129_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f13.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f13.ecf index 64ef876..c96723b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f13.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f13 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f13_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f132.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f132.ecf index 64ef876..71a9a80 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f132.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f132 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f132_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f135.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f135.ecf index 64ef876..1a4495c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f135.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f135 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f135_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f138.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f138.ecf index 64ef876..3857157 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f138.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f138 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f138_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f14.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f14.ecf index 64ef876..200d27a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f14.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f14 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f14_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f141.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f141.ecf index 64ef876..a77bd40 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f141.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f141 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f141_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f144.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f144.ecf index 64ef876..a260c3f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f144.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f144 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f144_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f147.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f147.ecf index 64ef876..24f0bfc 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f147.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f147 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f147_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f15.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f15.ecf index 64ef876..2fbda18 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f15.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f15 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f15_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f150.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f150.ecf index 64ef876..fe846d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f150.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f150 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f150_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f153.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f153.ecf index 64ef876..76926b3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f153.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f153 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f153_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f156.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f156.ecf index 64ef876..ba35661 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f156.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f156 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f156_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f159.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f159.ecf index 64ef876..a7610ed 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f159.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f159 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f159_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f16.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f16.ecf index 64ef876..ecf5680 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f16.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f16 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f16_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f162.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f162.ecf index 64ef876..0435b6b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f162.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f162 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f162_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f165.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f165.ecf index 64ef876..04a26a2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f165.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f165 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f165_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f168.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f168.ecf index 64ef876..fc20fdf 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f168.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f168 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f168_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f17.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f17.ecf index 64ef876..9a1f924 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f17.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f17.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f17 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f17_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f171.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f171.ecf index 64ef876..f1c88e7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f171.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f171 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f171_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f174.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f174.ecf index 64ef876..0bfae2b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f174.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f174 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f174_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f177.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f177.ecf index 64ef876..f79499f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f177.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f177 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f177_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f18.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f18.ecf index 64ef876..b08c39e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f18.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f18 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f18_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f180.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f180.ecf index 64ef876..70a8257 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f180.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f180 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f180_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f183.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f183.ecf index 64ef876..c3eba46 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f183.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f183 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f183_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f186.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f186.ecf index 64ef876..19b5b17 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f186.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f186 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f186_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f189.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f189.ecf index 64ef876..295f645 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f189.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f189 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f189_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f19.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f19.ecf index 64ef876..28cbff2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f19.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f19.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f19 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f19_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f192.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f192.ecf index 64ef876..2a9adb0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f192.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f192 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f192_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f195.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f195.ecf index 64ef876..029a6f1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f195.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f195 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f195_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f198.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f198.ecf index 64ef876..62abb4a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f198.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f198 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f198_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f20.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f20.ecf index 64ef876..5557e78 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f20.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f20.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f20 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f20_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f201.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f201.ecf index 64ef876..071c2a4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f201.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f201 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f201_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f204.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f204.ecf index 64ef876..d3573ee 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f204.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f204 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f204_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f207.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f207.ecf index 64ef876..8be09f7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f207.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f207 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f207_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f21.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f21.ecf index 64ef876..7931e80 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f21.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f21.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f21 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f21_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f210.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f210.ecf index 64ef876..ce82bd4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f210.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f210 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f210_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f213.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f213.ecf index 64ef876..87077a1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f213.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f213 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f213_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f216.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f216.ecf index 64ef876..eaa75ad 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f216.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f216 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f216_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f219.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f219.ecf index 64ef876..30bcbf5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f219.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f219 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f219_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f22.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f22.ecf index 64ef876..5fcce72 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f22.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f22.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f22 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f22_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f222.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f222.ecf index 64ef876..9ff9ee5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f222.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f222 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f222_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f225.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f225.ecf index 64ef876..8532891 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f225.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f225 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f225_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f228.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f228.ecf index 64ef876..3c25670 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f228.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f228 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f228_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f23.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f23.ecf index 64ef876..8e580c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f23.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f23.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f23 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f23_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f231.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f231.ecf index 64ef876..e473c18 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f231.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f231 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f231_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f234.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f234.ecf index 64ef876..f010694 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f234.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f234 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f234_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f237.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f237.ecf index 64ef876..642e7af 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f237.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f237 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f237_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f24.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f24.ecf index 64ef876..71cfe7a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f24.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f24 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f24_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f240.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f240.ecf index 64ef876..a87516a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f240.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f240 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f240_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f25.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f25.ecf index 64ef876..164f8a7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f25.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f25.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f25 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f25_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f252.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f252.ecf index 64ef876..9f244e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f252.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f252 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f252_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f26.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f26.ecf index 64ef876..856e49f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f26.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f26.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f26 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f26_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f264.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f264.ecf index 64ef876..14cda4a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f264.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f264 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f264_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f27.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f27.ecf index 64ef876..d416e60 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f27.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f27.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f27 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f27_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f276.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f276.ecf index 64ef876..0220bee 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f276.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f276 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f276_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f28.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f28.ecf index 64ef876..3ffae84 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f28.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f28.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f28 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f28_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f288.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f288.ecf index 64ef876..454ca85 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f288.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f288 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f288_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f29.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f29.ecf index 64ef876..c97840a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f29.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f29.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f29 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f29_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f30.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f30.ecf index 64ef876..e1ea0e2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f30.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f30 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f30_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f300.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f300.ecf index 64ef876..1c0b12c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f300.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f300 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f300_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f31.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f31.ecf index 64ef876..93f85eb 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f31.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f31.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f31 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f31_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f312.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f312.ecf index 64ef876..8a93302 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f312.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f312 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f312_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f32.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f32.ecf index 64ef876..7c39cf4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f32.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f32.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f32 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f32_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f324.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f324.ecf index 64ef876..79a30c8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f324.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f324 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f324_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f33.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f33.ecf index 64ef876..9af52bf 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f33.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f33.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f33 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f33_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f336.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f336.ecf index 64ef876..8f1d98c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f336.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f336 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f336_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f34.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f34.ecf index 64ef876..0d35e21 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f34.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f34.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f34 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f34_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f348.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f348.ecf index 64ef876..a30e3ca 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f348.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f348 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f348_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f35.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f35.ecf index 64ef876..bc4bda1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f35.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f35.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f35 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f35_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f36.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f36.ecf index 64ef876..7de0e72 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f36.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f36 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f36_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f360.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f360.ecf index 64ef876..172fb0e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f360.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f360 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f360_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f37.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f37.ecf index 64ef876..df7cac0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f37.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f37.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f37 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f37_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f372.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f372.ecf index 64ef876..7b05117 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f372.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f372 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f372_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f38.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f38.ecf index 64ef876..9a33c9f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f38.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f38.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f38 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f38_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f384.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f384.ecf index 64ef876..3fc1ea6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f384.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f384 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f384_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f39.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f39.ecf index 64ef876..83cdefb 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f39.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f39.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f39 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f39_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f40.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f40.ecf index 64ef876..e1acb56 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f40.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f40.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f40 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f40_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f41.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f41.ecf index 64ef876..69a9cf5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f41.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f41.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f41 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f41_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f42.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f42.ecf index 64ef876..a617d2b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f42.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f42 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f42_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f43.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f43.ecf index 64ef876..721bedb 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f43.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f43.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f43 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f43_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f44.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f44.ecf index 64ef876..5d3eea9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f44.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f44.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f44 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f44_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f45.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f45.ecf index 64ef876..429f3df 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f45.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f45.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f45 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f45_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f46.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f46.ecf index 64ef876..c1c755d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f46.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f46.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f46 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f46_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f47.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f47.ecf index 64ef876..65fb347 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f47.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f47.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f47 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f47_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f48.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f48.ecf index 64ef876..1e6a825 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f48.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f48 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f48_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f49.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f49.ecf index 64ef876..70759d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f49.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f49.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f49 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f49_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f50.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f50.ecf index 64ef876..eff73fd 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f50.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f50.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f50 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f50_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f51.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f51.ecf index 64ef876..cb06902 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f51.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f51.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f51 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f51_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f52.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f52.ecf index 64ef876..cd69559 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f52.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f52.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f52 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f52_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f53.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f53.ecf index 64ef876..8b8626b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f53.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f53.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f53 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f53_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f54.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f54.ecf index 64ef876..22d46c4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f54.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f54 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f54_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f55.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f55.ecf index 64ef876..410e778 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f55.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f55.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f55 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f55_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f56.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f56.ecf index 64ef876..4eab593 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f56.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f56.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f56 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f56_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f57.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f57.ecf index 64ef876..5436f4a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f57.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f57.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f57 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f57_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f58.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f58.ecf index 64ef876..994d09b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f58.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f58.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f58 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f58_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f59.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f59.ecf index 64ef876..38aad79 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f59.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f59.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f59 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f59_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f60.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f60.ecf index 64ef876..27d23a3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f60.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f60 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f60_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f61.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f61.ecf index 64ef876..d0b5ef6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f61.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f61.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f61 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f61_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f62.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f62.ecf index 64ef876..cc231db 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f62.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f62.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f62 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f62_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f63.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f63.ecf index 64ef876..b93fc36 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f63.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f63.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f63 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f63_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f64.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f64.ecf index 64ef876..dd7f9da 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f64.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f64.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f64 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f64_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f65.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f65.ecf index 64ef876..f51aee7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f65.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f65.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f65 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f65_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f66.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f66.ecf index 64ef876..7c4e85d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f66.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f66 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f66_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f67.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f67.ecf index 64ef876..f4b7b21 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f67.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f67.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f67 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f67_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f68.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f68.ecf index 64ef876..7515773 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f68.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f68.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f68 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f68_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f69.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f69.ecf index 64ef876..dbd70aa 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f69.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f69.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f69 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f69_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f70.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f70.ecf index 64ef876..ed8031d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f70.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f70.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f70 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f70_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f71.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f71.ecf index 64ef876..3bcebcc 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f71.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f71.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f71 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f71_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f72.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f72.ecf index 64ef876..f74154a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f72.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f72 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f72_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f73.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f73.ecf index 64ef876..94f68c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f73.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f73.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f73 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f73_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f74.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f74.ecf index 64ef876..ad230fa 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f74.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f74.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f74 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f74_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f75.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f75.ecf index 64ef876..f9d56f8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f75.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f75.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f75 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f75_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f76.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f76.ecf index 64ef876..9eb91f8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f76.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f76.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f76 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f76_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f77.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f77.ecf index 64ef876..1c2fff5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f77.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f77.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f77 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f77_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f78.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f78.ecf index 64ef876..ea8fbdf 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f78.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f78 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f78_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f79.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f79.ecf index 64ef876..6dfabea 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f79.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f79.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f79 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f79_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f80.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f80.ecf index 64ef876..d41bdc9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f80.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f80.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f80 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f80_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f81.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f81.ecf index 64ef876..f20268f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f81.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f81.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f81 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f81_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f82.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f82.ecf index 64ef876..825ebce 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f82.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f82.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f82 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f82_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f83.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f83.ecf index 64ef876..3bc7460 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f83.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f83.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f83 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f83_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f84.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f84.ecf index 64ef876..47f9fbb 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f84.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f84 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f84_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f85.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f85.ecf index 64ef876..dc6312e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f85.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f85.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f85 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f85_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f86.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f86.ecf index 64ef876..692f3aa 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f86.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f86.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f86 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f86_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f87.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f87.ecf index 64ef876..17fbbb3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f87.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f87.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f87 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f87_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f88.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f88.ecf index 64ef876..8b9d7a6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f88.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f88.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f88 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f88_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f89.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f89.ecf index 64ef876..f7c07e7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f89.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f89.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f89 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f89_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f90.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f90.ecf index 64ef876..0004443 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f90.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f90 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f90_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f91.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f91.ecf index 64ef876..c536f1b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f91.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f91.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f91 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f91_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f92.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f92.ecf index 64ef876..02ae73d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f92.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f92.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f92 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f92_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f93.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f93.ecf index 64ef876..4e19cba 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f93.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f93.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f93 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f93_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f94.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f94.ecf index 64ef876..9973097 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f94.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f94.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f94 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f94_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f95.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f95.ecf index 64ef876..176b452 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f95.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f95.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f95 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f95_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f96.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f96.ecf index 64ef876..b975020 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f96.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f96 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f96_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f97.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f97.ecf index 64ef876..49bd8a2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f97.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f97.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f97 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f97_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f98.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f98.ecf index 64ef876..b80ece2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f98.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f98.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f98 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f98_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f99.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f99.ecf index 64ef876..8d67938 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f99.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f99.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f99 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f99_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_manager.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_manager.ecf index 64ef876..436fa1c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_manager.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_manager.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_manager +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_manager_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf index 64ef876..47c0ebc 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f000 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f000_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf index 64ef876..7397347 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f006 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f006_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf index 64ef876..2849c59 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f240 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f240_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf index 64ef876..62bdccc 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f000 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f000_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf index 64ef876..6d576f5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.bufr_sounding.jgfs_postsnd +#BSUB -o %ECF_OUT%/gfs.post_processing.bufr_sounding.jgfs_postsnd_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf index 64ef876..91f1484 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.bulletins.jgfs_cyclone_tracker +#BSUB -o %ECF_OUT%/gfs.post_processing.bulletins.jgfs_cyclone_tracker_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_fbwind.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_fbwind.ecf index 64ef876..648bcb8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_fbwind.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_fbwind.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.bulletins.jgfs_fbwind +#BSUB -o %ECF_OUT%/gfs.post_processing.bulletins.jgfs_fbwind_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/dummy.ecf index be0d470..e6b6a32 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/dummy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/dummy.ecf @@ -3,9 +3,40 @@ #BSUB -P %PROJECT% #BSUB -J gfs.post_processing.dummy #BSUB -o %ECF_OUT%/gfs.post_processing.dummy_t%CYC%z.log + #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/DUMMY +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_anl.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_anl.ecf index 64ef876..cc1d460 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_anl.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.fax.jgfs_fax_anl +#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_anl_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_f00.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_f00.ecf index 64ef876..e43d8b8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_f00.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.fax.jgfs_fax_f00 +#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_f00_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf index 64ef876..9ebf54a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f12 +#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f12_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf index 64ef876..f692b2d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f24 +#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f24_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf index 64ef876..7b10530 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f36 +#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f36_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf index 64ef876..00d156c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib2_wafs.jgfs_wafs_blending +#BSUB -o %ECF_OUT%/gfs.post_processing.grib2_wafs.jgfs_wafs_blending_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf index 64ef876..ed01829 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib2_wafs.jgfs_wafs_grib2 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib2_wafs.jgfs_wafs_grib2_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf index 64ef876..a699abc 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f00 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f00_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf index 64ef876..2b388ee 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f06 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f06_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf index 64ef876..f218bed 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f240 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f240_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf index 64ef876..72ca961 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f00 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f00_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf index 64ef876..1415813 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f06 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f06_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf index 64ef876..780906b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f102 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f102_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf index 64ef876..2b671ee 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f108 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f108_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf index 64ef876..1a5fcf1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f114 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f114_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf index 64ef876..f1a5c6b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f12 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f12_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf index 64ef876..33cedb1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f120 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f120_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf index 64ef876..b7f3bfc 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f18 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f18_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf index 64ef876..85b25b4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f24 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f24_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf index 64ef876..8202552 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f30 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f30_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf index 64ef876..3461a3d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f36 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f36_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf index 64ef876..6d80056 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f42 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f42_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf index 64ef876..7e35a4f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f48 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f48_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf index 64ef876..0272a48 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f54 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f54_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf index 64ef876..03e2a12 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f60 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f60_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf index 64ef876..02b4f9e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f66 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f66_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf index 64ef876..9c4d760 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f72 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f72_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf index 64ef876..83a5818 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f78 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f78_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf index 64ef876..98ce48d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f84 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f84_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf index 64ef876..c77c75d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f90 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f90_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf index 64ef876..46064b5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f96 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f96_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_anl.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_anl.ecf index 64ef876..0217286 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_anl.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_anl +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_anl_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f00.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f00.ecf index 64ef876..f274e8f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f00.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f00 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f00_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f01.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f01.ecf index 64ef876..89e5612 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f01.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f01.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f01 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f01_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f02.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f02.ecf index 64ef876..cee01fd 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f02.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f02.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f02 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f02_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f03.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f03.ecf index 64ef876..8497273 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f03.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f03.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f03 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f03_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f04.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f04.ecf index 64ef876..27e3185 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f04.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f04.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f04 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f04_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f05.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f05.ecf index 64ef876..0b787af 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f05.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f05.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f05 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f05_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f06.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f06.ecf index 64ef876..d9deb0b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f06.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f06 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f06_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f07.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f07.ecf index 64ef876..2d4b772 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f07.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f07.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f07 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f07_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f08.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f08.ecf index 64ef876..38552b0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f08.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f08.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f08 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f08_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f09.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f09.ecf index 64ef876..5533bc3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f09.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f09.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f09 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f09_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f10.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f10.ecf index 64ef876..2828893 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f10.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f10 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f10_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f100.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f100.ecf index 64ef876..750dec4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f100.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f100 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f100_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f101.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f101.ecf index 64ef876..f4601b9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f101.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f101 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f101_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f102.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f102.ecf index 64ef876..984f7e4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f102.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f102 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f102_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f103.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f103.ecf index 64ef876..840d44f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f103.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f103 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f103_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f104.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f104.ecf index 64ef876..b9d199f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f104.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f104 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f104_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f105.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f105.ecf index 64ef876..334b916 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f105.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f105 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f105_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f106.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f106.ecf index 64ef876..c6d8d13 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f106.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f106 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f106_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f107.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f107.ecf index 64ef876..63b4514 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f107.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f107 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f107_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f108.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f108.ecf index 64ef876..87dffb6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f108.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f108 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f108_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f109.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f109.ecf index 64ef876..5927af3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f109.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f109 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f109_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f11.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f11.ecf index 64ef876..09ba320 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f11.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f11 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f11_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f110.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f110.ecf index 64ef876..861c032 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f110.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f110 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f110_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f111.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f111.ecf index 64ef876..0a80654 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f111.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f111 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f111_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f112.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f112.ecf index 64ef876..4be6407 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f112.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f112 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f112_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f113.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f113.ecf index 64ef876..5a54cfb 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f113.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f113 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f113_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f114.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f114.ecf index 64ef876..cab1a4d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f114.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f114 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f114_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f115.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f115.ecf index 64ef876..da62181 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f115.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f115 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f115_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f116.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f116.ecf index 64ef876..419fee8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f116.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f116 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f116_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f117.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f117.ecf index 64ef876..2134fe8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f117.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f117 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f117_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f118.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f118.ecf index 64ef876..cfadbf0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f118.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f118 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f118_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f119.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f119.ecf index 64ef876..9b178fa 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f119.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f119 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f119_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f12.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f12.ecf index 64ef876..34b833c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f12.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f12 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f12_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f120.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f120.ecf index 64ef876..d2192cc 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f120.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f120 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f120_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f123.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f123.ecf index 64ef876..e6c218e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f123.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f123 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f123_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f126.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f126.ecf index 64ef876..322453c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f126.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f126 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f126_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f129.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f129.ecf index 64ef876..eba257b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f129.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f129 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f129_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f13.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f13.ecf index 64ef876..087d7d9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f13.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f13 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f13_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f132.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f132.ecf index 64ef876..8c97ab8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f132.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f132 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f132_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f135.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f135.ecf index 64ef876..f87518e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f135.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f135 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f135_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f138.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f138.ecf index 64ef876..9b37feb 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f138.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f138 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f138_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f14.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f14.ecf index 64ef876..543f8d1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f14.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f14 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f14_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f141.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f141.ecf index 64ef876..bf9d057 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f141.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f141 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f141_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f144.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f144.ecf index 64ef876..a3a3330 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f144.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f144 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f144_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f147.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f147.ecf index 64ef876..175930a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f147.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f147 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f147_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f15.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f15.ecf index 64ef876..827259c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f15.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f15 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f15_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f150.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f150.ecf index 64ef876..ab2d64b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f150.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f150 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f150_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f153.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f153.ecf index 64ef876..e96a680 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f153.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f153 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f153_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f156.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f156.ecf index 64ef876..0e7014a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f156.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f156 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f156_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f159.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f159.ecf index 64ef876..04fe9e9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f159.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f159 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f159_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f16.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f16.ecf index 64ef876..f5bbd5b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f16.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f16 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f16_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f162.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f162.ecf index 64ef876..1df889f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f162.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f162 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f162_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f165.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f165.ecf index 64ef876..6af5608 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f165.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f165 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f165_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f168.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f168.ecf index 64ef876..dc4195b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f168.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f168 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f168_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f17.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f17.ecf index 64ef876..86bdd4a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f17.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f17.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f17 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f17_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f171.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f171.ecf index 64ef876..0cd577d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f171.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f171 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f171_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f174.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f174.ecf index 64ef876..3e0dd2d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f174.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f174 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f174_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f177.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f177.ecf index 64ef876..4ef1fe3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f177.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f177 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f177_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f18.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f18.ecf index 64ef876..059d265 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f18.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f18 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f18_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f180.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f180.ecf index 64ef876..78da428 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f180.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f180 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f180_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f183.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f183.ecf index 64ef876..485f07a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f183.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f183 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f183_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f186.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f186.ecf index 64ef876..8fb2e59 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f186.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f186 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f186_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f189.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f189.ecf index 64ef876..b2f7eed 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f189.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f189 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f189_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f19.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f19.ecf index 64ef876..159db26 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f19.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f19.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f19 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f19_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f192.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f192.ecf index 64ef876..f8a044b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f192.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f192 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f192_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f195.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f195.ecf index 64ef876..5de8f08 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f195.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f195 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f195_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f198.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f198.ecf index 64ef876..bce8025 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f198.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f198 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f198_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f20.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f20.ecf index 64ef876..df0ace6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f20.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f20.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f20 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f20_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f201.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f201.ecf index 64ef876..431e818 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f201.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f201 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f201_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f204.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f204.ecf index 64ef876..116f24c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f204.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f204 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f204_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f207.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f207.ecf index 64ef876..dd3c798 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f207.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f207 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f207_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f21.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f21.ecf index 64ef876..715e786 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f21.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f21.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f21 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f21_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f210.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f210.ecf index 64ef876..5ef8135 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f210.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f210 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f210_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f213.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f213.ecf index 64ef876..c7cb988 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f213.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f213 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f213_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f216.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f216.ecf index 64ef876..fb093a7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f216.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f216 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f216_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f219.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f219.ecf index 64ef876..2556a1f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f219.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f219 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f219_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f22.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f22.ecf index 64ef876..dbf26c3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f22.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f22.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f22 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f22_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f222.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f222.ecf index 64ef876..342b2db 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f222.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f222 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f222_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f225.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f225.ecf index 64ef876..4bdec7d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f225.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f225 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f225_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f228.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f228.ecf index 64ef876..fbad4ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f228.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f228 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f228_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f23.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f23.ecf index 64ef876..c6e084b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f23.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f23.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f23 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f23_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f231.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f231.ecf index 64ef876..91b6f03 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f231.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f231 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f231_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f234.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f234.ecf index 64ef876..5d2d154 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f234.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f234 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f234_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f237.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f237.ecf index 64ef876..c7d1771 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f237.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f237 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f237_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f24.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f24.ecf index 64ef876..8413b03 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f24.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f24 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f24_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f240.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f240.ecf index 64ef876..7002a9c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f240.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f240 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f240_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f25.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f25.ecf index 64ef876..98be9e8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f25.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f25.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f25 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f25_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f252.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f252.ecf index 64ef876..453404b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f252.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f252 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f252_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f26.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f26.ecf index 64ef876..9054699 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f26.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f26.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f26 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f26_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f264.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f264.ecf index 64ef876..43b1464 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f264.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f264 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f264_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f27.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f27.ecf index 64ef876..60d8758 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f27.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f27.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f27 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f27_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f276.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f276.ecf index 64ef876..5abe1ba 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f276.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f276 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f276_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f28.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f28.ecf index 64ef876..87da152 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f28.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f28.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f28 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f28_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f288.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f288.ecf index 64ef876..da23ce9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f288.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f288 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f288_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f29.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f29.ecf index 64ef876..f9d8bf3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f29.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f29.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f29 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f29_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f30.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f30.ecf index 64ef876..7c13679 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f30.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f30 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f30_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f300.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f300.ecf index 64ef876..30aead1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f300.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f300 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f300_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f31.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f31.ecf index 64ef876..9a1e5e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f31.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f31.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f31 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f31_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f312.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f312.ecf index 64ef876..70200c1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f312.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f312 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f312_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f32.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f32.ecf index 64ef876..41077a0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f32.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f32.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f32 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f32_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f324.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f324.ecf index 64ef876..dccc89f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f324.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f324 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f324_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f33.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f33.ecf index 64ef876..0cdc68a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f33.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f33.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f33 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f33_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f336.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f336.ecf index 64ef876..4b67adf 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f336.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f336 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f336_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f34.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f34.ecf index 64ef876..3a234e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f34.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f34.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f34 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f34_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f348.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f348.ecf index 64ef876..67f6540 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f348.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f348 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f348_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f35.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f35.ecf index 64ef876..2de4def 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f35.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f35.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f35 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f35_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f36.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f36.ecf index 64ef876..7e62a6a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f36.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f36 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f36_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f360.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f360.ecf index 64ef876..701bbae 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f360.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f360 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f360_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f37.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f37.ecf index 64ef876..ef0423c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f37.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f37.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f37 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f37_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f372.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f372.ecf index 64ef876..ac7cabb 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f372.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f372 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f372_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f38.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f38.ecf index 64ef876..6376158 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f38.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f38.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f38 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f38_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f384.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f384.ecf index 64ef876..d476e7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f384.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f384 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f384_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f39.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f39.ecf index 64ef876..1781875 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f39.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f39.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f39 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f39_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f40.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f40.ecf index 64ef876..02a0458 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f40.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f40.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f40 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f40_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f41.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f41.ecf index 64ef876..a64d3d9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f41.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f41.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f41 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f41_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f42.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f42.ecf index 64ef876..612fac8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f42.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f42 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f42_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f43.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f43.ecf index 64ef876..9a2dc64 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f43.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f43.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f43 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f43_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f44.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f44.ecf index 64ef876..6bda6c1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f44.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f44.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f44 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f44_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f45.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f45.ecf index 64ef876..966429e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f45.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f45.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f45 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f45_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f46.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f46.ecf index 64ef876..7b0e0ee 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f46.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f46.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f46 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f46_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f47.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f47.ecf index 64ef876..69c5f1c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f47.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f47.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f47 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f47_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f48.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f48.ecf index 64ef876..4cad6f8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f48.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f48 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f48_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f49.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f49.ecf index 64ef876..4eb2156 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f49.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f49.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f49 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f49_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f50.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f50.ecf index 64ef876..8bd6b8e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f50.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f50.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f50 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f50_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f51.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f51.ecf index 64ef876..579dace 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f51.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f51.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f51 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f51_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f52.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f52.ecf index 64ef876..3964a08 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f52.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f52.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f52 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f52_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f53.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f53.ecf index 64ef876..c7a1fd9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f53.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f53.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f53 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f53_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f54.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f54.ecf index 64ef876..fb4118e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f54.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f54 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f54_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f55.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f55.ecf index 64ef876..287120e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f55.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f55.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f55 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f55_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f56.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f56.ecf index 64ef876..7548d29 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f56.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f56.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f56 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f56_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f57.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f57.ecf index 64ef876..7d1f63b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f57.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f57.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f57 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f57_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f58.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f58.ecf index 64ef876..57ea54c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f58.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f58.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f58 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f58_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f59.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f59.ecf index 64ef876..eeca85d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f59.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f59.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f59 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f59_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f60.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f60.ecf index 64ef876..6d96107 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f60.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f60 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f60_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f61.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f61.ecf index 64ef876..ad3936d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f61.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f61.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f61 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f61_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f62.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f62.ecf index 64ef876..7a13e45 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f62.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f62.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f62 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f62_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f63.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f63.ecf index 64ef876..7a9e449 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f63.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f63.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f63 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f63_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f64.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f64.ecf index 64ef876..ebaa4cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f64.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f64.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f64 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f64_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f65.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f65.ecf index 64ef876..0be9a42 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f65.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f65.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f65 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f65_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f66.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f66.ecf index 64ef876..c968b44 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f66.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f66 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f66_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f67.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f67.ecf index 64ef876..b323a0f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f67.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f67.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f67 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f67_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f68.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f68.ecf index 64ef876..ecc2d32 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f68.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f68.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f68 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f68_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f69.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f69.ecf index 64ef876..b72fe63 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f69.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f69.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f69 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f69_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f70.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f70.ecf index 64ef876..c7eb55c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f70.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f70.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f70 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f70_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f71.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f71.ecf index 64ef876..a8b386f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f71.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f71.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f71 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f71_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f72.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f72.ecf index 64ef876..a9d253f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f72.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f72 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f72_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f73.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f73.ecf index 64ef876..e9222c1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f73.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f73.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f73 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f73_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f74.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f74.ecf index 64ef876..5b22439 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f74.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f74.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f74 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f74_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f75.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f75.ecf index 64ef876..0acb3a9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f75.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f75.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f75 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f75_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f76.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f76.ecf index 64ef876..91ec20d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f76.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f76.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f76 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f76_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f77.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f77.ecf index 64ef876..0b1f2e3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f77.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f77.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f77 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f77_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f78.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f78.ecf index 64ef876..a4233b9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f78.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f78 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f78_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f79.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f79.ecf index 64ef876..8b349f3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f79.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f79.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f79 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f79_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f80.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f80.ecf index 64ef876..da35659 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f80.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f80.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f80 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f80_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f81.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f81.ecf index 64ef876..51d59a0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f81.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f81.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f81 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f81_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f82.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f82.ecf index 64ef876..e66b58d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f82.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f82.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f82 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f82_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f83.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f83.ecf index 64ef876..bf0037d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f83.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f83.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f83 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f83_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f84.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f84.ecf index 64ef876..5a9b7a9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f84.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f84 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f84_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f85.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f85.ecf index 64ef876..7eea41f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f85.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f85.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f85 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f85_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f86.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f86.ecf index 64ef876..144eb09 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f86.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f86.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f86 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f86_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f87.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f87.ecf index 64ef876..8ad6749 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f87.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f87.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f87 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f87_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f88.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f88.ecf index 64ef876..695cc2b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f88.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f88.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f88 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f88_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f89.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f89.ecf index 64ef876..48b69dd 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f89.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f89.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f89 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f89_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f90.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f90.ecf index 64ef876..996594c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f90.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f90 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f90_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f91.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f91.ecf index 64ef876..b45e4ad 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f91.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f91.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f91 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f91_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f92.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f92.ecf index 64ef876..1535dc5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f92.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f92.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f92 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f92_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f93.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f93.ecf index 64ef876..faf0e22 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f93.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f93.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f93 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f93_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f94.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f94.ecf index 64ef876..1fb99ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f94.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f94.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f94 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f94_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f95.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f95.ecf index 64ef876..e45f015 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f95.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f95.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f95 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f95_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f96.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f96.ecf index 64ef876..2c1e006 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f96.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f96 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f96_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f97.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f97.ecf index 64ef876..76273aa 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f97.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f97.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f97 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f97_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f98.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f98.ecf index 64ef876..e84114b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f98.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f98.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f98 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f98_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f99.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f99.ecf index 64ef876..4735a70 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f99.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f99.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f99 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f99_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_manager.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_manager.ecf index 64ef876..a9a6ea3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_manager.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_manager.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_manager +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_manager_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf index 64ef876..3a53c88 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep.jgfs_emcsfc_sfc_prep +#BSUB -o %ECF_OUT%/gfs.prep.jgfs_emcsfc_sfc_prep_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf index 64ef876..2060458 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep.jgfs_prep +#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=3 %include %include set -x -{ecf_resource_more} +export ntasks=6 +export ptile=2 +export threads=9223372036854775807 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf index 64ef876..bd21eff 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep.jgfs_prep_post +#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_post_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_even.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_even.ecf index 64ef876..17b33f4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_even.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_even.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.sminit_guam.jgfs_sminit_guam_even +#BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_even_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf index 64ef876..fad116a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.sminit_guam.jgfs_sminit_guam_odd +#BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_odd_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/cycle_end.ecf b/model/ecflow_fv3gfs/scripts/prod06/cycle_end.ecf index 16137de..c9cfff9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/cycle_end.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/cycle_end.ecf @@ -3,9 +3,40 @@ #BSUB -P %PROJECT% #BSUB -J cycle_end #BSUB -o %ECF_OUT%/cycle_end_t%CYC%z.log + #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/CYCLE_END +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/analysis/jgdas_analysis_high.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/analysis/jgdas_analysis_high.ecf index 2a48f9c..05da1e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/analysis/jgdas_analysis_high.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/analysis/jgdas_analysis_high.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.analysis.jgdas_analysis_high #BSUB -o %ECF_OUT%/gdas.analysis.jgdas_analysis_high_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=60 %include -echo ${JOBgfs}/JGDAS_ANALYSIS_HIGH +%include + +set -x + +export ntasks=360 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf index 64ef876..56c4f2a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.dump.jgdas_dump +#BSUB -o %ECF_OUT%/gdas.dump.jgdas_dump_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf index 64ef876..2b40958 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.dump.jgdas_ics +#BSUB -o %ECF_OUT%/gdas.dump.jgdas_ics_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf index 64ef876..d5233d5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.dump.jgdas_tropcy_qc_reloc +#BSUB -o %ECF_OUT%/gdas.dump.jgdas_tropcy_qc_reloc_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf index 64ef876..9b0d13d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp1 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp1_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf index 699d8ea..3f764d5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp10 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp10_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf index 410ea26..de4e53e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp11 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp11_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf index 2710937..6bc023c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp12 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp12_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf index 3703b78..23e5309 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp13 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp13_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf index aaeed6b..2fa837f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp14 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp14_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf index 3e7fadd..91750df 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp15 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp15_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf index 7ec1cdf..53954b4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp16 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp16_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf index 64ef876..0160e4d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp2 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp2_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf index 64ef876..a3b9840 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp3 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp3_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf index 64ef876..1f0a322 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp4 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp4_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf index 64ef876..6864171 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp5_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf index 64ef876..187d773 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp6_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf index 64ef876..002f57f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp7_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf index 64ef876..09621bb 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp8_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf index 5a6f83d..95cfc73 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp9 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp9_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf index 64ef876..6bf675b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp1 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp1_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf index 5581bdb..729cdf0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp10 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp10_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf index 7b703e1..724158b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp11 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp11_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf index a98096f..c2ce735 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp12 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp12_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf index 16f0bbf..6ebbb84 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp13 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp13_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf index b566596..1cabbee 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp14 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp14_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf index 1dedd19..0127116 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp15 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp15_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf index 0e9c166..e983650 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp16 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp16_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf index 64ef876..1db68ea 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp2 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp2_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf index 64ef876..f4cc80c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp3 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp3_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf index 64ef876..e46ae93 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp4 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp4_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf index 64ef876..cbb7cb8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp5_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf index 64ef876..78e11e8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp6_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf index 64ef876..5e7f8e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp7_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf index 64ef876..33e4705 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp8_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf index dd1a50e..894d204 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp9 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp9_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf index 64ef876..523b024 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_inflate_recenter +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_inflate_recenter_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=20 %include %include set -x -{ecf_resource_more} +export ntasks=80 +export ptile=4 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf index 64ef876..91d0963 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_post +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_post_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=20 %include %include set -x -{ecf_resource_more} +export ntasks=80 +export ptile=4 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf index 64ef876..5511157 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_select_obs +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_select_obs_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=4 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf index 64ef876..ca328f0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_update +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_update_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=40 %include %include set -x -{ecf_resource_more} +export ntasks=240 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/forecast/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/forecast/jgdas_forecast.ecf index 5caf47b..d7aaa39 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/forecast/jgdas_forecast.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/forecast/jgdas_forecast.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.forecast.jgdas_forecast #BSUB -o %ECF_OUT%/gdas.forecast.jgdas_forecast_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=1024] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak.ecf index 64ef876..326ae5d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.gempak.jgdas_gempak +#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_meta.ecf index 64ef876..a465314 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_meta.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_meta.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.gempak.jgdas_gempak_meta +#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_meta_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_ncdc.ecf index 64ef876..9fc1394 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_ncdc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_ncdc.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.gempak.jgdas_gempak_ncdc +#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_ncdc_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf index 64ef876..f821cbe 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.jgdas_verfrad +#BSUB -o %ECF_OUT%/gdas.jgdas_verfrad_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf index 64ef876..172f26e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.jgdas_vminmon +#BSUB -o %ECF_OUT%/gdas.jgdas_vminmon_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/post/jgdas_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/post/jgdas_post.ecf index 085d129..70279e2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/post/jgdas_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/post/jgdas_post.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.post.jgdas_post #BSUB -o %ECF_OUT%/gdas.post.jgdas_post_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=6 %include -echo ${JOBgfs}/post +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf index 64ef876..a318919 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post_processing.bulletins.jgdas_mknavybulls +#BSUB -o %ECF_OUT%/gdas.post_processing.bulletins.jgdas_mknavybulls_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf index 64ef876..64edefc 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep.jgdas_emcsfc_sfc_prep +#BSUB -o %ECF_OUT%/gdas.prep.jgdas_emcsfc_sfc_prep_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf index 64ef876..02bbbf4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep.jgdas_prep +#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=3 %include %include set -x -{ecf_resource_more} +export ntasks=6 +export ptile=2 +export threads=9223372036854775807 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf index 64ef876..ca2a67c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep.jgdas_prep_post +#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_post_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf index 64ef876..3efddef 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.dump.jgfs_dump +#BSUB -o %ECF_OUT%/gfs.dump.jgfs_dump_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf index 64ef876..ec9a7c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.dump.jgfs_tropcy_qc_reloc +#BSUB -o %ECF_OUT%/gfs.dump.jgfs_tropcy_qc_reloc_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_high.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_high.ecf index 64ef876..e0c8d37 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_high.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_high.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.forecast.jgfs_forecast_high +#BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_high_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_low.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_low.ecf index 0de7e8d..7d60768 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_low.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_low.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gfs.forecast.jgfs_forecast_low #BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_low_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=1024] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak.ecf index 64ef876..e98d868 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_meta.ecf index 64ef876..2064a33 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_meta.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_meta.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak_meta +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_meta_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_ncdc.ecf index 64ef876..28aef49 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_ncdc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_ncdc.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak_ncdc +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_ncdc_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_upapgif.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_upapgif.ecf index 64ef876..c376157 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_upapgif.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_upapgif.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak_upapgif +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_upapgif_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf index 64ef876..2e09f03 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.jgfs_analysis +#BSUB -o %ECF_OUT%/gfs.jgfs_analysis_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=60 %include %include set -x -{ecf_resource_more} +export ntasks=360 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf index 64ef876..12539f0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.jgfs_vminmon +#BSUB -o %ECF_OUT%/gfs.jgfs_vminmon_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_anl.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_anl.ecf index 64ef876..1592c9a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_anl.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_anl +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_anl_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f00.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f00.ecf index 64ef876..174874a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f00.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f00 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f00_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f01.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f01.ecf index 64ef876..07b5e97 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f01.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f01.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f01 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f01_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f02.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f02.ecf index 64ef876..6bd5de2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f02.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f02.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f02 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f02_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f03.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f03.ecf index 64ef876..5512cc7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f03.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f03.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f03 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f03_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f04.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f04.ecf index 64ef876..d44cabf 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f04.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f04.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f04 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f04_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f05.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f05.ecf index 64ef876..933e206 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f05.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f05.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f05 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f05_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f06.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f06.ecf index 64ef876..4580f6f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f06.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f06 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f06_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f07.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f07.ecf index 64ef876..6723ea8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f07.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f07.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f07 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f07_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f08.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f08.ecf index 64ef876..a0d3fb0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f08.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f08.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f08 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f08_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f09.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f09.ecf index 64ef876..bd0a087 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f09.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f09.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f09 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f09_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f10.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f10.ecf index 64ef876..f7c6240 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f10.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f10 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f10_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f100.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f100.ecf index 64ef876..0c15761 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f100.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f100 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f100_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f101.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f101.ecf index 64ef876..9cdfd50 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f101.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f101 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f101_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f102.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f102.ecf index 64ef876..0a69199 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f102.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f102 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f102_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f103.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f103.ecf index 64ef876..2eacdfc 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f103.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f103 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f103_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f104.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f104.ecf index 64ef876..3f5a24c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f104.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f104 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f104_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f105.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f105.ecf index 64ef876..81b8adc 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f105.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f105 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f105_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f106.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f106.ecf index 64ef876..c43cc00 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f106.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f106 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f106_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f107.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f107.ecf index 64ef876..97e8e47 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f107.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f107 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f107_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f108.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f108.ecf index 64ef876..cacd1f8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f108.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f108 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f108_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f109.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f109.ecf index 64ef876..33d2681 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f109.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f109 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f109_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f11.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f11.ecf index 64ef876..976f809 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f11.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f11 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f11_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f110.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f110.ecf index 64ef876..5b908ac 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f110.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f110 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f110_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f111.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f111.ecf index 64ef876..29f1f05 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f111.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f111 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f111_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f112.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f112.ecf index 64ef876..e156772 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f112.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f112 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f112_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f113.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f113.ecf index 64ef876..974a118 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f113.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f113 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f113_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f114.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f114.ecf index 64ef876..ad6263f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f114.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f114 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f114_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f115.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f115.ecf index 64ef876..040c9cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f115.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f115 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f115_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f116.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f116.ecf index 64ef876..0650cdc 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f116.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f116 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f116_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f117.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f117.ecf index 64ef876..2c1213e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f117.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f117 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f117_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f118.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f118.ecf index 64ef876..1f2e3e2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f118.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f118 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f118_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f119.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f119.ecf index 64ef876..a01c60e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f119.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f119 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f119_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f12.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f12.ecf index 64ef876..a975052 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f12.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f12 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f12_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f120.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f120.ecf index 64ef876..af5a95b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f120.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f120 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f120_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f123.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f123.ecf index 64ef876..39be5f3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f123.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f123 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f123_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f126.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f126.ecf index 64ef876..0ba19e7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f126.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f126 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f126_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f129.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f129.ecf index 64ef876..11c9a8e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f129.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f129 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f129_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f13.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f13.ecf index 64ef876..c96723b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f13.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f13 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f13_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f132.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f132.ecf index 64ef876..71a9a80 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f132.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f132 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f132_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f135.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f135.ecf index 64ef876..1a4495c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f135.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f135 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f135_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f138.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f138.ecf index 64ef876..3857157 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f138.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f138 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f138_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f14.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f14.ecf index 64ef876..200d27a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f14.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f14 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f14_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f141.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f141.ecf index 64ef876..a77bd40 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f141.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f141 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f141_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f144.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f144.ecf index 64ef876..a260c3f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f144.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f144 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f144_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f147.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f147.ecf index 64ef876..24f0bfc 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f147.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f147 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f147_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f15.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f15.ecf index 64ef876..2fbda18 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f15.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f15 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f15_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f150.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f150.ecf index 64ef876..fe846d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f150.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f150 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f150_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f153.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f153.ecf index 64ef876..76926b3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f153.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f153 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f153_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f156.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f156.ecf index 64ef876..ba35661 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f156.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f156 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f156_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f159.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f159.ecf index 64ef876..a7610ed 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f159.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f159 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f159_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f16.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f16.ecf index 64ef876..ecf5680 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f16.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f16 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f16_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f162.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f162.ecf index 64ef876..0435b6b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f162.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f162 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f162_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f165.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f165.ecf index 64ef876..04a26a2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f165.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f165 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f165_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f168.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f168.ecf index 64ef876..fc20fdf 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f168.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f168 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f168_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f17.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f17.ecf index 64ef876..9a1f924 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f17.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f17.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f17 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f17_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f171.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f171.ecf index 64ef876..f1c88e7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f171.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f171 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f171_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f174.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f174.ecf index 64ef876..0bfae2b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f174.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f174 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f174_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f177.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f177.ecf index 64ef876..f79499f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f177.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f177 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f177_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f18.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f18.ecf index 64ef876..b08c39e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f18.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f18 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f18_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f180.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f180.ecf index 64ef876..70a8257 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f180.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f180 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f180_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f183.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f183.ecf index 64ef876..c3eba46 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f183.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f183 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f183_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f186.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f186.ecf index 64ef876..19b5b17 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f186.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f186 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f186_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f189.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f189.ecf index 64ef876..295f645 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f189.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f189 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f189_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f19.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f19.ecf index 64ef876..28cbff2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f19.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f19.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f19 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f19_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f192.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f192.ecf index 64ef876..2a9adb0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f192.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f192 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f192_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f195.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f195.ecf index 64ef876..029a6f1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f195.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f195 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f195_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f198.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f198.ecf index 64ef876..62abb4a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f198.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f198 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f198_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f20.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f20.ecf index 64ef876..5557e78 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f20.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f20.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f20 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f20_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f201.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f201.ecf index 64ef876..071c2a4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f201.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f201 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f201_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f204.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f204.ecf index 64ef876..d3573ee 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f204.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f204 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f204_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f207.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f207.ecf index 64ef876..8be09f7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f207.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f207 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f207_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f21.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f21.ecf index 64ef876..7931e80 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f21.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f21.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f21 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f21_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f210.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f210.ecf index 64ef876..ce82bd4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f210.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f210 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f210_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f213.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f213.ecf index 64ef876..87077a1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f213.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f213 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f213_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f216.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f216.ecf index 64ef876..eaa75ad 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f216.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f216 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f216_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f219.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f219.ecf index 64ef876..30bcbf5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f219.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f219 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f219_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f22.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f22.ecf index 64ef876..5fcce72 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f22.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f22.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f22 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f22_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f222.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f222.ecf index 64ef876..9ff9ee5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f222.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f222 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f222_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f225.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f225.ecf index 64ef876..8532891 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f225.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f225 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f225_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f228.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f228.ecf index 64ef876..3c25670 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f228.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f228 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f228_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f23.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f23.ecf index 64ef876..8e580c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f23.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f23.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f23 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f23_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f231.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f231.ecf index 64ef876..e473c18 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f231.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f231 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f231_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f234.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f234.ecf index 64ef876..f010694 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f234.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f234 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f234_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f237.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f237.ecf index 64ef876..642e7af 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f237.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f237 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f237_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f24.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f24.ecf index 64ef876..71cfe7a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f24.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f24 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f24_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f240.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f240.ecf index 64ef876..a87516a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f240.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f240 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f240_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f25.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f25.ecf index 64ef876..164f8a7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f25.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f25.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f25 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f25_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f252.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f252.ecf index 64ef876..9f244e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f252.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f252 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f252_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f26.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f26.ecf index 64ef876..856e49f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f26.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f26.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f26 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f26_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f264.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f264.ecf index 64ef876..14cda4a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f264.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f264 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f264_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f27.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f27.ecf index 64ef876..d416e60 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f27.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f27.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f27 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f27_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f276.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f276.ecf index 64ef876..0220bee 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f276.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f276 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f276_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f28.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f28.ecf index 64ef876..3ffae84 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f28.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f28.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f28 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f28_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f288.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f288.ecf index 64ef876..454ca85 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f288.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f288 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f288_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f29.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f29.ecf index 64ef876..c97840a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f29.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f29.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f29 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f29_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f30.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f30.ecf index 64ef876..e1ea0e2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f30.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f30 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f30_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f300.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f300.ecf index 64ef876..1c0b12c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f300.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f300 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f300_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f31.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f31.ecf index 64ef876..93f85eb 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f31.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f31.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f31 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f31_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f312.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f312.ecf index 64ef876..8a93302 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f312.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f312 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f312_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f32.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f32.ecf index 64ef876..7c39cf4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f32.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f32.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f32 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f32_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f324.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f324.ecf index 64ef876..79a30c8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f324.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f324 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f324_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f33.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f33.ecf index 64ef876..9af52bf 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f33.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f33.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f33 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f33_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f336.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f336.ecf index 64ef876..8f1d98c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f336.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f336 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f336_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f34.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f34.ecf index 64ef876..0d35e21 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f34.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f34.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f34 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f34_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f348.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f348.ecf index 64ef876..a30e3ca 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f348.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f348 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f348_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f35.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f35.ecf index 64ef876..bc4bda1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f35.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f35.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f35 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f35_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f36.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f36.ecf index 64ef876..7de0e72 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f36.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f36 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f36_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f360.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f360.ecf index 64ef876..172fb0e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f360.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f360 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f360_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f37.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f37.ecf index 64ef876..df7cac0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f37.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f37.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f37 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f37_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f372.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f372.ecf index 64ef876..7b05117 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f372.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f372 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f372_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f38.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f38.ecf index 64ef876..9a33c9f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f38.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f38.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f38 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f38_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f384.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f384.ecf index 64ef876..3fc1ea6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f384.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f384 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f384_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f39.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f39.ecf index 64ef876..83cdefb 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f39.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f39.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f39 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f39_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f40.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f40.ecf index 64ef876..e1acb56 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f40.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f40.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f40 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f40_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f41.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f41.ecf index 64ef876..69a9cf5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f41.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f41.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f41 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f41_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f42.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f42.ecf index 64ef876..a617d2b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f42.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f42 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f42_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f43.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f43.ecf index 64ef876..721bedb 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f43.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f43.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f43 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f43_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f44.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f44.ecf index 64ef876..5d3eea9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f44.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f44.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f44 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f44_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f45.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f45.ecf index 64ef876..429f3df 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f45.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f45.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f45 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f45_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f46.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f46.ecf index 64ef876..c1c755d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f46.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f46.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f46 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f46_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f47.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f47.ecf index 64ef876..65fb347 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f47.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f47.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f47 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f47_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f48.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f48.ecf index 64ef876..1e6a825 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f48.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f48 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f48_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f49.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f49.ecf index 64ef876..70759d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f49.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f49.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f49 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f49_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f50.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f50.ecf index 64ef876..eff73fd 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f50.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f50.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f50 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f50_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f51.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f51.ecf index 64ef876..cb06902 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f51.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f51.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f51 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f51_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f52.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f52.ecf index 64ef876..cd69559 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f52.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f52.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f52 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f52_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f53.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f53.ecf index 64ef876..8b8626b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f53.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f53.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f53 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f53_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f54.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f54.ecf index 64ef876..22d46c4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f54.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f54 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f54_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f55.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f55.ecf index 64ef876..410e778 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f55.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f55.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f55 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f55_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f56.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f56.ecf index 64ef876..4eab593 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f56.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f56.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f56 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f56_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f57.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f57.ecf index 64ef876..5436f4a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f57.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f57.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f57 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f57_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f58.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f58.ecf index 64ef876..994d09b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f58.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f58.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f58 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f58_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f59.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f59.ecf index 64ef876..38aad79 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f59.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f59.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f59 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f59_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f60.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f60.ecf index 64ef876..27d23a3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f60.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f60 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f60_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f61.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f61.ecf index 64ef876..d0b5ef6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f61.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f61.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f61 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f61_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f62.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f62.ecf index 64ef876..cc231db 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f62.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f62.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f62 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f62_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f63.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f63.ecf index 64ef876..b93fc36 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f63.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f63.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f63 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f63_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f64.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f64.ecf index 64ef876..dd7f9da 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f64.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f64.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f64 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f64_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f65.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f65.ecf index 64ef876..f51aee7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f65.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f65.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f65 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f65_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f66.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f66.ecf index 64ef876..7c4e85d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f66.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f66 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f66_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f67.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f67.ecf index 64ef876..f4b7b21 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f67.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f67.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f67 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f67_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f68.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f68.ecf index 64ef876..7515773 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f68.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f68.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f68 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f68_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f69.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f69.ecf index 64ef876..dbd70aa 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f69.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f69.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f69 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f69_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f70.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f70.ecf index 64ef876..ed8031d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f70.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f70.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f70 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f70_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f71.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f71.ecf index 64ef876..3bcebcc 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f71.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f71.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f71 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f71_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f72.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f72.ecf index 64ef876..f74154a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f72.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f72 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f72_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f73.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f73.ecf index 64ef876..94f68c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f73.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f73.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f73 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f73_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f74.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f74.ecf index 64ef876..ad230fa 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f74.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f74.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f74 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f74_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f75.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f75.ecf index 64ef876..f9d56f8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f75.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f75.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f75 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f75_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f76.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f76.ecf index 64ef876..9eb91f8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f76.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f76.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f76 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f76_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f77.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f77.ecf index 64ef876..1c2fff5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f77.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f77.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f77 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f77_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f78.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f78.ecf index 64ef876..ea8fbdf 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f78.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f78 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f78_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f79.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f79.ecf index 64ef876..6dfabea 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f79.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f79.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f79 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f79_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f80.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f80.ecf index 64ef876..d41bdc9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f80.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f80.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f80 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f80_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f81.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f81.ecf index 64ef876..f20268f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f81.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f81.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f81 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f81_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f82.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f82.ecf index 64ef876..825ebce 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f82.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f82.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f82 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f82_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f83.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f83.ecf index 64ef876..3bc7460 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f83.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f83.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f83 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f83_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f84.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f84.ecf index 64ef876..47f9fbb 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f84.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f84 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f84_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f85.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f85.ecf index 64ef876..dc6312e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f85.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f85.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f85 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f85_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f86.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f86.ecf index 64ef876..692f3aa 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f86.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f86.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f86 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f86_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f87.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f87.ecf index 64ef876..17fbbb3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f87.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f87.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f87 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f87_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f88.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f88.ecf index 64ef876..8b9d7a6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f88.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f88.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f88 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f88_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f89.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f89.ecf index 64ef876..f7c07e7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f89.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f89.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f89 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f89_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f90.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f90.ecf index 64ef876..0004443 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f90.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f90 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f90_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f91.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f91.ecf index 64ef876..c536f1b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f91.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f91.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f91 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f91_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f92.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f92.ecf index 64ef876..02ae73d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f92.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f92.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f92 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f92_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f93.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f93.ecf index 64ef876..4e19cba 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f93.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f93.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f93 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f93_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f94.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f94.ecf index 64ef876..9973097 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f94.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f94.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f94 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f94_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f95.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f95.ecf index 64ef876..176b452 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f95.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f95.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f95 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f95_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f96.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f96.ecf index 64ef876..b975020 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f96.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f96 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f96_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f97.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f97.ecf index 64ef876..49bd8a2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f97.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f97.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f97 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f97_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f98.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f98.ecf index 64ef876..b80ece2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f98.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f98.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f98 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f98_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f99.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f99.ecf index 64ef876..8d67938 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f99.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f99.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f99 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f99_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_manager.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_manager.ecf index 64ef876..436fa1c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_manager.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_manager.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_manager +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_manager_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf index 64ef876..47c0ebc 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f000 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f000_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf index 64ef876..7397347 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f006 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f006_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf index 64ef876..2849c59 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f240 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f240_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf index 64ef876..62bdccc 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f000 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f000_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf index 64ef876..6d576f5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.bufr_sounding.jgfs_postsnd +#BSUB -o %ECF_OUT%/gfs.post_processing.bufr_sounding.jgfs_postsnd_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf index 64ef876..91f1484 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.bulletins.jgfs_cyclone_tracker +#BSUB -o %ECF_OUT%/gfs.post_processing.bulletins.jgfs_cyclone_tracker_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_fbwind.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_fbwind.ecf index 64ef876..648bcb8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_fbwind.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_fbwind.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.bulletins.jgfs_fbwind +#BSUB -o %ECF_OUT%/gfs.post_processing.bulletins.jgfs_fbwind_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/dummy.ecf index be0d470..e6b6a32 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/dummy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/dummy.ecf @@ -3,9 +3,40 @@ #BSUB -P %PROJECT% #BSUB -J gfs.post_processing.dummy #BSUB -o %ECF_OUT%/gfs.post_processing.dummy_t%CYC%z.log + #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/DUMMY +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_anl.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_anl.ecf index 64ef876..cc1d460 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_anl.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.fax.jgfs_fax_anl +#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_anl_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_f00.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_f00.ecf index 64ef876..e43d8b8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_f00.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.fax.jgfs_fax_f00 +#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_f00_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf index 64ef876..9ebf54a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f12 +#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f12_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf index 64ef876..f692b2d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f24 +#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f24_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf index 64ef876..7b10530 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f36 +#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f36_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf index 64ef876..00d156c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib2_wafs.jgfs_wafs_blending +#BSUB -o %ECF_OUT%/gfs.post_processing.grib2_wafs.jgfs_wafs_blending_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf index 64ef876..ed01829 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib2_wafs.jgfs_wafs_grib2 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib2_wafs.jgfs_wafs_grib2_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf index 64ef876..a699abc 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f00 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f00_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf index 64ef876..2b388ee 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f06 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f06_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf index 64ef876..f218bed 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f240 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f240_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf index 64ef876..72ca961 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f00 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f00_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf index 64ef876..1415813 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f06 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f06_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf index 64ef876..780906b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f102 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f102_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf index 64ef876..2b671ee 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f108 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f108_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf index 64ef876..1a5fcf1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f114 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f114_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf index 64ef876..f1a5c6b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f12 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f12_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf index 64ef876..33cedb1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f120 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f120_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf index 64ef876..b7f3bfc 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f18 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f18_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf index 64ef876..85b25b4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f24 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f24_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf index 64ef876..8202552 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f30 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f30_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf index 64ef876..3461a3d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f36 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f36_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf index 64ef876..6d80056 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f42 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f42_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf index 64ef876..7e35a4f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f48 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f48_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf index 64ef876..0272a48 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f54 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f54_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf index 64ef876..03e2a12 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f60 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f60_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf index 64ef876..02b4f9e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f66 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f66_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf index 64ef876..9c4d760 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f72 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f72_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf index 64ef876..83a5818 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f78 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f78_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf index 64ef876..98ce48d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f84 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f84_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf index 64ef876..c77c75d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f90 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f90_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf index 64ef876..46064b5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f96 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f96_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_anl.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_anl.ecf index 64ef876..0217286 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_anl.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_anl +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_anl_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f00.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f00.ecf index 64ef876..f274e8f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f00.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f00 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f00_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f01.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f01.ecf index 64ef876..89e5612 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f01.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f01.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f01 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f01_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f02.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f02.ecf index 64ef876..cee01fd 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f02.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f02.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f02 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f02_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f03.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f03.ecf index 64ef876..8497273 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f03.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f03.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f03 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f03_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f04.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f04.ecf index 64ef876..27e3185 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f04.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f04.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f04 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f04_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f05.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f05.ecf index 64ef876..0b787af 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f05.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f05.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f05 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f05_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f06.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f06.ecf index 64ef876..d9deb0b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f06.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f06 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f06_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f07.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f07.ecf index 64ef876..2d4b772 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f07.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f07.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f07 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f07_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f08.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f08.ecf index 64ef876..38552b0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f08.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f08.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f08 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f08_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f09.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f09.ecf index 64ef876..5533bc3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f09.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f09.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f09 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f09_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f10.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f10.ecf index 64ef876..2828893 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f10.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f10 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f10_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f100.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f100.ecf index 64ef876..750dec4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f100.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f100 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f100_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f101.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f101.ecf index 64ef876..f4601b9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f101.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f101 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f101_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f102.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f102.ecf index 64ef876..984f7e4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f102.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f102 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f102_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f103.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f103.ecf index 64ef876..840d44f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f103.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f103 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f103_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f104.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f104.ecf index 64ef876..b9d199f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f104.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f104 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f104_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f105.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f105.ecf index 64ef876..334b916 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f105.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f105 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f105_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f106.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f106.ecf index 64ef876..c6d8d13 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f106.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f106 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f106_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f107.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f107.ecf index 64ef876..63b4514 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f107.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f107 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f107_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f108.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f108.ecf index 64ef876..87dffb6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f108.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f108 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f108_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f109.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f109.ecf index 64ef876..5927af3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f109.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f109 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f109_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f11.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f11.ecf index 64ef876..09ba320 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f11.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f11 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f11_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f110.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f110.ecf index 64ef876..861c032 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f110.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f110 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f110_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f111.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f111.ecf index 64ef876..0a80654 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f111.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f111 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f111_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f112.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f112.ecf index 64ef876..4be6407 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f112.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f112 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f112_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f113.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f113.ecf index 64ef876..5a54cfb 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f113.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f113 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f113_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f114.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f114.ecf index 64ef876..cab1a4d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f114.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f114 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f114_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f115.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f115.ecf index 64ef876..da62181 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f115.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f115 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f115_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f116.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f116.ecf index 64ef876..419fee8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f116.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f116 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f116_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f117.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f117.ecf index 64ef876..2134fe8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f117.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f117 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f117_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f118.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f118.ecf index 64ef876..cfadbf0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f118.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f118 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f118_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f119.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f119.ecf index 64ef876..9b178fa 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f119.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f119 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f119_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f12.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f12.ecf index 64ef876..34b833c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f12.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f12 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f12_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f120.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f120.ecf index 64ef876..d2192cc 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f120.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f120 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f120_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f123.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f123.ecf index 64ef876..e6c218e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f123.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f123 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f123_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f126.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f126.ecf index 64ef876..322453c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f126.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f126 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f126_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f129.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f129.ecf index 64ef876..eba257b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f129.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f129 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f129_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f13.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f13.ecf index 64ef876..087d7d9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f13.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f13 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f13_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f132.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f132.ecf index 64ef876..8c97ab8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f132.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f132 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f132_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f135.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f135.ecf index 64ef876..f87518e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f135.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f135 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f135_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f138.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f138.ecf index 64ef876..9b37feb 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f138.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f138 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f138_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f14.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f14.ecf index 64ef876..543f8d1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f14.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f14 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f14_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f141.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f141.ecf index 64ef876..bf9d057 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f141.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f141 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f141_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f144.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f144.ecf index 64ef876..a3a3330 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f144.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f144 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f144_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f147.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f147.ecf index 64ef876..175930a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f147.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f147 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f147_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f15.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f15.ecf index 64ef876..827259c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f15.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f15 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f15_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f150.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f150.ecf index 64ef876..ab2d64b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f150.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f150 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f150_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f153.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f153.ecf index 64ef876..e96a680 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f153.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f153 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f153_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f156.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f156.ecf index 64ef876..0e7014a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f156.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f156 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f156_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f159.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f159.ecf index 64ef876..04fe9e9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f159.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f159 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f159_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f16.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f16.ecf index 64ef876..f5bbd5b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f16.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f16 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f16_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f162.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f162.ecf index 64ef876..1df889f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f162.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f162 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f162_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f165.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f165.ecf index 64ef876..6af5608 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f165.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f165 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f165_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f168.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f168.ecf index 64ef876..dc4195b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f168.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f168 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f168_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f17.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f17.ecf index 64ef876..86bdd4a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f17.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f17.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f17 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f17_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f171.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f171.ecf index 64ef876..0cd577d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f171.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f171 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f171_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f174.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f174.ecf index 64ef876..3e0dd2d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f174.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f174 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f174_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f177.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f177.ecf index 64ef876..4ef1fe3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f177.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f177 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f177_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f18.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f18.ecf index 64ef876..059d265 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f18.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f18 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f18_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f180.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f180.ecf index 64ef876..78da428 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f180.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f180 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f180_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f183.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f183.ecf index 64ef876..485f07a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f183.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f183 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f183_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f186.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f186.ecf index 64ef876..8fb2e59 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f186.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f186 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f186_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f189.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f189.ecf index 64ef876..b2f7eed 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f189.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f189 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f189_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f19.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f19.ecf index 64ef876..159db26 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f19.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f19.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f19 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f19_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f192.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f192.ecf index 64ef876..f8a044b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f192.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f192 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f192_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f195.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f195.ecf index 64ef876..5de8f08 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f195.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f195 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f195_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f198.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f198.ecf index 64ef876..bce8025 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f198.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f198 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f198_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f20.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f20.ecf index 64ef876..df0ace6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f20.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f20.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f20 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f20_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f201.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f201.ecf index 64ef876..431e818 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f201.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f201 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f201_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f204.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f204.ecf index 64ef876..116f24c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f204.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f204 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f204_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f207.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f207.ecf index 64ef876..dd3c798 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f207.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f207 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f207_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f21.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f21.ecf index 64ef876..715e786 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f21.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f21.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f21 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f21_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f210.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f210.ecf index 64ef876..5ef8135 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f210.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f210 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f210_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f213.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f213.ecf index 64ef876..c7cb988 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f213.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f213 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f213_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f216.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f216.ecf index 64ef876..fb093a7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f216.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f216 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f216_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f219.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f219.ecf index 64ef876..2556a1f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f219.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f219 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f219_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f22.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f22.ecf index 64ef876..dbf26c3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f22.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f22.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f22 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f22_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f222.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f222.ecf index 64ef876..342b2db 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f222.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f222 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f222_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f225.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f225.ecf index 64ef876..4bdec7d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f225.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f225 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f225_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f228.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f228.ecf index 64ef876..fbad4ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f228.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f228 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f228_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f23.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f23.ecf index 64ef876..c6e084b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f23.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f23.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f23 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f23_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f231.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f231.ecf index 64ef876..91b6f03 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f231.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f231 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f231_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f234.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f234.ecf index 64ef876..5d2d154 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f234.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f234 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f234_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f237.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f237.ecf index 64ef876..c7d1771 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f237.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f237 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f237_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f24.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f24.ecf index 64ef876..8413b03 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f24.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f24 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f24_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f240.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f240.ecf index 64ef876..7002a9c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f240.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f240 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f240_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f25.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f25.ecf index 64ef876..98be9e8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f25.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f25.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f25 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f25_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f252.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f252.ecf index 64ef876..453404b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f252.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f252 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f252_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f26.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f26.ecf index 64ef876..9054699 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f26.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f26.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f26 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f26_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f264.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f264.ecf index 64ef876..43b1464 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f264.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f264 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f264_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f27.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f27.ecf index 64ef876..60d8758 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f27.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f27.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f27 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f27_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f276.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f276.ecf index 64ef876..5abe1ba 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f276.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f276 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f276_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f28.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f28.ecf index 64ef876..87da152 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f28.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f28.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f28 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f28_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f288.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f288.ecf index 64ef876..da23ce9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f288.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f288 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f288_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f29.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f29.ecf index 64ef876..f9d8bf3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f29.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f29.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f29 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f29_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f30.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f30.ecf index 64ef876..7c13679 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f30.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f30 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f30_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f300.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f300.ecf index 64ef876..30aead1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f300.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f300 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f300_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f31.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f31.ecf index 64ef876..9a1e5e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f31.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f31.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f31 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f31_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f312.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f312.ecf index 64ef876..70200c1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f312.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f312 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f312_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f32.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f32.ecf index 64ef876..41077a0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f32.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f32.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f32 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f32_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f324.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f324.ecf index 64ef876..dccc89f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f324.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f324 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f324_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f33.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f33.ecf index 64ef876..0cdc68a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f33.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f33.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f33 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f33_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f336.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f336.ecf index 64ef876..4b67adf 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f336.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f336 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f336_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f34.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f34.ecf index 64ef876..3a234e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f34.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f34.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f34 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f34_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f348.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f348.ecf index 64ef876..67f6540 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f348.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f348 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f348_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f35.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f35.ecf index 64ef876..2de4def 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f35.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f35.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f35 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f35_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f36.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f36.ecf index 64ef876..7e62a6a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f36.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f36 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f36_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f360.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f360.ecf index 64ef876..701bbae 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f360.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f360 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f360_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f37.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f37.ecf index 64ef876..ef0423c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f37.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f37.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f37 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f37_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f372.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f372.ecf index 64ef876..ac7cabb 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f372.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f372 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f372_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f38.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f38.ecf index 64ef876..6376158 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f38.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f38.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f38 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f38_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f384.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f384.ecf index 64ef876..d476e7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f384.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f384 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f384_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f39.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f39.ecf index 64ef876..1781875 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f39.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f39.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f39 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f39_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f40.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f40.ecf index 64ef876..02a0458 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f40.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f40.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f40 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f40_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f41.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f41.ecf index 64ef876..a64d3d9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f41.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f41.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f41 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f41_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f42.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f42.ecf index 64ef876..612fac8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f42.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f42 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f42_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f43.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f43.ecf index 64ef876..9a2dc64 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f43.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f43.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f43 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f43_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f44.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f44.ecf index 64ef876..6bda6c1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f44.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f44.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f44 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f44_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f45.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f45.ecf index 64ef876..966429e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f45.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f45.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f45 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f45_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f46.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f46.ecf index 64ef876..7b0e0ee 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f46.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f46.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f46 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f46_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f47.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f47.ecf index 64ef876..69c5f1c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f47.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f47.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f47 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f47_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f48.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f48.ecf index 64ef876..4cad6f8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f48.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f48 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f48_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f49.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f49.ecf index 64ef876..4eb2156 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f49.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f49.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f49 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f49_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f50.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f50.ecf index 64ef876..8bd6b8e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f50.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f50.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f50 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f50_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f51.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f51.ecf index 64ef876..579dace 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f51.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f51.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f51 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f51_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f52.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f52.ecf index 64ef876..3964a08 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f52.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f52.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f52 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f52_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f53.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f53.ecf index 64ef876..c7a1fd9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f53.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f53.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f53 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f53_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f54.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f54.ecf index 64ef876..fb4118e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f54.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f54 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f54_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f55.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f55.ecf index 64ef876..287120e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f55.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f55.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f55 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f55_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f56.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f56.ecf index 64ef876..7548d29 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f56.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f56.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f56 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f56_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f57.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f57.ecf index 64ef876..7d1f63b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f57.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f57.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f57 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f57_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f58.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f58.ecf index 64ef876..57ea54c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f58.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f58.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f58 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f58_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f59.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f59.ecf index 64ef876..eeca85d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f59.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f59.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f59 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f59_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f60.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f60.ecf index 64ef876..6d96107 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f60.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f60 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f60_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f61.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f61.ecf index 64ef876..ad3936d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f61.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f61.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f61 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f61_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f62.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f62.ecf index 64ef876..7a13e45 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f62.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f62.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f62 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f62_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f63.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f63.ecf index 64ef876..7a9e449 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f63.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f63.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f63 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f63_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f64.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f64.ecf index 64ef876..ebaa4cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f64.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f64.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f64 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f64_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f65.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f65.ecf index 64ef876..0be9a42 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f65.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f65.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f65 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f65_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f66.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f66.ecf index 64ef876..c968b44 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f66.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f66 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f66_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f67.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f67.ecf index 64ef876..b323a0f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f67.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f67.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f67 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f67_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f68.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f68.ecf index 64ef876..ecc2d32 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f68.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f68.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f68 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f68_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f69.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f69.ecf index 64ef876..b72fe63 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f69.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f69.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f69 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f69_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f70.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f70.ecf index 64ef876..c7eb55c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f70.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f70.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f70 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f70_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f71.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f71.ecf index 64ef876..a8b386f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f71.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f71.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f71 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f71_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f72.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f72.ecf index 64ef876..a9d253f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f72.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f72 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f72_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f73.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f73.ecf index 64ef876..e9222c1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f73.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f73.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f73 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f73_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f74.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f74.ecf index 64ef876..5b22439 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f74.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f74.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f74 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f74_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f75.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f75.ecf index 64ef876..0acb3a9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f75.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f75.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f75 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f75_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f76.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f76.ecf index 64ef876..91ec20d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f76.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f76.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f76 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f76_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f77.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f77.ecf index 64ef876..0b1f2e3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f77.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f77.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f77 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f77_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f78.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f78.ecf index 64ef876..a4233b9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f78.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f78 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f78_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f79.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f79.ecf index 64ef876..8b349f3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f79.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f79.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f79 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f79_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f80.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f80.ecf index 64ef876..da35659 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f80.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f80.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f80 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f80_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f81.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f81.ecf index 64ef876..51d59a0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f81.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f81.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f81 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f81_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f82.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f82.ecf index 64ef876..e66b58d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f82.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f82.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f82 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f82_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f83.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f83.ecf index 64ef876..bf0037d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f83.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f83.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f83 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f83_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f84.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f84.ecf index 64ef876..5a9b7a9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f84.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f84 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f84_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f85.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f85.ecf index 64ef876..7eea41f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f85.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f85.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f85 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f85_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f86.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f86.ecf index 64ef876..144eb09 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f86.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f86.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f86 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f86_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f87.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f87.ecf index 64ef876..8ad6749 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f87.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f87.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f87 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f87_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f88.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f88.ecf index 64ef876..695cc2b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f88.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f88.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f88 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f88_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f89.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f89.ecf index 64ef876..48b69dd 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f89.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f89.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f89 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f89_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f90.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f90.ecf index 64ef876..996594c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f90.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f90 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f90_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f91.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f91.ecf index 64ef876..b45e4ad 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f91.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f91.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f91 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f91_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f92.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f92.ecf index 64ef876..1535dc5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f92.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f92.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f92 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f92_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f93.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f93.ecf index 64ef876..faf0e22 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f93.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f93.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f93 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f93_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f94.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f94.ecf index 64ef876..1fb99ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f94.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f94.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f94 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f94_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f95.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f95.ecf index 64ef876..e45f015 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f95.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f95.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f95 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f95_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f96.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f96.ecf index 64ef876..2c1e006 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f96.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f96 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f96_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f97.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f97.ecf index 64ef876..76273aa 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f97.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f97.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f97 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f97_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f98.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f98.ecf index 64ef876..e84114b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f98.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f98.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f98 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f98_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f99.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f99.ecf index 64ef876..4735a70 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f99.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f99.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f99 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f99_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_manager.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_manager.ecf index 64ef876..a9a6ea3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_manager.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_manager.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_manager +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_manager_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf index 64ef876..3a53c88 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep.jgfs_emcsfc_sfc_prep +#BSUB -o %ECF_OUT%/gfs.prep.jgfs_emcsfc_sfc_prep_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf index 64ef876..2060458 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep.jgfs_prep +#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=3 %include %include set -x -{ecf_resource_more} +export ntasks=6 +export ptile=2 +export threads=9223372036854775807 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf index 64ef876..bd21eff 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep.jgfs_prep_post +#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_post_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_even.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_even.ecf index 64ef876..17b33f4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_even.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_even.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.sminit_guam.jgfs_sminit_guam_even +#BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_even_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf index 64ef876..fad116a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.sminit_guam.jgfs_sminit_guam_odd +#BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_odd_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/cycle_end.ecf b/model/ecflow_fv3gfs/scripts/prod12/cycle_end.ecf index 64ef876..c9cfff9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/cycle_end.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/cycle_end.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J cycle_end +#BSUB -o %ECF_OUT%/cycle_end_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/analysis/jgdas_analysis_high.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/analysis/jgdas_analysis_high.ecf index 2a48f9c..05da1e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/analysis/jgdas_analysis_high.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/analysis/jgdas_analysis_high.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.analysis.jgdas_analysis_high #BSUB -o %ECF_OUT%/gdas.analysis.jgdas_analysis_high_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=60 %include -echo ${JOBgfs}/JGDAS_ANALYSIS_HIGH +%include + +set -x + +export ntasks=360 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf index 64ef876..56c4f2a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.dump.jgdas_dump +#BSUB -o %ECF_OUT%/gdas.dump.jgdas_dump_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf index 64ef876..2b40958 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.dump.jgdas_ics +#BSUB -o %ECF_OUT%/gdas.dump.jgdas_ics_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf index 64ef876..d5233d5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.dump.jgdas_tropcy_qc_reloc +#BSUB -o %ECF_OUT%/gdas.dump.jgdas_tropcy_qc_reloc_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf index 64ef876..9b0d13d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp1 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp1_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf index 699d8ea..3f764d5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp10 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp10_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf index 410ea26..de4e53e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp11 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp11_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf index 2710937..6bc023c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp12 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp12_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf index 3703b78..23e5309 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp13 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp13_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf index aaeed6b..2fa837f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp14 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp14_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf index 3e7fadd..91750df 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp15 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp15_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf index 7ec1cdf..53954b4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp16 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp16_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf index 64ef876..0160e4d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp2 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp2_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf index 64ef876..a3b9840 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp3 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp3_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf index 64ef876..1f0a322 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp4 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp4_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf index 64ef876..6864171 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp5_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf index 64ef876..187d773 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp6_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf index 64ef876..002f57f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp7_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf index 64ef876..09621bb 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp8_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf index 5a6f83d..95cfc73 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp9 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp9_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf index 64ef876..6bf675b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp1 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp1_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf index 5581bdb..729cdf0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp10 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp10_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf index 7b703e1..724158b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp11 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp11_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf index a98096f..c2ce735 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp12 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp12_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf index 16f0bbf..6ebbb84 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp13 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp13_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf index b566596..1cabbee 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp14 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp14_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf index 1dedd19..0127116 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp15 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp15_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf index 0e9c166..e983650 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp16 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp16_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf index 64ef876..1db68ea 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp2 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp2_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf index 64ef876..f4cc80c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp3 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp3_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf index 64ef876..e46ae93 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp4 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp4_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf index 64ef876..cbb7cb8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp5_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf index 64ef876..78e11e8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp6_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf index 64ef876..5e7f8e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp7_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf index 64ef876..33e4705 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp8_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf index dd1a50e..894d204 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp9 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp9_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf index 64ef876..523b024 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_inflate_recenter +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_inflate_recenter_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=20 %include %include set -x -{ecf_resource_more} +export ntasks=80 +export ptile=4 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf index 64ef876..91d0963 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_post +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_post_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=20 %include %include set -x -{ecf_resource_more} +export ntasks=80 +export ptile=4 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf index 64ef876..5511157 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_select_obs +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_select_obs_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=4 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf index 64ef876..ca328f0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_update +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_update_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=40 %include %include set -x -{ecf_resource_more} +export ntasks=240 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/forecast/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/forecast/jgdas_forecast.ecf index 5caf47b..d7aaa39 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/forecast/jgdas_forecast.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/forecast/jgdas_forecast.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.forecast.jgdas_forecast #BSUB -o %ECF_OUT%/gdas.forecast.jgdas_forecast_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=1024] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak.ecf index 64ef876..326ae5d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.gempak.jgdas_gempak +#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_meta.ecf index 64ef876..a465314 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_meta.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_meta.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.gempak.jgdas_gempak_meta +#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_meta_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_ncdc.ecf index 64ef876..9fc1394 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_ncdc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_ncdc.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.gempak.jgdas_gempak_ncdc +#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_ncdc_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf index 64ef876..f821cbe 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.jgdas_verfrad +#BSUB -o %ECF_OUT%/gdas.jgdas_verfrad_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf index 64ef876..172f26e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.jgdas_vminmon +#BSUB -o %ECF_OUT%/gdas.jgdas_vminmon_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/post/jgdas_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/post/jgdas_post.ecf index 085d129..70279e2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/post/jgdas_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/post/jgdas_post.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.post.jgdas_post #BSUB -o %ECF_OUT%/gdas.post.jgdas_post_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=6 %include -echo ${JOBgfs}/post +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf index 64ef876..a318919 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post_processing.bulletins.jgdas_mknavybulls +#BSUB -o %ECF_OUT%/gdas.post_processing.bulletins.jgdas_mknavybulls_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf index 64ef876..64edefc 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep.jgdas_emcsfc_sfc_prep +#BSUB -o %ECF_OUT%/gdas.prep.jgdas_emcsfc_sfc_prep_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf index 64ef876..02bbbf4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep.jgdas_prep +#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=3 %include %include set -x -{ecf_resource_more} +export ntasks=6 +export ptile=2 +export threads=9223372036854775807 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf index 64ef876..ca2a67c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep.jgdas_prep_post +#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_post_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf index 64ef876..3efddef 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.dump.jgfs_dump +#BSUB -o %ECF_OUT%/gfs.dump.jgfs_dump_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf index 64ef876..ec9a7c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.dump.jgfs_tropcy_qc_reloc +#BSUB -o %ECF_OUT%/gfs.dump.jgfs_tropcy_qc_reloc_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_high.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_high.ecf index 64ef876..e0c8d37 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_high.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_high.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.forecast.jgfs_forecast_high +#BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_high_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_low.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_low.ecf index 64ef876..7d60768 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_low.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_low.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.forecast.jgfs_forecast_low +#BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_low_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak.ecf index 64ef876..e98d868 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_meta.ecf index 64ef876..2064a33 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_meta.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_meta.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak_meta +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_meta_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_ncdc.ecf index 64ef876..28aef49 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_ncdc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_ncdc.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak_ncdc +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_ncdc_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_upapgif.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_upapgif.ecf index 64ef876..c376157 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_upapgif.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_upapgif.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak_upapgif +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_upapgif_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf index 64ef876..2e09f03 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.jgfs_analysis +#BSUB -o %ECF_OUT%/gfs.jgfs_analysis_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=60 %include %include set -x -{ecf_resource_more} +export ntasks=360 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf index 64ef876..12539f0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.jgfs_vminmon +#BSUB -o %ECF_OUT%/gfs.jgfs_vminmon_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_anl.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_anl.ecf index 64ef876..1592c9a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_anl.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_anl +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_anl_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f00.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f00.ecf index 64ef876..174874a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f00.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f00 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f00_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f01.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f01.ecf index 64ef876..07b5e97 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f01.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f01.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f01 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f01_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f02.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f02.ecf index 64ef876..6bd5de2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f02.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f02.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f02 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f02_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f03.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f03.ecf index 64ef876..5512cc7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f03.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f03.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f03 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f03_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f04.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f04.ecf index 64ef876..d44cabf 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f04.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f04.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f04 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f04_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f05.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f05.ecf index 64ef876..933e206 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f05.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f05.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f05 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f05_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f06.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f06.ecf index 64ef876..4580f6f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f06.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f06 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f06_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f07.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f07.ecf index 64ef876..6723ea8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f07.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f07.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f07 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f07_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f08.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f08.ecf index 64ef876..a0d3fb0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f08.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f08.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f08 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f08_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f09.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f09.ecf index 64ef876..bd0a087 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f09.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f09.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f09 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f09_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f10.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f10.ecf index 64ef876..f7c6240 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f10.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f10 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f10_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f100.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f100.ecf index 64ef876..0c15761 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f100.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f100 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f100_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f101.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f101.ecf index 64ef876..9cdfd50 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f101.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f101 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f101_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f102.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f102.ecf index 64ef876..0a69199 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f102.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f102 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f102_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f103.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f103.ecf index 64ef876..2eacdfc 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f103.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f103 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f103_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f104.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f104.ecf index 64ef876..3f5a24c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f104.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f104 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f104_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f105.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f105.ecf index 64ef876..81b8adc 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f105.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f105 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f105_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f106.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f106.ecf index 64ef876..c43cc00 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f106.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f106 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f106_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f107.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f107.ecf index 64ef876..97e8e47 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f107.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f107 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f107_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f108.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f108.ecf index 64ef876..cacd1f8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f108.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f108 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f108_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f109.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f109.ecf index 64ef876..33d2681 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f109.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f109 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f109_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f11.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f11.ecf index 64ef876..976f809 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f11.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f11 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f11_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f110.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f110.ecf index 64ef876..5b908ac 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f110.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f110 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f110_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f111.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f111.ecf index 64ef876..29f1f05 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f111.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f111 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f111_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f112.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f112.ecf index 64ef876..e156772 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f112.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f112 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f112_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f113.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f113.ecf index 64ef876..974a118 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f113.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f113 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f113_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f114.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f114.ecf index 64ef876..ad6263f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f114.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f114 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f114_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f115.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f115.ecf index 64ef876..040c9cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f115.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f115 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f115_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f116.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f116.ecf index 64ef876..0650cdc 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f116.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f116 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f116_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f117.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f117.ecf index 64ef876..2c1213e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f117.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f117 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f117_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f118.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f118.ecf index 64ef876..1f2e3e2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f118.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f118 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f118_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f119.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f119.ecf index 64ef876..a01c60e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f119.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f119 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f119_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f12.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f12.ecf index 64ef876..a975052 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f12.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f12 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f12_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f120.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f120.ecf index 64ef876..af5a95b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f120.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f120 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f120_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f123.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f123.ecf index 64ef876..39be5f3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f123.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f123 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f123_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f126.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f126.ecf index 64ef876..0ba19e7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f126.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f126 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f126_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f129.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f129.ecf index 64ef876..11c9a8e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f129.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f129 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f129_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f13.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f13.ecf index 64ef876..c96723b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f13.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f13 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f13_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f132.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f132.ecf index 64ef876..71a9a80 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f132.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f132 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f132_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f135.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f135.ecf index 64ef876..1a4495c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f135.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f135 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f135_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f138.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f138.ecf index 64ef876..3857157 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f138.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f138 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f138_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f14.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f14.ecf index 64ef876..200d27a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f14.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f14 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f14_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f141.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f141.ecf index 64ef876..a77bd40 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f141.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f141 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f141_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f144.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f144.ecf index 64ef876..a260c3f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f144.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f144 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f144_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f147.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f147.ecf index 64ef876..24f0bfc 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f147.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f147 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f147_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f15.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f15.ecf index 64ef876..2fbda18 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f15.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f15 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f15_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f150.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f150.ecf index 64ef876..fe846d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f150.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f150 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f150_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f153.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f153.ecf index 64ef876..76926b3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f153.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f153 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f153_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f156.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f156.ecf index 64ef876..ba35661 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f156.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f156 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f156_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f159.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f159.ecf index 64ef876..a7610ed 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f159.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f159 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f159_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f16.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f16.ecf index 64ef876..ecf5680 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f16.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f16 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f16_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f162.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f162.ecf index 64ef876..0435b6b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f162.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f162 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f162_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f165.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f165.ecf index 64ef876..04a26a2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f165.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f165 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f165_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f168.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f168.ecf index 64ef876..fc20fdf 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f168.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f168 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f168_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f17.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f17.ecf index 64ef876..9a1f924 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f17.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f17.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f17 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f17_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f171.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f171.ecf index 64ef876..f1c88e7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f171.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f171 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f171_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f174.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f174.ecf index 64ef876..0bfae2b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f174.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f174 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f174_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f177.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f177.ecf index 64ef876..f79499f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f177.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f177 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f177_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f18.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f18.ecf index 64ef876..b08c39e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f18.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f18 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f18_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f180.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f180.ecf index 64ef876..70a8257 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f180.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f180 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f180_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f183.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f183.ecf index 64ef876..c3eba46 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f183.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f183 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f183_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f186.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f186.ecf index 64ef876..19b5b17 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f186.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f186 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f186_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f189.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f189.ecf index 64ef876..295f645 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f189.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f189 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f189_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f19.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f19.ecf index 64ef876..28cbff2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f19.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f19.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f19 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f19_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f192.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f192.ecf index 64ef876..2a9adb0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f192.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f192 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f192_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f195.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f195.ecf index 64ef876..029a6f1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f195.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f195 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f195_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f198.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f198.ecf index 64ef876..62abb4a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f198.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f198 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f198_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f20.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f20.ecf index 64ef876..5557e78 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f20.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f20.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f20 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f20_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f201.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f201.ecf index 64ef876..071c2a4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f201.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f201 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f201_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f204.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f204.ecf index 64ef876..d3573ee 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f204.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f204 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f204_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f207.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f207.ecf index 64ef876..8be09f7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f207.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f207 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f207_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f21.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f21.ecf index 64ef876..7931e80 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f21.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f21.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f21 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f21_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f210.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f210.ecf index 64ef876..ce82bd4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f210.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f210 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f210_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f213.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f213.ecf index 64ef876..87077a1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f213.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f213 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f213_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f216.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f216.ecf index 64ef876..eaa75ad 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f216.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f216 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f216_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f219.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f219.ecf index 64ef876..30bcbf5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f219.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f219 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f219_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f22.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f22.ecf index 64ef876..5fcce72 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f22.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f22.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f22 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f22_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f222.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f222.ecf index 64ef876..9ff9ee5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f222.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f222 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f222_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f225.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f225.ecf index 64ef876..8532891 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f225.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f225 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f225_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f228.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f228.ecf index 64ef876..3c25670 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f228.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f228 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f228_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f23.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f23.ecf index 64ef876..8e580c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f23.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f23.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f23 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f23_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f231.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f231.ecf index 64ef876..e473c18 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f231.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f231 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f231_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f234.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f234.ecf index 64ef876..f010694 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f234.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f234 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f234_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f237.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f237.ecf index 64ef876..642e7af 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f237.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f237 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f237_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f24.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f24.ecf index 64ef876..71cfe7a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f24.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f24 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f24_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f240.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f240.ecf index 64ef876..a87516a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f240.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f240 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f240_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f25.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f25.ecf index 64ef876..164f8a7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f25.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f25.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f25 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f25_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f252.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f252.ecf index 64ef876..9f244e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f252.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f252 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f252_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f26.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f26.ecf index 64ef876..856e49f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f26.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f26.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f26 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f26_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f264.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f264.ecf index 64ef876..14cda4a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f264.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f264 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f264_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f27.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f27.ecf index 64ef876..d416e60 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f27.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f27.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f27 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f27_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f276.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f276.ecf index 64ef876..0220bee 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f276.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f276 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f276_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f28.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f28.ecf index 64ef876..3ffae84 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f28.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f28.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f28 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f28_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f288.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f288.ecf index 64ef876..454ca85 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f288.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f288 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f288_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f29.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f29.ecf index 64ef876..c97840a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f29.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f29.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f29 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f29_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f30.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f30.ecf index 64ef876..e1ea0e2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f30.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f30 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f30_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f300.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f300.ecf index 64ef876..1c0b12c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f300.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f300 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f300_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f31.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f31.ecf index 64ef876..93f85eb 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f31.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f31.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f31 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f31_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f312.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f312.ecf index 64ef876..8a93302 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f312.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f312 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f312_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f32.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f32.ecf index 64ef876..7c39cf4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f32.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f32.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f32 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f32_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f324.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f324.ecf index 64ef876..79a30c8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f324.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f324 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f324_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f33.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f33.ecf index 64ef876..9af52bf 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f33.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f33.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f33 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f33_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f336.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f336.ecf index 64ef876..8f1d98c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f336.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f336 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f336_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f34.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f34.ecf index 64ef876..0d35e21 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f34.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f34.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f34 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f34_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f348.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f348.ecf index 64ef876..a30e3ca 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f348.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f348 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f348_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f35.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f35.ecf index 64ef876..bc4bda1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f35.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f35.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f35 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f35_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f36.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f36.ecf index 64ef876..7de0e72 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f36.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f36 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f36_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f360.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f360.ecf index 64ef876..172fb0e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f360.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f360 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f360_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f37.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f37.ecf index 64ef876..df7cac0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f37.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f37.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f37 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f37_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f372.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f372.ecf index 64ef876..7b05117 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f372.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f372 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f372_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f38.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f38.ecf index 64ef876..9a33c9f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f38.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f38.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f38 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f38_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f384.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f384.ecf index 64ef876..3fc1ea6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f384.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f384 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f384_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f39.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f39.ecf index 64ef876..83cdefb 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f39.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f39.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f39 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f39_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f40.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f40.ecf index 64ef876..e1acb56 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f40.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f40.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f40 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f40_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f41.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f41.ecf index 64ef876..69a9cf5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f41.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f41.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f41 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f41_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f42.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f42.ecf index 64ef876..a617d2b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f42.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f42 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f42_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f43.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f43.ecf index 64ef876..721bedb 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f43.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f43.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f43 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f43_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f44.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f44.ecf index 64ef876..5d3eea9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f44.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f44.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f44 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f44_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f45.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f45.ecf index 64ef876..429f3df 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f45.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f45.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f45 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f45_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f46.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f46.ecf index 64ef876..c1c755d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f46.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f46.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f46 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f46_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f47.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f47.ecf index 64ef876..65fb347 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f47.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f47.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f47 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f47_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f48.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f48.ecf index 64ef876..1e6a825 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f48.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f48 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f48_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f49.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f49.ecf index 64ef876..70759d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f49.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f49.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f49 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f49_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f50.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f50.ecf index 64ef876..eff73fd 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f50.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f50.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f50 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f50_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f51.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f51.ecf index 64ef876..cb06902 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f51.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f51.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f51 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f51_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f52.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f52.ecf index 64ef876..cd69559 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f52.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f52.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f52 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f52_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f53.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f53.ecf index 64ef876..8b8626b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f53.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f53.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f53 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f53_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f54.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f54.ecf index 64ef876..22d46c4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f54.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f54 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f54_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f55.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f55.ecf index 64ef876..410e778 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f55.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f55.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f55 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f55_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f56.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f56.ecf index 64ef876..4eab593 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f56.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f56.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f56 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f56_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f57.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f57.ecf index 64ef876..5436f4a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f57.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f57.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f57 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f57_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f58.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f58.ecf index 64ef876..994d09b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f58.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f58.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f58 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f58_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f59.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f59.ecf index 64ef876..38aad79 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f59.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f59.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f59 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f59_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f60.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f60.ecf index 64ef876..27d23a3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f60.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f60 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f60_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f61.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f61.ecf index 64ef876..d0b5ef6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f61.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f61.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f61 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f61_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f62.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f62.ecf index 64ef876..cc231db 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f62.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f62.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f62 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f62_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f63.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f63.ecf index 64ef876..b93fc36 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f63.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f63.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f63 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f63_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f64.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f64.ecf index 64ef876..dd7f9da 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f64.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f64.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f64 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f64_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f65.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f65.ecf index 64ef876..f51aee7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f65.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f65.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f65 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f65_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f66.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f66.ecf index 64ef876..7c4e85d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f66.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f66 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f66_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f67.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f67.ecf index 64ef876..f4b7b21 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f67.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f67.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f67 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f67_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f68.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f68.ecf index 64ef876..7515773 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f68.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f68.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f68 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f68_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f69.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f69.ecf index 64ef876..dbd70aa 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f69.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f69.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f69 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f69_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f70.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f70.ecf index 64ef876..ed8031d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f70.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f70.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f70 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f70_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f71.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f71.ecf index 64ef876..3bcebcc 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f71.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f71.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f71 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f71_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f72.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f72.ecf index 64ef876..f74154a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f72.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f72 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f72_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f73.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f73.ecf index 64ef876..94f68c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f73.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f73.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f73 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f73_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f74.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f74.ecf index 64ef876..ad230fa 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f74.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f74.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f74 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f74_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f75.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f75.ecf index 64ef876..f9d56f8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f75.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f75.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f75 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f75_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f76.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f76.ecf index 64ef876..9eb91f8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f76.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f76.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f76 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f76_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f77.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f77.ecf index 64ef876..1c2fff5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f77.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f77.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f77 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f77_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f78.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f78.ecf index 64ef876..ea8fbdf 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f78.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f78 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f78_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f79.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f79.ecf index 64ef876..6dfabea 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f79.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f79.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f79 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f79_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f80.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f80.ecf index 64ef876..d41bdc9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f80.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f80.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f80 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f80_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f81.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f81.ecf index 64ef876..f20268f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f81.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f81.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f81 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f81_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f82.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f82.ecf index 64ef876..825ebce 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f82.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f82.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f82 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f82_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f83.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f83.ecf index 64ef876..3bc7460 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f83.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f83.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f83 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f83_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f84.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f84.ecf index 64ef876..47f9fbb 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f84.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f84 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f84_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f85.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f85.ecf index 64ef876..dc6312e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f85.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f85.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f85 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f85_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f86.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f86.ecf index 64ef876..692f3aa 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f86.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f86.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f86 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f86_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f87.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f87.ecf index 64ef876..17fbbb3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f87.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f87.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f87 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f87_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f88.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f88.ecf index 64ef876..8b9d7a6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f88.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f88.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f88 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f88_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f89.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f89.ecf index 64ef876..f7c07e7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f89.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f89.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f89 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f89_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f90.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f90.ecf index 64ef876..0004443 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f90.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f90 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f90_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f91.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f91.ecf index 64ef876..c536f1b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f91.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f91.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f91 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f91_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f92.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f92.ecf index 64ef876..02ae73d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f92.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f92.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f92 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f92_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f93.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f93.ecf index 64ef876..4e19cba 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f93.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f93.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f93 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f93_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f94.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f94.ecf index 64ef876..9973097 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f94.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f94.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f94 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f94_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f95.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f95.ecf index 64ef876..176b452 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f95.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f95.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f95 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f95_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f96.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f96.ecf index 64ef876..b975020 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f96.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f96 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f96_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f97.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f97.ecf index 64ef876..49bd8a2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f97.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f97.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f97 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f97_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f98.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f98.ecf index 64ef876..b80ece2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f98.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f98.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f98 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f98_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f99.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f99.ecf index 64ef876..8d67938 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f99.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f99.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f99 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f99_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_manager.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_manager.ecf index 64ef876..436fa1c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_manager.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_manager.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_manager +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_manager_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf index 64ef876..47c0ebc 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f000 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f000_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf index 64ef876..7397347 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f006 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f006_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf index 64ef876..2849c59 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f240 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f240_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf index 64ef876..62bdccc 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f000 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f000_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf index 64ef876..6d576f5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.bufr_sounding.jgfs_postsnd +#BSUB -o %ECF_OUT%/gfs.post_processing.bufr_sounding.jgfs_postsnd_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf index 64ef876..91f1484 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.bulletins.jgfs_cyclone_tracker +#BSUB -o %ECF_OUT%/gfs.post_processing.bulletins.jgfs_cyclone_tracker_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_fbwind.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_fbwind.ecf index 64ef876..648bcb8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_fbwind.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_fbwind.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.bulletins.jgfs_fbwind +#BSUB -o %ECF_OUT%/gfs.post_processing.bulletins.jgfs_fbwind_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/dummy.ecf index be0d470..e6b6a32 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/dummy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/dummy.ecf @@ -3,9 +3,40 @@ #BSUB -P %PROJECT% #BSUB -J gfs.post_processing.dummy #BSUB -o %ECF_OUT%/gfs.post_processing.dummy_t%CYC%z.log + #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/DUMMY +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_anl.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_anl.ecf index 64ef876..cc1d460 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_anl.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.fax.jgfs_fax_anl +#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_anl_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_f00.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_f00.ecf index 64ef876..e43d8b8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_f00.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.fax.jgfs_fax_f00 +#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_f00_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf index 64ef876..9ebf54a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f12 +#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f12_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf index 64ef876..f692b2d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f24 +#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f24_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf index 64ef876..7b10530 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f36 +#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f36_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf index 64ef876..00d156c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib2_wafs.jgfs_wafs_blending +#BSUB -o %ECF_OUT%/gfs.post_processing.grib2_wafs.jgfs_wafs_blending_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf index 64ef876..ed01829 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib2_wafs.jgfs_wafs_grib2 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib2_wafs.jgfs_wafs_grib2_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf index 64ef876..a699abc 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f00 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f00_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf index 64ef876..2b388ee 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f06 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f06_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf index 64ef876..f218bed 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f240 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f240_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf index 64ef876..72ca961 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f00 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f00_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf index 64ef876..1415813 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f06 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f06_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf index 64ef876..780906b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f102 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f102_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf index 64ef876..2b671ee 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f108 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f108_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf index 64ef876..1a5fcf1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f114 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f114_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf index 64ef876..f1a5c6b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f12 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f12_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf index 64ef876..33cedb1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f120 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f120_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf index 64ef876..b7f3bfc 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f18 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f18_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf index 64ef876..85b25b4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f24 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f24_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf index 64ef876..8202552 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f30 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f30_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf index 64ef876..3461a3d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f36 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f36_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf index 64ef876..6d80056 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f42 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f42_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf index 64ef876..7e35a4f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f48 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f48_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf index 64ef876..0272a48 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f54 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f54_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf index 64ef876..03e2a12 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f60 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f60_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf index 64ef876..02b4f9e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f66 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f66_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf index 64ef876..9c4d760 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f72 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f72_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf index 64ef876..83a5818 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f78 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f78_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf index 64ef876..98ce48d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f84 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f84_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf index 64ef876..c77c75d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f90 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f90_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf index 64ef876..46064b5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f96 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f96_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_anl.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_anl.ecf index 64ef876..0217286 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_anl.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_anl +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_anl_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f00.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f00.ecf index 64ef876..f274e8f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f00.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f00 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f00_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f01.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f01.ecf index 64ef876..89e5612 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f01.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f01.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f01 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f01_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f02.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f02.ecf index 64ef876..cee01fd 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f02.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f02.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f02 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f02_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f03.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f03.ecf index 64ef876..8497273 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f03.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f03.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f03 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f03_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f04.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f04.ecf index 64ef876..27e3185 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f04.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f04.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f04 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f04_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f05.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f05.ecf index 64ef876..0b787af 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f05.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f05.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f05 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f05_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f06.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f06.ecf index 64ef876..d9deb0b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f06.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f06 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f06_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f07.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f07.ecf index 64ef876..2d4b772 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f07.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f07.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f07 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f07_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f08.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f08.ecf index 64ef876..38552b0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f08.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f08.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f08 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f08_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f09.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f09.ecf index 64ef876..5533bc3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f09.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f09.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f09 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f09_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f10.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f10.ecf index 64ef876..2828893 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f10.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f10 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f10_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f100.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f100.ecf index 64ef876..750dec4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f100.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f100 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f100_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f101.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f101.ecf index 64ef876..f4601b9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f101.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f101 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f101_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f102.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f102.ecf index 64ef876..984f7e4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f102.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f102 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f102_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f103.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f103.ecf index 64ef876..840d44f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f103.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f103 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f103_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f104.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f104.ecf index 64ef876..b9d199f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f104.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f104 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f104_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f105.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f105.ecf index 64ef876..334b916 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f105.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f105 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f105_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f106.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f106.ecf index 64ef876..c6d8d13 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f106.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f106 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f106_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f107.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f107.ecf index 64ef876..63b4514 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f107.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f107 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f107_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f108.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f108.ecf index 64ef876..87dffb6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f108.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f108 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f108_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f109.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f109.ecf index 64ef876..5927af3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f109.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f109 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f109_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f11.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f11.ecf index 64ef876..09ba320 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f11.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f11 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f11_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f110.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f110.ecf index 64ef876..861c032 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f110.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f110 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f110_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f111.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f111.ecf index 64ef876..0a80654 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f111.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f111 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f111_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f112.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f112.ecf index 64ef876..4be6407 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f112.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f112 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f112_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f113.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f113.ecf index 64ef876..5a54cfb 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f113.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f113 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f113_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f114.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f114.ecf index 64ef876..cab1a4d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f114.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f114 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f114_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f115.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f115.ecf index 64ef876..da62181 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f115.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f115 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f115_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f116.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f116.ecf index 64ef876..419fee8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f116.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f116 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f116_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f117.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f117.ecf index 64ef876..2134fe8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f117.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f117 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f117_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f118.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f118.ecf index 64ef876..cfadbf0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f118.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f118 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f118_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f119.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f119.ecf index 64ef876..9b178fa 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f119.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f119 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f119_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f12.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f12.ecf index 64ef876..34b833c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f12.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f12 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f12_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f120.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f120.ecf index 64ef876..d2192cc 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f120.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f120 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f120_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f123.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f123.ecf index 64ef876..e6c218e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f123.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f123 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f123_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f126.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f126.ecf index 64ef876..322453c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f126.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f126 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f126_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f129.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f129.ecf index 64ef876..eba257b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f129.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f129 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f129_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f13.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f13.ecf index 64ef876..087d7d9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f13.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f13 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f13_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f132.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f132.ecf index 64ef876..8c97ab8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f132.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f132 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f132_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f135.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f135.ecf index 64ef876..f87518e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f135.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f135 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f135_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f138.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f138.ecf index 64ef876..9b37feb 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f138.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f138 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f138_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f14.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f14.ecf index 64ef876..543f8d1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f14.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f14 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f14_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f141.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f141.ecf index 64ef876..bf9d057 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f141.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f141 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f141_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f144.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f144.ecf index 64ef876..a3a3330 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f144.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f144 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f144_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f147.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f147.ecf index 64ef876..175930a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f147.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f147 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f147_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f15.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f15.ecf index 64ef876..827259c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f15.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f15 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f15_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f150.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f150.ecf index 64ef876..ab2d64b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f150.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f150 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f150_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f153.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f153.ecf index 64ef876..e96a680 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f153.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f153 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f153_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f156.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f156.ecf index 64ef876..0e7014a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f156.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f156 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f156_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f159.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f159.ecf index 64ef876..04fe9e9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f159.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f159 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f159_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f16.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f16.ecf index 64ef876..f5bbd5b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f16.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f16 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f16_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f162.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f162.ecf index 64ef876..1df889f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f162.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f162 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f162_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f165.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f165.ecf index 64ef876..6af5608 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f165.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f165 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f165_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f168.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f168.ecf index 64ef876..dc4195b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f168.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f168 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f168_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f17.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f17.ecf index 64ef876..86bdd4a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f17.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f17.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f17 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f17_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f171.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f171.ecf index 64ef876..0cd577d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f171.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f171 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f171_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f174.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f174.ecf index 64ef876..3e0dd2d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f174.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f174 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f174_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f177.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f177.ecf index 64ef876..4ef1fe3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f177.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f177 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f177_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f18.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f18.ecf index 64ef876..059d265 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f18.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f18 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f18_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f180.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f180.ecf index 64ef876..78da428 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f180.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f180 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f180_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f183.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f183.ecf index 64ef876..485f07a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f183.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f183 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f183_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f186.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f186.ecf index 64ef876..8fb2e59 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f186.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f186 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f186_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f189.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f189.ecf index 64ef876..b2f7eed 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f189.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f189 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f189_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f19.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f19.ecf index 64ef876..159db26 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f19.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f19.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f19 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f19_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f192.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f192.ecf index 64ef876..f8a044b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f192.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f192 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f192_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f195.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f195.ecf index 64ef876..5de8f08 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f195.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f195 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f195_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f198.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f198.ecf index 64ef876..bce8025 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f198.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f198 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f198_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f20.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f20.ecf index 64ef876..df0ace6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f20.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f20.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f20 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f20_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f201.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f201.ecf index 64ef876..431e818 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f201.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f201 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f201_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f204.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f204.ecf index 64ef876..116f24c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f204.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f204 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f204_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f207.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f207.ecf index 64ef876..dd3c798 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f207.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f207 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f207_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f21.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f21.ecf index 64ef876..715e786 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f21.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f21.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f21 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f21_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f210.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f210.ecf index 64ef876..5ef8135 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f210.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f210 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f210_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f213.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f213.ecf index 64ef876..c7cb988 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f213.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f213 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f213_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f216.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f216.ecf index 64ef876..fb093a7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f216.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f216 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f216_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f219.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f219.ecf index 64ef876..2556a1f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f219.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f219 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f219_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f22.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f22.ecf index 64ef876..dbf26c3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f22.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f22.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f22 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f22_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f222.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f222.ecf index 64ef876..342b2db 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f222.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f222 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f222_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f225.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f225.ecf index 64ef876..4bdec7d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f225.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f225 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f225_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f228.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f228.ecf index 64ef876..fbad4ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f228.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f228 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f228_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f23.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f23.ecf index 64ef876..c6e084b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f23.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f23.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f23 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f23_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f231.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f231.ecf index 64ef876..91b6f03 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f231.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f231 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f231_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f234.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f234.ecf index 64ef876..5d2d154 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f234.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f234 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f234_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f237.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f237.ecf index 64ef876..c7d1771 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f237.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f237 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f237_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f24.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f24.ecf index 64ef876..8413b03 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f24.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f24 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f24_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f240.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f240.ecf index 64ef876..7002a9c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f240.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f240 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f240_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f25.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f25.ecf index 64ef876..98be9e8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f25.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f25.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f25 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f25_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f252.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f252.ecf index 64ef876..453404b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f252.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f252 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f252_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f26.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f26.ecf index 64ef876..9054699 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f26.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f26.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f26 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f26_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f264.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f264.ecf index 64ef876..43b1464 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f264.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f264 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f264_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f27.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f27.ecf index 64ef876..60d8758 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f27.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f27.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f27 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f27_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f276.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f276.ecf index 64ef876..5abe1ba 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f276.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f276 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f276_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f28.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f28.ecf index 64ef876..87da152 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f28.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f28.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f28 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f28_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f288.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f288.ecf index 64ef876..da23ce9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f288.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f288 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f288_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f29.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f29.ecf index 64ef876..f9d8bf3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f29.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f29.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f29 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f29_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f30.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f30.ecf index 64ef876..7c13679 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f30.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f30 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f30_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f300.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f300.ecf index 64ef876..30aead1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f300.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f300 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f300_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f31.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f31.ecf index 64ef876..9a1e5e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f31.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f31.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f31 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f31_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f312.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f312.ecf index 64ef876..70200c1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f312.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f312 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f312_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f32.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f32.ecf index 64ef876..41077a0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f32.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f32.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f32 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f32_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f324.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f324.ecf index 64ef876..dccc89f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f324.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f324 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f324_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f33.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f33.ecf index 64ef876..0cdc68a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f33.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f33.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f33 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f33_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f336.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f336.ecf index 64ef876..4b67adf 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f336.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f336 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f336_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f34.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f34.ecf index 64ef876..3a234e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f34.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f34.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f34 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f34_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f348.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f348.ecf index 64ef876..67f6540 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f348.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f348 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f348_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f35.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f35.ecf index 64ef876..2de4def 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f35.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f35.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f35 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f35_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f36.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f36.ecf index 64ef876..7e62a6a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f36.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f36 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f36_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f360.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f360.ecf index 64ef876..701bbae 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f360.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f360 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f360_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f37.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f37.ecf index 64ef876..ef0423c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f37.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f37.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f37 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f37_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f372.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f372.ecf index 64ef876..ac7cabb 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f372.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f372 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f372_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f38.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f38.ecf index 64ef876..6376158 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f38.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f38.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f38 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f38_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f384.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f384.ecf index 64ef876..d476e7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f384.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f384 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f384_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f39.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f39.ecf index 64ef876..1781875 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f39.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f39.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f39 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f39_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f40.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f40.ecf index 64ef876..02a0458 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f40.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f40.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f40 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f40_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f41.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f41.ecf index 64ef876..a64d3d9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f41.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f41.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f41 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f41_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f42.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f42.ecf index 64ef876..612fac8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f42.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f42 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f42_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f43.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f43.ecf index 64ef876..9a2dc64 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f43.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f43.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f43 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f43_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f44.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f44.ecf index 64ef876..6bda6c1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f44.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f44.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f44 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f44_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f45.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f45.ecf index 64ef876..966429e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f45.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f45.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f45 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f45_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f46.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f46.ecf index 64ef876..7b0e0ee 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f46.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f46.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f46 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f46_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f47.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f47.ecf index 64ef876..69c5f1c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f47.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f47.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f47 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f47_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f48.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f48.ecf index 64ef876..4cad6f8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f48.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f48 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f48_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f49.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f49.ecf index 64ef876..4eb2156 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f49.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f49.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f49 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f49_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f50.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f50.ecf index 64ef876..8bd6b8e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f50.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f50.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f50 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f50_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f51.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f51.ecf index 64ef876..579dace 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f51.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f51.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f51 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f51_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f52.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f52.ecf index 64ef876..3964a08 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f52.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f52.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f52 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f52_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f53.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f53.ecf index 64ef876..c7a1fd9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f53.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f53.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f53 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f53_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f54.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f54.ecf index 64ef876..fb4118e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f54.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f54 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f54_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f55.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f55.ecf index 64ef876..287120e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f55.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f55.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f55 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f55_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f56.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f56.ecf index 64ef876..7548d29 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f56.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f56.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f56 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f56_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f57.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f57.ecf index 64ef876..7d1f63b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f57.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f57.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f57 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f57_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f58.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f58.ecf index 64ef876..57ea54c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f58.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f58.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f58 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f58_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f59.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f59.ecf index 64ef876..eeca85d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f59.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f59.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f59 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f59_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f60.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f60.ecf index 64ef876..6d96107 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f60.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f60 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f60_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f61.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f61.ecf index 64ef876..ad3936d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f61.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f61.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f61 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f61_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f62.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f62.ecf index 64ef876..7a13e45 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f62.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f62.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f62 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f62_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f63.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f63.ecf index 64ef876..7a9e449 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f63.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f63.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f63 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f63_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f64.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f64.ecf index 64ef876..ebaa4cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f64.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f64.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f64 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f64_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f65.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f65.ecf index 64ef876..0be9a42 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f65.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f65.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f65 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f65_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f66.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f66.ecf index 64ef876..c968b44 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f66.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f66 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f66_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f67.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f67.ecf index 64ef876..b323a0f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f67.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f67.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f67 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f67_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f68.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f68.ecf index 64ef876..ecc2d32 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f68.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f68.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f68 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f68_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f69.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f69.ecf index 64ef876..b72fe63 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f69.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f69.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f69 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f69_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f70.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f70.ecf index 64ef876..c7eb55c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f70.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f70.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f70 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f70_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f71.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f71.ecf index 64ef876..a8b386f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f71.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f71.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f71 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f71_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f72.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f72.ecf index 64ef876..a9d253f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f72.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f72 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f72_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f73.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f73.ecf index 64ef876..e9222c1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f73.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f73.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f73 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f73_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f74.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f74.ecf index 64ef876..5b22439 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f74.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f74.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f74 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f74_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f75.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f75.ecf index 64ef876..0acb3a9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f75.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f75.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f75 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f75_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f76.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f76.ecf index 64ef876..91ec20d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f76.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f76.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f76 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f76_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f77.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f77.ecf index 64ef876..0b1f2e3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f77.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f77.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f77 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f77_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f78.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f78.ecf index 64ef876..a4233b9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f78.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f78 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f78_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f79.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f79.ecf index 64ef876..8b349f3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f79.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f79.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f79 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f79_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f80.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f80.ecf index 64ef876..da35659 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f80.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f80.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f80 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f80_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f81.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f81.ecf index 64ef876..51d59a0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f81.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f81.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f81 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f81_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f82.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f82.ecf index 64ef876..e66b58d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f82.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f82.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f82 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f82_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f83.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f83.ecf index 64ef876..bf0037d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f83.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f83.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f83 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f83_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f84.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f84.ecf index 64ef876..5a9b7a9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f84.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f84 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f84_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f85.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f85.ecf index 64ef876..7eea41f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f85.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f85.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f85 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f85_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f86.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f86.ecf index 64ef876..144eb09 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f86.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f86.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f86 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f86_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f87.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f87.ecf index 64ef876..8ad6749 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f87.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f87.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f87 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f87_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f88.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f88.ecf index 64ef876..695cc2b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f88.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f88.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f88 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f88_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f89.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f89.ecf index 64ef876..48b69dd 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f89.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f89.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f89 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f89_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f90.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f90.ecf index 64ef876..996594c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f90.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f90 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f90_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f91.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f91.ecf index 64ef876..b45e4ad 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f91.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f91.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f91 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f91_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f92.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f92.ecf index 64ef876..1535dc5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f92.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f92.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f92 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f92_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f93.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f93.ecf index 64ef876..faf0e22 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f93.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f93.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f93 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f93_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f94.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f94.ecf index 64ef876..1fb99ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f94.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f94.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f94 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f94_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f95.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f95.ecf index 64ef876..e45f015 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f95.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f95.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f95 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f95_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f96.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f96.ecf index 64ef876..2c1e006 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f96.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f96 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f96_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f97.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f97.ecf index 64ef876..76273aa 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f97.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f97.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f97 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f97_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f98.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f98.ecf index 64ef876..e84114b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f98.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f98.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f98 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f98_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f99.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f99.ecf index 64ef876..4735a70 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f99.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f99.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f99 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f99_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_manager.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_manager.ecf index 64ef876..a9a6ea3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_manager.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_manager.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_manager +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_manager_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf index 64ef876..3a53c88 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep.jgfs_emcsfc_sfc_prep +#BSUB -o %ECF_OUT%/gfs.prep.jgfs_emcsfc_sfc_prep_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf index 64ef876..2060458 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep.jgfs_prep +#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=3 %include %include set -x -{ecf_resource_more} +export ntasks=6 +export ptile=2 +export threads=9223372036854775807 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf index 64ef876..bd21eff 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep.jgfs_prep_post +#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_post_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_even.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_even.ecf index 64ef876..17b33f4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_even.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_even.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.sminit_guam.jgfs_sminit_guam_even +#BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_even_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf index 64ef876..fad116a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.sminit_guam.jgfs_sminit_guam_odd +#BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_odd_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/cycle_end.ecf b/model/ecflow_fv3gfs/scripts/prod18/cycle_end.ecf index 64ef876..c9cfff9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/cycle_end.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/cycle_end.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J cycle_end +#BSUB -o %ECF_OUT%/cycle_end_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/analysis/jgdas_analysis_high.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/analysis/jgdas_analysis_high.ecf index 2a48f9c..05da1e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/analysis/jgdas_analysis_high.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/analysis/jgdas_analysis_high.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.analysis.jgdas_analysis_high #BSUB -o %ECF_OUT%/gdas.analysis.jgdas_analysis_high_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=60 %include -echo ${JOBgfs}/JGDAS_ANALYSIS_HIGH +%include + +set -x + +export ntasks=360 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf index 64ef876..56c4f2a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.dump.jgdas_dump +#BSUB -o %ECF_OUT%/gdas.dump.jgdas_dump_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf index 64ef876..2b40958 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.dump.jgdas_ics +#BSUB -o %ECF_OUT%/gdas.dump.jgdas_ics_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf index 64ef876..d5233d5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.dump.jgdas_tropcy_qc_reloc +#BSUB -o %ECF_OUT%/gdas.dump.jgdas_tropcy_qc_reloc_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf index 64ef876..9b0d13d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp1 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp1_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf index 699d8ea..3f764d5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp10 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp10_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf index 410ea26..de4e53e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp11 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp11_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf index 2710937..6bc023c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp12 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp12_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf index 3703b78..23e5309 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp13 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp13_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf index aaeed6b..2fa837f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp14 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp14_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf index 3e7fadd..91750df 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp15 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp15_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf index 7ec1cdf..53954b4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp16 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp16_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf index 64ef876..0160e4d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp2 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp2_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf index 64ef876..a3b9840 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp3 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp3_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf index 64ef876..1f0a322 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp4 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp4_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf index 64ef876..6864171 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp5_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf index 64ef876..187d773 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp6_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf index 64ef876..002f57f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp7_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf index 64ef876..09621bb 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp8_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf index 5a6f83d..95cfc73 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp9 #BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp9_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf index 64ef876..6bf675b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp1 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp1_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf index 5581bdb..729cdf0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp10 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp10_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf index 7b703e1..724158b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp11 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp11_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf index a98096f..c2ce735 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp12 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp12_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf index 16f0bbf..6ebbb84 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp13 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp13_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf index b566596..1cabbee 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp14 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp14_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf index 1dedd19..0127116 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp15 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp15_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf index 0e9c166..e983650 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp16 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp16_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf index 64ef876..1db68ea 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp2 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp2_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf index 64ef876..f4cc80c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp3 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp3_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf index 64ef876..e46ae93 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp4 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp4_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf index 64ef876..cbb7cb8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp5_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf index 64ef876..78e11e8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp6_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf index 64ef876..5e7f8e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp7_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf index 64ef876..33e4705 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp8_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf index dd1a50e..894d204 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp9 #BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp9_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 %include -echo ${JOBgfs}/jgdas_innovate +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf index 64ef876..523b024 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_inflate_recenter +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_inflate_recenter_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=20 %include %include set -x -{ecf_resource_more} +export ntasks=80 +export ptile=4 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf index 64ef876..91d0963 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_post +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_post_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=20 %include %include set -x -{ecf_resource_more} +export ntasks=80 +export ptile=4 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf index 64ef876..5511157 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_select_obs +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_select_obs_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=6 +export threads=4 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf index 64ef876..ca328f0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.jgdas_enkf_update +#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_update_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=40 %include %include set -x -{ecf_resource_more} +export ntasks=240 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/forecast/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/forecast/jgdas_forecast.ecf index 5caf47b..d7aaa39 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/forecast/jgdas_forecast.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/forecast/jgdas_forecast.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.forecast.jgdas_forecast #BSUB -o %ECF_OUT%/gdas.forecast.jgdas_forecast_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=1024] #BSUB -extsched CRAYLINUX[] export NODES=64 %include -echo ${JOBgfs}/JGLOBAL_FORECAST +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak.ecf index 64ef876..326ae5d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.gempak.jgdas_gempak +#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_meta.ecf index 64ef876..a465314 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_meta.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_meta.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.gempak.jgdas_gempak_meta +#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_meta_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_ncdc.ecf index 64ef876..9fc1394 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_ncdc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_ncdc.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.gempak.jgdas_gempak_ncdc +#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_ncdc_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf index 64ef876..f821cbe 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.jgdas_verfrad +#BSUB -o %ECF_OUT%/gdas.jgdas_verfrad_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf index 64ef876..172f26e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.jgdas_vminmon +#BSUB -o %ECF_OUT%/gdas.jgdas_vminmon_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/post/jgdas_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/post/jgdas_post.ecf index 085d129..70279e2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/post/jgdas_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/post/jgdas_post.ecf @@ -3,10 +3,41 @@ #BSUB -P %PROJECT% #BSUB -J gdas.post.jgdas_post #BSUB -o %ECF_OUT%/gdas.post.jgdas_post_t%CYC%z.log + #BSUB -W 0:02 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=6 %include -echo ${JOBgfs}/post +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf index 64ef876..a318919 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post_processing.bulletins.jgdas_mknavybulls +#BSUB -o %ECF_OUT%/gdas.post_processing.bulletins.jgdas_mknavybulls_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf index 64ef876..64edefc 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep.jgdas_emcsfc_sfc_prep +#BSUB -o %ECF_OUT%/gdas.prep.jgdas_emcsfc_sfc_prep_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf index 64ef876..02bbbf4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep.jgdas_prep +#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=3 %include %include set -x -{ecf_resource_more} +export ntasks=6 +export ptile=2 +export threads=9223372036854775807 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf index 64ef876..ca2a67c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep.jgdas_prep_post +#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_post_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf index 64ef876..3efddef 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.dump.jgfs_dump +#BSUB -o %ECF_OUT%/gfs.dump.jgfs_dump_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf index 64ef876..ec9a7c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.dump.jgfs_tropcy_qc_reloc +#BSUB -o %ECF_OUT%/gfs.dump.jgfs_tropcy_qc_reloc_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_high.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_high.ecf index 64ef876..e0c8d37 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_high.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_high.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.forecast.jgfs_forecast_high +#BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_high_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_low.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_low.ecf index 64ef876..7d60768 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_low.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_low.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.forecast.jgfs_forecast_low +#BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_low_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 %include %include set -x -{ecf_resource_more} +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak.ecf index 64ef876..e98d868 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_meta.ecf index 64ef876..2064a33 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_meta.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_meta.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak_meta +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_meta_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_ncdc.ecf index 64ef876..28aef49 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_ncdc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_ncdc.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak_ncdc +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_ncdc_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_upapgif.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_upapgif.ecf index 64ef876..c376157 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_upapgif.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_upapgif.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_gempak_upapgif +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_upapgif_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf index 64ef876..2e09f03 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.jgfs_analysis +#BSUB -o %ECF_OUT%/gfs.jgfs_analysis_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=60 %include %include set -x -{ecf_resource_more} +export ntasks=360 +export ptile=6 +export threads=2 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf index 64ef876..12539f0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.jgfs_vminmon +#BSUB -o %ECF_OUT%/gfs.jgfs_vminmon_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_anl.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_anl.ecf index 64ef876..1592c9a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_anl.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_anl +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_anl_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f00.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f00.ecf index 64ef876..174874a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f00.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f00 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f00_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f01.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f01.ecf index 64ef876..07b5e97 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f01.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f01.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f01 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f01_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f02.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f02.ecf index 64ef876..6bd5de2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f02.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f02.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f02 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f02_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f03.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f03.ecf index 64ef876..5512cc7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f03.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f03.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f03 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f03_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f04.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f04.ecf index 64ef876..d44cabf 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f04.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f04.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f04 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f04_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f05.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f05.ecf index 64ef876..933e206 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f05.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f05.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f05 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f05_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f06.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f06.ecf index 64ef876..4580f6f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f06.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f06 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f06_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f07.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f07.ecf index 64ef876..6723ea8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f07.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f07.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f07 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f07_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f08.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f08.ecf index 64ef876..a0d3fb0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f08.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f08.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f08 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f08_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f09.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f09.ecf index 64ef876..bd0a087 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f09.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f09.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f09 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f09_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f10.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f10.ecf index 64ef876..f7c6240 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f10.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f10 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f10_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f100.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f100.ecf index 64ef876..0c15761 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f100.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f100 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f100_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f101.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f101.ecf index 64ef876..9cdfd50 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f101.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f101 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f101_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f102.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f102.ecf index 64ef876..0a69199 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f102.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f102 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f102_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f103.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f103.ecf index 64ef876..2eacdfc 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f103.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f103 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f103_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f104.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f104.ecf index 64ef876..3f5a24c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f104.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f104 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f104_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f105.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f105.ecf index 64ef876..81b8adc 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f105.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f105 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f105_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f106.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f106.ecf index 64ef876..c43cc00 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f106.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f106 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f106_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f107.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f107.ecf index 64ef876..97e8e47 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f107.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f107 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f107_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f108.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f108.ecf index 64ef876..cacd1f8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f108.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f108 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f108_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f109.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f109.ecf index 64ef876..33d2681 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f109.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f109 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f109_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f11.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f11.ecf index 64ef876..976f809 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f11.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f11 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f11_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f110.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f110.ecf index 64ef876..5b908ac 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f110.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f110 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f110_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f111.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f111.ecf index 64ef876..29f1f05 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f111.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f111 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f111_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f112.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f112.ecf index 64ef876..e156772 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f112.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f112 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f112_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f113.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f113.ecf index 64ef876..974a118 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f113.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f113 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f113_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f114.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f114.ecf index 64ef876..ad6263f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f114.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f114 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f114_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f115.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f115.ecf index 64ef876..040c9cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f115.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f115 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f115_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f116.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f116.ecf index 64ef876..0650cdc 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f116.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f116 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f116_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f117.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f117.ecf index 64ef876..2c1213e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f117.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f117 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f117_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f118.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f118.ecf index 64ef876..1f2e3e2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f118.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f118 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f118_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f119.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f119.ecf index 64ef876..a01c60e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f119.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f119 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f119_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f12.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f12.ecf index 64ef876..a975052 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f12.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f12 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f12_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f120.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f120.ecf index 64ef876..af5a95b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f120.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f120 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f120_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f123.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f123.ecf index 64ef876..39be5f3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f123.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f123 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f123_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f126.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f126.ecf index 64ef876..0ba19e7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f126.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f126 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f126_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f129.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f129.ecf index 64ef876..11c9a8e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f129.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f129 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f129_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f13.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f13.ecf index 64ef876..c96723b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f13.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f13 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f13_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f132.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f132.ecf index 64ef876..71a9a80 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f132.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f132 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f132_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f135.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f135.ecf index 64ef876..1a4495c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f135.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f135 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f135_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f138.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f138.ecf index 64ef876..3857157 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f138.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f138 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f138_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f14.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f14.ecf index 64ef876..200d27a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f14.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f14 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f14_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f141.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f141.ecf index 64ef876..a77bd40 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f141.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f141 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f141_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f144.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f144.ecf index 64ef876..a260c3f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f144.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f144 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f144_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f147.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f147.ecf index 64ef876..24f0bfc 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f147.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f147 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f147_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f15.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f15.ecf index 64ef876..2fbda18 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f15.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f15 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f15_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f150.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f150.ecf index 64ef876..fe846d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f150.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f150 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f150_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f153.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f153.ecf index 64ef876..76926b3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f153.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f153 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f153_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f156.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f156.ecf index 64ef876..ba35661 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f156.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f156 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f156_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f159.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f159.ecf index 64ef876..a7610ed 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f159.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f159 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f159_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f16.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f16.ecf index 64ef876..ecf5680 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f16.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f16 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f16_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f162.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f162.ecf index 64ef876..0435b6b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f162.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f162 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f162_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f165.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f165.ecf index 64ef876..04a26a2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f165.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f165 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f165_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f168.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f168.ecf index 64ef876..fc20fdf 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f168.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f168 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f168_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f17.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f17.ecf index 64ef876..9a1f924 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f17.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f17.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f17 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f17_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f171.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f171.ecf index 64ef876..f1c88e7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f171.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f171 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f171_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f174.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f174.ecf index 64ef876..0bfae2b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f174.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f174 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f174_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f177.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f177.ecf index 64ef876..f79499f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f177.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f177 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f177_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f18.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f18.ecf index 64ef876..b08c39e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f18.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f18 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f18_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f180.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f180.ecf index 64ef876..70a8257 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f180.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f180 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f180_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f183.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f183.ecf index 64ef876..c3eba46 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f183.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f183 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f183_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f186.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f186.ecf index 64ef876..19b5b17 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f186.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f186 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f186_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f189.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f189.ecf index 64ef876..295f645 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f189.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f189 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f189_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f19.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f19.ecf index 64ef876..28cbff2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f19.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f19.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f19 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f19_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f192.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f192.ecf index 64ef876..2a9adb0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f192.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f192 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f192_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f195.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f195.ecf index 64ef876..029a6f1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f195.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f195 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f195_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f198.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f198.ecf index 64ef876..62abb4a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f198.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f198 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f198_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f20.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f20.ecf index 64ef876..5557e78 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f20.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f20.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f20 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f20_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f201.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f201.ecf index 64ef876..071c2a4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f201.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f201 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f201_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f204.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f204.ecf index 64ef876..d3573ee 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f204.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f204 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f204_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f207.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f207.ecf index 64ef876..8be09f7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f207.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f207 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f207_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f21.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f21.ecf index 64ef876..7931e80 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f21.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f21.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f21 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f21_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f210.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f210.ecf index 64ef876..ce82bd4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f210.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f210 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f210_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f213.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f213.ecf index 64ef876..87077a1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f213.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f213 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f213_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f216.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f216.ecf index 64ef876..eaa75ad 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f216.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f216 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f216_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f219.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f219.ecf index 64ef876..30bcbf5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f219.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f219 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f219_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f22.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f22.ecf index 64ef876..5fcce72 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f22.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f22.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f22 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f22_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f222.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f222.ecf index 64ef876..9ff9ee5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f222.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f222 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f222_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f225.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f225.ecf index 64ef876..8532891 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f225.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f225 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f225_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f228.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f228.ecf index 64ef876..3c25670 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f228.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f228 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f228_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f23.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f23.ecf index 64ef876..8e580c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f23.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f23.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f23 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f23_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f231.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f231.ecf index 64ef876..e473c18 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f231.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f231 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f231_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f234.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f234.ecf index 64ef876..f010694 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f234.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f234 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f234_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f237.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f237.ecf index 64ef876..642e7af 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f237.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f237 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f237_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f24.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f24.ecf index 64ef876..71cfe7a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f24.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f24 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f24_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f240.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f240.ecf index 64ef876..a87516a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f240.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f240 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f240_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f25.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f25.ecf index 64ef876..164f8a7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f25.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f25.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f25 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f25_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f252.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f252.ecf index 64ef876..9f244e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f252.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f252 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f252_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f26.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f26.ecf index 64ef876..856e49f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f26.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f26.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f26 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f26_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f264.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f264.ecf index 64ef876..14cda4a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f264.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f264 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f264_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f27.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f27.ecf index 64ef876..d416e60 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f27.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f27.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f27 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f27_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f276.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f276.ecf index 64ef876..0220bee 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f276.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f276 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f276_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f28.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f28.ecf index 64ef876..3ffae84 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f28.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f28.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f28 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f28_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f288.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f288.ecf index 64ef876..454ca85 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f288.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f288 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f288_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f29.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f29.ecf index 64ef876..c97840a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f29.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f29.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f29 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f29_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f30.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f30.ecf index 64ef876..e1ea0e2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f30.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f30 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f30_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f300.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f300.ecf index 64ef876..1c0b12c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f300.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f300 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f300_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f31.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f31.ecf index 64ef876..93f85eb 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f31.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f31.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f31 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f31_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f312.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f312.ecf index 64ef876..8a93302 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f312.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f312 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f312_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f32.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f32.ecf index 64ef876..7c39cf4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f32.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f32.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f32 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f32_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f324.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f324.ecf index 64ef876..79a30c8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f324.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f324 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f324_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f33.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f33.ecf index 64ef876..9af52bf 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f33.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f33.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f33 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f33_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f336.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f336.ecf index 64ef876..8f1d98c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f336.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f336 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f336_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f34.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f34.ecf index 64ef876..0d35e21 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f34.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f34.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f34 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f34_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f348.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f348.ecf index 64ef876..a30e3ca 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f348.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f348 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f348_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f35.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f35.ecf index 64ef876..bc4bda1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f35.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f35.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f35 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f35_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f36.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f36.ecf index 64ef876..7de0e72 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f36.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f36 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f36_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f360.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f360.ecf index 64ef876..172fb0e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f360.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f360 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f360_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f37.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f37.ecf index 64ef876..df7cac0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f37.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f37.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f37 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f37_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f372.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f372.ecf index 64ef876..7b05117 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f372.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f372 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f372_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f38.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f38.ecf index 64ef876..9a33c9f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f38.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f38.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f38 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f38_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f384.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f384.ecf index 64ef876..3fc1ea6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f384.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f384 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f384_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f39.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f39.ecf index 64ef876..83cdefb 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f39.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f39.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f39 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f39_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f40.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f40.ecf index 64ef876..e1acb56 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f40.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f40.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f40 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f40_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f41.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f41.ecf index 64ef876..69a9cf5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f41.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f41.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f41 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f41_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f42.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f42.ecf index 64ef876..a617d2b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f42.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f42 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f42_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f43.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f43.ecf index 64ef876..721bedb 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f43.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f43.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f43 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f43_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f44.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f44.ecf index 64ef876..5d3eea9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f44.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f44.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f44 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f44_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f45.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f45.ecf index 64ef876..429f3df 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f45.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f45.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f45 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f45_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f46.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f46.ecf index 64ef876..c1c755d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f46.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f46.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f46 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f46_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f47.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f47.ecf index 64ef876..65fb347 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f47.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f47.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f47 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f47_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f48.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f48.ecf index 64ef876..1e6a825 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f48.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f48 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f48_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f49.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f49.ecf index 64ef876..70759d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f49.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f49.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f49 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f49_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f50.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f50.ecf index 64ef876..eff73fd 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f50.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f50.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f50 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f50_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f51.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f51.ecf index 64ef876..cb06902 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f51.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f51.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f51 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f51_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f52.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f52.ecf index 64ef876..cd69559 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f52.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f52.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f52 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f52_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f53.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f53.ecf index 64ef876..8b8626b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f53.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f53.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f53 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f53_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f54.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f54.ecf index 64ef876..22d46c4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f54.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f54 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f54_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f55.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f55.ecf index 64ef876..410e778 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f55.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f55.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f55 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f55_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f56.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f56.ecf index 64ef876..4eab593 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f56.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f56.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f56 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f56_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f57.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f57.ecf index 64ef876..5436f4a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f57.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f57.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f57 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f57_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f58.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f58.ecf index 64ef876..994d09b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f58.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f58.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f58 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f58_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f59.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f59.ecf index 64ef876..38aad79 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f59.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f59.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f59 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f59_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f60.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f60.ecf index 64ef876..27d23a3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f60.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f60 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f60_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f61.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f61.ecf index 64ef876..d0b5ef6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f61.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f61.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f61 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f61_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f62.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f62.ecf index 64ef876..cc231db 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f62.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f62.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f62 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f62_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f63.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f63.ecf index 64ef876..b93fc36 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f63.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f63.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f63 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f63_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f64.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f64.ecf index 64ef876..dd7f9da 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f64.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f64.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f64 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f64_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f65.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f65.ecf index 64ef876..f51aee7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f65.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f65.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f65 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f65_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f66.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f66.ecf index 64ef876..7c4e85d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f66.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f66 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f66_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f67.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f67.ecf index 64ef876..f4b7b21 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f67.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f67.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f67 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f67_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f68.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f68.ecf index 64ef876..7515773 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f68.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f68.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f68 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f68_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f69.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f69.ecf index 64ef876..dbd70aa 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f69.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f69.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f69 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f69_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f70.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f70.ecf index 64ef876..ed8031d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f70.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f70.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f70 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f70_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f71.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f71.ecf index 64ef876..3bcebcc 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f71.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f71.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f71 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f71_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f72.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f72.ecf index 64ef876..f74154a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f72.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f72 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f72_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f73.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f73.ecf index 64ef876..94f68c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f73.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f73.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f73 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f73_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f74.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f74.ecf index 64ef876..ad230fa 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f74.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f74.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f74 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f74_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f75.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f75.ecf index 64ef876..f9d56f8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f75.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f75.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f75 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f75_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f76.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f76.ecf index 64ef876..9eb91f8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f76.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f76.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f76 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f76_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f77.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f77.ecf index 64ef876..1c2fff5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f77.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f77.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f77 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f77_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f78.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f78.ecf index 64ef876..ea8fbdf 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f78.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f78 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f78_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f79.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f79.ecf index 64ef876..6dfabea 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f79.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f79.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f79 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f79_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f80.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f80.ecf index 64ef876..d41bdc9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f80.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f80.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f80 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f80_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f81.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f81.ecf index 64ef876..f20268f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f81.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f81.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f81 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f81_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f82.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f82.ecf index 64ef876..825ebce 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f82.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f82.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f82 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f82_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f83.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f83.ecf index 64ef876..3bc7460 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f83.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f83.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f83 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f83_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f84.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f84.ecf index 64ef876..47f9fbb 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f84.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f84 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f84_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f85.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f85.ecf index 64ef876..dc6312e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f85.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f85.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f85 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f85_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f86.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f86.ecf index 64ef876..692f3aa 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f86.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f86.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f86 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f86_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f87.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f87.ecf index 64ef876..17fbbb3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f87.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f87.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f87 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f87_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f88.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f88.ecf index 64ef876..8b9d7a6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f88.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f88.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f88 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f88_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f89.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f89.ecf index 64ef876..f7c07e7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f89.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f89.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f89 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f89_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f90.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f90.ecf index 64ef876..0004443 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f90.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f90 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f90_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f91.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f91.ecf index 64ef876..c536f1b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f91.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f91.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f91 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f91_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f92.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f92.ecf index 64ef876..02ae73d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f92.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f92.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f92 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f92_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f93.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f93.ecf index 64ef876..4e19cba 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f93.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f93.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f93 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f93_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f94.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f94.ecf index 64ef876..9973097 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f94.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f94.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f94 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f94_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f95.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f95.ecf index 64ef876..176b452 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f95.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f95.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f95 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f95_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f96.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f96.ecf index 64ef876..b975020 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f96.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f96 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f96_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f97.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f97.ecf index 64ef876..49bd8a2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f97.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f97.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f97 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f97_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f98.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f98.ecf index 64ef876..b80ece2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f98.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f98.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f98 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f98_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f99.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f99.ecf index 64ef876..8d67938 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f99.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f99.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_f99 +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f99_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_manager.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_manager.ecf index 64ef876..436fa1c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_manager.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_manager.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_post_manager +#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_manager_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf index 64ef876..47c0ebc 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f000 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f000_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf index 64ef876..7397347 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f006 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f006_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf index 64ef876..2849c59 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f240 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f240_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf index 64ef876..62bdccc 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f000 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f000_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf index 64ef876..6d576f5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.bufr_sounding.jgfs_postsnd +#BSUB -o %ECF_OUT%/gfs.post_processing.bufr_sounding.jgfs_postsnd_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf index 64ef876..91f1484 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.bulletins.jgfs_cyclone_tracker +#BSUB -o %ECF_OUT%/gfs.post_processing.bulletins.jgfs_cyclone_tracker_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_fbwind.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_fbwind.ecf index 64ef876..648bcb8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_fbwind.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_fbwind.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.bulletins.jgfs_fbwind +#BSUB -o %ECF_OUT%/gfs.post_processing.bulletins.jgfs_fbwind_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/dummy.ecf index be0d470..e6b6a32 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/dummy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/dummy.ecf @@ -3,9 +3,40 @@ #BSUB -P %PROJECT% #BSUB -J gfs.post_processing.dummy #BSUB -o %ECF_OUT%/gfs.post_processing.dummy_t%CYC%z.log + #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 %include -echo ${JOBgfs}/DUMMY +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/JGLOBAL_FORECAST + %include +%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_anl.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_anl.ecf index 64ef876..cc1d460 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_anl.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.fax.jgfs_fax_anl +#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_anl_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_f00.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_f00.ecf index 64ef876..e43d8b8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_f00.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.fax.jgfs_fax_f00 +#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_f00_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf index 64ef876..9ebf54a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f12 +#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f12_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf index 64ef876..f692b2d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f24 +#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f24_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf index 64ef876..7b10530 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f36 +#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f36_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf index 64ef876..00d156c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib2_wafs.jgfs_wafs_blending +#BSUB -o %ECF_OUT%/gfs.post_processing.grib2_wafs.jgfs_wafs_blending_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf index 64ef876..ed01829 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib2_wafs.jgfs_wafs_grib2 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib2_wafs.jgfs_wafs_grib2_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf index 64ef876..a699abc 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f00 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f00_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf index 64ef876..2b388ee 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f06 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f06_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf index 64ef876..f218bed 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f240 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f240_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf index 64ef876..72ca961 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f00 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f00_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf index 64ef876..1415813 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f06 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f06_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf index 64ef876..780906b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f102 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f102_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf index 64ef876..2b671ee 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f108 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f108_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf index 64ef876..1a5fcf1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f114 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f114_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf index 64ef876..f1a5c6b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f12 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f12_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf index 64ef876..33cedb1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f120 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f120_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf index 64ef876..b7f3bfc 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f18 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f18_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf index 64ef876..85b25b4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f24 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f24_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf index 64ef876..8202552 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f30 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f30_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf index 64ef876..3461a3d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f36 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f36_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf index 64ef876..6d80056 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f42 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f42_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf index 64ef876..7e35a4f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f48 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f48_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf index 64ef876..0272a48 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f54 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f54_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf index 64ef876..03e2a12 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f60 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f60_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf index 64ef876..02b4f9e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f66 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f66_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf index 64ef876..9c4d760 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f72 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f72_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf index 64ef876..83a5818 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f78 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f78_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf index 64ef876..98ce48d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f84 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f84_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf index 64ef876..c77c75d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f90 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f90_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf index 64ef876..46064b5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f96 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f96_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_anl.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_anl.ecf index 64ef876..0217286 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_anl.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_anl +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_anl_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f00.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f00.ecf index 64ef876..f274e8f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f00.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f00 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f00_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f01.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f01.ecf index 64ef876..89e5612 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f01.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f01.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f01 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f01_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f02.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f02.ecf index 64ef876..cee01fd 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f02.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f02.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f02 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f02_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f03.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f03.ecf index 64ef876..8497273 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f03.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f03.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f03 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f03_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f04.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f04.ecf index 64ef876..27e3185 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f04.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f04.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f04 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f04_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f05.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f05.ecf index 64ef876..0b787af 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f05.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f05.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f05 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f05_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f06.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f06.ecf index 64ef876..d9deb0b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f06.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f06 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f06_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f07.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f07.ecf index 64ef876..2d4b772 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f07.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f07.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f07 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f07_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f08.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f08.ecf index 64ef876..38552b0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f08.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f08.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f08 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f08_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f09.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f09.ecf index 64ef876..5533bc3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f09.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f09.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f09 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f09_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f10.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f10.ecf index 64ef876..2828893 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f10.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f10 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f10_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f100.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f100.ecf index 64ef876..750dec4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f100.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f100 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f100_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f101.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f101.ecf index 64ef876..f4601b9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f101.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f101 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f101_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f102.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f102.ecf index 64ef876..984f7e4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f102.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f102 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f102_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f103.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f103.ecf index 64ef876..840d44f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f103.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f103 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f103_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f104.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f104.ecf index 64ef876..b9d199f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f104.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f104 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f104_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f105.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f105.ecf index 64ef876..334b916 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f105.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f105 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f105_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f106.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f106.ecf index 64ef876..c6d8d13 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f106.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f106 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f106_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f107.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f107.ecf index 64ef876..63b4514 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f107.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f107 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f107_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f108.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f108.ecf index 64ef876..87dffb6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f108.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f108 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f108_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f109.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f109.ecf index 64ef876..5927af3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f109.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f109 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f109_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f11.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f11.ecf index 64ef876..09ba320 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f11.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f11 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f11_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f110.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f110.ecf index 64ef876..861c032 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f110.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f110 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f110_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f111.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f111.ecf index 64ef876..0a80654 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f111.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f111 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f111_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f112.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f112.ecf index 64ef876..4be6407 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f112.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f112 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f112_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f113.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f113.ecf index 64ef876..5a54cfb 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f113.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f113 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f113_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f114.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f114.ecf index 64ef876..cab1a4d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f114.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f114 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f114_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f115.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f115.ecf index 64ef876..da62181 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f115.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f115 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f115_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f116.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f116.ecf index 64ef876..419fee8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f116.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f116 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f116_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f117.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f117.ecf index 64ef876..2134fe8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f117.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f117 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f117_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f118.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f118.ecf index 64ef876..cfadbf0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f118.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f118 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f118_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f119.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f119.ecf index 64ef876..9b178fa 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f119.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f119 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f119_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f12.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f12.ecf index 64ef876..34b833c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f12.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f12 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f12_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f120.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f120.ecf index 64ef876..d2192cc 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f120.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f120 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f120_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f123.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f123.ecf index 64ef876..e6c218e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f123.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f123 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f123_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f126.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f126.ecf index 64ef876..322453c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f126.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f126 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f126_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f129.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f129.ecf index 64ef876..eba257b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f129.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f129 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f129_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f13.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f13.ecf index 64ef876..087d7d9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f13.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f13 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f13_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f132.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f132.ecf index 64ef876..8c97ab8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f132.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f132 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f132_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f135.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f135.ecf index 64ef876..f87518e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f135.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f135 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f135_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f138.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f138.ecf index 64ef876..9b37feb 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f138.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f138 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f138_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f14.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f14.ecf index 64ef876..543f8d1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f14.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f14 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f14_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f141.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f141.ecf index 64ef876..bf9d057 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f141.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f141 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f141_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f144.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f144.ecf index 64ef876..a3a3330 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f144.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f144 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f144_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f147.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f147.ecf index 64ef876..175930a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f147.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f147 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f147_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f15.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f15.ecf index 64ef876..827259c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f15.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f15 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f15_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f150.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f150.ecf index 64ef876..ab2d64b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f150.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f150 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f150_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f153.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f153.ecf index 64ef876..e96a680 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f153.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f153 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f153_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f156.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f156.ecf index 64ef876..0e7014a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f156.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f156 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f156_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f159.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f159.ecf index 64ef876..04fe9e9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f159.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f159 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f159_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f16.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f16.ecf index 64ef876..f5bbd5b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f16.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f16 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f16_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f162.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f162.ecf index 64ef876..1df889f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f162.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f162 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f162_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f165.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f165.ecf index 64ef876..6af5608 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f165.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f165 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f165_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f168.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f168.ecf index 64ef876..dc4195b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f168.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f168 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f168_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f17.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f17.ecf index 64ef876..86bdd4a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f17.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f17.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f17 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f17_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f171.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f171.ecf index 64ef876..0cd577d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f171.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f171 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f171_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f174.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f174.ecf index 64ef876..3e0dd2d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f174.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f174 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f174_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f177.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f177.ecf index 64ef876..4ef1fe3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f177.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f177 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f177_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f18.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f18.ecf index 64ef876..059d265 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f18.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f18 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f18_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f180.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f180.ecf index 64ef876..78da428 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f180.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f180 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f180_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f183.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f183.ecf index 64ef876..485f07a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f183.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f183 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f183_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f186.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f186.ecf index 64ef876..8fb2e59 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f186.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f186 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f186_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f189.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f189.ecf index 64ef876..b2f7eed 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f189.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f189 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f189_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f19.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f19.ecf index 64ef876..159db26 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f19.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f19.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f19 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f19_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f192.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f192.ecf index 64ef876..f8a044b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f192.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f192 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f192_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f195.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f195.ecf index 64ef876..5de8f08 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f195.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f195 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f195_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f198.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f198.ecf index 64ef876..bce8025 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f198.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f198 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f198_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f20.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f20.ecf index 64ef876..df0ace6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f20.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f20.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f20 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f20_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f201.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f201.ecf index 64ef876..431e818 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f201.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f201 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f201_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f204.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f204.ecf index 64ef876..116f24c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f204.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f204 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f204_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f207.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f207.ecf index 64ef876..dd3c798 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f207.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f207 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f207_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f21.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f21.ecf index 64ef876..715e786 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f21.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f21.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f21 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f21_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f210.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f210.ecf index 64ef876..5ef8135 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f210.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f210 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f210_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f213.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f213.ecf index 64ef876..c7cb988 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f213.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f213 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f213_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f216.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f216.ecf index 64ef876..fb093a7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f216.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f216 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f216_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f219.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f219.ecf index 64ef876..2556a1f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f219.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f219 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f219_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f22.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f22.ecf index 64ef876..dbf26c3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f22.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f22.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f22 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f22_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f222.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f222.ecf index 64ef876..342b2db 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f222.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f222 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f222_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f225.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f225.ecf index 64ef876..4bdec7d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f225.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f225 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f225_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f228.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f228.ecf index 64ef876..fbad4ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f228.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f228 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f228_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f23.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f23.ecf index 64ef876..c6e084b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f23.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f23.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f23 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f23_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f231.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f231.ecf index 64ef876..91b6f03 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f231.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f231 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f231_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f234.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f234.ecf index 64ef876..5d2d154 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f234.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f234 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f234_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f237.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f237.ecf index 64ef876..c7d1771 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f237.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f237 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f237_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f24.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f24.ecf index 64ef876..8413b03 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f24.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f24 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f24_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f240.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f240.ecf index 64ef876..7002a9c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f240.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f240 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f240_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f25.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f25.ecf index 64ef876..98be9e8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f25.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f25.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f25 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f25_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f252.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f252.ecf index 64ef876..453404b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f252.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f252 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f252_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f26.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f26.ecf index 64ef876..9054699 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f26.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f26.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f26 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f26_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f264.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f264.ecf index 64ef876..43b1464 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f264.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f264 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f264_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f27.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f27.ecf index 64ef876..60d8758 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f27.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f27.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f27 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f27_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f276.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f276.ecf index 64ef876..5abe1ba 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f276.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f276 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f276_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f28.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f28.ecf index 64ef876..87da152 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f28.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f28.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f28 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f28_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f288.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f288.ecf index 64ef876..da23ce9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f288.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f288 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f288_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f29.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f29.ecf index 64ef876..f9d8bf3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f29.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f29.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f29 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f29_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f30.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f30.ecf index 64ef876..7c13679 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f30.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f30 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f30_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f300.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f300.ecf index 64ef876..30aead1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f300.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f300 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f300_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f31.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f31.ecf index 64ef876..9a1e5e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f31.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f31.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f31 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f31_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f312.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f312.ecf index 64ef876..70200c1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f312.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f312 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f312_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f32.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f32.ecf index 64ef876..41077a0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f32.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f32.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f32 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f32_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f324.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f324.ecf index 64ef876..dccc89f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f324.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f324 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f324_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f33.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f33.ecf index 64ef876..0cdc68a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f33.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f33.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f33 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f33_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f336.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f336.ecf index 64ef876..4b67adf 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f336.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f336 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f336_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f34.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f34.ecf index 64ef876..3a234e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f34.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f34.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f34 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f34_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f348.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f348.ecf index 64ef876..67f6540 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f348.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f348 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f348_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f35.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f35.ecf index 64ef876..2de4def 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f35.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f35.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f35 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f35_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f36.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f36.ecf index 64ef876..7e62a6a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f36.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f36 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f36_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f360.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f360.ecf index 64ef876..701bbae 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f360.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f360 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f360_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f37.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f37.ecf index 64ef876..ef0423c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f37.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f37.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f37 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f37_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f372.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f372.ecf index 64ef876..ac7cabb 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f372.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f372 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f372_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f38.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f38.ecf index 64ef876..6376158 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f38.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f38.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f38 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f38_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f384.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f384.ecf index 64ef876..d476e7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f384.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f384 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f384_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f39.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f39.ecf index 64ef876..1781875 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f39.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f39.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f39 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f39_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f40.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f40.ecf index 64ef876..02a0458 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f40.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f40.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f40 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f40_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f41.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f41.ecf index 64ef876..a64d3d9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f41.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f41.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f41 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f41_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f42.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f42.ecf index 64ef876..612fac8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f42.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f42 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f42_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f43.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f43.ecf index 64ef876..9a2dc64 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f43.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f43.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f43 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f43_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f44.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f44.ecf index 64ef876..6bda6c1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f44.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f44.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f44 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f44_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f45.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f45.ecf index 64ef876..966429e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f45.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f45.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f45 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f45_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f46.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f46.ecf index 64ef876..7b0e0ee 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f46.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f46.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f46 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f46_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f47.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f47.ecf index 64ef876..69c5f1c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f47.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f47.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f47 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f47_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f48.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f48.ecf index 64ef876..4cad6f8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f48.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f48 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f48_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f49.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f49.ecf index 64ef876..4eb2156 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f49.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f49.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f49 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f49_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f50.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f50.ecf index 64ef876..8bd6b8e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f50.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f50.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f50 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f50_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f51.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f51.ecf index 64ef876..579dace 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f51.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f51.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f51 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f51_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f52.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f52.ecf index 64ef876..3964a08 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f52.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f52.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f52 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f52_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f53.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f53.ecf index 64ef876..c7a1fd9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f53.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f53.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f53 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f53_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f54.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f54.ecf index 64ef876..fb4118e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f54.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f54 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f54_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f55.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f55.ecf index 64ef876..287120e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f55.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f55.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f55 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f55_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f56.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f56.ecf index 64ef876..7548d29 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f56.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f56.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f56 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f56_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f57.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f57.ecf index 64ef876..7d1f63b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f57.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f57.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f57 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f57_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f58.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f58.ecf index 64ef876..57ea54c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f58.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f58.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f58 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f58_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f59.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f59.ecf index 64ef876..eeca85d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f59.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f59.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f59 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f59_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f60.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f60.ecf index 64ef876..6d96107 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f60.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f60 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f60_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f61.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f61.ecf index 64ef876..ad3936d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f61.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f61.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f61 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f61_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f62.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f62.ecf index 64ef876..7a13e45 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f62.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f62.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f62 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f62_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f63.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f63.ecf index 64ef876..7a9e449 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f63.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f63.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f63 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f63_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f64.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f64.ecf index 64ef876..ebaa4cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f64.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f64.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f64 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f64_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f65.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f65.ecf index 64ef876..0be9a42 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f65.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f65.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f65 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f65_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f66.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f66.ecf index 64ef876..c968b44 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f66.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f66 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f66_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f67.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f67.ecf index 64ef876..b323a0f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f67.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f67.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f67 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f67_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f68.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f68.ecf index 64ef876..ecc2d32 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f68.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f68.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f68 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f68_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f69.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f69.ecf index 64ef876..b72fe63 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f69.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f69.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f69 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f69_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f70.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f70.ecf index 64ef876..c7eb55c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f70.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f70.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f70 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f70_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f71.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f71.ecf index 64ef876..a8b386f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f71.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f71.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f71 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f71_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f72.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f72.ecf index 64ef876..a9d253f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f72.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f72 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f72_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f73.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f73.ecf index 64ef876..e9222c1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f73.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f73.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f73 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f73_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f74.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f74.ecf index 64ef876..5b22439 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f74.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f74.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f74 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f74_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f75.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f75.ecf index 64ef876..0acb3a9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f75.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f75.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f75 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f75_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f76.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f76.ecf index 64ef876..91ec20d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f76.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f76.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f76 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f76_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f77.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f77.ecf index 64ef876..0b1f2e3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f77.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f77.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f77 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f77_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f78.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f78.ecf index 64ef876..a4233b9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f78.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f78 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f78_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f79.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f79.ecf index 64ef876..8b349f3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f79.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f79.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f79 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f79_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f80.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f80.ecf index 64ef876..da35659 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f80.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f80.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f80 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f80_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f81.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f81.ecf index 64ef876..51d59a0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f81.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f81.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f81 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f81_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f82.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f82.ecf index 64ef876..e66b58d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f82.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f82.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f82 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f82_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f83.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f83.ecf index 64ef876..bf0037d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f83.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f83.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f83 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f83_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f84.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f84.ecf index 64ef876..5a9b7a9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f84.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f84 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f84_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f85.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f85.ecf index 64ef876..7eea41f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f85.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f85.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f85 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f85_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f86.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f86.ecf index 64ef876..144eb09 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f86.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f86.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f86 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f86_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f87.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f87.ecf index 64ef876..8ad6749 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f87.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f87.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f87 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f87_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f88.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f88.ecf index 64ef876..695cc2b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f88.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f88.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f88 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f88_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f89.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f89.ecf index 64ef876..48b69dd 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f89.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f89.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f89 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f89_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f90.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f90.ecf index 64ef876..996594c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f90.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f90 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f90_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f91.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f91.ecf index 64ef876..b45e4ad 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f91.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f91.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f91 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f91_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f92.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f92.ecf index 64ef876..1535dc5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f92.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f92.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f92 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f92_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f93.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f93.ecf index 64ef876..faf0e22 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f93.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f93.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f93 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f93_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f94.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f94.ecf index 64ef876..1fb99ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f94.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f94.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f94 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f94_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f95.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f95.ecf index 64ef876..e45f015 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f95.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f95.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f95 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f95_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f96.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f96.ecf index 64ef876..2c1e006 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f96.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f96 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f96_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f97.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f97.ecf index 64ef876..76273aa 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f97.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f97.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f97 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f97_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f98.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f98.ecf index 64ef876..e84114b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f98.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f98.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f98 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f98_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f99.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f99.ecf index 64ef876..4735a70 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f99.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f99.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_f99 +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f99_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 %include %include set -x -{ecf_resource_more} +export ntasks=72 +export ptile=12 +export threads=1 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_manager.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_manager.ecf index 64ef876..a9a6ea3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_manager.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_manager.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_pgrb2_manager +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_manager_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf index 64ef876..3a53c88 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep.jgfs_emcsfc_sfc_prep +#BSUB -o %ECF_OUT%/gfs.prep.jgfs_emcsfc_sfc_prep_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf index 64ef876..2060458 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf @@ -1,33 +1,43 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep.jgfs_prep +#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=3 %include %include set -x -{ecf_resource_more} +export ntasks=6 +export ptile=2 +export threads=9223372036854775807 -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf index 64ef876..bd21eff 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep.jgfs_prep_post +#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_post_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_even.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_even.ecf index 64ef876..17b33f4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_even.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_even.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.sminit_guam.jgfs_sminit_guam_even +#BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_even_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf index 64ef876..fad116a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf @@ -1,33 +1,42 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.sminit_guam.jgfs_sminit_guam_odd +#BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_odd_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index 2ee002b..8c96fb9 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -70,12 +70,14 @@ suite: !Cycle edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' edit ECF_OUT '{doc.settings.ECF_HOME}/output' edit ECF_LOG '{doc.settings.ECF_HOME}/ecf.log' + edit MODEL_NAME 'gfs' gfs: !Family ecflow_def: | edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' edit PROJ '%PROJENVIR%' + edit MODEL_NAME 'gfs' dump: !Family jgfs_tropcy_qc_reloc: !Task @@ -407,6 +409,7 @@ suite: !Cycle edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' edit PROJ '%PROJENVIR%' + edit MODEL_NAME 'gdas' jgdas_verfrad: !Task <<: *exclusive_task_template From 299c7ee6d136f382bce05320d31e450d119a1c41 Mon Sep 17 00:00:00 2001 From: Terry McGuinness Date: Tue, 13 Feb 2018 17:48:28 +0000 Subject: [PATCH 324/487] smothly integrated CASES via the regressionID, now a CASE can be selected when specifing the regressionID --- tests/regression/compare_folders.py | 6 +- tests/regression/fv3gfs_regression.sh | 102 +++++++++++++------------- 2 files changed, 55 insertions(+), 53 deletions(-) diff --git a/tests/regression/compare_folders.py b/tests/regression/compare_folders.py index 40e3797..9f26bfe 100755 --- a/tests/regression/compare_folders.py +++ b/tests/regression/compare_folders.py @@ -423,11 +423,15 @@ def get_logger(): import yaml import subprocess from subprocess import run + from os import environ logger,logger_hdr = get_logger() args = get_args() - fixed_dir_experment_name = 'fv3gfs_regression_experments' + if 'REGRESSSION_COMROT_BASENAME' in os.environ: + fixed_dir_experment_name = environ.get('REGRESSSION_COMROT_BASENAME') + else: + fixed_dir_experment_name = 'fv3gfs_regression_ROTDIRs' using_file_list = False NCCMP='/gpfs/hps3/emc/nems/noscrub/emc.nemspara/FV3GFS_V0_RELEASE/util/nccmp' diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index 7551be7..c06a401 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -1,15 +1,17 @@ #!/bin/bash +export REGRESSSION_COMROT_BASENAME='fv3gfs_regression_COMROTs' + usage () { - echo -e "\033[1mUSAGE:\033[0m\n $0 [[baseline]] [[compare]] [[--non-interactive]]\n" - echo -e "\tno arguments : creates a baseline with sorc and exp dir in \$PWD named fvgfs_sorc_baseline fv3gfs_exp_basline respectivly" - echo -e "\tone argument (string) : creates a baseline with sorc and exp dir in \$PWD named fvgfs_sorc_\${string} fv3gfs_exp_\${string} respectivly\n\n" + echo -e "\033[1mUSAGE:\033[0m\n\t$0 [[baseline]] [[compare]] [[--non-interactive]]\n" + echo -e "\tno arguments : creates a baseline with sorc and exp dir in \$PWD named fvgfs_sorc_baseline fv3gfs_exp_basline respectivly" + echo -e "\tone argument (str) : creates a baseline with sorc and exp dir in \$PWD named fvgfs_sorc_\${str} fv3gfs_exp_\${str} respectivly\n\n" echo -e "\tone argument (dir) : creates a test run with sorc and exp dir in \$PWD named fvgfs_sorc_test_run fv3gfs_exp_test_run respectivly \n\t\t\t\t and then compares the results against the comrot found in the directory \${dir}" - echo -e "\ttwo arguments (dir) (str) : creates a test_run with sorc and exp dir in \$PWD named fvgfs_sorc_\${string} fv3gfs_exp_\${srting} respectivly \n\t\t\t\t and then compares the results against the comrot found in the directory \${dir} " + echo -e "\ttwo arguments (dir) (str) : creates a test run with sorc and exp dir in \$PWD named fvgfs_sorc_\${str} fv3gfs_exp_\${srting} respectivly \n\t\t\t\t and then compares the results against the comrot found in the directory \${dir} " echo -e "\ttwo arguments (dir) (dir) : does a bitwise compare on the gfs files from the first dir to the second\n" - echo -e "\tthird optional argument is used when acctually running the script so no promps are given, otherwize the script will report on the settings.\n\n" - echo -e "\033[1mEXAMPLE:\033[0m\n" - echo -e "\tnohup ./fv3gfs_regression.sh baseline --non-interactive > & fv3gfs_regression_baseline_run.log &\n" + echo -e "\tthird optional argument is used when acctually running the script so no promps are given, otherwize the script will report on the settings.\n" + echo -e "\033[1mEXAMPLE:\033[0m\n\tnohup ./fv3gfs_regression.sh baseline --non-interactive > & fv3gfs_regression_baseline_run.log &\n" + echo -e "\033[1mNOTE:\033[0m\n\tSupported CASES are BUILD, C192_C192_low, and C192_C192_high. Any of these CASES are run by using them by names as (str)\n" exit } @@ -57,7 +59,6 @@ RUNROCOTO=${RUNROCOTO:-'TRUE'} JOB_LEVEL_CHECK=${JOB_LEVEL_CHECK:-'FALSE'} #RZDM_RESULTS=${RZDM_RESULTS:-'FALSE'} PYTHON_FILE_COMPARE=${PYTHON_FILE_COMPARE:-'TRUE'} -REGRESSSION_COMROT_BASENAME='fv3gfs_regression_experments' #CHECKOUT='FALSE' #CREATE_EXP='FALSE' @@ -125,51 +126,40 @@ if [[ ! -d $1 ]] && [[ ! -f $1 ]]; then fi -# CASES: -# default master -# CASE=0 - +# CASE = C192_C192_low +# # On disk snapshot for flat master low res # ========================================= # ./setup_expt.py --pslot crowmaster192 --configdir /gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/$fv3gfs_ver/parm/config/ --idate 2018010500 --edate 2018010506 --icsdir /gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/ICS --comrot /gpfs/hps2/ptmp/emc.glopara/ROTDIRS_CROW --expdir /gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/ --resdet 192 --resens 192 --nens 20 --gfs_cyc 4 - +# +# CASE = C768_C384_high +# # On disk snapshot for flat master high res +# ========================================= # ./setup_expt.py --pslot crowmaster768 --configdir /gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/$fv3gfs_ver/parm/config/ --idate 2018010500 --edate 2018010506 --icsdir /gpfs/hps3/emc/global/noscrub/emc.glopara/ICS --comrot /gpfs/hps2/ptmp/emc.glopara/ROTDIRS_CROW --expdir /gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209 --resdet 768 --resens 384 --nens 80 --gfs_cyc 4 -#CASE=C192_C192_low -CASE='BUILD' - pslot_basename='fv3gfs' checkout_dir_basename="${pslot_basename}_sorc_${regressionID}" pslot="${pslot_basename}_exp_${regressionID}" -#TODO make sure don't overwrite CASE dirs -if [[ $CASE == "0" ]]; then - log_message "INFO" "Running default case" +# Check to see if user entered a CASE from regressionID +CASE=$regressionID - setup_expt=${CHECKOUT_DIR}/${checkout_dir_basename}/ush/rocoto/setup_expt.py - setup_workflow=${CHECKOUT_DIR}/${checkout_dir_basename}/ush/rocoto/setup_workflow.py - config_dir=${CHECKOUT_DIR}/${checkout_dir_basename}/parm/config - -elif [[ $CASE == "BUILD" ]]; then +if [[ $CASE == "BUILD" ]]; then - pslot_basename="fv3gfs" + log_message "INFO" "Running special $CASE case" regressionID=${CASE} - checkout_dir_basename="${pslot_basename}_sorc_${regressionID}" - pslot="${pslot_basename}_exp_${regressionID}" - log_message "INFO" "Running $CASE case" setup_expt=${CHECKOUT_DIR}/${checkout_dir_basename}/ush/rocoto/setup_expt.py setup_workflow=${CHECKOUT_DIR}/${checkout_dir_basename}/ush/rocoto/setup_workflow.py config_dir=${CHECKOUT_DIR}/${checkout_dir_basename}/parm/config fv3gfs_git_branch='BUILD' EXTRA_SETUP_STRING="--resdet 192 --resens 192 --nens 20 --gfs_cyc 4" - echo "ARRG: $pslot $config_dir" elif [[ $CASE == "C192_C192_low" ]]; then - regressionID=$CASE - log_message "INFO" "Running case: $CASE ID for this run is now $regressionID" - #config_dir=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.$fv3gfs_ver/parm/config + + log_message "INFO" "Running special case: $CASE for this regression test" + config_dir=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.$fv3gfs_ver/parm/config setup_expt=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.$fv3gfs_ver/ush/rocoto/setup_expt.py setup_workflow=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.$fv3gfs_ver/ush/rocoto/setup_workflow.py EXTRA_SETUP_STRING="--resdet 192 --resens 192 --nens 20 --gfs_cyc 4" @@ -180,10 +170,11 @@ elif [[ $CASE == "C192_C192_low" ]]; then BUILD='FALSE' log_message "INFO" "Because we are running with CASE $CASE the script is using snapshot on disk so CHECKOUT is set to FALSE" log_message "INFO" "Because we are running with CASE $CASE the script is using snapshot on disk so BUILD is set to FALSE" + elif [[ $CASE == "C768_C384_high" ]]; then - regressionID=$CASE - log_message "INFO" "Running case: $CASE ID for this run is now $regressionID" - #config_dir=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.$fv3gfs_ver/parm/config + + log_message "INFO" "Running special case: $CASE for this regression test" + config_dir=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.$fv3gfs_ver/parm/config setup_expt=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.$fv3gfs_ver/ush/rocoto/setup_expt.py setup_workflow=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.$fv3gfs_ver/ush/rocoto/setup_workflow.py EXTRA_SETUP_STRING="--resdet 768 --resens 384 --nens 80 --gfs_cyc 4" @@ -194,11 +185,16 @@ elif [[ $CASE == "C768_C384_high" ]]; then BUILD='FALSE' log_message "INFO" "Because we are running with CASE $CASE the script is using snapshot on disk so CHECKOUT is set to FALSE" log_message "INFO" "Because we are running with CASE $CASE the script is using snapshot on disk so BUILD is set to FALSE" -fi -pslot_basename='fv3gfs' -checkout_dir_basename="${pslot_basename}_sorc_${regressionID}" -pslot="${pslot_basename}_exp_${regressionID}" +else: + + CASE='master' + log_message "INFO" "Running default case with regressionID: $regressionID" + setup_expt=${CHECKOUT_DIR}/${checkout_dir_basename}/ush/rocoto/setup_expt.py + setup_workflow=${CHECKOUT_DIR}/${checkout_dir_basename}/ush/rocoto/setup_workflow.py + config_dir=${CHECKOUT_DIR}/${checkout_dir_basename}/parm/config + +fi username=`echo ${USER} | tr '[:upper:]' '[:lower:]'` @@ -410,18 +406,18 @@ if [[ $CREATE_EXP == 'TRUE' ]]; then if [[ -d $exp_dir_fullpath ]]; then if [[ $CASE == "C192_C192_low" || $CASE == "BUILD" ]]; then - log_message "WARNING" "updated config.base and changed FHMAX_GFS=240" + log_message "WARNING" "updated config.base and changed FHMAX_GFS=240" sed -i 's/^export FHMAX_GFS=.*/export FHMAX_GFS=\"240\" \# WARNING changed to 240 by regression script/' $exp_dir_fullpath/config.base + log_message "WARNING" "updated config.vrfy and changed VRFYTRAK=NO" sed -i 's/^export VRFYTRAK=.*/export VRFYTRAK=\"NO\" \# WARNING changed to 240 by regression script/' $exp_dir_fullpath/config.vrfy + log_message "WARNING" "updated config.vrfy and changed VRFYGENESIS=NO" sed -i 's/^export VRFYGENESIS=.*/export VRFYGENESIS=\"NO\" \# WARNING changed to 240 by regression script/' $exp_dir_fullpath/config.vrfy fi else - log_message "CRITICAL" "The experment directory was not created corectly" + log_message "CRITICAL" "The experment directory was not created correctly" fi - #sed -i 's/^export VRFYGENESIS=.*/export VRFYGENESIS=\"NO\" \# WARNING changed by regression script/' $exp_dir_fullpath/config.vrfy - #log_message "WARNING" "modified config.vrfy with VRFYGENESIS=NO because geneses tracker is currently failing" #sed -i 's/^export VRFYG2OBS=.*/export VRFYG2OBS=\"NO\" \# WARNING changed by regression script/' $exp_dir_fullpath/config.vrfy #log_message "WARNING" "modified config.vrfy with VRFYG2OBS=NO because it do not make sense for it to be on for only one cycle" fi @@ -715,17 +711,19 @@ if [[ $COMPARE_BASE == 'TRUE' ]]; then fi DATE=`date` -if [[ $number_diff == 0 ]]; then - log_message "INFO" "regression tests script completed successfully on $DATE with no file differences" -else - if (( $number_diff > 500 )); then - some="many" - elif (( $number_diff < 100 )); then - some="some" +if [[ ! -z $number_diff ]]; then + if [[ $number_diff == 0 ]]; then + log_message "INFO" "regression tests script completed successfully on $DATE with no file differences" else - some="several" + if (( $number_diff > 500 )); then + some="many" + elif (( $number_diff < 100 )); then + some="some" + else + some="several" + fi + log_message "INFO" "regression tests script completed successfully on $DATE with $some file differences" fi - log_message "INFO" "regression tests script completed successfully on $DATE with $some file differences" fi SCRIPT_ENDTIME=$(date +%s) PROCESSTIME=$(($SCRIPT_ENDTIME-$SCRIPT_STARTTIME)) From da222234ee3d7b4cf197ff2f456a9b08d14d1f58 Mon Sep 17 00:00:00 2001 From: Bin Liu Date: Tue, 13 Feb 2018 21:08:34 +0000 Subject: [PATCH 325/487] ecflow: Update suite_def.yaml and other crow bug fixes. (Sam and Bin) --- crow/metascheduler/ecflow.py | 11 +- model/ecflow_fv3gfs/defs/prod00.def | 2847 ++++++++++++++++- model/ecflow_fv3gfs/defs/prod06.def | 2847 ++++++++++++++++- model/ecflow_fv3gfs/defs/prod12.def | 2847 ++++++++++++++++- model/ecflow_fv3gfs/defs/prod18.def | 2847 ++++++++++++++++- model/ecflow_fv3gfs/ecf_file.yaml | 2 +- .../scripts/prod00/cycle_end.ecf | 4 +- .../gdas/analysis/jgdas_analysis_high.ecf | 4 +- .../scripts/prod00/gdas/dump/jgdas_dump.ecf | 4 +- .../scripts/prod00/gdas/dump/jgdas_ics.ecf | 4 +- .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp1.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp10.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp11.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp12.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp13.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp14.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp15.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp16.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp2.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp3.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp4.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp5.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp6.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp7.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp8.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp9.ecf | 2 + .../innovate/jgdas_enkf_innovate_obs_grp1.ecf | 4 +- .../jgdas_enkf_innovate_obs_grp10.ecf | 2 + .../jgdas_enkf_innovate_obs_grp11.ecf | 2 + .../jgdas_enkf_innovate_obs_grp12.ecf | 2 + .../jgdas_enkf_innovate_obs_grp13.ecf | 2 + .../jgdas_enkf_innovate_obs_grp14.ecf | 2 + .../jgdas_enkf_innovate_obs_grp15.ecf | 2 + .../jgdas_enkf_innovate_obs_grp16.ecf | 2 + .../innovate/jgdas_enkf_innovate_obs_grp2.ecf | 4 +- .../innovate/jgdas_enkf_innovate_obs_grp3.ecf | 4 +- .../innovate/jgdas_enkf_innovate_obs_grp4.ecf | 4 +- .../innovate/jgdas_enkf_innovate_obs_grp5.ecf | 4 +- .../innovate/jgdas_enkf_innovate_obs_grp6.ecf | 4 +- .../innovate/jgdas_enkf_innovate_obs_grp7.ecf | 4 +- .../innovate/jgdas_enkf_innovate_obs_grp8.ecf | 4 +- .../innovate/jgdas_enkf_innovate_obs_grp9.ecf | 2 + .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 4 +- .../prod00/gdas/enkf/jgdas_enkf_post.ecf | 4 +- .../gdas/enkf/jgdas_enkf_select_obs.ecf | 4 +- .../prod00/gdas/enkf/jgdas_enkf_update.ecf | 4 +- .../prod00/gdas/forecast/jgdas_forecast.ecf | 4 +- .../prod00/gdas/gempak/jgdas_gempak.ecf | 4 +- .../prod00/gdas/gempak/jgdas_gempak_meta.ecf | 4 +- .../prod00/gdas/gempak/jgdas_gempak_ncdc.ecf | 4 +- .../scripts/prod00/gdas/jgdas_verfrad.ecf | 4 +- .../scripts/prod00/gdas/jgdas_vminmon.ecf | 4 +- .../scripts/prod00/gdas/post/jgdas_post.ecf | 4 +- .../bulletins/jgdas_mknavybulls.ecf | 4 +- .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 4 +- .../scripts/prod00/gdas/prep/jgdas_prep.ecf | 4 +- .../prod00/gdas/prep/jgdas_prep_post.ecf | 4 +- .../scripts/prod00/gfs/dump/jgfs_dump.ecf | 4 +- .../prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 4 +- .../gfs/forecast/jgfs_forecast_high.ecf | 4 +- .../prod00/gfs/forecast/jgfs_forecast_low.ecf | 4 +- .../scripts/prod00/gfs/gempak/jgfs_gempak.ecf | 4 +- .../prod00/gfs/gempak/jgfs_gempak_meta.ecf | 4 +- .../prod00/gfs/gempak/jgfs_gempak_ncdc.ecf | 4 +- .../prod00/gfs/gempak/jgfs_gempak_upapgif.ecf | 4 +- .../scripts/prod00/gfs/jgfs_analysis.ecf | 4 +- .../scripts/prod00/gfs/jgfs_vminmon.ecf | 4 +- .../prod00/gfs/post/jgfs_pgrb2_spec_post.ecf | 23 +- .../scripts/prod00/gfs/post/jgfs_post_anl.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f00.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f01.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f02.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f03.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f04.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f05.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f06.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f07.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f08.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f09.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f10.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f100.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f101.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f102.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f103.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f104.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f105.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f106.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f107.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f108.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f109.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f11.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f110.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f111.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f112.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f113.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f114.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f115.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f116.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f117.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f118.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f119.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f12.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f120.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f123.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f126.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f129.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f13.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f132.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f135.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f138.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f14.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f141.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f144.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f147.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f15.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f150.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f153.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f156.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f159.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f16.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f162.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f165.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f168.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f17.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f171.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f174.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f177.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f18.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f180.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f183.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f186.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f189.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f19.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f192.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f195.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f198.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f20.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f201.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f204.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f207.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f21.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f210.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f213.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f216.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f219.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f22.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f222.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f225.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f228.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f23.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f231.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f234.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f237.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f24.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f240.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f25.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f252.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f26.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f264.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f27.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f276.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f28.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f288.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f29.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f30.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f300.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f31.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f312.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f32.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f324.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f33.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f336.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f34.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f348.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f35.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f36.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f360.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f37.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f372.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f38.ecf | 4 +- .../prod00/gfs/post/jgfs_post_f384.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f39.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f40.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f41.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f42.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f43.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f44.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f45.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f46.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f47.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f48.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f49.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f50.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f51.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f52.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f53.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f54.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f55.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f56.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f57.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f58.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f59.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f60.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f61.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f62.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f63.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f64.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f65.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f66.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f67.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f68.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f69.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f70.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f71.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f72.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f73.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f74.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f75.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f76.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f77.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f78.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f79.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f80.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f81.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f82.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f83.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f84.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f85.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f86.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f87.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f88.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f89.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f90.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f91.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f92.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f93.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f94.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f95.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f96.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f97.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f98.ecf | 4 +- .../scripts/prod00/gfs/post/jgfs_post_f99.ecf | 4 +- .../prod00/gfs/post/jgfs_post_manager.ecf | 4 +- .../awips_1p0deg/jgfs_awips_f000.ecf | 4 +- .../awips_1p0deg/jgfs_awips_f001.ecf | 44 + .../awips_1p0deg/jgfs_awips_f002.ecf | 44 + .../awips_1p0deg/jgfs_awips_f003.ecf | 44 + .../awips_1p0deg/jgfs_awips_f004.ecf | 44 + .../awips_1p0deg/jgfs_awips_f005.ecf | 44 + .../awips_1p0deg/jgfs_awips_f006.ecf | 4 +- .../awips_1p0deg/jgfs_awips_f007.ecf | 44 + .../awips_1p0deg/jgfs_awips_f008.ecf | 44 + .../awips_1p0deg/jgfs_awips_f009.ecf | 44 + .../awips_1p0deg/jgfs_awips_f010.ecf | 44 + .../awips_1p0deg/jgfs_awips_f011.ecf | 44 + .../awips_1p0deg/jgfs_awips_f012.ecf | 44 + .../awips_1p0deg/jgfs_awips_f013.ecf | 44 + .../awips_1p0deg/jgfs_awips_f014.ecf | 44 + .../awips_1p0deg/jgfs_awips_f015.ecf | 44 + .../awips_1p0deg/jgfs_awips_f016.ecf | 44 + .../awips_1p0deg/jgfs_awips_f017.ecf | 44 + .../awips_1p0deg/jgfs_awips_f018.ecf | 44 + .../awips_1p0deg/jgfs_awips_f019.ecf | 44 + .../awips_1p0deg/jgfs_awips_f020.ecf | 44 + .../awips_1p0deg/jgfs_awips_f021.ecf | 44 + .../awips_1p0deg/jgfs_awips_f022.ecf | 44 + .../awips_1p0deg/jgfs_awips_f023.ecf | 44 + .../awips_1p0deg/jgfs_awips_f024.ecf | 44 + .../awips_1p0deg/jgfs_awips_f025.ecf | 44 + .../awips_1p0deg/jgfs_awips_f026.ecf | 44 + .../awips_1p0deg/jgfs_awips_f027.ecf | 44 + .../awips_1p0deg/jgfs_awips_f028.ecf | 44 + .../awips_1p0deg/jgfs_awips_f029.ecf | 44 + .../awips_1p0deg/jgfs_awips_f030.ecf | 44 + .../awips_1p0deg/jgfs_awips_f031.ecf | 44 + .../awips_1p0deg/jgfs_awips_f032.ecf | 44 + .../awips_1p0deg/jgfs_awips_f033.ecf | 44 + .../awips_1p0deg/jgfs_awips_f034.ecf | 44 + .../awips_1p0deg/jgfs_awips_f035.ecf | 44 + .../awips_1p0deg/jgfs_awips_f036.ecf | 44 + .../awips_1p0deg/jgfs_awips_f037.ecf | 44 + .../awips_1p0deg/jgfs_awips_f038.ecf | 44 + .../awips_1p0deg/jgfs_awips_f039.ecf | 44 + .../awips_1p0deg/jgfs_awips_f040.ecf | 44 + .../awips_1p0deg/jgfs_awips_f041.ecf | 44 + .../awips_1p0deg/jgfs_awips_f042.ecf | 44 + .../awips_1p0deg/jgfs_awips_f043.ecf | 44 + .../awips_1p0deg/jgfs_awips_f044.ecf | 44 + .../awips_1p0deg/jgfs_awips_f045.ecf | 44 + .../awips_1p0deg/jgfs_awips_f046.ecf | 44 + .../awips_1p0deg/jgfs_awips_f047.ecf | 44 + .../awips_1p0deg/jgfs_awips_f048.ecf | 44 + .../awips_1p0deg/jgfs_awips_f049.ecf | 44 + .../awips_1p0deg/jgfs_awips_f050.ecf | 44 + .../awips_1p0deg/jgfs_awips_f051.ecf | 44 + .../awips_1p0deg/jgfs_awips_f052.ecf | 44 + .../awips_1p0deg/jgfs_awips_f053.ecf | 44 + .../awips_1p0deg/jgfs_awips_f054.ecf | 44 + .../awips_1p0deg/jgfs_awips_f055.ecf | 44 + .../awips_1p0deg/jgfs_awips_f056.ecf | 44 + .../awips_1p0deg/jgfs_awips_f057.ecf | 44 + .../awips_1p0deg/jgfs_awips_f058.ecf | 44 + .../awips_1p0deg/jgfs_awips_f059.ecf | 44 + .../awips_1p0deg/jgfs_awips_f060.ecf | 44 + .../awips_1p0deg/jgfs_awips_f061.ecf | 44 + .../awips_1p0deg/jgfs_awips_f062.ecf | 44 + .../awips_1p0deg/jgfs_awips_f063.ecf | 44 + .../awips_1p0deg/jgfs_awips_f064.ecf | 44 + .../awips_1p0deg/jgfs_awips_f065.ecf | 44 + .../awips_1p0deg/jgfs_awips_f066.ecf | 44 + .../awips_1p0deg/jgfs_awips_f067.ecf | 44 + .../awips_1p0deg/jgfs_awips_f068.ecf | 44 + .../awips_1p0deg/jgfs_awips_f069.ecf | 44 + .../awips_1p0deg/jgfs_awips_f070.ecf | 44 + .../awips_1p0deg/jgfs_awips_f071.ecf | 44 + .../awips_1p0deg/jgfs_awips_f072.ecf | 44 + .../awips_1p0deg/jgfs_awips_f073.ecf | 44 + .../awips_1p0deg/jgfs_awips_f074.ecf | 44 + .../awips_1p0deg/jgfs_awips_f075.ecf | 44 + .../awips_1p0deg/jgfs_awips_f076.ecf | 44 + .../awips_1p0deg/jgfs_awips_f077.ecf | 44 + .../awips_1p0deg/jgfs_awips_f078.ecf | 44 + .../awips_1p0deg/jgfs_awips_f079.ecf | 44 + .../awips_1p0deg/jgfs_awips_f080.ecf | 44 + .../awips_1p0deg/jgfs_awips_f081.ecf | 44 + .../awips_1p0deg/jgfs_awips_f082.ecf | 44 + .../awips_1p0deg/jgfs_awips_f083.ecf | 44 + .../awips_1p0deg/jgfs_awips_f084.ecf | 44 + .../awips_1p0deg/jgfs_awips_f085.ecf | 44 + .../awips_1p0deg/jgfs_awips_f086.ecf | 44 + .../awips_1p0deg/jgfs_awips_f087.ecf | 44 + .../awips_1p0deg/jgfs_awips_f088.ecf | 44 + .../awips_1p0deg/jgfs_awips_f089.ecf | 44 + .../awips_1p0deg/jgfs_awips_f090.ecf | 44 + .../awips_1p0deg/jgfs_awips_f091.ecf | 44 + .../awips_1p0deg/jgfs_awips_f092.ecf | 44 + .../awips_1p0deg/jgfs_awips_f093.ecf | 44 + .../awips_1p0deg/jgfs_awips_f094.ecf | 44 + .../awips_1p0deg/jgfs_awips_f095.ecf | 44 + .../awips_1p0deg/jgfs_awips_f096.ecf | 44 + .../awips_1p0deg/jgfs_awips_f097.ecf | 44 + .../awips_1p0deg/jgfs_awips_f098.ecf | 44 + .../awips_1p0deg/jgfs_awips_f099.ecf | 44 + .../awips_1p0deg/jgfs_awips_f100.ecf | 44 + .../awips_1p0deg/jgfs_awips_f101.ecf | 44 + .../awips_1p0deg/jgfs_awips_f102.ecf | 44 + .../awips_1p0deg/jgfs_awips_f103.ecf | 44 + .../awips_1p0deg/jgfs_awips_f104.ecf | 44 + .../awips_1p0deg/jgfs_awips_f105.ecf | 44 + .../awips_1p0deg/jgfs_awips_f106.ecf | 44 + .../awips_1p0deg/jgfs_awips_f107.ecf | 44 + .../awips_1p0deg/jgfs_awips_f108.ecf | 44 + .../awips_1p0deg/jgfs_awips_f109.ecf | 44 + .../awips_1p0deg/jgfs_awips_f110.ecf | 44 + .../awips_1p0deg/jgfs_awips_f111.ecf | 44 + .../awips_1p0deg/jgfs_awips_f112.ecf | 44 + .../awips_1p0deg/jgfs_awips_f113.ecf | 44 + .../awips_1p0deg/jgfs_awips_f114.ecf | 44 + .../awips_1p0deg/jgfs_awips_f115.ecf | 44 + .../awips_1p0deg/jgfs_awips_f116.ecf | 44 + .../awips_1p0deg/jgfs_awips_f117.ecf | 44 + .../awips_1p0deg/jgfs_awips_f118.ecf | 44 + .../awips_1p0deg/jgfs_awips_f119.ecf | 44 + .../awips_1p0deg/jgfs_awips_f120.ecf | 44 + .../awips_1p0deg/jgfs_awips_f123.ecf | 44 + .../awips_1p0deg/jgfs_awips_f126.ecf | 44 + .../awips_1p0deg/jgfs_awips_f129.ecf | 44 + .../awips_1p0deg/jgfs_awips_f132.ecf | 44 + .../awips_1p0deg/jgfs_awips_f135.ecf | 44 + .../awips_1p0deg/jgfs_awips_f138.ecf | 44 + .../awips_1p0deg/jgfs_awips_f141.ecf | 44 + .../awips_1p0deg/jgfs_awips_f144.ecf | 44 + .../awips_1p0deg/jgfs_awips_f147.ecf | 44 + .../awips_1p0deg/jgfs_awips_f150.ecf | 44 + .../awips_1p0deg/jgfs_awips_f153.ecf | 44 + .../awips_1p0deg/jgfs_awips_f156.ecf | 44 + .../awips_1p0deg/jgfs_awips_f159.ecf | 44 + .../awips_1p0deg/jgfs_awips_f162.ecf | 44 + .../awips_1p0deg/jgfs_awips_f165.ecf | 44 + .../awips_1p0deg/jgfs_awips_f168.ecf | 44 + .../awips_1p0deg/jgfs_awips_f171.ecf | 44 + .../awips_1p0deg/jgfs_awips_f174.ecf | 44 + .../awips_1p0deg/jgfs_awips_f177.ecf | 44 + .../awips_1p0deg/jgfs_awips_f180.ecf | 44 + .../awips_1p0deg/jgfs_awips_f183.ecf | 44 + .../awips_1p0deg/jgfs_awips_f186.ecf | 44 + .../awips_1p0deg/jgfs_awips_f189.ecf | 44 + .../awips_1p0deg/jgfs_awips_f192.ecf | 44 + .../awips_1p0deg/jgfs_awips_f195.ecf | 44 + .../awips_1p0deg/jgfs_awips_f198.ecf | 44 + .../awips_1p0deg/jgfs_awips_f201.ecf | 44 + .../awips_1p0deg/jgfs_awips_f204.ecf | 44 + .../awips_1p0deg/jgfs_awips_f207.ecf | 44 + .../awips_1p0deg/jgfs_awips_f210.ecf | 44 + .../awips_1p0deg/jgfs_awips_f213.ecf | 44 + .../awips_1p0deg/jgfs_awips_f216.ecf | 44 + .../awips_1p0deg/jgfs_awips_f219.ecf | 44 + .../awips_1p0deg/jgfs_awips_f222.ecf | 44 + .../awips_1p0deg/jgfs_awips_f225.ecf | 44 + .../awips_1p0deg/jgfs_awips_f228.ecf | 44 + .../awips_1p0deg/jgfs_awips_f231.ecf | 44 + .../awips_1p0deg/jgfs_awips_f234.ecf | 44 + .../awips_1p0deg/jgfs_awips_f237.ecf | 44 + .../awips_1p0deg/jgfs_awips_f240.ecf | 4 +- .../awips_1p0deg/jgfs_awips_f252.ecf | 44 + .../awips_1p0deg/jgfs_awips_f264.ecf | 44 + .../awips_1p0deg/jgfs_awips_f276.ecf | 44 + .../awips_1p0deg/jgfs_awips_f288.ecf | 44 + .../awips_1p0deg/jgfs_awips_f300.ecf | 44 + .../awips_1p0deg/jgfs_awips_f312.ecf | 44 + .../awips_1p0deg/jgfs_awips_f324.ecf | 44 + .../awips_1p0deg/jgfs_awips_f336.ecf | 44 + .../awips_1p0deg/jgfs_awips_f348.ecf | 44 + .../awips_1p0deg/jgfs_awips_f360.ecf | 44 + .../awips_1p0deg/jgfs_awips_f372.ecf | 44 + .../awips_1p0deg/jgfs_awips_f384.ecf | 44 + .../awips_20km/jgfs_awips_f000.ecf | 4 +- .../awips_20km/jgfs_awips_f001.ecf | 44 + .../awips_20km/jgfs_awips_f002.ecf | 44 + .../awips_20km/jgfs_awips_f003.ecf | 23 +- .../awips_20km/jgfs_awips_f004.ecf | 44 + .../awips_20km/jgfs_awips_f005.ecf | 44 + .../awips_20km/jgfs_awips_f006.ecf | 44 + .../awips_20km/jgfs_awips_f007.ecf | 44 + .../awips_20km/jgfs_awips_f008.ecf | 44 + .../awips_20km/jgfs_awips_f009.ecf | 44 + .../awips_20km/jgfs_awips_f010.ecf | 44 + .../awips_20km/jgfs_awips_f011.ecf | 44 + .../awips_20km/jgfs_awips_f012.ecf | 44 + .../awips_20km/jgfs_awips_f013.ecf | 44 + .../awips_20km/jgfs_awips_f014.ecf | 44 + .../awips_20km/jgfs_awips_f015.ecf | 44 + .../awips_20km/jgfs_awips_f016.ecf | 44 + .../awips_20km/jgfs_awips_f017.ecf | 44 + .../awips_20km/jgfs_awips_f018.ecf | 44 + .../awips_20km/jgfs_awips_f019.ecf | 44 + .../awips_20km/jgfs_awips_f020.ecf | 44 + .../awips_20km/jgfs_awips_f021.ecf | 44 + .../awips_20km/jgfs_awips_f022.ecf | 44 + .../awips_20km/jgfs_awips_f023.ecf | 44 + .../awips_20km/jgfs_awips_f024.ecf | 44 + .../awips_20km/jgfs_awips_f025.ecf | 44 + .../awips_20km/jgfs_awips_f026.ecf | 44 + .../awips_20km/jgfs_awips_f027.ecf | 44 + .../awips_20km/jgfs_awips_f028.ecf | 44 + .../awips_20km/jgfs_awips_f029.ecf | 44 + .../awips_20km/jgfs_awips_f030.ecf | 44 + .../awips_20km/jgfs_awips_f031.ecf | 44 + .../awips_20km/jgfs_awips_f032.ecf | 44 + .../awips_20km/jgfs_awips_f033.ecf | 44 + .../awips_20km/jgfs_awips_f034.ecf | 44 + .../awips_20km/jgfs_awips_f035.ecf | 44 + .../awips_20km/jgfs_awips_f036.ecf | 44 + .../awips_20km/jgfs_awips_f037.ecf | 44 + .../awips_20km/jgfs_awips_f038.ecf | 44 + .../awips_20km/jgfs_awips_f039.ecf | 44 + .../awips_20km/jgfs_awips_f040.ecf | 44 + .../awips_20km/jgfs_awips_f041.ecf | 44 + .../awips_20km/jgfs_awips_f042.ecf | 44 + .../awips_20km/jgfs_awips_f043.ecf | 44 + .../awips_20km/jgfs_awips_f044.ecf | 44 + .../awips_20km/jgfs_awips_f045.ecf | 44 + .../awips_20km/jgfs_awips_f046.ecf | 44 + .../awips_20km/jgfs_awips_f047.ecf | 44 + .../awips_20km/jgfs_awips_f048.ecf | 44 + .../awips_20km/jgfs_awips_f049.ecf | 44 + .../awips_20km/jgfs_awips_f050.ecf | 44 + .../awips_20km/jgfs_awips_f051.ecf | 44 + .../awips_20km/jgfs_awips_f052.ecf | 44 + .../awips_20km/jgfs_awips_f053.ecf | 44 + .../awips_20km/jgfs_awips_f054.ecf | 44 + .../awips_20km/jgfs_awips_f055.ecf | 44 + .../awips_20km/jgfs_awips_f056.ecf | 44 + .../awips_20km/jgfs_awips_f057.ecf | 44 + .../awips_20km/jgfs_awips_f058.ecf | 44 + .../awips_20km/jgfs_awips_f059.ecf | 44 + .../awips_20km/jgfs_awips_f060.ecf | 44 + .../awips_20km/jgfs_awips_f061.ecf | 44 + .../awips_20km/jgfs_awips_f062.ecf | 44 + .../awips_20km/jgfs_awips_f063.ecf | 44 + .../awips_20km/jgfs_awips_f064.ecf | 44 + .../awips_20km/jgfs_awips_f065.ecf | 44 + .../awips_20km/jgfs_awips_f066.ecf | 44 + .../awips_20km/jgfs_awips_f067.ecf | 44 + .../awips_20km/jgfs_awips_f068.ecf | 44 + .../awips_20km/jgfs_awips_f069.ecf | 44 + .../awips_20km/jgfs_awips_f070.ecf | 44 + .../awips_20km/jgfs_awips_f071.ecf | 44 + .../awips_20km/jgfs_awips_f072.ecf | 44 + .../awips_20km/jgfs_awips_f073.ecf | 44 + .../awips_20km/jgfs_awips_f074.ecf | 44 + .../awips_20km/jgfs_awips_f075.ecf | 44 + .../awips_20km/jgfs_awips_f076.ecf | 44 + .../awips_20km/jgfs_awips_f077.ecf | 44 + .../awips_20km/jgfs_awips_f078.ecf | 44 + .../awips_20km/jgfs_awips_f079.ecf | 44 + .../awips_20km/jgfs_awips_f080.ecf | 44 + .../awips_20km/jgfs_awips_f081.ecf | 44 + .../awips_20km/jgfs_awips_f082.ecf | 44 + .../awips_20km/jgfs_awips_f083.ecf | 44 + .../awips_20km/jgfs_awips_f084.ecf | 44 + .../awips_20km/jgfs_awips_f085.ecf | 44 + .../awips_20km/jgfs_awips_f086.ecf | 44 + .../awips_20km/jgfs_awips_f087.ecf | 44 + .../awips_20km/jgfs_awips_f088.ecf | 44 + .../awips_20km/jgfs_awips_f089.ecf | 44 + .../awips_20km/jgfs_awips_f090.ecf | 44 + .../awips_20km/jgfs_awips_f091.ecf | 44 + .../awips_20km/jgfs_awips_f092.ecf | 44 + .../awips_20km/jgfs_awips_f093.ecf | 44 + .../awips_20km/jgfs_awips_f094.ecf | 44 + .../awips_20km/jgfs_awips_f095.ecf | 44 + .../awips_20km/jgfs_awips_f096.ecf | 44 + .../awips_20km/jgfs_awips_f097.ecf | 44 + .../awips_20km/jgfs_awips_f098.ecf | 44 + .../awips_20km/jgfs_awips_f099.ecf | 44 + .../awips_20km/jgfs_awips_f100.ecf | 44 + .../awips_20km/jgfs_awips_f101.ecf | 44 + .../awips_20km/jgfs_awips_f102.ecf | 44 + .../awips_20km/jgfs_awips_f103.ecf | 44 + .../awips_20km/jgfs_awips_f104.ecf | 44 + .../awips_20km/jgfs_awips_f105.ecf | 44 + .../awips_20km/jgfs_awips_f106.ecf | 44 + .../awips_20km/jgfs_awips_f107.ecf | 44 + .../awips_20km/jgfs_awips_f108.ecf | 44 + .../awips_20km/jgfs_awips_f109.ecf | 44 + .../awips_20km/jgfs_awips_f110.ecf | 44 + .../awips_20km/jgfs_awips_f111.ecf | 44 + .../awips_20km/jgfs_awips_f112.ecf | 44 + .../awips_20km/jgfs_awips_f113.ecf | 44 + .../awips_20km/jgfs_awips_f114.ecf | 44 + .../awips_20km/jgfs_awips_f115.ecf | 44 + .../awips_20km/jgfs_awips_f116.ecf | 44 + .../awips_20km/jgfs_awips_f117.ecf | 44 + .../awips_20km/jgfs_awips_f118.ecf | 44 + .../awips_20km/jgfs_awips_f119.ecf | 44 + .../awips_20km/jgfs_awips_f120.ecf | 44 + .../awips_20km/jgfs_awips_f123.ecf | 44 + .../awips_20km/jgfs_awips_f126.ecf | 44 + .../awips_20km/jgfs_awips_f129.ecf | 44 + .../awips_20km/jgfs_awips_f132.ecf | 44 + .../awips_20km/jgfs_awips_f135.ecf | 44 + .../awips_20km/jgfs_awips_f138.ecf | 44 + .../awips_20km/jgfs_awips_f141.ecf | 44 + .../awips_20km/jgfs_awips_f144.ecf | 44 + .../awips_20km/jgfs_awips_f147.ecf | 44 + .../awips_20km/jgfs_awips_f150.ecf | 44 + .../awips_20km/jgfs_awips_f153.ecf | 44 + .../awips_20km/jgfs_awips_f156.ecf | 44 + .../awips_20km/jgfs_awips_f159.ecf | 44 + .../awips_20km/jgfs_awips_f162.ecf | 44 + .../awips_20km/jgfs_awips_f165.ecf | 44 + .../awips_20km/jgfs_awips_f168.ecf | 44 + .../awips_20km/jgfs_awips_f171.ecf | 44 + .../awips_20km/jgfs_awips_f174.ecf | 44 + .../awips_20km/jgfs_awips_f177.ecf | 44 + .../awips_20km/jgfs_awips_f180.ecf | 44 + .../awips_20km/jgfs_awips_f183.ecf | 44 + .../awips_20km/jgfs_awips_f186.ecf | 44 + .../awips_20km/jgfs_awips_f189.ecf | 44 + .../awips_20km/jgfs_awips_f192.ecf | 44 + .../awips_20km/jgfs_awips_f195.ecf | 44 + .../awips_20km/jgfs_awips_f198.ecf | 44 + .../awips_20km/jgfs_awips_f201.ecf | 44 + .../awips_20km/jgfs_awips_f204.ecf | 44 + .../awips_20km/jgfs_awips_f207.ecf | 44 + .../awips_20km/jgfs_awips_f210.ecf | 44 + .../awips_20km/jgfs_awips_f213.ecf | 44 + .../awips_20km/jgfs_awips_f216.ecf | 44 + .../awips_20km/jgfs_awips_f219.ecf | 44 + .../awips_20km/jgfs_awips_f222.ecf | 44 + .../awips_20km/jgfs_awips_f225.ecf | 44 + .../awips_20km/jgfs_awips_f228.ecf | 44 + .../awips_20km/jgfs_awips_f231.ecf | 44 + .../awips_20km/jgfs_awips_f234.ecf | 44 + .../awips_20km/jgfs_awips_f237.ecf | 44 + .../awips_20km/jgfs_awips_f240.ecf | 23 +- .../awips_20km/jgfs_awips_f252.ecf | 44 + .../awips_20km/jgfs_awips_f264.ecf | 44 + .../awips_20km/jgfs_awips_f276.ecf | 44 + .../awips_20km/jgfs_awips_f288.ecf | 44 + .../awips_20km/jgfs_awips_f300.ecf | 44 + .../awips_20km/jgfs_awips_f312.ecf | 44 + .../awips_20km/jgfs_awips_f324.ecf | 44 + .../awips_20km/jgfs_awips_f336.ecf | 44 + .../awips_20km/jgfs_awips_f348.ecf | 44 + .../awips_20km/jgfs_awips_f360.ecf | 44 + .../awips_20km/jgfs_awips_f372.ecf | 44 + .../awips_20km/jgfs_awips_f384.ecf | 44 + .../bufr_sounding/jgfs_postsnd.ecf | 4 +- .../bulletins/jgfs_cyclone_tracker.ecf | 4 +- .../post_processing/bulletins/jgfs_fbwind.ecf | 4 +- .../prod00/gfs/post_processing/dummy.ecf | 4 +- .../gfs/post_processing/fax/jgfs_fax_anl.ecf | 4 +- .../gfs/post_processing/fax/jgfs_fax_f00.ecf | 4 +- .../post_processing/fax/jgfs_fax_wafs_f12.ecf | 4 +- .../post_processing/fax/jgfs_fax_wafs_f24.ecf | 4 +- .../post_processing/fax/jgfs_fax_wafs_f36.ecf | 4 +- .../grib2_wafs/jgfs_wafs_blending.ecf | 4 +- .../grib2_wafs/jgfs_wafs_grib2.ecf | 4 +- .../grib_awips/jgfs_awips_f00.ecf | 4 +- .../grib_awips/jgfs_awips_f01.ecf | 44 + .../grib_awips/jgfs_awips_f02.ecf | 44 + .../grib_awips/jgfs_awips_f03.ecf | 44 + .../grib_awips/jgfs_awips_f04.ecf | 44 + .../grib_awips/jgfs_awips_f05.ecf | 44 + .../grib_awips/jgfs_awips_f06.ecf | 4 +- .../grib_awips/jgfs_awips_f07.ecf | 44 + .../grib_awips/jgfs_awips_f08.ecf | 44 + .../grib_awips/jgfs_awips_f09.ecf | 44 + .../grib_awips/jgfs_awips_f10.ecf | 44 + .../grib_awips/jgfs_awips_f100.ecf | 44 + .../grib_awips/jgfs_awips_f101.ecf | 44 + .../grib_awips/jgfs_awips_f102.ecf | 44 + .../grib_awips/jgfs_awips_f103.ecf | 44 + .../grib_awips/jgfs_awips_f104.ecf | 44 + .../grib_awips/jgfs_awips_f105.ecf | 44 + .../grib_awips/jgfs_awips_f106.ecf | 44 + .../grib_awips/jgfs_awips_f107.ecf | 44 + .../grib_awips/jgfs_awips_f108.ecf | 44 + .../grib_awips/jgfs_awips_f109.ecf | 44 + .../grib_awips/jgfs_awips_f11.ecf | 44 + .../grib_awips/jgfs_awips_f110.ecf | 44 + .../grib_awips/jgfs_awips_f111.ecf | 44 + .../grib_awips/jgfs_awips_f112.ecf | 44 + .../grib_awips/jgfs_awips_f113.ecf | 44 + .../grib_awips/jgfs_awips_f114.ecf | 44 + .../grib_awips/jgfs_awips_f115.ecf | 44 + .../grib_awips/jgfs_awips_f116.ecf | 44 + .../grib_awips/jgfs_awips_f117.ecf | 44 + .../grib_awips/jgfs_awips_f118.ecf | 44 + .../grib_awips/jgfs_awips_f119.ecf | 44 + .../grib_awips/jgfs_awips_f12.ecf | 44 + .../grib_awips/jgfs_awips_f120.ecf | 44 + .../grib_awips/jgfs_awips_f123.ecf | 44 + .../grib_awips/jgfs_awips_f126.ecf | 44 + .../grib_awips/jgfs_awips_f129.ecf | 44 + .../grib_awips/jgfs_awips_f13.ecf | 44 + .../grib_awips/jgfs_awips_f132.ecf | 44 + .../grib_awips/jgfs_awips_f135.ecf | 44 + .../grib_awips/jgfs_awips_f138.ecf | 44 + .../grib_awips/jgfs_awips_f14.ecf | 44 + .../grib_awips/jgfs_awips_f141.ecf | 44 + .../grib_awips/jgfs_awips_f144.ecf | 44 + .../grib_awips/jgfs_awips_f147.ecf | 44 + .../grib_awips/jgfs_awips_f15.ecf | 44 + .../grib_awips/jgfs_awips_f150.ecf | 44 + .../grib_awips/jgfs_awips_f153.ecf | 44 + .../grib_awips/jgfs_awips_f156.ecf | 44 + .../grib_awips/jgfs_awips_f159.ecf | 44 + .../grib_awips/jgfs_awips_f16.ecf | 44 + .../grib_awips/jgfs_awips_f162.ecf | 44 + .../grib_awips/jgfs_awips_f165.ecf | 44 + .../grib_awips/jgfs_awips_f168.ecf | 44 + .../grib_awips/jgfs_awips_f17.ecf | 44 + .../grib_awips/jgfs_awips_f171.ecf | 44 + .../grib_awips/jgfs_awips_f174.ecf | 44 + .../grib_awips/jgfs_awips_f177.ecf | 44 + .../grib_awips/jgfs_awips_f18.ecf | 44 + .../grib_awips/jgfs_awips_f180.ecf | 44 + .../grib_awips/jgfs_awips_f183.ecf | 44 + .../grib_awips/jgfs_awips_f186.ecf | 44 + .../grib_awips/jgfs_awips_f189.ecf | 44 + .../grib_awips/jgfs_awips_f19.ecf | 44 + .../grib_awips/jgfs_awips_f192.ecf | 44 + .../grib_awips/jgfs_awips_f195.ecf | 44 + .../grib_awips/jgfs_awips_f198.ecf | 44 + .../grib_awips/jgfs_awips_f20.ecf | 44 + .../grib_awips/jgfs_awips_f201.ecf | 44 + .../grib_awips/jgfs_awips_f204.ecf | 44 + .../grib_awips/jgfs_awips_f207.ecf | 44 + .../grib_awips/jgfs_awips_f21.ecf | 44 + .../grib_awips/jgfs_awips_f210.ecf | 44 + .../grib_awips/jgfs_awips_f213.ecf | 44 + .../grib_awips/jgfs_awips_f216.ecf | 44 + .../grib_awips/jgfs_awips_f219.ecf | 44 + .../grib_awips/jgfs_awips_f22.ecf | 44 + .../grib_awips/jgfs_awips_f222.ecf | 44 + .../grib_awips/jgfs_awips_f225.ecf | 44 + .../grib_awips/jgfs_awips_f228.ecf | 44 + .../grib_awips/jgfs_awips_f23.ecf | 44 + .../grib_awips/jgfs_awips_f231.ecf | 44 + .../grib_awips/jgfs_awips_f234.ecf | 44 + .../grib_awips/jgfs_awips_f237.ecf | 44 + .../grib_awips/jgfs_awips_f24.ecf | 44 + .../grib_awips/jgfs_awips_f240.ecf | 4 +- .../grib_awips/jgfs_awips_f25.ecf | 44 + .../grib_awips/jgfs_awips_f252.ecf | 44 + .../grib_awips/jgfs_awips_f26.ecf | 44 + .../grib_awips/jgfs_awips_f264.ecf | 44 + .../grib_awips/jgfs_awips_f27.ecf | 44 + .../grib_awips/jgfs_awips_f276.ecf | 44 + .../grib_awips/jgfs_awips_f28.ecf | 44 + .../grib_awips/jgfs_awips_f288.ecf | 44 + .../grib_awips/jgfs_awips_f29.ecf | 44 + .../grib_awips/jgfs_awips_f30.ecf | 44 + .../grib_awips/jgfs_awips_f300.ecf | 44 + .../grib_awips/jgfs_awips_f31.ecf | 44 + .../grib_awips/jgfs_awips_f312.ecf | 44 + .../grib_awips/jgfs_awips_f32.ecf | 44 + .../grib_awips/jgfs_awips_f324.ecf | 44 + .../grib_awips/jgfs_awips_f33.ecf | 44 + .../grib_awips/jgfs_awips_f336.ecf | 44 + .../grib_awips/jgfs_awips_f34.ecf | 44 + .../grib_awips/jgfs_awips_f348.ecf | 44 + .../grib_awips/jgfs_awips_f35.ecf | 44 + .../grib_awips/jgfs_awips_f36.ecf | 44 + .../grib_awips/jgfs_awips_f360.ecf | 44 + .../grib_awips/jgfs_awips_f37.ecf | 44 + .../grib_awips/jgfs_awips_f372.ecf | 44 + .../grib_awips/jgfs_awips_f38.ecf | 44 + .../grib_awips/jgfs_awips_f384.ecf | 44 + .../grib_awips/jgfs_awips_f39.ecf | 44 + .../grib_awips/jgfs_awips_f40.ecf | 44 + .../grib_awips/jgfs_awips_f41.ecf | 44 + .../grib_awips/jgfs_awips_f42.ecf | 44 + .../grib_awips/jgfs_awips_f43.ecf | 44 + .../grib_awips/jgfs_awips_f44.ecf | 44 + .../grib_awips/jgfs_awips_f45.ecf | 44 + .../grib_awips/jgfs_awips_f46.ecf | 44 + .../grib_awips/jgfs_awips_f47.ecf | 44 + .../grib_awips/jgfs_awips_f48.ecf | 44 + .../grib_awips/jgfs_awips_f49.ecf | 44 + .../grib_awips/jgfs_awips_f50.ecf | 44 + .../grib_awips/jgfs_awips_f51.ecf | 44 + .../grib_awips/jgfs_awips_f52.ecf | 44 + .../grib_awips/jgfs_awips_f53.ecf | 44 + .../grib_awips/jgfs_awips_f54.ecf | 44 + .../grib_awips/jgfs_awips_f55.ecf | 44 + .../grib_awips/jgfs_awips_f56.ecf | 44 + .../grib_awips/jgfs_awips_f57.ecf | 44 + .../grib_awips/jgfs_awips_f58.ecf | 44 + .../grib_awips/jgfs_awips_f59.ecf | 44 + .../grib_awips/jgfs_awips_f60.ecf | 44 + .../grib_awips/jgfs_awips_f61.ecf | 44 + .../grib_awips/jgfs_awips_f62.ecf | 44 + .../grib_awips/jgfs_awips_f63.ecf | 44 + .../grib_awips/jgfs_awips_f64.ecf | 44 + .../grib_awips/jgfs_awips_f65.ecf | 44 + .../grib_awips/jgfs_awips_f66.ecf | 44 + .../grib_awips/jgfs_awips_f67.ecf | 44 + .../grib_awips/jgfs_awips_f68.ecf | 44 + .../grib_awips/jgfs_awips_f69.ecf | 44 + .../grib_awips/jgfs_awips_f70.ecf | 44 + .../grib_awips/jgfs_awips_f71.ecf | 44 + .../grib_awips/jgfs_awips_f72.ecf | 44 + .../grib_awips/jgfs_awips_f73.ecf | 44 + .../grib_awips/jgfs_awips_f74.ecf | 44 + .../grib_awips/jgfs_awips_f75.ecf | 44 + .../grib_awips/jgfs_awips_f76.ecf | 44 + .../grib_awips/jgfs_awips_f77.ecf | 44 + .../grib_awips/jgfs_awips_f78.ecf | 44 + .../grib_awips/jgfs_awips_f79.ecf | 44 + .../grib_awips/jgfs_awips_f80.ecf | 44 + .../grib_awips/jgfs_awips_f81.ecf | 44 + .../grib_awips/jgfs_awips_f82.ecf | 44 + .../grib_awips/jgfs_awips_f83.ecf | 44 + .../grib_awips/jgfs_awips_f84.ecf | 44 + .../grib_awips/jgfs_awips_f85.ecf | 44 + .../grib_awips/jgfs_awips_f86.ecf | 44 + .../grib_awips/jgfs_awips_f87.ecf | 44 + .../grib_awips/jgfs_awips_f88.ecf | 44 + .../grib_awips/jgfs_awips_f89.ecf | 44 + .../grib_awips/jgfs_awips_f90.ecf | 44 + .../grib_awips/jgfs_awips_f91.ecf | 44 + .../grib_awips/jgfs_awips_f92.ecf | 44 + .../grib_awips/jgfs_awips_f93.ecf | 44 + .../grib_awips/jgfs_awips_f94.ecf | 44 + .../grib_awips/jgfs_awips_f95.ecf | 44 + .../grib_awips/jgfs_awips_f96.ecf | 44 + .../grib_awips/jgfs_awips_f97.ecf | 44 + .../grib_awips/jgfs_awips_f98.ecf | 44 + .../grib_awips/jgfs_awips_f99.ecf | 44 + .../grib_wafs/jgfs_wafs_f00.ecf | 4 +- .../grib_wafs/jgfs_wafs_f06.ecf | 4 +- .../grib_wafs/jgfs_wafs_f102.ecf | 4 +- .../grib_wafs/jgfs_wafs_f108.ecf | 4 +- .../grib_wafs/jgfs_wafs_f114.ecf | 4 +- .../grib_wafs/jgfs_wafs_f12.ecf | 4 +- .../grib_wafs/jgfs_wafs_f120.ecf | 4 +- .../grib_wafs/jgfs_wafs_f18.ecf | 4 +- .../grib_wafs/jgfs_wafs_f24.ecf | 4 +- .../grib_wafs/jgfs_wafs_f30.ecf | 4 +- .../grib_wafs/jgfs_wafs_f36.ecf | 4 +- .../grib_wafs/jgfs_wafs_f42.ecf | 4 +- .../grib_wafs/jgfs_wafs_f48.ecf | 4 +- .../grib_wafs/jgfs_wafs_f54.ecf | 4 +- .../grib_wafs/jgfs_wafs_f60.ecf | 4 +- .../grib_wafs/jgfs_wafs_f66.ecf | 4 +- .../grib_wafs/jgfs_wafs_f72.ecf | 4 +- .../grib_wafs/jgfs_wafs_f78.ecf | 4 +- .../grib_wafs/jgfs_wafs_f84.ecf | 4 +- .../grib_wafs/jgfs_wafs_f90.ecf | 4 +- .../grib_wafs/jgfs_wafs_f96.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_anl.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f00.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f01.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f02.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f03.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f04.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f05.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f06.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f07.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f08.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f09.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f10.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f100.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f101.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f102.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f103.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f104.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f105.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f106.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f107.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f108.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f109.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f11.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f110.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f111.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f112.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f113.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f114.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f115.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f116.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f117.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f118.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f119.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f12.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f120.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f123.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f126.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f129.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f13.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f132.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f135.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f138.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f14.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f141.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f144.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f147.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f15.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f150.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f153.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f156.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f159.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f16.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f162.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f165.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f168.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f17.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f171.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f174.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f177.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f18.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f180.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f183.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f186.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f189.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f19.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f192.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f195.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f198.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f20.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f201.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f204.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f207.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f21.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f210.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f213.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f216.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f219.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f22.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f222.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f225.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f228.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f23.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f231.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f234.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f237.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f24.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f240.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f25.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f252.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f26.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f264.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f27.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f276.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f28.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f288.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f29.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f30.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f300.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f31.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f312.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f32.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f324.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f33.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f336.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f34.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f348.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f35.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f36.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f360.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f37.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f372.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f38.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f384.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f39.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f40.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f41.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f42.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f43.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f44.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f45.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f46.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f47.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f48.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f49.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f50.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f51.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f52.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f53.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f54.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f55.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f56.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f57.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f58.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f59.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f60.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f61.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f62.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f63.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f64.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f65.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f66.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f67.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f68.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f69.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f70.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f71.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f72.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f73.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f74.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f75.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f76.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f77.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f78.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f79.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f80.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f81.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f82.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f83.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f84.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f85.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f86.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f87.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f88.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f89.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f90.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f91.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f92.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f93.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f94.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f95.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f96.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f97.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f98.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_f99.ecf | 4 +- .../prod00/gfs/prdgen/jgfs_pgrb2_manager.ecf | 4 +- .../prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 4 +- .../scripts/prod00/gfs/prep/jgfs_prep.ecf | 4 +- .../prod00/gfs/prep/jgfs_prep_post.ecf | 4 +- .../gfs/sminit_guam/jgfs_sminit_guam_even.ecf | 4 +- .../gfs/sminit_guam/jgfs_sminit_guam_odd.ecf | 4 +- .../scripts/prod06/cycle_end.ecf | 4 +- .../gdas/analysis/jgdas_analysis_high.ecf | 4 +- .../scripts/prod06/gdas/dump/jgdas_dump.ecf | 4 +- .../scripts/prod06/gdas/dump/jgdas_ics.ecf | 4 +- .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp1.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp10.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp11.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp12.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp13.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp14.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp15.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp16.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp2.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp3.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp4.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp5.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp6.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp7.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp8.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp9.ecf | 2 + .../innovate/jgdas_enkf_innovate_obs_grp1.ecf | 4 +- .../jgdas_enkf_innovate_obs_grp10.ecf | 2 + .../jgdas_enkf_innovate_obs_grp11.ecf | 2 + .../jgdas_enkf_innovate_obs_grp12.ecf | 2 + .../jgdas_enkf_innovate_obs_grp13.ecf | 2 + .../jgdas_enkf_innovate_obs_grp14.ecf | 2 + .../jgdas_enkf_innovate_obs_grp15.ecf | 2 + .../jgdas_enkf_innovate_obs_grp16.ecf | 2 + .../innovate/jgdas_enkf_innovate_obs_grp2.ecf | 4 +- .../innovate/jgdas_enkf_innovate_obs_grp3.ecf | 4 +- .../innovate/jgdas_enkf_innovate_obs_grp4.ecf | 4 +- .../innovate/jgdas_enkf_innovate_obs_grp5.ecf | 4 +- .../innovate/jgdas_enkf_innovate_obs_grp6.ecf | 4 +- .../innovate/jgdas_enkf_innovate_obs_grp7.ecf | 4 +- .../innovate/jgdas_enkf_innovate_obs_grp8.ecf | 4 +- .../innovate/jgdas_enkf_innovate_obs_grp9.ecf | 2 + .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 4 +- .../prod06/gdas/enkf/jgdas_enkf_post.ecf | 4 +- .../gdas/enkf/jgdas_enkf_select_obs.ecf | 4 +- .../prod06/gdas/enkf/jgdas_enkf_update.ecf | 4 +- .../prod06/gdas/forecast/jgdas_forecast.ecf | 4 +- .../prod06/gdas/gempak/jgdas_gempak.ecf | 4 +- .../prod06/gdas/gempak/jgdas_gempak_meta.ecf | 4 +- .../prod06/gdas/gempak/jgdas_gempak_ncdc.ecf | 4 +- .../scripts/prod06/gdas/jgdas_verfrad.ecf | 4 +- .../scripts/prod06/gdas/jgdas_vminmon.ecf | 4 +- .../scripts/prod06/gdas/post/jgdas_post.ecf | 4 +- .../bulletins/jgdas_mknavybulls.ecf | 4 +- .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 4 +- .../scripts/prod06/gdas/prep/jgdas_prep.ecf | 4 +- .../prod06/gdas/prep/jgdas_prep_post.ecf | 4 +- .../scripts/prod06/gfs/dump/jgfs_dump.ecf | 4 +- .../prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 4 +- .../gfs/forecast/jgfs_forecast_high.ecf | 4 +- .../prod06/gfs/forecast/jgfs_forecast_low.ecf | 4 +- .../scripts/prod06/gfs/gempak/jgfs_gempak.ecf | 4 +- .../prod06/gfs/gempak/jgfs_gempak_meta.ecf | 4 +- .../prod06/gfs/gempak/jgfs_gempak_ncdc.ecf | 4 +- .../prod06/gfs/gempak/jgfs_gempak_upapgif.ecf | 4 +- .../scripts/prod06/gfs/jgfs_analysis.ecf | 4 +- .../scripts/prod06/gfs/jgfs_vminmon.ecf | 4 +- .../prod06/gfs/post/jgfs_pgrb2_spec_post.ecf | 23 +- .../scripts/prod06/gfs/post/jgfs_post_anl.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f00.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f01.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f02.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f03.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f04.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f05.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f06.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f07.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f08.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f09.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f10.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f100.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f101.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f102.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f103.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f104.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f105.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f106.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f107.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f108.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f109.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f11.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f110.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f111.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f112.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f113.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f114.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f115.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f116.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f117.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f118.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f119.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f12.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f120.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f123.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f126.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f129.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f13.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f132.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f135.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f138.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f14.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f141.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f144.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f147.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f15.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f150.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f153.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f156.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f159.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f16.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f162.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f165.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f168.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f17.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f171.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f174.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f177.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f18.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f180.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f183.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f186.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f189.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f19.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f192.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f195.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f198.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f20.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f201.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f204.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f207.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f21.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f210.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f213.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f216.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f219.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f22.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f222.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f225.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f228.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f23.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f231.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f234.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f237.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f24.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f240.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f25.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f252.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f26.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f264.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f27.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f276.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f28.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f288.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f29.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f30.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f300.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f31.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f312.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f32.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f324.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f33.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f336.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f34.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f348.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f35.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f36.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f360.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f37.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f372.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f38.ecf | 4 +- .../prod06/gfs/post/jgfs_post_f384.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f39.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f40.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f41.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f42.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f43.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f44.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f45.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f46.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f47.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f48.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f49.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f50.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f51.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f52.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f53.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f54.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f55.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f56.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f57.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f58.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f59.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f60.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f61.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f62.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f63.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f64.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f65.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f66.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f67.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f68.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f69.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f70.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f71.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f72.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f73.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f74.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f75.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f76.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f77.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f78.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f79.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f80.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f81.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f82.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f83.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f84.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f85.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f86.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f87.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f88.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f89.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f90.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f91.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f92.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f93.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f94.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f95.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f96.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f97.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f98.ecf | 4 +- .../scripts/prod06/gfs/post/jgfs_post_f99.ecf | 4 +- .../prod06/gfs/post/jgfs_post_manager.ecf | 4 +- .../awips_1p0deg/jgfs_awips_f000.ecf | 4 +- .../awips_1p0deg/jgfs_awips_f001.ecf | 44 + .../awips_1p0deg/jgfs_awips_f002.ecf | 44 + .../awips_1p0deg/jgfs_awips_f003.ecf | 44 + .../awips_1p0deg/jgfs_awips_f004.ecf | 44 + .../awips_1p0deg/jgfs_awips_f005.ecf | 44 + .../awips_1p0deg/jgfs_awips_f006.ecf | 4 +- .../awips_1p0deg/jgfs_awips_f007.ecf | 44 + .../awips_1p0deg/jgfs_awips_f008.ecf | 44 + .../awips_1p0deg/jgfs_awips_f009.ecf | 44 + .../awips_1p0deg/jgfs_awips_f010.ecf | 44 + .../awips_1p0deg/jgfs_awips_f011.ecf | 44 + .../awips_1p0deg/jgfs_awips_f012.ecf | 44 + .../awips_1p0deg/jgfs_awips_f013.ecf | 44 + .../awips_1p0deg/jgfs_awips_f014.ecf | 44 + .../awips_1p0deg/jgfs_awips_f015.ecf | 44 + .../awips_1p0deg/jgfs_awips_f016.ecf | 44 + .../awips_1p0deg/jgfs_awips_f017.ecf | 44 + .../awips_1p0deg/jgfs_awips_f018.ecf | 44 + .../awips_1p0deg/jgfs_awips_f019.ecf | 44 + .../awips_1p0deg/jgfs_awips_f020.ecf | 44 + .../awips_1p0deg/jgfs_awips_f021.ecf | 44 + .../awips_1p0deg/jgfs_awips_f022.ecf | 44 + .../awips_1p0deg/jgfs_awips_f023.ecf | 44 + .../awips_1p0deg/jgfs_awips_f024.ecf | 44 + .../awips_1p0deg/jgfs_awips_f025.ecf | 44 + .../awips_1p0deg/jgfs_awips_f026.ecf | 44 + .../awips_1p0deg/jgfs_awips_f027.ecf | 44 + .../awips_1p0deg/jgfs_awips_f028.ecf | 44 + .../awips_1p0deg/jgfs_awips_f029.ecf | 44 + .../awips_1p0deg/jgfs_awips_f030.ecf | 44 + .../awips_1p0deg/jgfs_awips_f031.ecf | 44 + .../awips_1p0deg/jgfs_awips_f032.ecf | 44 + .../awips_1p0deg/jgfs_awips_f033.ecf | 44 + .../awips_1p0deg/jgfs_awips_f034.ecf | 44 + .../awips_1p0deg/jgfs_awips_f035.ecf | 44 + .../awips_1p0deg/jgfs_awips_f036.ecf | 44 + .../awips_1p0deg/jgfs_awips_f037.ecf | 44 + .../awips_1p0deg/jgfs_awips_f038.ecf | 44 + .../awips_1p0deg/jgfs_awips_f039.ecf | 44 + .../awips_1p0deg/jgfs_awips_f040.ecf | 44 + .../awips_1p0deg/jgfs_awips_f041.ecf | 44 + .../awips_1p0deg/jgfs_awips_f042.ecf | 44 + .../awips_1p0deg/jgfs_awips_f043.ecf | 44 + .../awips_1p0deg/jgfs_awips_f044.ecf | 44 + .../awips_1p0deg/jgfs_awips_f045.ecf | 44 + .../awips_1p0deg/jgfs_awips_f046.ecf | 44 + .../awips_1p0deg/jgfs_awips_f047.ecf | 44 + .../awips_1p0deg/jgfs_awips_f048.ecf | 44 + .../awips_1p0deg/jgfs_awips_f049.ecf | 44 + .../awips_1p0deg/jgfs_awips_f050.ecf | 44 + .../awips_1p0deg/jgfs_awips_f051.ecf | 44 + .../awips_1p0deg/jgfs_awips_f052.ecf | 44 + .../awips_1p0deg/jgfs_awips_f053.ecf | 44 + .../awips_1p0deg/jgfs_awips_f054.ecf | 44 + .../awips_1p0deg/jgfs_awips_f055.ecf | 44 + .../awips_1p0deg/jgfs_awips_f056.ecf | 44 + .../awips_1p0deg/jgfs_awips_f057.ecf | 44 + .../awips_1p0deg/jgfs_awips_f058.ecf | 44 + .../awips_1p0deg/jgfs_awips_f059.ecf | 44 + .../awips_1p0deg/jgfs_awips_f060.ecf | 44 + .../awips_1p0deg/jgfs_awips_f061.ecf | 44 + .../awips_1p0deg/jgfs_awips_f062.ecf | 44 + .../awips_1p0deg/jgfs_awips_f063.ecf | 44 + .../awips_1p0deg/jgfs_awips_f064.ecf | 44 + .../awips_1p0deg/jgfs_awips_f065.ecf | 44 + .../awips_1p0deg/jgfs_awips_f066.ecf | 44 + .../awips_1p0deg/jgfs_awips_f067.ecf | 44 + .../awips_1p0deg/jgfs_awips_f068.ecf | 44 + .../awips_1p0deg/jgfs_awips_f069.ecf | 44 + .../awips_1p0deg/jgfs_awips_f070.ecf | 44 + .../awips_1p0deg/jgfs_awips_f071.ecf | 44 + .../awips_1p0deg/jgfs_awips_f072.ecf | 44 + .../awips_1p0deg/jgfs_awips_f073.ecf | 44 + .../awips_1p0deg/jgfs_awips_f074.ecf | 44 + .../awips_1p0deg/jgfs_awips_f075.ecf | 44 + .../awips_1p0deg/jgfs_awips_f076.ecf | 44 + .../awips_1p0deg/jgfs_awips_f077.ecf | 44 + .../awips_1p0deg/jgfs_awips_f078.ecf | 44 + .../awips_1p0deg/jgfs_awips_f079.ecf | 44 + .../awips_1p0deg/jgfs_awips_f080.ecf | 44 + .../awips_1p0deg/jgfs_awips_f081.ecf | 44 + .../awips_1p0deg/jgfs_awips_f082.ecf | 44 + .../awips_1p0deg/jgfs_awips_f083.ecf | 44 + .../awips_1p0deg/jgfs_awips_f084.ecf | 44 + .../awips_1p0deg/jgfs_awips_f085.ecf | 44 + .../awips_1p0deg/jgfs_awips_f086.ecf | 44 + .../awips_1p0deg/jgfs_awips_f087.ecf | 44 + .../awips_1p0deg/jgfs_awips_f088.ecf | 44 + .../awips_1p0deg/jgfs_awips_f089.ecf | 44 + .../awips_1p0deg/jgfs_awips_f090.ecf | 44 + .../awips_1p0deg/jgfs_awips_f091.ecf | 44 + .../awips_1p0deg/jgfs_awips_f092.ecf | 44 + .../awips_1p0deg/jgfs_awips_f093.ecf | 44 + .../awips_1p0deg/jgfs_awips_f094.ecf | 44 + .../awips_1p0deg/jgfs_awips_f095.ecf | 44 + .../awips_1p0deg/jgfs_awips_f096.ecf | 44 + .../awips_1p0deg/jgfs_awips_f097.ecf | 44 + .../awips_1p0deg/jgfs_awips_f098.ecf | 44 + .../awips_1p0deg/jgfs_awips_f099.ecf | 44 + .../awips_1p0deg/jgfs_awips_f100.ecf | 44 + .../awips_1p0deg/jgfs_awips_f101.ecf | 44 + .../awips_1p0deg/jgfs_awips_f102.ecf | 44 + .../awips_1p0deg/jgfs_awips_f103.ecf | 44 + .../awips_1p0deg/jgfs_awips_f104.ecf | 44 + .../awips_1p0deg/jgfs_awips_f105.ecf | 44 + .../awips_1p0deg/jgfs_awips_f106.ecf | 44 + .../awips_1p0deg/jgfs_awips_f107.ecf | 44 + .../awips_1p0deg/jgfs_awips_f108.ecf | 44 + .../awips_1p0deg/jgfs_awips_f109.ecf | 44 + .../awips_1p0deg/jgfs_awips_f110.ecf | 44 + .../awips_1p0deg/jgfs_awips_f111.ecf | 44 + .../awips_1p0deg/jgfs_awips_f112.ecf | 44 + .../awips_1p0deg/jgfs_awips_f113.ecf | 44 + .../awips_1p0deg/jgfs_awips_f114.ecf | 44 + .../awips_1p0deg/jgfs_awips_f115.ecf | 44 + .../awips_1p0deg/jgfs_awips_f116.ecf | 44 + .../awips_1p0deg/jgfs_awips_f117.ecf | 44 + .../awips_1p0deg/jgfs_awips_f118.ecf | 44 + .../awips_1p0deg/jgfs_awips_f119.ecf | 44 + .../awips_1p0deg/jgfs_awips_f120.ecf | 44 + .../awips_1p0deg/jgfs_awips_f123.ecf | 44 + .../awips_1p0deg/jgfs_awips_f126.ecf | 44 + .../awips_1p0deg/jgfs_awips_f129.ecf | 44 + .../awips_1p0deg/jgfs_awips_f132.ecf | 44 + .../awips_1p0deg/jgfs_awips_f135.ecf | 44 + .../awips_1p0deg/jgfs_awips_f138.ecf | 44 + .../awips_1p0deg/jgfs_awips_f141.ecf | 44 + .../awips_1p0deg/jgfs_awips_f144.ecf | 44 + .../awips_1p0deg/jgfs_awips_f147.ecf | 44 + .../awips_1p0deg/jgfs_awips_f150.ecf | 44 + .../awips_1p0deg/jgfs_awips_f153.ecf | 44 + .../awips_1p0deg/jgfs_awips_f156.ecf | 44 + .../awips_1p0deg/jgfs_awips_f159.ecf | 44 + .../awips_1p0deg/jgfs_awips_f162.ecf | 44 + .../awips_1p0deg/jgfs_awips_f165.ecf | 44 + .../awips_1p0deg/jgfs_awips_f168.ecf | 44 + .../awips_1p0deg/jgfs_awips_f171.ecf | 44 + .../awips_1p0deg/jgfs_awips_f174.ecf | 44 + .../awips_1p0deg/jgfs_awips_f177.ecf | 44 + .../awips_1p0deg/jgfs_awips_f180.ecf | 44 + .../awips_1p0deg/jgfs_awips_f183.ecf | 44 + .../awips_1p0deg/jgfs_awips_f186.ecf | 44 + .../awips_1p0deg/jgfs_awips_f189.ecf | 44 + .../awips_1p0deg/jgfs_awips_f192.ecf | 44 + .../awips_1p0deg/jgfs_awips_f195.ecf | 44 + .../awips_1p0deg/jgfs_awips_f198.ecf | 44 + .../awips_1p0deg/jgfs_awips_f201.ecf | 44 + .../awips_1p0deg/jgfs_awips_f204.ecf | 44 + .../awips_1p0deg/jgfs_awips_f207.ecf | 44 + .../awips_1p0deg/jgfs_awips_f210.ecf | 44 + .../awips_1p0deg/jgfs_awips_f213.ecf | 44 + .../awips_1p0deg/jgfs_awips_f216.ecf | 44 + .../awips_1p0deg/jgfs_awips_f219.ecf | 44 + .../awips_1p0deg/jgfs_awips_f222.ecf | 44 + .../awips_1p0deg/jgfs_awips_f225.ecf | 44 + .../awips_1p0deg/jgfs_awips_f228.ecf | 44 + .../awips_1p0deg/jgfs_awips_f231.ecf | 44 + .../awips_1p0deg/jgfs_awips_f234.ecf | 44 + .../awips_1p0deg/jgfs_awips_f237.ecf | 44 + .../awips_1p0deg/jgfs_awips_f240.ecf | 4 +- .../awips_1p0deg/jgfs_awips_f252.ecf | 44 + .../awips_1p0deg/jgfs_awips_f264.ecf | 44 + .../awips_1p0deg/jgfs_awips_f276.ecf | 44 + .../awips_1p0deg/jgfs_awips_f288.ecf | 44 + .../awips_1p0deg/jgfs_awips_f300.ecf | 44 + .../awips_1p0deg/jgfs_awips_f312.ecf | 44 + .../awips_1p0deg/jgfs_awips_f324.ecf | 44 + .../awips_1p0deg/jgfs_awips_f336.ecf | 44 + .../awips_1p0deg/jgfs_awips_f348.ecf | 44 + .../awips_1p0deg/jgfs_awips_f360.ecf | 44 + .../awips_1p0deg/jgfs_awips_f372.ecf | 44 + .../awips_1p0deg/jgfs_awips_f384.ecf | 44 + .../awips_20km/jgfs_awips_f000.ecf | 4 +- .../awips_20km/jgfs_awips_f001.ecf | 44 + .../awips_20km/jgfs_awips_f002.ecf | 44 + .../awips_20km/jgfs_awips_f003.ecf | 23 +- .../awips_20km/jgfs_awips_f004.ecf | 44 + .../awips_20km/jgfs_awips_f005.ecf | 44 + .../awips_20km/jgfs_awips_f006.ecf | 44 + .../awips_20km/jgfs_awips_f007.ecf | 44 + .../awips_20km/jgfs_awips_f008.ecf | 44 + .../awips_20km/jgfs_awips_f009.ecf | 44 + .../awips_20km/jgfs_awips_f010.ecf | 44 + .../awips_20km/jgfs_awips_f011.ecf | 44 + .../awips_20km/jgfs_awips_f012.ecf | 44 + .../awips_20km/jgfs_awips_f013.ecf | 44 + .../awips_20km/jgfs_awips_f014.ecf | 44 + .../awips_20km/jgfs_awips_f015.ecf | 44 + .../awips_20km/jgfs_awips_f016.ecf | 44 + .../awips_20km/jgfs_awips_f017.ecf | 44 + .../awips_20km/jgfs_awips_f018.ecf | 44 + .../awips_20km/jgfs_awips_f019.ecf | 44 + .../awips_20km/jgfs_awips_f020.ecf | 44 + .../awips_20km/jgfs_awips_f021.ecf | 44 + .../awips_20km/jgfs_awips_f022.ecf | 44 + .../awips_20km/jgfs_awips_f023.ecf | 44 + .../awips_20km/jgfs_awips_f024.ecf | 44 + .../awips_20km/jgfs_awips_f025.ecf | 44 + .../awips_20km/jgfs_awips_f026.ecf | 44 + .../awips_20km/jgfs_awips_f027.ecf | 44 + .../awips_20km/jgfs_awips_f028.ecf | 44 + .../awips_20km/jgfs_awips_f029.ecf | 44 + .../awips_20km/jgfs_awips_f030.ecf | 44 + .../awips_20km/jgfs_awips_f031.ecf | 44 + .../awips_20km/jgfs_awips_f032.ecf | 44 + .../awips_20km/jgfs_awips_f033.ecf | 44 + .../awips_20km/jgfs_awips_f034.ecf | 44 + .../awips_20km/jgfs_awips_f035.ecf | 44 + .../awips_20km/jgfs_awips_f036.ecf | 44 + .../awips_20km/jgfs_awips_f037.ecf | 44 + .../awips_20km/jgfs_awips_f038.ecf | 44 + .../awips_20km/jgfs_awips_f039.ecf | 44 + .../awips_20km/jgfs_awips_f040.ecf | 44 + .../awips_20km/jgfs_awips_f041.ecf | 44 + .../awips_20km/jgfs_awips_f042.ecf | 44 + .../awips_20km/jgfs_awips_f043.ecf | 44 + .../awips_20km/jgfs_awips_f044.ecf | 44 + .../awips_20km/jgfs_awips_f045.ecf | 44 + .../awips_20km/jgfs_awips_f046.ecf | 44 + .../awips_20km/jgfs_awips_f047.ecf | 44 + .../awips_20km/jgfs_awips_f048.ecf | 44 + .../awips_20km/jgfs_awips_f049.ecf | 44 + .../awips_20km/jgfs_awips_f050.ecf | 44 + .../awips_20km/jgfs_awips_f051.ecf | 44 + .../awips_20km/jgfs_awips_f052.ecf | 44 + .../awips_20km/jgfs_awips_f053.ecf | 44 + .../awips_20km/jgfs_awips_f054.ecf | 44 + .../awips_20km/jgfs_awips_f055.ecf | 44 + .../awips_20km/jgfs_awips_f056.ecf | 44 + .../awips_20km/jgfs_awips_f057.ecf | 44 + .../awips_20km/jgfs_awips_f058.ecf | 44 + .../awips_20km/jgfs_awips_f059.ecf | 44 + .../awips_20km/jgfs_awips_f060.ecf | 44 + .../awips_20km/jgfs_awips_f061.ecf | 44 + .../awips_20km/jgfs_awips_f062.ecf | 44 + .../awips_20km/jgfs_awips_f063.ecf | 44 + .../awips_20km/jgfs_awips_f064.ecf | 44 + .../awips_20km/jgfs_awips_f065.ecf | 44 + .../awips_20km/jgfs_awips_f066.ecf | 44 + .../awips_20km/jgfs_awips_f067.ecf | 44 + .../awips_20km/jgfs_awips_f068.ecf | 44 + .../awips_20km/jgfs_awips_f069.ecf | 44 + .../awips_20km/jgfs_awips_f070.ecf | 44 + .../awips_20km/jgfs_awips_f071.ecf | 44 + .../awips_20km/jgfs_awips_f072.ecf | 44 + .../awips_20km/jgfs_awips_f073.ecf | 44 + .../awips_20km/jgfs_awips_f074.ecf | 44 + .../awips_20km/jgfs_awips_f075.ecf | 44 + .../awips_20km/jgfs_awips_f076.ecf | 44 + .../awips_20km/jgfs_awips_f077.ecf | 44 + .../awips_20km/jgfs_awips_f078.ecf | 44 + .../awips_20km/jgfs_awips_f079.ecf | 44 + .../awips_20km/jgfs_awips_f080.ecf | 44 + .../awips_20km/jgfs_awips_f081.ecf | 44 + .../awips_20km/jgfs_awips_f082.ecf | 44 + .../awips_20km/jgfs_awips_f083.ecf | 44 + .../awips_20km/jgfs_awips_f084.ecf | 44 + .../awips_20km/jgfs_awips_f085.ecf | 44 + .../awips_20km/jgfs_awips_f086.ecf | 44 + .../awips_20km/jgfs_awips_f087.ecf | 44 + .../awips_20km/jgfs_awips_f088.ecf | 44 + .../awips_20km/jgfs_awips_f089.ecf | 44 + .../awips_20km/jgfs_awips_f090.ecf | 44 + .../awips_20km/jgfs_awips_f091.ecf | 44 + .../awips_20km/jgfs_awips_f092.ecf | 44 + .../awips_20km/jgfs_awips_f093.ecf | 44 + .../awips_20km/jgfs_awips_f094.ecf | 44 + .../awips_20km/jgfs_awips_f095.ecf | 44 + .../awips_20km/jgfs_awips_f096.ecf | 44 + .../awips_20km/jgfs_awips_f097.ecf | 44 + .../awips_20km/jgfs_awips_f098.ecf | 44 + .../awips_20km/jgfs_awips_f099.ecf | 44 + .../awips_20km/jgfs_awips_f100.ecf | 44 + .../awips_20km/jgfs_awips_f101.ecf | 44 + .../awips_20km/jgfs_awips_f102.ecf | 44 + .../awips_20km/jgfs_awips_f103.ecf | 44 + .../awips_20km/jgfs_awips_f104.ecf | 44 + .../awips_20km/jgfs_awips_f105.ecf | 44 + .../awips_20km/jgfs_awips_f106.ecf | 44 + .../awips_20km/jgfs_awips_f107.ecf | 44 + .../awips_20km/jgfs_awips_f108.ecf | 44 + .../awips_20km/jgfs_awips_f109.ecf | 44 + .../awips_20km/jgfs_awips_f110.ecf | 44 + .../awips_20km/jgfs_awips_f111.ecf | 44 + .../awips_20km/jgfs_awips_f112.ecf | 44 + .../awips_20km/jgfs_awips_f113.ecf | 44 + .../awips_20km/jgfs_awips_f114.ecf | 44 + .../awips_20km/jgfs_awips_f115.ecf | 44 + .../awips_20km/jgfs_awips_f116.ecf | 44 + .../awips_20km/jgfs_awips_f117.ecf | 44 + .../awips_20km/jgfs_awips_f118.ecf | 44 + .../awips_20km/jgfs_awips_f119.ecf | 44 + .../awips_20km/jgfs_awips_f120.ecf | 44 + .../awips_20km/jgfs_awips_f123.ecf | 44 + .../awips_20km/jgfs_awips_f126.ecf | 44 + .../awips_20km/jgfs_awips_f129.ecf | 44 + .../awips_20km/jgfs_awips_f132.ecf | 44 + .../awips_20km/jgfs_awips_f135.ecf | 44 + .../awips_20km/jgfs_awips_f138.ecf | 44 + .../awips_20km/jgfs_awips_f141.ecf | 44 + .../awips_20km/jgfs_awips_f144.ecf | 44 + .../awips_20km/jgfs_awips_f147.ecf | 44 + .../awips_20km/jgfs_awips_f150.ecf | 44 + .../awips_20km/jgfs_awips_f153.ecf | 44 + .../awips_20km/jgfs_awips_f156.ecf | 44 + .../awips_20km/jgfs_awips_f159.ecf | 44 + .../awips_20km/jgfs_awips_f162.ecf | 44 + .../awips_20km/jgfs_awips_f165.ecf | 44 + .../awips_20km/jgfs_awips_f168.ecf | 44 + .../awips_20km/jgfs_awips_f171.ecf | 44 + .../awips_20km/jgfs_awips_f174.ecf | 44 + .../awips_20km/jgfs_awips_f177.ecf | 44 + .../awips_20km/jgfs_awips_f180.ecf | 44 + .../awips_20km/jgfs_awips_f183.ecf | 44 + .../awips_20km/jgfs_awips_f186.ecf | 44 + .../awips_20km/jgfs_awips_f189.ecf | 44 + .../awips_20km/jgfs_awips_f192.ecf | 44 + .../awips_20km/jgfs_awips_f195.ecf | 44 + .../awips_20km/jgfs_awips_f198.ecf | 44 + .../awips_20km/jgfs_awips_f201.ecf | 44 + .../awips_20km/jgfs_awips_f204.ecf | 44 + .../awips_20km/jgfs_awips_f207.ecf | 44 + .../awips_20km/jgfs_awips_f210.ecf | 44 + .../awips_20km/jgfs_awips_f213.ecf | 44 + .../awips_20km/jgfs_awips_f216.ecf | 44 + .../awips_20km/jgfs_awips_f219.ecf | 44 + .../awips_20km/jgfs_awips_f222.ecf | 44 + .../awips_20km/jgfs_awips_f225.ecf | 44 + .../awips_20km/jgfs_awips_f228.ecf | 44 + .../awips_20km/jgfs_awips_f231.ecf | 44 + .../awips_20km/jgfs_awips_f234.ecf | 44 + .../awips_20km/jgfs_awips_f237.ecf | 44 + .../awips_20km/jgfs_awips_f240.ecf | 23 +- .../awips_20km/jgfs_awips_f252.ecf | 44 + .../awips_20km/jgfs_awips_f264.ecf | 44 + .../awips_20km/jgfs_awips_f276.ecf | 44 + .../awips_20km/jgfs_awips_f288.ecf | 44 + .../awips_20km/jgfs_awips_f300.ecf | 44 + .../awips_20km/jgfs_awips_f312.ecf | 44 + .../awips_20km/jgfs_awips_f324.ecf | 44 + .../awips_20km/jgfs_awips_f336.ecf | 44 + .../awips_20km/jgfs_awips_f348.ecf | 44 + .../awips_20km/jgfs_awips_f360.ecf | 44 + .../awips_20km/jgfs_awips_f372.ecf | 44 + .../awips_20km/jgfs_awips_f384.ecf | 44 + .../bufr_sounding/jgfs_postsnd.ecf | 4 +- .../bulletins/jgfs_cyclone_tracker.ecf | 4 +- .../post_processing/bulletins/jgfs_fbwind.ecf | 4 +- .../prod06/gfs/post_processing/dummy.ecf | 4 +- .../gfs/post_processing/fax/jgfs_fax_anl.ecf | 4 +- .../gfs/post_processing/fax/jgfs_fax_f00.ecf | 4 +- .../post_processing/fax/jgfs_fax_wafs_f12.ecf | 4 +- .../post_processing/fax/jgfs_fax_wafs_f24.ecf | 4 +- .../post_processing/fax/jgfs_fax_wafs_f36.ecf | 4 +- .../grib2_wafs/jgfs_wafs_blending.ecf | 4 +- .../grib2_wafs/jgfs_wafs_grib2.ecf | 4 +- .../grib_awips/jgfs_awips_f00.ecf | 4 +- .../grib_awips/jgfs_awips_f01.ecf | 44 + .../grib_awips/jgfs_awips_f02.ecf | 44 + .../grib_awips/jgfs_awips_f03.ecf | 44 + .../grib_awips/jgfs_awips_f04.ecf | 44 + .../grib_awips/jgfs_awips_f05.ecf | 44 + .../grib_awips/jgfs_awips_f06.ecf | 4 +- .../grib_awips/jgfs_awips_f07.ecf | 44 + .../grib_awips/jgfs_awips_f08.ecf | 44 + .../grib_awips/jgfs_awips_f09.ecf | 44 + .../grib_awips/jgfs_awips_f10.ecf | 44 + .../grib_awips/jgfs_awips_f100.ecf | 44 + .../grib_awips/jgfs_awips_f101.ecf | 44 + .../grib_awips/jgfs_awips_f102.ecf | 44 + .../grib_awips/jgfs_awips_f103.ecf | 44 + .../grib_awips/jgfs_awips_f104.ecf | 44 + .../grib_awips/jgfs_awips_f105.ecf | 44 + .../grib_awips/jgfs_awips_f106.ecf | 44 + .../grib_awips/jgfs_awips_f107.ecf | 44 + .../grib_awips/jgfs_awips_f108.ecf | 44 + .../grib_awips/jgfs_awips_f109.ecf | 44 + .../grib_awips/jgfs_awips_f11.ecf | 44 + .../grib_awips/jgfs_awips_f110.ecf | 44 + .../grib_awips/jgfs_awips_f111.ecf | 44 + .../grib_awips/jgfs_awips_f112.ecf | 44 + .../grib_awips/jgfs_awips_f113.ecf | 44 + .../grib_awips/jgfs_awips_f114.ecf | 44 + .../grib_awips/jgfs_awips_f115.ecf | 44 + .../grib_awips/jgfs_awips_f116.ecf | 44 + .../grib_awips/jgfs_awips_f117.ecf | 44 + .../grib_awips/jgfs_awips_f118.ecf | 44 + .../grib_awips/jgfs_awips_f119.ecf | 44 + .../grib_awips/jgfs_awips_f12.ecf | 44 + .../grib_awips/jgfs_awips_f120.ecf | 44 + .../grib_awips/jgfs_awips_f123.ecf | 44 + .../grib_awips/jgfs_awips_f126.ecf | 44 + .../grib_awips/jgfs_awips_f129.ecf | 44 + .../grib_awips/jgfs_awips_f13.ecf | 44 + .../grib_awips/jgfs_awips_f132.ecf | 44 + .../grib_awips/jgfs_awips_f135.ecf | 44 + .../grib_awips/jgfs_awips_f138.ecf | 44 + .../grib_awips/jgfs_awips_f14.ecf | 44 + .../grib_awips/jgfs_awips_f141.ecf | 44 + .../grib_awips/jgfs_awips_f144.ecf | 44 + .../grib_awips/jgfs_awips_f147.ecf | 44 + .../grib_awips/jgfs_awips_f15.ecf | 44 + .../grib_awips/jgfs_awips_f150.ecf | 44 + .../grib_awips/jgfs_awips_f153.ecf | 44 + .../grib_awips/jgfs_awips_f156.ecf | 44 + .../grib_awips/jgfs_awips_f159.ecf | 44 + .../grib_awips/jgfs_awips_f16.ecf | 44 + .../grib_awips/jgfs_awips_f162.ecf | 44 + .../grib_awips/jgfs_awips_f165.ecf | 44 + .../grib_awips/jgfs_awips_f168.ecf | 44 + .../grib_awips/jgfs_awips_f17.ecf | 44 + .../grib_awips/jgfs_awips_f171.ecf | 44 + .../grib_awips/jgfs_awips_f174.ecf | 44 + .../grib_awips/jgfs_awips_f177.ecf | 44 + .../grib_awips/jgfs_awips_f18.ecf | 44 + .../grib_awips/jgfs_awips_f180.ecf | 44 + .../grib_awips/jgfs_awips_f183.ecf | 44 + .../grib_awips/jgfs_awips_f186.ecf | 44 + .../grib_awips/jgfs_awips_f189.ecf | 44 + .../grib_awips/jgfs_awips_f19.ecf | 44 + .../grib_awips/jgfs_awips_f192.ecf | 44 + .../grib_awips/jgfs_awips_f195.ecf | 44 + .../grib_awips/jgfs_awips_f198.ecf | 44 + .../grib_awips/jgfs_awips_f20.ecf | 44 + .../grib_awips/jgfs_awips_f201.ecf | 44 + .../grib_awips/jgfs_awips_f204.ecf | 44 + .../grib_awips/jgfs_awips_f207.ecf | 44 + .../grib_awips/jgfs_awips_f21.ecf | 44 + .../grib_awips/jgfs_awips_f210.ecf | 44 + .../grib_awips/jgfs_awips_f213.ecf | 44 + .../grib_awips/jgfs_awips_f216.ecf | 44 + .../grib_awips/jgfs_awips_f219.ecf | 44 + .../grib_awips/jgfs_awips_f22.ecf | 44 + .../grib_awips/jgfs_awips_f222.ecf | 44 + .../grib_awips/jgfs_awips_f225.ecf | 44 + .../grib_awips/jgfs_awips_f228.ecf | 44 + .../grib_awips/jgfs_awips_f23.ecf | 44 + .../grib_awips/jgfs_awips_f231.ecf | 44 + .../grib_awips/jgfs_awips_f234.ecf | 44 + .../grib_awips/jgfs_awips_f237.ecf | 44 + .../grib_awips/jgfs_awips_f24.ecf | 44 + .../grib_awips/jgfs_awips_f240.ecf | 4 +- .../grib_awips/jgfs_awips_f25.ecf | 44 + .../grib_awips/jgfs_awips_f252.ecf | 44 + .../grib_awips/jgfs_awips_f26.ecf | 44 + .../grib_awips/jgfs_awips_f264.ecf | 44 + .../grib_awips/jgfs_awips_f27.ecf | 44 + .../grib_awips/jgfs_awips_f276.ecf | 44 + .../grib_awips/jgfs_awips_f28.ecf | 44 + .../grib_awips/jgfs_awips_f288.ecf | 44 + .../grib_awips/jgfs_awips_f29.ecf | 44 + .../grib_awips/jgfs_awips_f30.ecf | 44 + .../grib_awips/jgfs_awips_f300.ecf | 44 + .../grib_awips/jgfs_awips_f31.ecf | 44 + .../grib_awips/jgfs_awips_f312.ecf | 44 + .../grib_awips/jgfs_awips_f32.ecf | 44 + .../grib_awips/jgfs_awips_f324.ecf | 44 + .../grib_awips/jgfs_awips_f33.ecf | 44 + .../grib_awips/jgfs_awips_f336.ecf | 44 + .../grib_awips/jgfs_awips_f34.ecf | 44 + .../grib_awips/jgfs_awips_f348.ecf | 44 + .../grib_awips/jgfs_awips_f35.ecf | 44 + .../grib_awips/jgfs_awips_f36.ecf | 44 + .../grib_awips/jgfs_awips_f360.ecf | 44 + .../grib_awips/jgfs_awips_f37.ecf | 44 + .../grib_awips/jgfs_awips_f372.ecf | 44 + .../grib_awips/jgfs_awips_f38.ecf | 44 + .../grib_awips/jgfs_awips_f384.ecf | 44 + .../grib_awips/jgfs_awips_f39.ecf | 44 + .../grib_awips/jgfs_awips_f40.ecf | 44 + .../grib_awips/jgfs_awips_f41.ecf | 44 + .../grib_awips/jgfs_awips_f42.ecf | 44 + .../grib_awips/jgfs_awips_f43.ecf | 44 + .../grib_awips/jgfs_awips_f44.ecf | 44 + .../grib_awips/jgfs_awips_f45.ecf | 44 + .../grib_awips/jgfs_awips_f46.ecf | 44 + .../grib_awips/jgfs_awips_f47.ecf | 44 + .../grib_awips/jgfs_awips_f48.ecf | 44 + .../grib_awips/jgfs_awips_f49.ecf | 44 + .../grib_awips/jgfs_awips_f50.ecf | 44 + .../grib_awips/jgfs_awips_f51.ecf | 44 + .../grib_awips/jgfs_awips_f52.ecf | 44 + .../grib_awips/jgfs_awips_f53.ecf | 44 + .../grib_awips/jgfs_awips_f54.ecf | 44 + .../grib_awips/jgfs_awips_f55.ecf | 44 + .../grib_awips/jgfs_awips_f56.ecf | 44 + .../grib_awips/jgfs_awips_f57.ecf | 44 + .../grib_awips/jgfs_awips_f58.ecf | 44 + .../grib_awips/jgfs_awips_f59.ecf | 44 + .../grib_awips/jgfs_awips_f60.ecf | 44 + .../grib_awips/jgfs_awips_f61.ecf | 44 + .../grib_awips/jgfs_awips_f62.ecf | 44 + .../grib_awips/jgfs_awips_f63.ecf | 44 + .../grib_awips/jgfs_awips_f64.ecf | 44 + .../grib_awips/jgfs_awips_f65.ecf | 44 + .../grib_awips/jgfs_awips_f66.ecf | 44 + .../grib_awips/jgfs_awips_f67.ecf | 44 + .../grib_awips/jgfs_awips_f68.ecf | 44 + .../grib_awips/jgfs_awips_f69.ecf | 44 + .../grib_awips/jgfs_awips_f70.ecf | 44 + .../grib_awips/jgfs_awips_f71.ecf | 44 + .../grib_awips/jgfs_awips_f72.ecf | 44 + .../grib_awips/jgfs_awips_f73.ecf | 44 + .../grib_awips/jgfs_awips_f74.ecf | 44 + .../grib_awips/jgfs_awips_f75.ecf | 44 + .../grib_awips/jgfs_awips_f76.ecf | 44 + .../grib_awips/jgfs_awips_f77.ecf | 44 + .../grib_awips/jgfs_awips_f78.ecf | 44 + .../grib_awips/jgfs_awips_f79.ecf | 44 + .../grib_awips/jgfs_awips_f80.ecf | 44 + .../grib_awips/jgfs_awips_f81.ecf | 44 + .../grib_awips/jgfs_awips_f82.ecf | 44 + .../grib_awips/jgfs_awips_f83.ecf | 44 + .../grib_awips/jgfs_awips_f84.ecf | 44 + .../grib_awips/jgfs_awips_f85.ecf | 44 + .../grib_awips/jgfs_awips_f86.ecf | 44 + .../grib_awips/jgfs_awips_f87.ecf | 44 + .../grib_awips/jgfs_awips_f88.ecf | 44 + .../grib_awips/jgfs_awips_f89.ecf | 44 + .../grib_awips/jgfs_awips_f90.ecf | 44 + .../grib_awips/jgfs_awips_f91.ecf | 44 + .../grib_awips/jgfs_awips_f92.ecf | 44 + .../grib_awips/jgfs_awips_f93.ecf | 44 + .../grib_awips/jgfs_awips_f94.ecf | 44 + .../grib_awips/jgfs_awips_f95.ecf | 44 + .../grib_awips/jgfs_awips_f96.ecf | 44 + .../grib_awips/jgfs_awips_f97.ecf | 44 + .../grib_awips/jgfs_awips_f98.ecf | 44 + .../grib_awips/jgfs_awips_f99.ecf | 44 + .../grib_wafs/jgfs_wafs_f00.ecf | 4 +- .../grib_wafs/jgfs_wafs_f06.ecf | 4 +- .../grib_wafs/jgfs_wafs_f102.ecf | 4 +- .../grib_wafs/jgfs_wafs_f108.ecf | 4 +- .../grib_wafs/jgfs_wafs_f114.ecf | 4 +- .../grib_wafs/jgfs_wafs_f12.ecf | 4 +- .../grib_wafs/jgfs_wafs_f120.ecf | 4 +- .../grib_wafs/jgfs_wafs_f18.ecf | 4 +- .../grib_wafs/jgfs_wafs_f24.ecf | 4 +- .../grib_wafs/jgfs_wafs_f30.ecf | 4 +- .../grib_wafs/jgfs_wafs_f36.ecf | 4 +- .../grib_wafs/jgfs_wafs_f42.ecf | 4 +- .../grib_wafs/jgfs_wafs_f48.ecf | 4 +- .../grib_wafs/jgfs_wafs_f54.ecf | 4 +- .../grib_wafs/jgfs_wafs_f60.ecf | 4 +- .../grib_wafs/jgfs_wafs_f66.ecf | 4 +- .../grib_wafs/jgfs_wafs_f72.ecf | 4 +- .../grib_wafs/jgfs_wafs_f78.ecf | 4 +- .../grib_wafs/jgfs_wafs_f84.ecf | 4 +- .../grib_wafs/jgfs_wafs_f90.ecf | 4 +- .../grib_wafs/jgfs_wafs_f96.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_anl.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f00.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f01.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f02.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f03.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f04.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f05.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f06.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f07.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f08.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f09.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f10.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f100.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f101.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f102.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f103.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f104.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f105.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f106.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f107.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f108.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f109.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f11.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f110.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f111.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f112.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f113.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f114.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f115.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f116.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f117.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f118.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f119.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f12.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f120.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f123.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f126.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f129.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f13.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f132.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f135.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f138.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f14.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f141.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f144.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f147.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f15.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f150.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f153.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f156.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f159.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f16.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f162.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f165.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f168.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f17.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f171.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f174.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f177.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f18.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f180.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f183.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f186.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f189.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f19.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f192.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f195.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f198.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f20.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f201.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f204.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f207.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f21.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f210.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f213.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f216.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f219.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f22.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f222.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f225.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f228.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f23.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f231.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f234.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f237.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f24.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f240.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f25.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f252.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f26.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f264.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f27.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f276.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f28.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f288.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f29.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f30.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f300.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f31.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f312.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f32.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f324.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f33.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f336.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f34.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f348.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f35.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f36.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f360.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f37.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f372.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f38.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f384.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f39.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f40.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f41.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f42.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f43.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f44.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f45.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f46.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f47.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f48.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f49.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f50.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f51.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f52.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f53.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f54.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f55.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f56.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f57.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f58.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f59.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f60.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f61.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f62.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f63.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f64.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f65.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f66.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f67.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f68.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f69.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f70.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f71.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f72.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f73.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f74.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f75.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f76.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f77.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f78.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f79.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f80.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f81.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f82.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f83.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f84.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f85.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f86.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f87.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f88.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f89.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f90.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f91.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f92.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f93.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f94.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f95.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f96.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f97.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f98.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_f99.ecf | 4 +- .../prod06/gfs/prdgen/jgfs_pgrb2_manager.ecf | 4 +- .../prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 4 +- .../scripts/prod06/gfs/prep/jgfs_prep.ecf | 4 +- .../prod06/gfs/prep/jgfs_prep_post.ecf | 4 +- .../gfs/sminit_guam/jgfs_sminit_guam_even.ecf | 4 +- .../gfs/sminit_guam/jgfs_sminit_guam_odd.ecf | 4 +- .../scripts/prod12/cycle_end.ecf | 4 +- .../gdas/analysis/jgdas_analysis_high.ecf | 4 +- .../scripts/prod12/gdas/dump/jgdas_dump.ecf | 4 +- .../scripts/prod12/gdas/dump/jgdas_ics.ecf | 4 +- .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp1.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp10.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp11.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp12.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp13.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp14.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp15.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp16.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp2.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp3.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp4.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp5.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp6.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp7.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp8.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp9.ecf | 2 + .../innovate/jgdas_enkf_innovate_obs_grp1.ecf | 4 +- .../jgdas_enkf_innovate_obs_grp10.ecf | 2 + .../jgdas_enkf_innovate_obs_grp11.ecf | 2 + .../jgdas_enkf_innovate_obs_grp12.ecf | 2 + .../jgdas_enkf_innovate_obs_grp13.ecf | 2 + .../jgdas_enkf_innovate_obs_grp14.ecf | 2 + .../jgdas_enkf_innovate_obs_grp15.ecf | 2 + .../jgdas_enkf_innovate_obs_grp16.ecf | 2 + .../innovate/jgdas_enkf_innovate_obs_grp2.ecf | 4 +- .../innovate/jgdas_enkf_innovate_obs_grp3.ecf | 4 +- .../innovate/jgdas_enkf_innovate_obs_grp4.ecf | 4 +- .../innovate/jgdas_enkf_innovate_obs_grp5.ecf | 4 +- .../innovate/jgdas_enkf_innovate_obs_grp6.ecf | 4 +- .../innovate/jgdas_enkf_innovate_obs_grp7.ecf | 4 +- .../innovate/jgdas_enkf_innovate_obs_grp8.ecf | 4 +- .../innovate/jgdas_enkf_innovate_obs_grp9.ecf | 2 + .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 4 +- .../prod12/gdas/enkf/jgdas_enkf_post.ecf | 4 +- .../gdas/enkf/jgdas_enkf_select_obs.ecf | 4 +- .../prod12/gdas/enkf/jgdas_enkf_update.ecf | 4 +- .../prod12/gdas/forecast/jgdas_forecast.ecf | 4 +- .../prod12/gdas/gempak/jgdas_gempak.ecf | 4 +- .../prod12/gdas/gempak/jgdas_gempak_meta.ecf | 4 +- .../prod12/gdas/gempak/jgdas_gempak_ncdc.ecf | 4 +- .../scripts/prod12/gdas/jgdas_verfrad.ecf | 4 +- .../scripts/prod12/gdas/jgdas_vminmon.ecf | 4 +- .../scripts/prod12/gdas/post/jgdas_post.ecf | 4 +- .../bulletins/jgdas_mknavybulls.ecf | 4 +- .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 4 +- .../scripts/prod12/gdas/prep/jgdas_prep.ecf | 4 +- .../prod12/gdas/prep/jgdas_prep_post.ecf | 4 +- .../scripts/prod12/gfs/dump/jgfs_dump.ecf | 4 +- .../prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 4 +- .../gfs/forecast/jgfs_forecast_high.ecf | 4 +- .../prod12/gfs/forecast/jgfs_forecast_low.ecf | 4 +- .../scripts/prod12/gfs/gempak/jgfs_gempak.ecf | 4 +- .../prod12/gfs/gempak/jgfs_gempak_meta.ecf | 4 +- .../prod12/gfs/gempak/jgfs_gempak_ncdc.ecf | 4 +- .../prod12/gfs/gempak/jgfs_gempak_upapgif.ecf | 4 +- .../scripts/prod12/gfs/jgfs_analysis.ecf | 4 +- .../scripts/prod12/gfs/jgfs_vminmon.ecf | 4 +- .../prod12/gfs/post/jgfs_pgrb2_spec_post.ecf | 23 +- .../scripts/prod12/gfs/post/jgfs_post_anl.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f00.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f01.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f02.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f03.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f04.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f05.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f06.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f07.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f08.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f09.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f10.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f100.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f101.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f102.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f103.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f104.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f105.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f106.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f107.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f108.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f109.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f11.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f110.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f111.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f112.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f113.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f114.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f115.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f116.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f117.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f118.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f119.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f12.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f120.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f123.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f126.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f129.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f13.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f132.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f135.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f138.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f14.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f141.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f144.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f147.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f15.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f150.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f153.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f156.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f159.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f16.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f162.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f165.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f168.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f17.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f171.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f174.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f177.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f18.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f180.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f183.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f186.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f189.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f19.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f192.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f195.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f198.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f20.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f201.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f204.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f207.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f21.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f210.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f213.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f216.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f219.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f22.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f222.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f225.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f228.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f23.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f231.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f234.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f237.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f24.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f240.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f25.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f252.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f26.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f264.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f27.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f276.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f28.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f288.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f29.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f30.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f300.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f31.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f312.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f32.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f324.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f33.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f336.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f34.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f348.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f35.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f36.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f360.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f37.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f372.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f38.ecf | 4 +- .../prod12/gfs/post/jgfs_post_f384.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f39.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f40.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f41.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f42.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f43.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f44.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f45.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f46.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f47.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f48.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f49.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f50.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f51.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f52.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f53.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f54.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f55.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f56.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f57.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f58.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f59.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f60.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f61.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f62.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f63.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f64.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f65.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f66.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f67.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f68.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f69.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f70.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f71.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f72.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f73.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f74.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f75.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f76.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f77.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f78.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f79.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f80.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f81.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f82.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f83.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f84.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f85.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f86.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f87.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f88.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f89.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f90.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f91.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f92.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f93.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f94.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f95.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f96.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f97.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f98.ecf | 4 +- .../scripts/prod12/gfs/post/jgfs_post_f99.ecf | 4 +- .../prod12/gfs/post/jgfs_post_manager.ecf | 4 +- .../awips_1p0deg/jgfs_awips_f000.ecf | 4 +- .../awips_1p0deg/jgfs_awips_f001.ecf | 44 + .../awips_1p0deg/jgfs_awips_f002.ecf | 44 + .../awips_1p0deg/jgfs_awips_f003.ecf | 44 + .../awips_1p0deg/jgfs_awips_f004.ecf | 44 + .../awips_1p0deg/jgfs_awips_f005.ecf | 44 + .../awips_1p0deg/jgfs_awips_f006.ecf | 4 +- .../awips_1p0deg/jgfs_awips_f007.ecf | 44 + .../awips_1p0deg/jgfs_awips_f008.ecf | 44 + .../awips_1p0deg/jgfs_awips_f009.ecf | 44 + .../awips_1p0deg/jgfs_awips_f010.ecf | 44 + .../awips_1p0deg/jgfs_awips_f011.ecf | 44 + .../awips_1p0deg/jgfs_awips_f012.ecf | 44 + .../awips_1p0deg/jgfs_awips_f013.ecf | 44 + .../awips_1p0deg/jgfs_awips_f014.ecf | 44 + .../awips_1p0deg/jgfs_awips_f015.ecf | 44 + .../awips_1p0deg/jgfs_awips_f016.ecf | 44 + .../awips_1p0deg/jgfs_awips_f017.ecf | 44 + .../awips_1p0deg/jgfs_awips_f018.ecf | 44 + .../awips_1p0deg/jgfs_awips_f019.ecf | 44 + .../awips_1p0deg/jgfs_awips_f020.ecf | 44 + .../awips_1p0deg/jgfs_awips_f021.ecf | 44 + .../awips_1p0deg/jgfs_awips_f022.ecf | 44 + .../awips_1p0deg/jgfs_awips_f023.ecf | 44 + .../awips_1p0deg/jgfs_awips_f024.ecf | 44 + .../awips_1p0deg/jgfs_awips_f025.ecf | 44 + .../awips_1p0deg/jgfs_awips_f026.ecf | 44 + .../awips_1p0deg/jgfs_awips_f027.ecf | 44 + .../awips_1p0deg/jgfs_awips_f028.ecf | 44 + .../awips_1p0deg/jgfs_awips_f029.ecf | 44 + .../awips_1p0deg/jgfs_awips_f030.ecf | 44 + .../awips_1p0deg/jgfs_awips_f031.ecf | 44 + .../awips_1p0deg/jgfs_awips_f032.ecf | 44 + .../awips_1p0deg/jgfs_awips_f033.ecf | 44 + .../awips_1p0deg/jgfs_awips_f034.ecf | 44 + .../awips_1p0deg/jgfs_awips_f035.ecf | 44 + .../awips_1p0deg/jgfs_awips_f036.ecf | 44 + .../awips_1p0deg/jgfs_awips_f037.ecf | 44 + .../awips_1p0deg/jgfs_awips_f038.ecf | 44 + .../awips_1p0deg/jgfs_awips_f039.ecf | 44 + .../awips_1p0deg/jgfs_awips_f040.ecf | 44 + .../awips_1p0deg/jgfs_awips_f041.ecf | 44 + .../awips_1p0deg/jgfs_awips_f042.ecf | 44 + .../awips_1p0deg/jgfs_awips_f043.ecf | 44 + .../awips_1p0deg/jgfs_awips_f044.ecf | 44 + .../awips_1p0deg/jgfs_awips_f045.ecf | 44 + .../awips_1p0deg/jgfs_awips_f046.ecf | 44 + .../awips_1p0deg/jgfs_awips_f047.ecf | 44 + .../awips_1p0deg/jgfs_awips_f048.ecf | 44 + .../awips_1p0deg/jgfs_awips_f049.ecf | 44 + .../awips_1p0deg/jgfs_awips_f050.ecf | 44 + .../awips_1p0deg/jgfs_awips_f051.ecf | 44 + .../awips_1p0deg/jgfs_awips_f052.ecf | 44 + .../awips_1p0deg/jgfs_awips_f053.ecf | 44 + .../awips_1p0deg/jgfs_awips_f054.ecf | 44 + .../awips_1p0deg/jgfs_awips_f055.ecf | 44 + .../awips_1p0deg/jgfs_awips_f056.ecf | 44 + .../awips_1p0deg/jgfs_awips_f057.ecf | 44 + .../awips_1p0deg/jgfs_awips_f058.ecf | 44 + .../awips_1p0deg/jgfs_awips_f059.ecf | 44 + .../awips_1p0deg/jgfs_awips_f060.ecf | 44 + .../awips_1p0deg/jgfs_awips_f061.ecf | 44 + .../awips_1p0deg/jgfs_awips_f062.ecf | 44 + .../awips_1p0deg/jgfs_awips_f063.ecf | 44 + .../awips_1p0deg/jgfs_awips_f064.ecf | 44 + .../awips_1p0deg/jgfs_awips_f065.ecf | 44 + .../awips_1p0deg/jgfs_awips_f066.ecf | 44 + .../awips_1p0deg/jgfs_awips_f067.ecf | 44 + .../awips_1p0deg/jgfs_awips_f068.ecf | 44 + .../awips_1p0deg/jgfs_awips_f069.ecf | 44 + .../awips_1p0deg/jgfs_awips_f070.ecf | 44 + .../awips_1p0deg/jgfs_awips_f071.ecf | 44 + .../awips_1p0deg/jgfs_awips_f072.ecf | 44 + .../awips_1p0deg/jgfs_awips_f073.ecf | 44 + .../awips_1p0deg/jgfs_awips_f074.ecf | 44 + .../awips_1p0deg/jgfs_awips_f075.ecf | 44 + .../awips_1p0deg/jgfs_awips_f076.ecf | 44 + .../awips_1p0deg/jgfs_awips_f077.ecf | 44 + .../awips_1p0deg/jgfs_awips_f078.ecf | 44 + .../awips_1p0deg/jgfs_awips_f079.ecf | 44 + .../awips_1p0deg/jgfs_awips_f080.ecf | 44 + .../awips_1p0deg/jgfs_awips_f081.ecf | 44 + .../awips_1p0deg/jgfs_awips_f082.ecf | 44 + .../awips_1p0deg/jgfs_awips_f083.ecf | 44 + .../awips_1p0deg/jgfs_awips_f084.ecf | 44 + .../awips_1p0deg/jgfs_awips_f085.ecf | 44 + .../awips_1p0deg/jgfs_awips_f086.ecf | 44 + .../awips_1p0deg/jgfs_awips_f087.ecf | 44 + .../awips_1p0deg/jgfs_awips_f088.ecf | 44 + .../awips_1p0deg/jgfs_awips_f089.ecf | 44 + .../awips_1p0deg/jgfs_awips_f090.ecf | 44 + .../awips_1p0deg/jgfs_awips_f091.ecf | 44 + .../awips_1p0deg/jgfs_awips_f092.ecf | 44 + .../awips_1p0deg/jgfs_awips_f093.ecf | 44 + .../awips_1p0deg/jgfs_awips_f094.ecf | 44 + .../awips_1p0deg/jgfs_awips_f095.ecf | 44 + .../awips_1p0deg/jgfs_awips_f096.ecf | 44 + .../awips_1p0deg/jgfs_awips_f097.ecf | 44 + .../awips_1p0deg/jgfs_awips_f098.ecf | 44 + .../awips_1p0deg/jgfs_awips_f099.ecf | 44 + .../awips_1p0deg/jgfs_awips_f100.ecf | 44 + .../awips_1p0deg/jgfs_awips_f101.ecf | 44 + .../awips_1p0deg/jgfs_awips_f102.ecf | 44 + .../awips_1p0deg/jgfs_awips_f103.ecf | 44 + .../awips_1p0deg/jgfs_awips_f104.ecf | 44 + .../awips_1p0deg/jgfs_awips_f105.ecf | 44 + .../awips_1p0deg/jgfs_awips_f106.ecf | 44 + .../awips_1p0deg/jgfs_awips_f107.ecf | 44 + .../awips_1p0deg/jgfs_awips_f108.ecf | 44 + .../awips_1p0deg/jgfs_awips_f109.ecf | 44 + .../awips_1p0deg/jgfs_awips_f110.ecf | 44 + .../awips_1p0deg/jgfs_awips_f111.ecf | 44 + .../awips_1p0deg/jgfs_awips_f112.ecf | 44 + .../awips_1p0deg/jgfs_awips_f113.ecf | 44 + .../awips_1p0deg/jgfs_awips_f114.ecf | 44 + .../awips_1p0deg/jgfs_awips_f115.ecf | 44 + .../awips_1p0deg/jgfs_awips_f116.ecf | 44 + .../awips_1p0deg/jgfs_awips_f117.ecf | 44 + .../awips_1p0deg/jgfs_awips_f118.ecf | 44 + .../awips_1p0deg/jgfs_awips_f119.ecf | 44 + .../awips_1p0deg/jgfs_awips_f120.ecf | 44 + .../awips_1p0deg/jgfs_awips_f123.ecf | 44 + .../awips_1p0deg/jgfs_awips_f126.ecf | 44 + .../awips_1p0deg/jgfs_awips_f129.ecf | 44 + .../awips_1p0deg/jgfs_awips_f132.ecf | 44 + .../awips_1p0deg/jgfs_awips_f135.ecf | 44 + .../awips_1p0deg/jgfs_awips_f138.ecf | 44 + .../awips_1p0deg/jgfs_awips_f141.ecf | 44 + .../awips_1p0deg/jgfs_awips_f144.ecf | 44 + .../awips_1p0deg/jgfs_awips_f147.ecf | 44 + .../awips_1p0deg/jgfs_awips_f150.ecf | 44 + .../awips_1p0deg/jgfs_awips_f153.ecf | 44 + .../awips_1p0deg/jgfs_awips_f156.ecf | 44 + .../awips_1p0deg/jgfs_awips_f159.ecf | 44 + .../awips_1p0deg/jgfs_awips_f162.ecf | 44 + .../awips_1p0deg/jgfs_awips_f165.ecf | 44 + .../awips_1p0deg/jgfs_awips_f168.ecf | 44 + .../awips_1p0deg/jgfs_awips_f171.ecf | 44 + .../awips_1p0deg/jgfs_awips_f174.ecf | 44 + .../awips_1p0deg/jgfs_awips_f177.ecf | 44 + .../awips_1p0deg/jgfs_awips_f180.ecf | 44 + .../awips_1p0deg/jgfs_awips_f183.ecf | 44 + .../awips_1p0deg/jgfs_awips_f186.ecf | 44 + .../awips_1p0deg/jgfs_awips_f189.ecf | 44 + .../awips_1p0deg/jgfs_awips_f192.ecf | 44 + .../awips_1p0deg/jgfs_awips_f195.ecf | 44 + .../awips_1p0deg/jgfs_awips_f198.ecf | 44 + .../awips_1p0deg/jgfs_awips_f201.ecf | 44 + .../awips_1p0deg/jgfs_awips_f204.ecf | 44 + .../awips_1p0deg/jgfs_awips_f207.ecf | 44 + .../awips_1p0deg/jgfs_awips_f210.ecf | 44 + .../awips_1p0deg/jgfs_awips_f213.ecf | 44 + .../awips_1p0deg/jgfs_awips_f216.ecf | 44 + .../awips_1p0deg/jgfs_awips_f219.ecf | 44 + .../awips_1p0deg/jgfs_awips_f222.ecf | 44 + .../awips_1p0deg/jgfs_awips_f225.ecf | 44 + .../awips_1p0deg/jgfs_awips_f228.ecf | 44 + .../awips_1p0deg/jgfs_awips_f231.ecf | 44 + .../awips_1p0deg/jgfs_awips_f234.ecf | 44 + .../awips_1p0deg/jgfs_awips_f237.ecf | 44 + .../awips_1p0deg/jgfs_awips_f240.ecf | 4 +- .../awips_1p0deg/jgfs_awips_f252.ecf | 44 + .../awips_1p0deg/jgfs_awips_f264.ecf | 44 + .../awips_1p0deg/jgfs_awips_f276.ecf | 44 + .../awips_1p0deg/jgfs_awips_f288.ecf | 44 + .../awips_1p0deg/jgfs_awips_f300.ecf | 44 + .../awips_1p0deg/jgfs_awips_f312.ecf | 44 + .../awips_1p0deg/jgfs_awips_f324.ecf | 44 + .../awips_1p0deg/jgfs_awips_f336.ecf | 44 + .../awips_1p0deg/jgfs_awips_f348.ecf | 44 + .../awips_1p0deg/jgfs_awips_f360.ecf | 44 + .../awips_1p0deg/jgfs_awips_f372.ecf | 44 + .../awips_1p0deg/jgfs_awips_f384.ecf | 44 + .../awips_20km/jgfs_awips_f000.ecf | 4 +- .../awips_20km/jgfs_awips_f001.ecf | 44 + .../awips_20km/jgfs_awips_f002.ecf | 44 + .../awips_20km/jgfs_awips_f003.ecf | 23 +- .../awips_20km/jgfs_awips_f004.ecf | 44 + .../awips_20km/jgfs_awips_f005.ecf | 44 + .../awips_20km/jgfs_awips_f006.ecf | 44 + .../awips_20km/jgfs_awips_f007.ecf | 44 + .../awips_20km/jgfs_awips_f008.ecf | 44 + .../awips_20km/jgfs_awips_f009.ecf | 44 + .../awips_20km/jgfs_awips_f010.ecf | 44 + .../awips_20km/jgfs_awips_f011.ecf | 44 + .../awips_20km/jgfs_awips_f012.ecf | 44 + .../awips_20km/jgfs_awips_f013.ecf | 44 + .../awips_20km/jgfs_awips_f014.ecf | 44 + .../awips_20km/jgfs_awips_f015.ecf | 44 + .../awips_20km/jgfs_awips_f016.ecf | 44 + .../awips_20km/jgfs_awips_f017.ecf | 44 + .../awips_20km/jgfs_awips_f018.ecf | 44 + .../awips_20km/jgfs_awips_f019.ecf | 44 + .../awips_20km/jgfs_awips_f020.ecf | 44 + .../awips_20km/jgfs_awips_f021.ecf | 44 + .../awips_20km/jgfs_awips_f022.ecf | 44 + .../awips_20km/jgfs_awips_f023.ecf | 44 + .../awips_20km/jgfs_awips_f024.ecf | 44 + .../awips_20km/jgfs_awips_f025.ecf | 44 + .../awips_20km/jgfs_awips_f026.ecf | 44 + .../awips_20km/jgfs_awips_f027.ecf | 44 + .../awips_20km/jgfs_awips_f028.ecf | 44 + .../awips_20km/jgfs_awips_f029.ecf | 44 + .../awips_20km/jgfs_awips_f030.ecf | 44 + .../awips_20km/jgfs_awips_f031.ecf | 44 + .../awips_20km/jgfs_awips_f032.ecf | 44 + .../awips_20km/jgfs_awips_f033.ecf | 44 + .../awips_20km/jgfs_awips_f034.ecf | 44 + .../awips_20km/jgfs_awips_f035.ecf | 44 + .../awips_20km/jgfs_awips_f036.ecf | 44 + .../awips_20km/jgfs_awips_f037.ecf | 44 + .../awips_20km/jgfs_awips_f038.ecf | 44 + .../awips_20km/jgfs_awips_f039.ecf | 44 + .../awips_20km/jgfs_awips_f040.ecf | 44 + .../awips_20km/jgfs_awips_f041.ecf | 44 + .../awips_20km/jgfs_awips_f042.ecf | 44 + .../awips_20km/jgfs_awips_f043.ecf | 44 + .../awips_20km/jgfs_awips_f044.ecf | 44 + .../awips_20km/jgfs_awips_f045.ecf | 44 + .../awips_20km/jgfs_awips_f046.ecf | 44 + .../awips_20km/jgfs_awips_f047.ecf | 44 + .../awips_20km/jgfs_awips_f048.ecf | 44 + .../awips_20km/jgfs_awips_f049.ecf | 44 + .../awips_20km/jgfs_awips_f050.ecf | 44 + .../awips_20km/jgfs_awips_f051.ecf | 44 + .../awips_20km/jgfs_awips_f052.ecf | 44 + .../awips_20km/jgfs_awips_f053.ecf | 44 + .../awips_20km/jgfs_awips_f054.ecf | 44 + .../awips_20km/jgfs_awips_f055.ecf | 44 + .../awips_20km/jgfs_awips_f056.ecf | 44 + .../awips_20km/jgfs_awips_f057.ecf | 44 + .../awips_20km/jgfs_awips_f058.ecf | 44 + .../awips_20km/jgfs_awips_f059.ecf | 44 + .../awips_20km/jgfs_awips_f060.ecf | 44 + .../awips_20km/jgfs_awips_f061.ecf | 44 + .../awips_20km/jgfs_awips_f062.ecf | 44 + .../awips_20km/jgfs_awips_f063.ecf | 44 + .../awips_20km/jgfs_awips_f064.ecf | 44 + .../awips_20km/jgfs_awips_f065.ecf | 44 + .../awips_20km/jgfs_awips_f066.ecf | 44 + .../awips_20km/jgfs_awips_f067.ecf | 44 + .../awips_20km/jgfs_awips_f068.ecf | 44 + .../awips_20km/jgfs_awips_f069.ecf | 44 + .../awips_20km/jgfs_awips_f070.ecf | 44 + .../awips_20km/jgfs_awips_f071.ecf | 44 + .../awips_20km/jgfs_awips_f072.ecf | 44 + .../awips_20km/jgfs_awips_f073.ecf | 44 + .../awips_20km/jgfs_awips_f074.ecf | 44 + .../awips_20km/jgfs_awips_f075.ecf | 44 + .../awips_20km/jgfs_awips_f076.ecf | 44 + .../awips_20km/jgfs_awips_f077.ecf | 44 + .../awips_20km/jgfs_awips_f078.ecf | 44 + .../awips_20km/jgfs_awips_f079.ecf | 44 + .../awips_20km/jgfs_awips_f080.ecf | 44 + .../awips_20km/jgfs_awips_f081.ecf | 44 + .../awips_20km/jgfs_awips_f082.ecf | 44 + .../awips_20km/jgfs_awips_f083.ecf | 44 + .../awips_20km/jgfs_awips_f084.ecf | 44 + .../awips_20km/jgfs_awips_f085.ecf | 44 + .../awips_20km/jgfs_awips_f086.ecf | 44 + .../awips_20km/jgfs_awips_f087.ecf | 44 + .../awips_20km/jgfs_awips_f088.ecf | 44 + .../awips_20km/jgfs_awips_f089.ecf | 44 + .../awips_20km/jgfs_awips_f090.ecf | 44 + .../awips_20km/jgfs_awips_f091.ecf | 44 + .../awips_20km/jgfs_awips_f092.ecf | 44 + .../awips_20km/jgfs_awips_f093.ecf | 44 + .../awips_20km/jgfs_awips_f094.ecf | 44 + .../awips_20km/jgfs_awips_f095.ecf | 44 + .../awips_20km/jgfs_awips_f096.ecf | 44 + .../awips_20km/jgfs_awips_f097.ecf | 44 + .../awips_20km/jgfs_awips_f098.ecf | 44 + .../awips_20km/jgfs_awips_f099.ecf | 44 + .../awips_20km/jgfs_awips_f100.ecf | 44 + .../awips_20km/jgfs_awips_f101.ecf | 44 + .../awips_20km/jgfs_awips_f102.ecf | 44 + .../awips_20km/jgfs_awips_f103.ecf | 44 + .../awips_20km/jgfs_awips_f104.ecf | 44 + .../awips_20km/jgfs_awips_f105.ecf | 44 + .../awips_20km/jgfs_awips_f106.ecf | 44 + .../awips_20km/jgfs_awips_f107.ecf | 44 + .../awips_20km/jgfs_awips_f108.ecf | 44 + .../awips_20km/jgfs_awips_f109.ecf | 44 + .../awips_20km/jgfs_awips_f110.ecf | 44 + .../awips_20km/jgfs_awips_f111.ecf | 44 + .../awips_20km/jgfs_awips_f112.ecf | 44 + .../awips_20km/jgfs_awips_f113.ecf | 44 + .../awips_20km/jgfs_awips_f114.ecf | 44 + .../awips_20km/jgfs_awips_f115.ecf | 44 + .../awips_20km/jgfs_awips_f116.ecf | 44 + .../awips_20km/jgfs_awips_f117.ecf | 44 + .../awips_20km/jgfs_awips_f118.ecf | 44 + .../awips_20km/jgfs_awips_f119.ecf | 44 + .../awips_20km/jgfs_awips_f120.ecf | 44 + .../awips_20km/jgfs_awips_f123.ecf | 44 + .../awips_20km/jgfs_awips_f126.ecf | 44 + .../awips_20km/jgfs_awips_f129.ecf | 44 + .../awips_20km/jgfs_awips_f132.ecf | 44 + .../awips_20km/jgfs_awips_f135.ecf | 44 + .../awips_20km/jgfs_awips_f138.ecf | 44 + .../awips_20km/jgfs_awips_f141.ecf | 44 + .../awips_20km/jgfs_awips_f144.ecf | 44 + .../awips_20km/jgfs_awips_f147.ecf | 44 + .../awips_20km/jgfs_awips_f150.ecf | 44 + .../awips_20km/jgfs_awips_f153.ecf | 44 + .../awips_20km/jgfs_awips_f156.ecf | 44 + .../awips_20km/jgfs_awips_f159.ecf | 44 + .../awips_20km/jgfs_awips_f162.ecf | 44 + .../awips_20km/jgfs_awips_f165.ecf | 44 + .../awips_20km/jgfs_awips_f168.ecf | 44 + .../awips_20km/jgfs_awips_f171.ecf | 44 + .../awips_20km/jgfs_awips_f174.ecf | 44 + .../awips_20km/jgfs_awips_f177.ecf | 44 + .../awips_20km/jgfs_awips_f180.ecf | 44 + .../awips_20km/jgfs_awips_f183.ecf | 44 + .../awips_20km/jgfs_awips_f186.ecf | 44 + .../awips_20km/jgfs_awips_f189.ecf | 44 + .../awips_20km/jgfs_awips_f192.ecf | 44 + .../awips_20km/jgfs_awips_f195.ecf | 44 + .../awips_20km/jgfs_awips_f198.ecf | 44 + .../awips_20km/jgfs_awips_f201.ecf | 44 + .../awips_20km/jgfs_awips_f204.ecf | 44 + .../awips_20km/jgfs_awips_f207.ecf | 44 + .../awips_20km/jgfs_awips_f210.ecf | 44 + .../awips_20km/jgfs_awips_f213.ecf | 44 + .../awips_20km/jgfs_awips_f216.ecf | 44 + .../awips_20km/jgfs_awips_f219.ecf | 44 + .../awips_20km/jgfs_awips_f222.ecf | 44 + .../awips_20km/jgfs_awips_f225.ecf | 44 + .../awips_20km/jgfs_awips_f228.ecf | 44 + .../awips_20km/jgfs_awips_f231.ecf | 44 + .../awips_20km/jgfs_awips_f234.ecf | 44 + .../awips_20km/jgfs_awips_f237.ecf | 44 + .../awips_20km/jgfs_awips_f240.ecf | 23 +- .../awips_20km/jgfs_awips_f252.ecf | 44 + .../awips_20km/jgfs_awips_f264.ecf | 44 + .../awips_20km/jgfs_awips_f276.ecf | 44 + .../awips_20km/jgfs_awips_f288.ecf | 44 + .../awips_20km/jgfs_awips_f300.ecf | 44 + .../awips_20km/jgfs_awips_f312.ecf | 44 + .../awips_20km/jgfs_awips_f324.ecf | 44 + .../awips_20km/jgfs_awips_f336.ecf | 44 + .../awips_20km/jgfs_awips_f348.ecf | 44 + .../awips_20km/jgfs_awips_f360.ecf | 44 + .../awips_20km/jgfs_awips_f372.ecf | 44 + .../awips_20km/jgfs_awips_f384.ecf | 44 + .../bufr_sounding/jgfs_postsnd.ecf | 4 +- .../bulletins/jgfs_cyclone_tracker.ecf | 4 +- .../post_processing/bulletins/jgfs_fbwind.ecf | 4 +- .../prod12/gfs/post_processing/dummy.ecf | 4 +- .../gfs/post_processing/fax/jgfs_fax_anl.ecf | 4 +- .../gfs/post_processing/fax/jgfs_fax_f00.ecf | 4 +- .../post_processing/fax/jgfs_fax_wafs_f12.ecf | 4 +- .../post_processing/fax/jgfs_fax_wafs_f24.ecf | 4 +- .../post_processing/fax/jgfs_fax_wafs_f36.ecf | 4 +- .../grib2_wafs/jgfs_wafs_blending.ecf | 4 +- .../grib2_wafs/jgfs_wafs_grib2.ecf | 4 +- .../grib_awips/jgfs_awips_f00.ecf | 4 +- .../grib_awips/jgfs_awips_f01.ecf | 44 + .../grib_awips/jgfs_awips_f02.ecf | 44 + .../grib_awips/jgfs_awips_f03.ecf | 44 + .../grib_awips/jgfs_awips_f04.ecf | 44 + .../grib_awips/jgfs_awips_f05.ecf | 44 + .../grib_awips/jgfs_awips_f06.ecf | 4 +- .../grib_awips/jgfs_awips_f07.ecf | 44 + .../grib_awips/jgfs_awips_f08.ecf | 44 + .../grib_awips/jgfs_awips_f09.ecf | 44 + .../grib_awips/jgfs_awips_f10.ecf | 44 + .../grib_awips/jgfs_awips_f100.ecf | 44 + .../grib_awips/jgfs_awips_f101.ecf | 44 + .../grib_awips/jgfs_awips_f102.ecf | 44 + .../grib_awips/jgfs_awips_f103.ecf | 44 + .../grib_awips/jgfs_awips_f104.ecf | 44 + .../grib_awips/jgfs_awips_f105.ecf | 44 + .../grib_awips/jgfs_awips_f106.ecf | 44 + .../grib_awips/jgfs_awips_f107.ecf | 44 + .../grib_awips/jgfs_awips_f108.ecf | 44 + .../grib_awips/jgfs_awips_f109.ecf | 44 + .../grib_awips/jgfs_awips_f11.ecf | 44 + .../grib_awips/jgfs_awips_f110.ecf | 44 + .../grib_awips/jgfs_awips_f111.ecf | 44 + .../grib_awips/jgfs_awips_f112.ecf | 44 + .../grib_awips/jgfs_awips_f113.ecf | 44 + .../grib_awips/jgfs_awips_f114.ecf | 44 + .../grib_awips/jgfs_awips_f115.ecf | 44 + .../grib_awips/jgfs_awips_f116.ecf | 44 + .../grib_awips/jgfs_awips_f117.ecf | 44 + .../grib_awips/jgfs_awips_f118.ecf | 44 + .../grib_awips/jgfs_awips_f119.ecf | 44 + .../grib_awips/jgfs_awips_f12.ecf | 44 + .../grib_awips/jgfs_awips_f120.ecf | 44 + .../grib_awips/jgfs_awips_f123.ecf | 44 + .../grib_awips/jgfs_awips_f126.ecf | 44 + .../grib_awips/jgfs_awips_f129.ecf | 44 + .../grib_awips/jgfs_awips_f13.ecf | 44 + .../grib_awips/jgfs_awips_f132.ecf | 44 + .../grib_awips/jgfs_awips_f135.ecf | 44 + .../grib_awips/jgfs_awips_f138.ecf | 44 + .../grib_awips/jgfs_awips_f14.ecf | 44 + .../grib_awips/jgfs_awips_f141.ecf | 44 + .../grib_awips/jgfs_awips_f144.ecf | 44 + .../grib_awips/jgfs_awips_f147.ecf | 44 + .../grib_awips/jgfs_awips_f15.ecf | 44 + .../grib_awips/jgfs_awips_f150.ecf | 44 + .../grib_awips/jgfs_awips_f153.ecf | 44 + .../grib_awips/jgfs_awips_f156.ecf | 44 + .../grib_awips/jgfs_awips_f159.ecf | 44 + .../grib_awips/jgfs_awips_f16.ecf | 44 + .../grib_awips/jgfs_awips_f162.ecf | 44 + .../grib_awips/jgfs_awips_f165.ecf | 44 + .../grib_awips/jgfs_awips_f168.ecf | 44 + .../grib_awips/jgfs_awips_f17.ecf | 44 + .../grib_awips/jgfs_awips_f171.ecf | 44 + .../grib_awips/jgfs_awips_f174.ecf | 44 + .../grib_awips/jgfs_awips_f177.ecf | 44 + .../grib_awips/jgfs_awips_f18.ecf | 44 + .../grib_awips/jgfs_awips_f180.ecf | 44 + .../grib_awips/jgfs_awips_f183.ecf | 44 + .../grib_awips/jgfs_awips_f186.ecf | 44 + .../grib_awips/jgfs_awips_f189.ecf | 44 + .../grib_awips/jgfs_awips_f19.ecf | 44 + .../grib_awips/jgfs_awips_f192.ecf | 44 + .../grib_awips/jgfs_awips_f195.ecf | 44 + .../grib_awips/jgfs_awips_f198.ecf | 44 + .../grib_awips/jgfs_awips_f20.ecf | 44 + .../grib_awips/jgfs_awips_f201.ecf | 44 + .../grib_awips/jgfs_awips_f204.ecf | 44 + .../grib_awips/jgfs_awips_f207.ecf | 44 + .../grib_awips/jgfs_awips_f21.ecf | 44 + .../grib_awips/jgfs_awips_f210.ecf | 44 + .../grib_awips/jgfs_awips_f213.ecf | 44 + .../grib_awips/jgfs_awips_f216.ecf | 44 + .../grib_awips/jgfs_awips_f219.ecf | 44 + .../grib_awips/jgfs_awips_f22.ecf | 44 + .../grib_awips/jgfs_awips_f222.ecf | 44 + .../grib_awips/jgfs_awips_f225.ecf | 44 + .../grib_awips/jgfs_awips_f228.ecf | 44 + .../grib_awips/jgfs_awips_f23.ecf | 44 + .../grib_awips/jgfs_awips_f231.ecf | 44 + .../grib_awips/jgfs_awips_f234.ecf | 44 + .../grib_awips/jgfs_awips_f237.ecf | 44 + .../grib_awips/jgfs_awips_f24.ecf | 44 + .../grib_awips/jgfs_awips_f240.ecf | 4 +- .../grib_awips/jgfs_awips_f25.ecf | 44 + .../grib_awips/jgfs_awips_f252.ecf | 44 + .../grib_awips/jgfs_awips_f26.ecf | 44 + .../grib_awips/jgfs_awips_f264.ecf | 44 + .../grib_awips/jgfs_awips_f27.ecf | 44 + .../grib_awips/jgfs_awips_f276.ecf | 44 + .../grib_awips/jgfs_awips_f28.ecf | 44 + .../grib_awips/jgfs_awips_f288.ecf | 44 + .../grib_awips/jgfs_awips_f29.ecf | 44 + .../grib_awips/jgfs_awips_f30.ecf | 44 + .../grib_awips/jgfs_awips_f300.ecf | 44 + .../grib_awips/jgfs_awips_f31.ecf | 44 + .../grib_awips/jgfs_awips_f312.ecf | 44 + .../grib_awips/jgfs_awips_f32.ecf | 44 + .../grib_awips/jgfs_awips_f324.ecf | 44 + .../grib_awips/jgfs_awips_f33.ecf | 44 + .../grib_awips/jgfs_awips_f336.ecf | 44 + .../grib_awips/jgfs_awips_f34.ecf | 44 + .../grib_awips/jgfs_awips_f348.ecf | 44 + .../grib_awips/jgfs_awips_f35.ecf | 44 + .../grib_awips/jgfs_awips_f36.ecf | 44 + .../grib_awips/jgfs_awips_f360.ecf | 44 + .../grib_awips/jgfs_awips_f37.ecf | 44 + .../grib_awips/jgfs_awips_f372.ecf | 44 + .../grib_awips/jgfs_awips_f38.ecf | 44 + .../grib_awips/jgfs_awips_f384.ecf | 44 + .../grib_awips/jgfs_awips_f39.ecf | 44 + .../grib_awips/jgfs_awips_f40.ecf | 44 + .../grib_awips/jgfs_awips_f41.ecf | 44 + .../grib_awips/jgfs_awips_f42.ecf | 44 + .../grib_awips/jgfs_awips_f43.ecf | 44 + .../grib_awips/jgfs_awips_f44.ecf | 44 + .../grib_awips/jgfs_awips_f45.ecf | 44 + .../grib_awips/jgfs_awips_f46.ecf | 44 + .../grib_awips/jgfs_awips_f47.ecf | 44 + .../grib_awips/jgfs_awips_f48.ecf | 44 + .../grib_awips/jgfs_awips_f49.ecf | 44 + .../grib_awips/jgfs_awips_f50.ecf | 44 + .../grib_awips/jgfs_awips_f51.ecf | 44 + .../grib_awips/jgfs_awips_f52.ecf | 44 + .../grib_awips/jgfs_awips_f53.ecf | 44 + .../grib_awips/jgfs_awips_f54.ecf | 44 + .../grib_awips/jgfs_awips_f55.ecf | 44 + .../grib_awips/jgfs_awips_f56.ecf | 44 + .../grib_awips/jgfs_awips_f57.ecf | 44 + .../grib_awips/jgfs_awips_f58.ecf | 44 + .../grib_awips/jgfs_awips_f59.ecf | 44 + .../grib_awips/jgfs_awips_f60.ecf | 44 + .../grib_awips/jgfs_awips_f61.ecf | 44 + .../grib_awips/jgfs_awips_f62.ecf | 44 + .../grib_awips/jgfs_awips_f63.ecf | 44 + .../grib_awips/jgfs_awips_f64.ecf | 44 + .../grib_awips/jgfs_awips_f65.ecf | 44 + .../grib_awips/jgfs_awips_f66.ecf | 44 + .../grib_awips/jgfs_awips_f67.ecf | 44 + .../grib_awips/jgfs_awips_f68.ecf | 44 + .../grib_awips/jgfs_awips_f69.ecf | 44 + .../grib_awips/jgfs_awips_f70.ecf | 44 + .../grib_awips/jgfs_awips_f71.ecf | 44 + .../grib_awips/jgfs_awips_f72.ecf | 44 + .../grib_awips/jgfs_awips_f73.ecf | 44 + .../grib_awips/jgfs_awips_f74.ecf | 44 + .../grib_awips/jgfs_awips_f75.ecf | 44 + .../grib_awips/jgfs_awips_f76.ecf | 44 + .../grib_awips/jgfs_awips_f77.ecf | 44 + .../grib_awips/jgfs_awips_f78.ecf | 44 + .../grib_awips/jgfs_awips_f79.ecf | 44 + .../grib_awips/jgfs_awips_f80.ecf | 44 + .../grib_awips/jgfs_awips_f81.ecf | 44 + .../grib_awips/jgfs_awips_f82.ecf | 44 + .../grib_awips/jgfs_awips_f83.ecf | 44 + .../grib_awips/jgfs_awips_f84.ecf | 44 + .../grib_awips/jgfs_awips_f85.ecf | 44 + .../grib_awips/jgfs_awips_f86.ecf | 44 + .../grib_awips/jgfs_awips_f87.ecf | 44 + .../grib_awips/jgfs_awips_f88.ecf | 44 + .../grib_awips/jgfs_awips_f89.ecf | 44 + .../grib_awips/jgfs_awips_f90.ecf | 44 + .../grib_awips/jgfs_awips_f91.ecf | 44 + .../grib_awips/jgfs_awips_f92.ecf | 44 + .../grib_awips/jgfs_awips_f93.ecf | 44 + .../grib_awips/jgfs_awips_f94.ecf | 44 + .../grib_awips/jgfs_awips_f95.ecf | 44 + .../grib_awips/jgfs_awips_f96.ecf | 44 + .../grib_awips/jgfs_awips_f97.ecf | 44 + .../grib_awips/jgfs_awips_f98.ecf | 44 + .../grib_awips/jgfs_awips_f99.ecf | 44 + .../grib_wafs/jgfs_wafs_f00.ecf | 4 +- .../grib_wafs/jgfs_wafs_f06.ecf | 4 +- .../grib_wafs/jgfs_wafs_f102.ecf | 4 +- .../grib_wafs/jgfs_wafs_f108.ecf | 4 +- .../grib_wafs/jgfs_wafs_f114.ecf | 4 +- .../grib_wafs/jgfs_wafs_f12.ecf | 4 +- .../grib_wafs/jgfs_wafs_f120.ecf | 4 +- .../grib_wafs/jgfs_wafs_f18.ecf | 4 +- .../grib_wafs/jgfs_wafs_f24.ecf | 4 +- .../grib_wafs/jgfs_wafs_f30.ecf | 4 +- .../grib_wafs/jgfs_wafs_f36.ecf | 4 +- .../grib_wafs/jgfs_wafs_f42.ecf | 4 +- .../grib_wafs/jgfs_wafs_f48.ecf | 4 +- .../grib_wafs/jgfs_wafs_f54.ecf | 4 +- .../grib_wafs/jgfs_wafs_f60.ecf | 4 +- .../grib_wafs/jgfs_wafs_f66.ecf | 4 +- .../grib_wafs/jgfs_wafs_f72.ecf | 4 +- .../grib_wafs/jgfs_wafs_f78.ecf | 4 +- .../grib_wafs/jgfs_wafs_f84.ecf | 4 +- .../grib_wafs/jgfs_wafs_f90.ecf | 4 +- .../grib_wafs/jgfs_wafs_f96.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_anl.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f00.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f01.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f02.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f03.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f04.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f05.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f06.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f07.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f08.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f09.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f10.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f100.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f101.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f102.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f103.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f104.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f105.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f106.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f107.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f108.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f109.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f11.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f110.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f111.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f112.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f113.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f114.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f115.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f116.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f117.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f118.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f119.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f12.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f120.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f123.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f126.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f129.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f13.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f132.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f135.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f138.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f14.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f141.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f144.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f147.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f15.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f150.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f153.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f156.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f159.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f16.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f162.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f165.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f168.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f17.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f171.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f174.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f177.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f18.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f180.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f183.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f186.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f189.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f19.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f192.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f195.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f198.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f20.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f201.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f204.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f207.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f21.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f210.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f213.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f216.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f219.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f22.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f222.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f225.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f228.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f23.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f231.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f234.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f237.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f24.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f240.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f25.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f252.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f26.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f264.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f27.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f276.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f28.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f288.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f29.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f30.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f300.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f31.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f312.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f32.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f324.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f33.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f336.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f34.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f348.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f35.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f36.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f360.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f37.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f372.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f38.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f384.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f39.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f40.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f41.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f42.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f43.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f44.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f45.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f46.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f47.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f48.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f49.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f50.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f51.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f52.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f53.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f54.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f55.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f56.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f57.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f58.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f59.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f60.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f61.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f62.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f63.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f64.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f65.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f66.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f67.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f68.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f69.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f70.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f71.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f72.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f73.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f74.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f75.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f76.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f77.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f78.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f79.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f80.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f81.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f82.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f83.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f84.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f85.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f86.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f87.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f88.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f89.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f90.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f91.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f92.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f93.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f94.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f95.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f96.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f97.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f98.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_f99.ecf | 4 +- .../prod12/gfs/prdgen/jgfs_pgrb2_manager.ecf | 4 +- .../prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 4 +- .../scripts/prod12/gfs/prep/jgfs_prep.ecf | 4 +- .../prod12/gfs/prep/jgfs_prep_post.ecf | 4 +- .../gfs/sminit_guam/jgfs_sminit_guam_even.ecf | 4 +- .../gfs/sminit_guam/jgfs_sminit_guam_odd.ecf | 4 +- .../scripts/prod18/cycle_end.ecf | 4 +- .../gdas/analysis/jgdas_analysis_high.ecf | 4 +- .../scripts/prod18/gdas/dump/jgdas_dump.ecf | 4 +- .../scripts/prod18/gdas/dump/jgdas_ics.ecf | 4 +- .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp1.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp10.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp11.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp12.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp13.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp14.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp15.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp16.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp2.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp3.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp4.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp5.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp6.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp7.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp8.ecf | 4 +- .../enkf/forecast/jgdas_enkf_fcst_grp9.ecf | 2 + .../innovate/jgdas_enkf_innovate_obs_grp1.ecf | 4 +- .../jgdas_enkf_innovate_obs_grp10.ecf | 2 + .../jgdas_enkf_innovate_obs_grp11.ecf | 2 + .../jgdas_enkf_innovate_obs_grp12.ecf | 2 + .../jgdas_enkf_innovate_obs_grp13.ecf | 2 + .../jgdas_enkf_innovate_obs_grp14.ecf | 2 + .../jgdas_enkf_innovate_obs_grp15.ecf | 2 + .../jgdas_enkf_innovate_obs_grp16.ecf | 2 + .../innovate/jgdas_enkf_innovate_obs_grp2.ecf | 4 +- .../innovate/jgdas_enkf_innovate_obs_grp3.ecf | 4 +- .../innovate/jgdas_enkf_innovate_obs_grp4.ecf | 4 +- .../innovate/jgdas_enkf_innovate_obs_grp5.ecf | 4 +- .../innovate/jgdas_enkf_innovate_obs_grp6.ecf | 4 +- .../innovate/jgdas_enkf_innovate_obs_grp7.ecf | 4 +- .../innovate/jgdas_enkf_innovate_obs_grp8.ecf | 4 +- .../innovate/jgdas_enkf_innovate_obs_grp9.ecf | 2 + .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 4 +- .../prod18/gdas/enkf/jgdas_enkf_post.ecf | 4 +- .../gdas/enkf/jgdas_enkf_select_obs.ecf | 4 +- .../prod18/gdas/enkf/jgdas_enkf_update.ecf | 4 +- .../prod18/gdas/forecast/jgdas_forecast.ecf | 4 +- .../prod18/gdas/gempak/jgdas_gempak.ecf | 4 +- .../prod18/gdas/gempak/jgdas_gempak_meta.ecf | 4 +- .../prod18/gdas/gempak/jgdas_gempak_ncdc.ecf | 4 +- .../scripts/prod18/gdas/jgdas_verfrad.ecf | 4 +- .../scripts/prod18/gdas/jgdas_vminmon.ecf | 4 +- .../scripts/prod18/gdas/post/jgdas_post.ecf | 4 +- .../bulletins/jgdas_mknavybulls.ecf | 4 +- .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 4 +- .../scripts/prod18/gdas/prep/jgdas_prep.ecf | 4 +- .../prod18/gdas/prep/jgdas_prep_post.ecf | 4 +- .../scripts/prod18/gfs/dump/jgfs_dump.ecf | 4 +- .../prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 4 +- .../gfs/forecast/jgfs_forecast_high.ecf | 4 +- .../prod18/gfs/forecast/jgfs_forecast_low.ecf | 4 +- .../scripts/prod18/gfs/gempak/jgfs_gempak.ecf | 4 +- .../prod18/gfs/gempak/jgfs_gempak_meta.ecf | 4 +- .../prod18/gfs/gempak/jgfs_gempak_ncdc.ecf | 4 +- .../prod18/gfs/gempak/jgfs_gempak_upapgif.ecf | 4 +- .../scripts/prod18/gfs/jgfs_analysis.ecf | 4 +- .../scripts/prod18/gfs/jgfs_vminmon.ecf | 4 +- .../prod18/gfs/post/jgfs_pgrb2_spec_post.ecf | 23 +- .../scripts/prod18/gfs/post/jgfs_post_anl.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f00.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f01.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f02.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f03.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f04.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f05.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f06.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f07.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f08.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f09.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f10.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f100.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f101.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f102.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f103.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f104.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f105.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f106.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f107.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f108.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f109.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f11.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f110.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f111.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f112.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f113.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f114.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f115.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f116.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f117.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f118.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f119.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f12.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f120.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f123.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f126.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f129.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f13.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f132.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f135.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f138.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f14.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f141.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f144.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f147.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f15.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f150.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f153.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f156.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f159.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f16.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f162.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f165.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f168.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f17.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f171.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f174.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f177.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f18.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f180.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f183.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f186.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f189.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f19.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f192.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f195.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f198.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f20.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f201.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f204.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f207.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f21.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f210.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f213.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f216.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f219.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f22.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f222.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f225.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f228.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f23.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f231.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f234.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f237.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f24.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f240.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f25.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f252.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f26.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f264.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f27.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f276.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f28.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f288.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f29.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f30.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f300.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f31.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f312.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f32.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f324.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f33.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f336.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f34.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f348.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f35.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f36.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f360.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f37.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f372.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f38.ecf | 4 +- .../prod18/gfs/post/jgfs_post_f384.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f39.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f40.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f41.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f42.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f43.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f44.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f45.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f46.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f47.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f48.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f49.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f50.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f51.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f52.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f53.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f54.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f55.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f56.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f57.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f58.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f59.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f60.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f61.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f62.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f63.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f64.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f65.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f66.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f67.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f68.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f69.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f70.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f71.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f72.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f73.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f74.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f75.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f76.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f77.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f78.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f79.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f80.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f81.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f82.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f83.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f84.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f85.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f86.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f87.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f88.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f89.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f90.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f91.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f92.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f93.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f94.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f95.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f96.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f97.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f98.ecf | 4 +- .../scripts/prod18/gfs/post/jgfs_post_f99.ecf | 4 +- .../prod18/gfs/post/jgfs_post_manager.ecf | 4 +- .../awips_1p0deg/jgfs_awips_f000.ecf | 4 +- .../awips_1p0deg/jgfs_awips_f001.ecf | 44 + .../awips_1p0deg/jgfs_awips_f002.ecf | 44 + .../awips_1p0deg/jgfs_awips_f003.ecf | 44 + .../awips_1p0deg/jgfs_awips_f004.ecf | 44 + .../awips_1p0deg/jgfs_awips_f005.ecf | 44 + .../awips_1p0deg/jgfs_awips_f006.ecf | 4 +- .../awips_1p0deg/jgfs_awips_f007.ecf | 44 + .../awips_1p0deg/jgfs_awips_f008.ecf | 44 + .../awips_1p0deg/jgfs_awips_f009.ecf | 44 + .../awips_1p0deg/jgfs_awips_f010.ecf | 44 + .../awips_1p0deg/jgfs_awips_f011.ecf | 44 + .../awips_1p0deg/jgfs_awips_f012.ecf | 44 + .../awips_1p0deg/jgfs_awips_f013.ecf | 44 + .../awips_1p0deg/jgfs_awips_f014.ecf | 44 + .../awips_1p0deg/jgfs_awips_f015.ecf | 44 + .../awips_1p0deg/jgfs_awips_f016.ecf | 44 + .../awips_1p0deg/jgfs_awips_f017.ecf | 44 + .../awips_1p0deg/jgfs_awips_f018.ecf | 44 + .../awips_1p0deg/jgfs_awips_f019.ecf | 44 + .../awips_1p0deg/jgfs_awips_f020.ecf | 44 + .../awips_1p0deg/jgfs_awips_f021.ecf | 44 + .../awips_1p0deg/jgfs_awips_f022.ecf | 44 + .../awips_1p0deg/jgfs_awips_f023.ecf | 44 + .../awips_1p0deg/jgfs_awips_f024.ecf | 44 + .../awips_1p0deg/jgfs_awips_f025.ecf | 44 + .../awips_1p0deg/jgfs_awips_f026.ecf | 44 + .../awips_1p0deg/jgfs_awips_f027.ecf | 44 + .../awips_1p0deg/jgfs_awips_f028.ecf | 44 + .../awips_1p0deg/jgfs_awips_f029.ecf | 44 + .../awips_1p0deg/jgfs_awips_f030.ecf | 44 + .../awips_1p0deg/jgfs_awips_f031.ecf | 44 + .../awips_1p0deg/jgfs_awips_f032.ecf | 44 + .../awips_1p0deg/jgfs_awips_f033.ecf | 44 + .../awips_1p0deg/jgfs_awips_f034.ecf | 44 + .../awips_1p0deg/jgfs_awips_f035.ecf | 44 + .../awips_1p0deg/jgfs_awips_f036.ecf | 44 + .../awips_1p0deg/jgfs_awips_f037.ecf | 44 + .../awips_1p0deg/jgfs_awips_f038.ecf | 44 + .../awips_1p0deg/jgfs_awips_f039.ecf | 44 + .../awips_1p0deg/jgfs_awips_f040.ecf | 44 + .../awips_1p0deg/jgfs_awips_f041.ecf | 44 + .../awips_1p0deg/jgfs_awips_f042.ecf | 44 + .../awips_1p0deg/jgfs_awips_f043.ecf | 44 + .../awips_1p0deg/jgfs_awips_f044.ecf | 44 + .../awips_1p0deg/jgfs_awips_f045.ecf | 44 + .../awips_1p0deg/jgfs_awips_f046.ecf | 44 + .../awips_1p0deg/jgfs_awips_f047.ecf | 44 + .../awips_1p0deg/jgfs_awips_f048.ecf | 44 + .../awips_1p0deg/jgfs_awips_f049.ecf | 44 + .../awips_1p0deg/jgfs_awips_f050.ecf | 44 + .../awips_1p0deg/jgfs_awips_f051.ecf | 44 + .../awips_1p0deg/jgfs_awips_f052.ecf | 44 + .../awips_1p0deg/jgfs_awips_f053.ecf | 44 + .../awips_1p0deg/jgfs_awips_f054.ecf | 44 + .../awips_1p0deg/jgfs_awips_f055.ecf | 44 + .../awips_1p0deg/jgfs_awips_f056.ecf | 44 + .../awips_1p0deg/jgfs_awips_f057.ecf | 44 + .../awips_1p0deg/jgfs_awips_f058.ecf | 44 + .../awips_1p0deg/jgfs_awips_f059.ecf | 44 + .../awips_1p0deg/jgfs_awips_f060.ecf | 44 + .../awips_1p0deg/jgfs_awips_f061.ecf | 44 + .../awips_1p0deg/jgfs_awips_f062.ecf | 44 + .../awips_1p0deg/jgfs_awips_f063.ecf | 44 + .../awips_1p0deg/jgfs_awips_f064.ecf | 44 + .../awips_1p0deg/jgfs_awips_f065.ecf | 44 + .../awips_1p0deg/jgfs_awips_f066.ecf | 44 + .../awips_1p0deg/jgfs_awips_f067.ecf | 44 + .../awips_1p0deg/jgfs_awips_f068.ecf | 44 + .../awips_1p0deg/jgfs_awips_f069.ecf | 44 + .../awips_1p0deg/jgfs_awips_f070.ecf | 44 + .../awips_1p0deg/jgfs_awips_f071.ecf | 44 + .../awips_1p0deg/jgfs_awips_f072.ecf | 44 + .../awips_1p0deg/jgfs_awips_f073.ecf | 44 + .../awips_1p0deg/jgfs_awips_f074.ecf | 44 + .../awips_1p0deg/jgfs_awips_f075.ecf | 44 + .../awips_1p0deg/jgfs_awips_f076.ecf | 44 + .../awips_1p0deg/jgfs_awips_f077.ecf | 44 + .../awips_1p0deg/jgfs_awips_f078.ecf | 44 + .../awips_1p0deg/jgfs_awips_f079.ecf | 44 + .../awips_1p0deg/jgfs_awips_f080.ecf | 44 + .../awips_1p0deg/jgfs_awips_f081.ecf | 44 + .../awips_1p0deg/jgfs_awips_f082.ecf | 44 + .../awips_1p0deg/jgfs_awips_f083.ecf | 44 + .../awips_1p0deg/jgfs_awips_f084.ecf | 44 + .../awips_1p0deg/jgfs_awips_f085.ecf | 44 + .../awips_1p0deg/jgfs_awips_f086.ecf | 44 + .../awips_1p0deg/jgfs_awips_f087.ecf | 44 + .../awips_1p0deg/jgfs_awips_f088.ecf | 44 + .../awips_1p0deg/jgfs_awips_f089.ecf | 44 + .../awips_1p0deg/jgfs_awips_f090.ecf | 44 + .../awips_1p0deg/jgfs_awips_f091.ecf | 44 + .../awips_1p0deg/jgfs_awips_f092.ecf | 44 + .../awips_1p0deg/jgfs_awips_f093.ecf | 44 + .../awips_1p0deg/jgfs_awips_f094.ecf | 44 + .../awips_1p0deg/jgfs_awips_f095.ecf | 44 + .../awips_1p0deg/jgfs_awips_f096.ecf | 44 + .../awips_1p0deg/jgfs_awips_f097.ecf | 44 + .../awips_1p0deg/jgfs_awips_f098.ecf | 44 + .../awips_1p0deg/jgfs_awips_f099.ecf | 44 + .../awips_1p0deg/jgfs_awips_f100.ecf | 44 + .../awips_1p0deg/jgfs_awips_f101.ecf | 44 + .../awips_1p0deg/jgfs_awips_f102.ecf | 44 + .../awips_1p0deg/jgfs_awips_f103.ecf | 44 + .../awips_1p0deg/jgfs_awips_f104.ecf | 44 + .../awips_1p0deg/jgfs_awips_f105.ecf | 44 + .../awips_1p0deg/jgfs_awips_f106.ecf | 44 + .../awips_1p0deg/jgfs_awips_f107.ecf | 44 + .../awips_1p0deg/jgfs_awips_f108.ecf | 44 + .../awips_1p0deg/jgfs_awips_f109.ecf | 44 + .../awips_1p0deg/jgfs_awips_f110.ecf | 44 + .../awips_1p0deg/jgfs_awips_f111.ecf | 44 + .../awips_1p0deg/jgfs_awips_f112.ecf | 44 + .../awips_1p0deg/jgfs_awips_f113.ecf | 44 + .../awips_1p0deg/jgfs_awips_f114.ecf | 44 + .../awips_1p0deg/jgfs_awips_f115.ecf | 44 + .../awips_1p0deg/jgfs_awips_f116.ecf | 44 + .../awips_1p0deg/jgfs_awips_f117.ecf | 44 + .../awips_1p0deg/jgfs_awips_f118.ecf | 44 + .../awips_1p0deg/jgfs_awips_f119.ecf | 44 + .../awips_1p0deg/jgfs_awips_f120.ecf | 44 + .../awips_1p0deg/jgfs_awips_f123.ecf | 44 + .../awips_1p0deg/jgfs_awips_f126.ecf | 44 + .../awips_1p0deg/jgfs_awips_f129.ecf | 44 + .../awips_1p0deg/jgfs_awips_f132.ecf | 44 + .../awips_1p0deg/jgfs_awips_f135.ecf | 44 + .../awips_1p0deg/jgfs_awips_f138.ecf | 44 + .../awips_1p0deg/jgfs_awips_f141.ecf | 44 + .../awips_1p0deg/jgfs_awips_f144.ecf | 44 + .../awips_1p0deg/jgfs_awips_f147.ecf | 44 + .../awips_1p0deg/jgfs_awips_f150.ecf | 44 + .../awips_1p0deg/jgfs_awips_f153.ecf | 44 + .../awips_1p0deg/jgfs_awips_f156.ecf | 44 + .../awips_1p0deg/jgfs_awips_f159.ecf | 44 + .../awips_1p0deg/jgfs_awips_f162.ecf | 44 + .../awips_1p0deg/jgfs_awips_f165.ecf | 44 + .../awips_1p0deg/jgfs_awips_f168.ecf | 44 + .../awips_1p0deg/jgfs_awips_f171.ecf | 44 + .../awips_1p0deg/jgfs_awips_f174.ecf | 44 + .../awips_1p0deg/jgfs_awips_f177.ecf | 44 + .../awips_1p0deg/jgfs_awips_f180.ecf | 44 + .../awips_1p0deg/jgfs_awips_f183.ecf | 44 + .../awips_1p0deg/jgfs_awips_f186.ecf | 44 + .../awips_1p0deg/jgfs_awips_f189.ecf | 44 + .../awips_1p0deg/jgfs_awips_f192.ecf | 44 + .../awips_1p0deg/jgfs_awips_f195.ecf | 44 + .../awips_1p0deg/jgfs_awips_f198.ecf | 44 + .../awips_1p0deg/jgfs_awips_f201.ecf | 44 + .../awips_1p0deg/jgfs_awips_f204.ecf | 44 + .../awips_1p0deg/jgfs_awips_f207.ecf | 44 + .../awips_1p0deg/jgfs_awips_f210.ecf | 44 + .../awips_1p0deg/jgfs_awips_f213.ecf | 44 + .../awips_1p0deg/jgfs_awips_f216.ecf | 44 + .../awips_1p0deg/jgfs_awips_f219.ecf | 44 + .../awips_1p0deg/jgfs_awips_f222.ecf | 44 + .../awips_1p0deg/jgfs_awips_f225.ecf | 44 + .../awips_1p0deg/jgfs_awips_f228.ecf | 44 + .../awips_1p0deg/jgfs_awips_f231.ecf | 44 + .../awips_1p0deg/jgfs_awips_f234.ecf | 44 + .../awips_1p0deg/jgfs_awips_f237.ecf | 44 + .../awips_1p0deg/jgfs_awips_f240.ecf | 4 +- .../awips_1p0deg/jgfs_awips_f252.ecf | 44 + .../awips_1p0deg/jgfs_awips_f264.ecf | 44 + .../awips_1p0deg/jgfs_awips_f276.ecf | 44 + .../awips_1p0deg/jgfs_awips_f288.ecf | 44 + .../awips_1p0deg/jgfs_awips_f300.ecf | 44 + .../awips_1p0deg/jgfs_awips_f312.ecf | 44 + .../awips_1p0deg/jgfs_awips_f324.ecf | 44 + .../awips_1p0deg/jgfs_awips_f336.ecf | 44 + .../awips_1p0deg/jgfs_awips_f348.ecf | 44 + .../awips_1p0deg/jgfs_awips_f360.ecf | 44 + .../awips_1p0deg/jgfs_awips_f372.ecf | 44 + .../awips_1p0deg/jgfs_awips_f384.ecf | 44 + .../awips_20km/jgfs_awips_f000.ecf | 4 +- .../awips_20km/jgfs_awips_f001.ecf | 44 + .../awips_20km/jgfs_awips_f002.ecf | 44 + .../awips_20km/jgfs_awips_f003.ecf | 23 +- .../awips_20km/jgfs_awips_f004.ecf | 44 + .../awips_20km/jgfs_awips_f005.ecf | 44 + .../awips_20km/jgfs_awips_f006.ecf | 44 + .../awips_20km/jgfs_awips_f007.ecf | 44 + .../awips_20km/jgfs_awips_f008.ecf | 44 + .../awips_20km/jgfs_awips_f009.ecf | 44 + .../awips_20km/jgfs_awips_f010.ecf | 44 + .../awips_20km/jgfs_awips_f011.ecf | 44 + .../awips_20km/jgfs_awips_f012.ecf | 44 + .../awips_20km/jgfs_awips_f013.ecf | 44 + .../awips_20km/jgfs_awips_f014.ecf | 44 + .../awips_20km/jgfs_awips_f015.ecf | 44 + .../awips_20km/jgfs_awips_f016.ecf | 44 + .../awips_20km/jgfs_awips_f017.ecf | 44 + .../awips_20km/jgfs_awips_f018.ecf | 44 + .../awips_20km/jgfs_awips_f019.ecf | 44 + .../awips_20km/jgfs_awips_f020.ecf | 44 + .../awips_20km/jgfs_awips_f021.ecf | 44 + .../awips_20km/jgfs_awips_f022.ecf | 44 + .../awips_20km/jgfs_awips_f023.ecf | 44 + .../awips_20km/jgfs_awips_f024.ecf | 44 + .../awips_20km/jgfs_awips_f025.ecf | 44 + .../awips_20km/jgfs_awips_f026.ecf | 44 + .../awips_20km/jgfs_awips_f027.ecf | 44 + .../awips_20km/jgfs_awips_f028.ecf | 44 + .../awips_20km/jgfs_awips_f029.ecf | 44 + .../awips_20km/jgfs_awips_f030.ecf | 44 + .../awips_20km/jgfs_awips_f031.ecf | 44 + .../awips_20km/jgfs_awips_f032.ecf | 44 + .../awips_20km/jgfs_awips_f033.ecf | 44 + .../awips_20km/jgfs_awips_f034.ecf | 44 + .../awips_20km/jgfs_awips_f035.ecf | 44 + .../awips_20km/jgfs_awips_f036.ecf | 44 + .../awips_20km/jgfs_awips_f037.ecf | 44 + .../awips_20km/jgfs_awips_f038.ecf | 44 + .../awips_20km/jgfs_awips_f039.ecf | 44 + .../awips_20km/jgfs_awips_f040.ecf | 44 + .../awips_20km/jgfs_awips_f041.ecf | 44 + .../awips_20km/jgfs_awips_f042.ecf | 44 + .../awips_20km/jgfs_awips_f043.ecf | 44 + .../awips_20km/jgfs_awips_f044.ecf | 44 + .../awips_20km/jgfs_awips_f045.ecf | 44 + .../awips_20km/jgfs_awips_f046.ecf | 44 + .../awips_20km/jgfs_awips_f047.ecf | 44 + .../awips_20km/jgfs_awips_f048.ecf | 44 + .../awips_20km/jgfs_awips_f049.ecf | 44 + .../awips_20km/jgfs_awips_f050.ecf | 44 + .../awips_20km/jgfs_awips_f051.ecf | 44 + .../awips_20km/jgfs_awips_f052.ecf | 44 + .../awips_20km/jgfs_awips_f053.ecf | 44 + .../awips_20km/jgfs_awips_f054.ecf | 44 + .../awips_20km/jgfs_awips_f055.ecf | 44 + .../awips_20km/jgfs_awips_f056.ecf | 44 + .../awips_20km/jgfs_awips_f057.ecf | 44 + .../awips_20km/jgfs_awips_f058.ecf | 44 + .../awips_20km/jgfs_awips_f059.ecf | 44 + .../awips_20km/jgfs_awips_f060.ecf | 44 + .../awips_20km/jgfs_awips_f061.ecf | 44 + .../awips_20km/jgfs_awips_f062.ecf | 44 + .../awips_20km/jgfs_awips_f063.ecf | 44 + .../awips_20km/jgfs_awips_f064.ecf | 44 + .../awips_20km/jgfs_awips_f065.ecf | 44 + .../awips_20km/jgfs_awips_f066.ecf | 44 + .../awips_20km/jgfs_awips_f067.ecf | 44 + .../awips_20km/jgfs_awips_f068.ecf | 44 + .../awips_20km/jgfs_awips_f069.ecf | 44 + .../awips_20km/jgfs_awips_f070.ecf | 44 + .../awips_20km/jgfs_awips_f071.ecf | 44 + .../awips_20km/jgfs_awips_f072.ecf | 44 + .../awips_20km/jgfs_awips_f073.ecf | 44 + .../awips_20km/jgfs_awips_f074.ecf | 44 + .../awips_20km/jgfs_awips_f075.ecf | 44 + .../awips_20km/jgfs_awips_f076.ecf | 44 + .../awips_20km/jgfs_awips_f077.ecf | 44 + .../awips_20km/jgfs_awips_f078.ecf | 44 + .../awips_20km/jgfs_awips_f079.ecf | 44 + .../awips_20km/jgfs_awips_f080.ecf | 44 + .../awips_20km/jgfs_awips_f081.ecf | 44 + .../awips_20km/jgfs_awips_f082.ecf | 44 + .../awips_20km/jgfs_awips_f083.ecf | 44 + .../awips_20km/jgfs_awips_f084.ecf | 44 + .../awips_20km/jgfs_awips_f085.ecf | 44 + .../awips_20km/jgfs_awips_f086.ecf | 44 + .../awips_20km/jgfs_awips_f087.ecf | 44 + .../awips_20km/jgfs_awips_f088.ecf | 44 + .../awips_20km/jgfs_awips_f089.ecf | 44 + .../awips_20km/jgfs_awips_f090.ecf | 44 + .../awips_20km/jgfs_awips_f091.ecf | 44 + .../awips_20km/jgfs_awips_f092.ecf | 44 + .../awips_20km/jgfs_awips_f093.ecf | 44 + .../awips_20km/jgfs_awips_f094.ecf | 44 + .../awips_20km/jgfs_awips_f095.ecf | 44 + .../awips_20km/jgfs_awips_f096.ecf | 44 + .../awips_20km/jgfs_awips_f097.ecf | 44 + .../awips_20km/jgfs_awips_f098.ecf | 44 + .../awips_20km/jgfs_awips_f099.ecf | 44 + .../awips_20km/jgfs_awips_f100.ecf | 44 + .../awips_20km/jgfs_awips_f101.ecf | 44 + .../awips_20km/jgfs_awips_f102.ecf | 44 + .../awips_20km/jgfs_awips_f103.ecf | 44 + .../awips_20km/jgfs_awips_f104.ecf | 44 + .../awips_20km/jgfs_awips_f105.ecf | 44 + .../awips_20km/jgfs_awips_f106.ecf | 44 + .../awips_20km/jgfs_awips_f107.ecf | 44 + .../awips_20km/jgfs_awips_f108.ecf | 44 + .../awips_20km/jgfs_awips_f109.ecf | 44 + .../awips_20km/jgfs_awips_f110.ecf | 44 + .../awips_20km/jgfs_awips_f111.ecf | 44 + .../awips_20km/jgfs_awips_f112.ecf | 44 + .../awips_20km/jgfs_awips_f113.ecf | 44 + .../awips_20km/jgfs_awips_f114.ecf | 44 + .../awips_20km/jgfs_awips_f115.ecf | 44 + .../awips_20km/jgfs_awips_f116.ecf | 44 + .../awips_20km/jgfs_awips_f117.ecf | 44 + .../awips_20km/jgfs_awips_f118.ecf | 44 + .../awips_20km/jgfs_awips_f119.ecf | 44 + .../awips_20km/jgfs_awips_f120.ecf | 44 + .../awips_20km/jgfs_awips_f123.ecf | 44 + .../awips_20km/jgfs_awips_f126.ecf | 44 + .../awips_20km/jgfs_awips_f129.ecf | 44 + .../awips_20km/jgfs_awips_f132.ecf | 44 + .../awips_20km/jgfs_awips_f135.ecf | 44 + .../awips_20km/jgfs_awips_f138.ecf | 44 + .../awips_20km/jgfs_awips_f141.ecf | 44 + .../awips_20km/jgfs_awips_f144.ecf | 44 + .../awips_20km/jgfs_awips_f147.ecf | 44 + .../awips_20km/jgfs_awips_f150.ecf | 44 + .../awips_20km/jgfs_awips_f153.ecf | 44 + .../awips_20km/jgfs_awips_f156.ecf | 44 + .../awips_20km/jgfs_awips_f159.ecf | 44 + .../awips_20km/jgfs_awips_f162.ecf | 44 + .../awips_20km/jgfs_awips_f165.ecf | 44 + .../awips_20km/jgfs_awips_f168.ecf | 44 + .../awips_20km/jgfs_awips_f171.ecf | 44 + .../awips_20km/jgfs_awips_f174.ecf | 44 + .../awips_20km/jgfs_awips_f177.ecf | 44 + .../awips_20km/jgfs_awips_f180.ecf | 44 + .../awips_20km/jgfs_awips_f183.ecf | 44 + .../awips_20km/jgfs_awips_f186.ecf | 44 + .../awips_20km/jgfs_awips_f189.ecf | 44 + .../awips_20km/jgfs_awips_f192.ecf | 44 + .../awips_20km/jgfs_awips_f195.ecf | 44 + .../awips_20km/jgfs_awips_f198.ecf | 44 + .../awips_20km/jgfs_awips_f201.ecf | 44 + .../awips_20km/jgfs_awips_f204.ecf | 44 + .../awips_20km/jgfs_awips_f207.ecf | 44 + .../awips_20km/jgfs_awips_f210.ecf | 44 + .../awips_20km/jgfs_awips_f213.ecf | 44 + .../awips_20km/jgfs_awips_f216.ecf | 44 + .../awips_20km/jgfs_awips_f219.ecf | 44 + .../awips_20km/jgfs_awips_f222.ecf | 44 + .../awips_20km/jgfs_awips_f225.ecf | 44 + .../awips_20km/jgfs_awips_f228.ecf | 44 + .../awips_20km/jgfs_awips_f231.ecf | 44 + .../awips_20km/jgfs_awips_f234.ecf | 44 + .../awips_20km/jgfs_awips_f237.ecf | 44 + .../awips_20km/jgfs_awips_f240.ecf | 23 +- .../awips_20km/jgfs_awips_f252.ecf | 44 + .../awips_20km/jgfs_awips_f264.ecf | 44 + .../awips_20km/jgfs_awips_f276.ecf | 44 + .../awips_20km/jgfs_awips_f288.ecf | 44 + .../awips_20km/jgfs_awips_f300.ecf | 44 + .../awips_20km/jgfs_awips_f312.ecf | 44 + .../awips_20km/jgfs_awips_f324.ecf | 44 + .../awips_20km/jgfs_awips_f336.ecf | 44 + .../awips_20km/jgfs_awips_f348.ecf | 44 + .../awips_20km/jgfs_awips_f360.ecf | 44 + .../awips_20km/jgfs_awips_f372.ecf | 44 + .../awips_20km/jgfs_awips_f384.ecf | 44 + .../bufr_sounding/jgfs_postsnd.ecf | 4 +- .../bulletins/jgfs_cyclone_tracker.ecf | 4 +- .../post_processing/bulletins/jgfs_fbwind.ecf | 4 +- .../prod18/gfs/post_processing/dummy.ecf | 4 +- .../gfs/post_processing/fax/jgfs_fax_anl.ecf | 4 +- .../gfs/post_processing/fax/jgfs_fax_f00.ecf | 4 +- .../post_processing/fax/jgfs_fax_wafs_f12.ecf | 4 +- .../post_processing/fax/jgfs_fax_wafs_f24.ecf | 4 +- .../post_processing/fax/jgfs_fax_wafs_f36.ecf | 4 +- .../grib2_wafs/jgfs_wafs_blending.ecf | 4 +- .../grib2_wafs/jgfs_wafs_grib2.ecf | 4 +- .../grib_awips/jgfs_awips_f00.ecf | 4 +- .../grib_awips/jgfs_awips_f01.ecf | 44 + .../grib_awips/jgfs_awips_f02.ecf | 44 + .../grib_awips/jgfs_awips_f03.ecf | 44 + .../grib_awips/jgfs_awips_f04.ecf | 44 + .../grib_awips/jgfs_awips_f05.ecf | 44 + .../grib_awips/jgfs_awips_f06.ecf | 4 +- .../grib_awips/jgfs_awips_f07.ecf | 44 + .../grib_awips/jgfs_awips_f08.ecf | 44 + .../grib_awips/jgfs_awips_f09.ecf | 44 + .../grib_awips/jgfs_awips_f10.ecf | 44 + .../grib_awips/jgfs_awips_f100.ecf | 44 + .../grib_awips/jgfs_awips_f101.ecf | 44 + .../grib_awips/jgfs_awips_f102.ecf | 44 + .../grib_awips/jgfs_awips_f103.ecf | 44 + .../grib_awips/jgfs_awips_f104.ecf | 44 + .../grib_awips/jgfs_awips_f105.ecf | 44 + .../grib_awips/jgfs_awips_f106.ecf | 44 + .../grib_awips/jgfs_awips_f107.ecf | 44 + .../grib_awips/jgfs_awips_f108.ecf | 44 + .../grib_awips/jgfs_awips_f109.ecf | 44 + .../grib_awips/jgfs_awips_f11.ecf | 44 + .../grib_awips/jgfs_awips_f110.ecf | 44 + .../grib_awips/jgfs_awips_f111.ecf | 44 + .../grib_awips/jgfs_awips_f112.ecf | 44 + .../grib_awips/jgfs_awips_f113.ecf | 44 + .../grib_awips/jgfs_awips_f114.ecf | 44 + .../grib_awips/jgfs_awips_f115.ecf | 44 + .../grib_awips/jgfs_awips_f116.ecf | 44 + .../grib_awips/jgfs_awips_f117.ecf | 44 + .../grib_awips/jgfs_awips_f118.ecf | 44 + .../grib_awips/jgfs_awips_f119.ecf | 44 + .../grib_awips/jgfs_awips_f12.ecf | 44 + .../grib_awips/jgfs_awips_f120.ecf | 44 + .../grib_awips/jgfs_awips_f123.ecf | 44 + .../grib_awips/jgfs_awips_f126.ecf | 44 + .../grib_awips/jgfs_awips_f129.ecf | 44 + .../grib_awips/jgfs_awips_f13.ecf | 44 + .../grib_awips/jgfs_awips_f132.ecf | 44 + .../grib_awips/jgfs_awips_f135.ecf | 44 + .../grib_awips/jgfs_awips_f138.ecf | 44 + .../grib_awips/jgfs_awips_f14.ecf | 44 + .../grib_awips/jgfs_awips_f141.ecf | 44 + .../grib_awips/jgfs_awips_f144.ecf | 44 + .../grib_awips/jgfs_awips_f147.ecf | 44 + .../grib_awips/jgfs_awips_f15.ecf | 44 + .../grib_awips/jgfs_awips_f150.ecf | 44 + .../grib_awips/jgfs_awips_f153.ecf | 44 + .../grib_awips/jgfs_awips_f156.ecf | 44 + .../grib_awips/jgfs_awips_f159.ecf | 44 + .../grib_awips/jgfs_awips_f16.ecf | 44 + .../grib_awips/jgfs_awips_f162.ecf | 44 + .../grib_awips/jgfs_awips_f165.ecf | 44 + .../grib_awips/jgfs_awips_f168.ecf | 44 + .../grib_awips/jgfs_awips_f17.ecf | 44 + .../grib_awips/jgfs_awips_f171.ecf | 44 + .../grib_awips/jgfs_awips_f174.ecf | 44 + .../grib_awips/jgfs_awips_f177.ecf | 44 + .../grib_awips/jgfs_awips_f18.ecf | 44 + .../grib_awips/jgfs_awips_f180.ecf | 44 + .../grib_awips/jgfs_awips_f183.ecf | 44 + .../grib_awips/jgfs_awips_f186.ecf | 44 + .../grib_awips/jgfs_awips_f189.ecf | 44 + .../grib_awips/jgfs_awips_f19.ecf | 44 + .../grib_awips/jgfs_awips_f192.ecf | 44 + .../grib_awips/jgfs_awips_f195.ecf | 44 + .../grib_awips/jgfs_awips_f198.ecf | 44 + .../grib_awips/jgfs_awips_f20.ecf | 44 + .../grib_awips/jgfs_awips_f201.ecf | 44 + .../grib_awips/jgfs_awips_f204.ecf | 44 + .../grib_awips/jgfs_awips_f207.ecf | 44 + .../grib_awips/jgfs_awips_f21.ecf | 44 + .../grib_awips/jgfs_awips_f210.ecf | 44 + .../grib_awips/jgfs_awips_f213.ecf | 44 + .../grib_awips/jgfs_awips_f216.ecf | 44 + .../grib_awips/jgfs_awips_f219.ecf | 44 + .../grib_awips/jgfs_awips_f22.ecf | 44 + .../grib_awips/jgfs_awips_f222.ecf | 44 + .../grib_awips/jgfs_awips_f225.ecf | 44 + .../grib_awips/jgfs_awips_f228.ecf | 44 + .../grib_awips/jgfs_awips_f23.ecf | 44 + .../grib_awips/jgfs_awips_f231.ecf | 44 + .../grib_awips/jgfs_awips_f234.ecf | 44 + .../grib_awips/jgfs_awips_f237.ecf | 44 + .../grib_awips/jgfs_awips_f24.ecf | 44 + .../grib_awips/jgfs_awips_f240.ecf | 4 +- .../grib_awips/jgfs_awips_f25.ecf | 44 + .../grib_awips/jgfs_awips_f252.ecf | 44 + .../grib_awips/jgfs_awips_f26.ecf | 44 + .../grib_awips/jgfs_awips_f264.ecf | 44 + .../grib_awips/jgfs_awips_f27.ecf | 44 + .../grib_awips/jgfs_awips_f276.ecf | 44 + .../grib_awips/jgfs_awips_f28.ecf | 44 + .../grib_awips/jgfs_awips_f288.ecf | 44 + .../grib_awips/jgfs_awips_f29.ecf | 44 + .../grib_awips/jgfs_awips_f30.ecf | 44 + .../grib_awips/jgfs_awips_f300.ecf | 44 + .../grib_awips/jgfs_awips_f31.ecf | 44 + .../grib_awips/jgfs_awips_f312.ecf | 44 + .../grib_awips/jgfs_awips_f32.ecf | 44 + .../grib_awips/jgfs_awips_f324.ecf | 44 + .../grib_awips/jgfs_awips_f33.ecf | 44 + .../grib_awips/jgfs_awips_f336.ecf | 44 + .../grib_awips/jgfs_awips_f34.ecf | 44 + .../grib_awips/jgfs_awips_f348.ecf | 44 + .../grib_awips/jgfs_awips_f35.ecf | 44 + .../grib_awips/jgfs_awips_f36.ecf | 44 + .../grib_awips/jgfs_awips_f360.ecf | 44 + .../grib_awips/jgfs_awips_f37.ecf | 44 + .../grib_awips/jgfs_awips_f372.ecf | 44 + .../grib_awips/jgfs_awips_f38.ecf | 44 + .../grib_awips/jgfs_awips_f384.ecf | 44 + .../grib_awips/jgfs_awips_f39.ecf | 44 + .../grib_awips/jgfs_awips_f40.ecf | 44 + .../grib_awips/jgfs_awips_f41.ecf | 44 + .../grib_awips/jgfs_awips_f42.ecf | 44 + .../grib_awips/jgfs_awips_f43.ecf | 44 + .../grib_awips/jgfs_awips_f44.ecf | 44 + .../grib_awips/jgfs_awips_f45.ecf | 44 + .../grib_awips/jgfs_awips_f46.ecf | 44 + .../grib_awips/jgfs_awips_f47.ecf | 44 + .../grib_awips/jgfs_awips_f48.ecf | 44 + .../grib_awips/jgfs_awips_f49.ecf | 44 + .../grib_awips/jgfs_awips_f50.ecf | 44 + .../grib_awips/jgfs_awips_f51.ecf | 44 + .../grib_awips/jgfs_awips_f52.ecf | 44 + .../grib_awips/jgfs_awips_f53.ecf | 44 + .../grib_awips/jgfs_awips_f54.ecf | 44 + .../grib_awips/jgfs_awips_f55.ecf | 44 + .../grib_awips/jgfs_awips_f56.ecf | 44 + .../grib_awips/jgfs_awips_f57.ecf | 44 + .../grib_awips/jgfs_awips_f58.ecf | 44 + .../grib_awips/jgfs_awips_f59.ecf | 44 + .../grib_awips/jgfs_awips_f60.ecf | 44 + .../grib_awips/jgfs_awips_f61.ecf | 44 + .../grib_awips/jgfs_awips_f62.ecf | 44 + .../grib_awips/jgfs_awips_f63.ecf | 44 + .../grib_awips/jgfs_awips_f64.ecf | 44 + .../grib_awips/jgfs_awips_f65.ecf | 44 + .../grib_awips/jgfs_awips_f66.ecf | 44 + .../grib_awips/jgfs_awips_f67.ecf | 44 + .../grib_awips/jgfs_awips_f68.ecf | 44 + .../grib_awips/jgfs_awips_f69.ecf | 44 + .../grib_awips/jgfs_awips_f70.ecf | 44 + .../grib_awips/jgfs_awips_f71.ecf | 44 + .../grib_awips/jgfs_awips_f72.ecf | 44 + .../grib_awips/jgfs_awips_f73.ecf | 44 + .../grib_awips/jgfs_awips_f74.ecf | 44 + .../grib_awips/jgfs_awips_f75.ecf | 44 + .../grib_awips/jgfs_awips_f76.ecf | 44 + .../grib_awips/jgfs_awips_f77.ecf | 44 + .../grib_awips/jgfs_awips_f78.ecf | 44 + .../grib_awips/jgfs_awips_f79.ecf | 44 + .../grib_awips/jgfs_awips_f80.ecf | 44 + .../grib_awips/jgfs_awips_f81.ecf | 44 + .../grib_awips/jgfs_awips_f82.ecf | 44 + .../grib_awips/jgfs_awips_f83.ecf | 44 + .../grib_awips/jgfs_awips_f84.ecf | 44 + .../grib_awips/jgfs_awips_f85.ecf | 44 + .../grib_awips/jgfs_awips_f86.ecf | 44 + .../grib_awips/jgfs_awips_f87.ecf | 44 + .../grib_awips/jgfs_awips_f88.ecf | 44 + .../grib_awips/jgfs_awips_f89.ecf | 44 + .../grib_awips/jgfs_awips_f90.ecf | 44 + .../grib_awips/jgfs_awips_f91.ecf | 44 + .../grib_awips/jgfs_awips_f92.ecf | 44 + .../grib_awips/jgfs_awips_f93.ecf | 44 + .../grib_awips/jgfs_awips_f94.ecf | 44 + .../grib_awips/jgfs_awips_f95.ecf | 44 + .../grib_awips/jgfs_awips_f96.ecf | 44 + .../grib_awips/jgfs_awips_f97.ecf | 44 + .../grib_awips/jgfs_awips_f98.ecf | 44 + .../grib_awips/jgfs_awips_f99.ecf | 44 + .../grib_wafs/jgfs_wafs_f00.ecf | 4 +- .../grib_wafs/jgfs_wafs_f06.ecf | 4 +- .../grib_wafs/jgfs_wafs_f102.ecf | 4 +- .../grib_wafs/jgfs_wafs_f108.ecf | 4 +- .../grib_wafs/jgfs_wafs_f114.ecf | 4 +- .../grib_wafs/jgfs_wafs_f12.ecf | 4 +- .../grib_wafs/jgfs_wafs_f120.ecf | 4 +- .../grib_wafs/jgfs_wafs_f18.ecf | 4 +- .../grib_wafs/jgfs_wafs_f24.ecf | 4 +- .../grib_wafs/jgfs_wafs_f30.ecf | 4 +- .../grib_wafs/jgfs_wafs_f36.ecf | 4 +- .../grib_wafs/jgfs_wafs_f42.ecf | 4 +- .../grib_wafs/jgfs_wafs_f48.ecf | 4 +- .../grib_wafs/jgfs_wafs_f54.ecf | 4 +- .../grib_wafs/jgfs_wafs_f60.ecf | 4 +- .../grib_wafs/jgfs_wafs_f66.ecf | 4 +- .../grib_wafs/jgfs_wafs_f72.ecf | 4 +- .../grib_wafs/jgfs_wafs_f78.ecf | 4 +- .../grib_wafs/jgfs_wafs_f84.ecf | 4 +- .../grib_wafs/jgfs_wafs_f90.ecf | 4 +- .../grib_wafs/jgfs_wafs_f96.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_anl.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f00.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f01.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f02.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f03.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f04.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f05.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f06.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f07.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f08.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f09.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f10.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f100.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f101.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f102.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f103.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f104.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f105.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f106.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f107.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f108.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f109.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f11.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f110.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f111.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f112.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f113.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f114.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f115.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f116.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f117.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f118.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f119.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f12.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f120.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f123.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f126.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f129.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f13.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f132.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f135.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f138.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f14.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f141.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f144.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f147.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f15.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f150.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f153.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f156.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f159.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f16.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f162.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f165.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f168.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f17.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f171.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f174.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f177.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f18.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f180.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f183.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f186.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f189.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f19.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f192.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f195.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f198.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f20.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f201.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f204.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f207.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f21.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f210.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f213.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f216.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f219.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f22.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f222.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f225.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f228.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f23.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f231.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f234.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f237.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f24.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f240.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f25.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f252.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f26.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f264.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f27.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f276.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f28.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f288.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f29.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f30.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f300.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f31.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f312.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f32.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f324.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f33.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f336.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f34.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f348.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f35.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f36.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f360.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f37.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f372.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f38.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f384.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f39.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f40.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f41.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f42.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f43.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f44.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f45.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f46.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f47.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f48.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f49.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f50.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f51.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f52.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f53.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f54.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f55.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f56.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f57.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f58.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f59.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f60.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f61.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f62.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f63.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f64.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f65.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f66.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f67.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f68.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f69.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f70.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f71.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f72.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f73.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f74.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f75.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f76.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f77.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f78.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f79.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f80.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f81.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f82.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f83.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f84.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f85.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f86.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f87.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f88.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f89.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f90.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f91.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f92.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f93.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f94.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f95.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f96.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f97.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f98.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_f99.ecf | 4 +- .../prod18/gfs/prdgen/jgfs_pgrb2_manager.ecf | 4 +- .../prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 4 +- .../scripts/prod18/gfs/prep/jgfs_prep.ecf | 4 +- .../prod18/gfs/prep/jgfs_prep_post.ecf | 4 +- .../gfs/sminit_guam/jgfs_sminit_guam_even.ecf | 4 +- .../gfs/sminit_guam/jgfs_sminit_guam_odd.ecf | 4 +- model/ecflow_fv3gfs/settings.yaml | 2 +- model/ecflow_fv3gfs/suite_def.yaml | 100 +- 3884 files changed, 106656 insertions(+), 2051 deletions(-) create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py index c7f0542..8682aac 100644 --- a/crow/metascheduler/ecflow.py +++ b/crow/metascheduler/ecflow.py @@ -210,15 +210,15 @@ def skip_fun(node): return not node.might_complete() for node in self._walk_job_graph(cycle,skip_fun=skip_fun,exit_fun=exit_fun): - if 'ecflow_def' in node: - for line in node.ecflow_def.splitlines(): - sio.write(f'{indent}{line.rstrip()}\n') - indent0=max(0,len(node.path)-1)*self.indent indent1=max(0,len(node.path))*self.indent nodetype='task' if node.is_task() else 'family' sio.write(f'{indent0}{nodetype} {node.path[-1]}\n') + if 'ecflow_def' in node.view: + for line in node.view.ecflow_def.splitlines(): + sio.write(f'{indent1}{line.rstrip()}\n') + if node.trigger not in [FALSE_DEPENDENCY,TRUE_DEPENDENCY]: sio.write(f'{indent1}trigger ') dep_to_ecflow(sio,node,node.trigger,clock,suite_name_format,undated) @@ -231,7 +231,8 @@ def skip_fun(node): ectime=when.strftime('%H:%M') sio.write(f'{indent1}time {ectime}\n') - event_number=1 + event_number=node.view.get('ecflow_first_event_number',1) + typecheck(f'{node.view.task_path_var}.ecflow_first_event_number',event_number,int) if node.is_task(): for item in node.view.child_iter(): if item.is_event(): diff --git a/model/ecflow_fv3gfs/defs/prod00.def b/model/ecflow_fv3gfs/defs/prod00.def index 8c49d5f..dbbf459 100644 --- a/model/ecflow_fv3gfs/defs/prod00.def +++ b/model/ecflow_fv3gfs/defs/prod00.def @@ -9,11 +9,14 @@ suite prod00 #edit ECF_HOME '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3' edit CYC '00' edit ENVIR 'prod' + edit PROJ 'GFS-T2O' edit E 'jecffv3' #edit QUEUE 'dev' edit QUEUE 'debug' edit PROJENVIR 'GFS-T2O' + #edit EMCPEN 'ecfgfsfv3' edit EMCPEN 'ecfgfsfv3' + #edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' edit COM '/gpfs/hps2/ptmp/emc.glopara/ecfgfsfv3/com' edit QUEUESERV 'dev_transfer' edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/ecfgfsfv3' @@ -22,6 +25,10 @@ suite prod00 edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' edit MODEL_NAME 'gfs' family gfs + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' + edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' + edit PROJ '%PROJENVIR%' + edit MODEL_NAME 'gfs' family dump task jgfs_tropcy_qc_reloc trigger ./jgfs_dump == complete @@ -70,83 +77,109 @@ suite prod00 endtask family fax task jgfs_fax_f00 + edit FCSTHR '00' trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_anl == complete endtask task jgfs_fax_anl + edit FCSTHR 'anl' trigger ../../prdgen/jgfs_pgrb2_anl == complete endtask task jgfs_fax_wafs_f12 + edit FCSTHR '12' trigger ../../prdgen/jgfs_pgrb2_f12 == complete endtask task jgfs_fax_wafs_f24 + edit FCSTHR '24' trigger ../../prdgen/jgfs_pgrb2_f24 == complete endtask task jgfs_fax_wafs_f36 + edit FCSTHR '36' trigger ../../prdgen/jgfs_pgrb2_f36 == complete endtask endfamily family grib_wafs task jgfs_wafs_f00 + edit FCSTHR '00' trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f120 == complete and ../grib2_wafs/jgfs_wafs_grib2 == complete endtask task jgfs_wafs_f06 + edit FCSTHR '06' trigger ../../prdgen/jgfs_pgrb2_f06 == complete and ./jgfs_wafs_f00 == complete endtask task jgfs_wafs_f12 + edit FCSTHR '12' trigger ../../prdgen/jgfs_pgrb2_f12 == complete and ./jgfs_wafs_f06 == complete endtask task jgfs_wafs_f18 + edit FCSTHR '18' trigger ../../prdgen/jgfs_pgrb2_f18 == complete and ./jgfs_wafs_f12 == complete endtask task jgfs_wafs_f24 + edit FCSTHR '24' trigger ../../prdgen/jgfs_pgrb2_f24 == complete and ./jgfs_wafs_f18 == complete endtask task jgfs_wafs_f30 + edit FCSTHR '30' trigger ../../prdgen/jgfs_pgrb2_f30 == complete and ./jgfs_wafs_f24 == complete endtask task jgfs_wafs_f36 + edit FCSTHR '36' trigger ../../prdgen/jgfs_pgrb2_f36 == complete and ./jgfs_wafs_f30 == complete endtask task jgfs_wafs_f42 + edit FCSTHR '42' trigger ../../prdgen/jgfs_pgrb2_f42 == complete and ./jgfs_wafs_f36 == complete endtask task jgfs_wafs_f48 + edit FCSTHR '48' trigger ../../prdgen/jgfs_pgrb2_f48 == complete and ./jgfs_wafs_f42 == complete endtask task jgfs_wafs_f54 + edit FCSTHR '54' trigger ../../prdgen/jgfs_pgrb2_f54 == complete and ./jgfs_wafs_f48 == complete endtask task jgfs_wafs_f60 + edit FCSTHR '60' trigger ../../prdgen/jgfs_pgrb2_f60 == complete and ./jgfs_wafs_f54 == complete endtask task jgfs_wafs_f66 + edit FCSTHR '66' trigger ../../prdgen/jgfs_pgrb2_f66 == complete and ./jgfs_wafs_f60 == complete endtask task jgfs_wafs_f72 + edit FCSTHR '72' trigger ../../prdgen/jgfs_pgrb2_f72 == complete and ./jgfs_wafs_f66 == complete endtask task jgfs_wafs_f78 + edit FCSTHR '78' trigger ../../prdgen/jgfs_pgrb2_f78 == complete and ./jgfs_wafs_f72 == complete endtask task jgfs_wafs_f84 + edit FCSTHR '84' trigger ../../prdgen/jgfs_pgrb2_f84 == complete and ./jgfs_wafs_f78 == complete endtask task jgfs_wafs_f90 + edit FCSTHR '90' trigger ../../prdgen/jgfs_pgrb2_f90 == complete and ./jgfs_wafs_f84 == complete endtask task jgfs_wafs_f96 + edit FCSTHR '96' trigger ../../prdgen/jgfs_pgrb2_f96 == complete and ./jgfs_wafs_f90 == complete endtask task jgfs_wafs_f102 + edit FCSTHR '102' trigger ../../prdgen/jgfs_pgrb2_f102 == complete and ./jgfs_wafs_f96 == complete endtask task jgfs_wafs_f108 + edit FCSTHR '108' trigger ../../prdgen/jgfs_pgrb2_f108 == complete and ./jgfs_wafs_f102 == complete endtask task jgfs_wafs_f114 + edit FCSTHR '114' trigger ../../prdgen/jgfs_pgrb2_f114 == complete and ./jgfs_wafs_f108 == complete endtask task jgfs_wafs_f120 + edit FCSTHR '120' trigger ../../prdgen/jgfs_pgrb2_f120 == complete and ./jgfs_wafs_f114 == complete endtask endfamily @@ -160,7 +193,7 @@ suite prod00 trigger ../../post/jgfs_post_f06 == complete and ../../post/jgfs_post_f12 == complete and ../../post/jgfs_post_f24 == complete endtask task jgfs_cyclone_tracker - trigger ../../post/jgfs_post_f00 == complete and ../../post/jgfs_post_f06 == complete and ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f06 == complete + trigger ../../post/jgfs_post_f00 == complete and ../../post/jgfs_post_f06 == complete and ../../post/jgfs_post_f12 == complete and ../../post/jgfs_post_f18 == complete and ../../post/jgfs_post_f24 == complete and ../../post/jgfs_post_f30 == complete and ../../post/jgfs_post_f36 == complete and ../../post/jgfs_post_f42 == complete and ../../post/jgfs_post_f48 == complete and ../../post/jgfs_post_f54 == complete and ../../post/jgfs_post_f60 == complete and ../../post/jgfs_post_f66 == complete and ../../post/jgfs_post_f72 == complete and ../../post/jgfs_post_f78 == complete and ../../post/jgfs_post_f84 == complete and ../../post/jgfs_post_f90 == complete and ../../post/jgfs_post_f96 == complete and ../../post/jgfs_post_f102 == complete and ../../post/jgfs_post_f108 == complete and ../../post/jgfs_post_f114 == complete and ../../post/jgfs_post_f120 == complete and ../../post/jgfs_post_f126 == complete and ../../post/jgfs_post_f132 == complete and ../../post/jgfs_post_f138 == complete and ../../post/jgfs_post_f144 == complete and ../../post/jgfs_post_f150 == complete and ../../post/jgfs_post_f156 == complete and ../../post/jgfs_post_f162 == complete and ../../post/jgfs_post_f168 == complete and ../../post/jgfs_post_f174 == complete and ../../post/jgfs_post_f180 == complete and ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f06 == complete and ../../prdgen/jgfs_pgrb2_f12 == complete and ../../prdgen/jgfs_pgrb2_f18 == complete and ../../prdgen/jgfs_pgrb2_f24 == complete and ../../prdgen/jgfs_pgrb2_f30 == complete and ../../prdgen/jgfs_pgrb2_f36 == complete and ../../prdgen/jgfs_pgrb2_f42 == complete and ../../prdgen/jgfs_pgrb2_f48 == complete and ../../prdgen/jgfs_pgrb2_f54 == complete and ../../prdgen/jgfs_pgrb2_f60 == complete and ../../prdgen/jgfs_pgrb2_f66 == complete and ../../prdgen/jgfs_pgrb2_f72 == complete and ../../prdgen/jgfs_pgrb2_f78 == complete and ../../prdgen/jgfs_pgrb2_f84 == complete and ../../prdgen/jgfs_pgrb2_f90 == complete and ../../prdgen/jgfs_pgrb2_f96 == complete and ../../prdgen/jgfs_pgrb2_f102 == complete and ../../prdgen/jgfs_pgrb2_f108 == complete and ../../prdgen/jgfs_pgrb2_f114 == complete and ../../prdgen/jgfs_pgrb2_f120 == complete and ../../prdgen/jgfs_pgrb2_f126 == complete and ../../prdgen/jgfs_pgrb2_f132 == complete and ../../prdgen/jgfs_pgrb2_f138 == complete and ../../prdgen/jgfs_pgrb2_f144 == complete and ../../prdgen/jgfs_pgrb2_f150 == complete and ../../prdgen/jgfs_pgrb2_f156 == complete and ../../prdgen/jgfs_pgrb2_f162 == complete and ../../prdgen/jgfs_pgrb2_f168 == complete and ../../prdgen/jgfs_pgrb2_f174 == complete and ../../prdgen/jgfs_pgrb2_f180 == complete endtask endfamily family grib2_wafs @@ -173,37 +206,2105 @@ suite prod00 endfamily family grib_awips task jgfs_awips_f00 + edit FCSTHR '00' trigger ../../prdgen/jgfs_pgrb2_f00 == complete endtask + task jgfs_awips_f01 + edit FCSTHR '01' + trigger ../../prdgen/jgfs_pgrb2_f01 == complete + endtask + task jgfs_awips_f02 + edit FCSTHR '02' + trigger ../../prdgen/jgfs_pgrb2_f02 == complete + endtask + task jgfs_awips_f03 + edit FCSTHR '03' + trigger ../../prdgen/jgfs_pgrb2_f03 == complete + endtask + task jgfs_awips_f04 + edit FCSTHR '04' + trigger ../../prdgen/jgfs_pgrb2_f04 == complete + endtask + task jgfs_awips_f05 + edit FCSTHR '05' + trigger ../../prdgen/jgfs_pgrb2_f05 == complete + endtask task jgfs_awips_f06 + edit FCSTHR '06' trigger ../../prdgen/jgfs_pgrb2_f06 == complete endtask + task jgfs_awips_f07 + edit FCSTHR '07' + trigger ../../prdgen/jgfs_pgrb2_f07 == complete + endtask + task jgfs_awips_f08 + edit FCSTHR '08' + trigger ../../prdgen/jgfs_pgrb2_f08 == complete + endtask + task jgfs_awips_f09 + edit FCSTHR '09' + trigger ../../prdgen/jgfs_pgrb2_f09 == complete + endtask + task jgfs_awips_f10 + edit FCSTHR '10' + trigger ../../prdgen/jgfs_pgrb2_f10 == complete + endtask + task jgfs_awips_f11 + edit FCSTHR '11' + trigger ../../prdgen/jgfs_pgrb2_f11 == complete + endtask + task jgfs_awips_f12 + edit FCSTHR '12' + trigger ../../prdgen/jgfs_pgrb2_f12 == complete + endtask + task jgfs_awips_f13 + edit FCSTHR '13' + trigger ../../prdgen/jgfs_pgrb2_f13 == complete + endtask + task jgfs_awips_f14 + edit FCSTHR '14' + trigger ../../prdgen/jgfs_pgrb2_f14 == complete + endtask + task jgfs_awips_f15 + edit FCSTHR '15' + trigger ../../prdgen/jgfs_pgrb2_f15 == complete + endtask + task jgfs_awips_f16 + edit FCSTHR '16' + trigger ../../prdgen/jgfs_pgrb2_f16 == complete + endtask + task jgfs_awips_f17 + edit FCSTHR '17' + trigger ../../prdgen/jgfs_pgrb2_f17 == complete + endtask + task jgfs_awips_f18 + edit FCSTHR '18' + trigger ../../prdgen/jgfs_pgrb2_f18 == complete + endtask + task jgfs_awips_f19 + edit FCSTHR '19' + trigger ../../prdgen/jgfs_pgrb2_f19 == complete + endtask + task jgfs_awips_f20 + edit FCSTHR '20' + trigger ../../prdgen/jgfs_pgrb2_f20 == complete + endtask + task jgfs_awips_f21 + edit FCSTHR '21' + trigger ../../prdgen/jgfs_pgrb2_f21 == complete + endtask + task jgfs_awips_f22 + edit FCSTHR '22' + trigger ../../prdgen/jgfs_pgrb2_f22 == complete + endtask + task jgfs_awips_f23 + edit FCSTHR '23' + trigger ../../prdgen/jgfs_pgrb2_f23 == complete + endtask + task jgfs_awips_f24 + edit FCSTHR '24' + trigger ../../prdgen/jgfs_pgrb2_f24 == complete + endtask + task jgfs_awips_f25 + edit FCSTHR '25' + trigger ../../prdgen/jgfs_pgrb2_f25 == complete + endtask + task jgfs_awips_f26 + edit FCSTHR '26' + trigger ../../prdgen/jgfs_pgrb2_f26 == complete + endtask + task jgfs_awips_f27 + edit FCSTHR '27' + trigger ../../prdgen/jgfs_pgrb2_f27 == complete + endtask + task jgfs_awips_f28 + edit FCSTHR '28' + trigger ../../prdgen/jgfs_pgrb2_f28 == complete + endtask + task jgfs_awips_f29 + edit FCSTHR '29' + trigger ../../prdgen/jgfs_pgrb2_f29 == complete + endtask + task jgfs_awips_f30 + edit FCSTHR '30' + trigger ../../prdgen/jgfs_pgrb2_f30 == complete + endtask + task jgfs_awips_f31 + edit FCSTHR '31' + trigger ../../prdgen/jgfs_pgrb2_f31 == complete + endtask + task jgfs_awips_f32 + edit FCSTHR '32' + trigger ../../prdgen/jgfs_pgrb2_f32 == complete + endtask + task jgfs_awips_f33 + edit FCSTHR '33' + trigger ../../prdgen/jgfs_pgrb2_f33 == complete + endtask + task jgfs_awips_f34 + edit FCSTHR '34' + trigger ../../prdgen/jgfs_pgrb2_f34 == complete + endtask + task jgfs_awips_f35 + edit FCSTHR '35' + trigger ../../prdgen/jgfs_pgrb2_f35 == complete + endtask + task jgfs_awips_f36 + edit FCSTHR '36' + trigger ../../prdgen/jgfs_pgrb2_f36 == complete + endtask + task jgfs_awips_f37 + edit FCSTHR '37' + trigger ../../prdgen/jgfs_pgrb2_f37 == complete + endtask + task jgfs_awips_f38 + edit FCSTHR '38' + trigger ../../prdgen/jgfs_pgrb2_f38 == complete + endtask + task jgfs_awips_f39 + edit FCSTHR '39' + trigger ../../prdgen/jgfs_pgrb2_f39 == complete + endtask + task jgfs_awips_f40 + edit FCSTHR '40' + trigger ../../prdgen/jgfs_pgrb2_f40 == complete + endtask + task jgfs_awips_f41 + edit FCSTHR '41' + trigger ../../prdgen/jgfs_pgrb2_f41 == complete + endtask + task jgfs_awips_f42 + edit FCSTHR '42' + trigger ../../prdgen/jgfs_pgrb2_f42 == complete + endtask + task jgfs_awips_f43 + edit FCSTHR '43' + trigger ../../prdgen/jgfs_pgrb2_f43 == complete + endtask + task jgfs_awips_f44 + edit FCSTHR '44' + trigger ../../prdgen/jgfs_pgrb2_f44 == complete + endtask + task jgfs_awips_f45 + edit FCSTHR '45' + trigger ../../prdgen/jgfs_pgrb2_f45 == complete + endtask + task jgfs_awips_f46 + edit FCSTHR '46' + trigger ../../prdgen/jgfs_pgrb2_f46 == complete + endtask + task jgfs_awips_f47 + edit FCSTHR '47' + trigger ../../prdgen/jgfs_pgrb2_f47 == complete + endtask + task jgfs_awips_f48 + edit FCSTHR '48' + trigger ../../prdgen/jgfs_pgrb2_f48 == complete + endtask + task jgfs_awips_f49 + edit FCSTHR '49' + trigger ../../prdgen/jgfs_pgrb2_f49 == complete + endtask + task jgfs_awips_f50 + edit FCSTHR '50' + trigger ../../prdgen/jgfs_pgrb2_f50 == complete + endtask + task jgfs_awips_f51 + edit FCSTHR '51' + trigger ../../prdgen/jgfs_pgrb2_f51 == complete + endtask + task jgfs_awips_f52 + edit FCSTHR '52' + trigger ../../prdgen/jgfs_pgrb2_f52 == complete + endtask + task jgfs_awips_f53 + edit FCSTHR '53' + trigger ../../prdgen/jgfs_pgrb2_f53 == complete + endtask + task jgfs_awips_f54 + edit FCSTHR '54' + trigger ../../prdgen/jgfs_pgrb2_f54 == complete + endtask + task jgfs_awips_f55 + edit FCSTHR '55' + trigger ../../prdgen/jgfs_pgrb2_f55 == complete + endtask + task jgfs_awips_f56 + edit FCSTHR '56' + trigger ../../prdgen/jgfs_pgrb2_f56 == complete + endtask + task jgfs_awips_f57 + edit FCSTHR '57' + trigger ../../prdgen/jgfs_pgrb2_f57 == complete + endtask + task jgfs_awips_f58 + edit FCSTHR '58' + trigger ../../prdgen/jgfs_pgrb2_f58 == complete + endtask + task jgfs_awips_f59 + edit FCSTHR '59' + trigger ../../prdgen/jgfs_pgrb2_f59 == complete + endtask + task jgfs_awips_f60 + edit FCSTHR '60' + trigger ../../prdgen/jgfs_pgrb2_f60 == complete + endtask + task jgfs_awips_f61 + edit FCSTHR '61' + trigger ../../prdgen/jgfs_pgrb2_f61 == complete + endtask + task jgfs_awips_f62 + edit FCSTHR '62' + trigger ../../prdgen/jgfs_pgrb2_f62 == complete + endtask + task jgfs_awips_f63 + edit FCSTHR '63' + trigger ../../prdgen/jgfs_pgrb2_f63 == complete + endtask + task jgfs_awips_f64 + edit FCSTHR '64' + trigger ../../prdgen/jgfs_pgrb2_f64 == complete + endtask + task jgfs_awips_f65 + edit FCSTHR '65' + trigger ../../prdgen/jgfs_pgrb2_f65 == complete + endtask + task jgfs_awips_f66 + edit FCSTHR '66' + trigger ../../prdgen/jgfs_pgrb2_f66 == complete + endtask + task jgfs_awips_f67 + edit FCSTHR '67' + trigger ../../prdgen/jgfs_pgrb2_f67 == complete + endtask + task jgfs_awips_f68 + edit FCSTHR '68' + trigger ../../prdgen/jgfs_pgrb2_f68 == complete + endtask + task jgfs_awips_f69 + edit FCSTHR '69' + trigger ../../prdgen/jgfs_pgrb2_f69 == complete + endtask + task jgfs_awips_f70 + edit FCSTHR '70' + trigger ../../prdgen/jgfs_pgrb2_f70 == complete + endtask + task jgfs_awips_f71 + edit FCSTHR '71' + trigger ../../prdgen/jgfs_pgrb2_f71 == complete + endtask + task jgfs_awips_f72 + edit FCSTHR '72' + trigger ../../prdgen/jgfs_pgrb2_f72 == complete + endtask + task jgfs_awips_f73 + edit FCSTHR '73' + trigger ../../prdgen/jgfs_pgrb2_f73 == complete + endtask + task jgfs_awips_f74 + edit FCSTHR '74' + trigger ../../prdgen/jgfs_pgrb2_f74 == complete + endtask + task jgfs_awips_f75 + edit FCSTHR '75' + trigger ../../prdgen/jgfs_pgrb2_f75 == complete + endtask + task jgfs_awips_f76 + edit FCSTHR '76' + trigger ../../prdgen/jgfs_pgrb2_f76 == complete + endtask + task jgfs_awips_f77 + edit FCSTHR '77' + trigger ../../prdgen/jgfs_pgrb2_f77 == complete + endtask + task jgfs_awips_f78 + edit FCSTHR '78' + trigger ../../prdgen/jgfs_pgrb2_f78 == complete + endtask + task jgfs_awips_f79 + edit FCSTHR '79' + trigger ../../prdgen/jgfs_pgrb2_f79 == complete + endtask + task jgfs_awips_f80 + edit FCSTHR '80' + trigger ../../prdgen/jgfs_pgrb2_f80 == complete + endtask + task jgfs_awips_f81 + edit FCSTHR '81' + trigger ../../prdgen/jgfs_pgrb2_f81 == complete + endtask + task jgfs_awips_f82 + edit FCSTHR '82' + trigger ../../prdgen/jgfs_pgrb2_f82 == complete + endtask + task jgfs_awips_f83 + edit FCSTHR '83' + trigger ../../prdgen/jgfs_pgrb2_f83 == complete + endtask + task jgfs_awips_f84 + edit FCSTHR '84' + trigger ../../prdgen/jgfs_pgrb2_f84 == complete + endtask + task jgfs_awips_f85 + edit FCSTHR '85' + trigger ../../prdgen/jgfs_pgrb2_f85 == complete + endtask + task jgfs_awips_f86 + edit FCSTHR '86' + trigger ../../prdgen/jgfs_pgrb2_f86 == complete + endtask + task jgfs_awips_f87 + edit FCSTHR '87' + trigger ../../prdgen/jgfs_pgrb2_f87 == complete + endtask + task jgfs_awips_f88 + edit FCSTHR '88' + trigger ../../prdgen/jgfs_pgrb2_f88 == complete + endtask + task jgfs_awips_f89 + edit FCSTHR '89' + trigger ../../prdgen/jgfs_pgrb2_f89 == complete + endtask + task jgfs_awips_f90 + edit FCSTHR '90' + trigger ../../prdgen/jgfs_pgrb2_f90 == complete + endtask + task jgfs_awips_f91 + edit FCSTHR '91' + trigger ../../prdgen/jgfs_pgrb2_f91 == complete + endtask + task jgfs_awips_f92 + edit FCSTHR '92' + trigger ../../prdgen/jgfs_pgrb2_f92 == complete + endtask + task jgfs_awips_f93 + edit FCSTHR '93' + trigger ../../prdgen/jgfs_pgrb2_f93 == complete + endtask + task jgfs_awips_f94 + edit FCSTHR '94' + trigger ../../prdgen/jgfs_pgrb2_f94 == complete + endtask + task jgfs_awips_f95 + edit FCSTHR '95' + trigger ../../prdgen/jgfs_pgrb2_f95 == complete + endtask + task jgfs_awips_f96 + edit FCSTHR '96' + trigger ../../prdgen/jgfs_pgrb2_f96 == complete + endtask + task jgfs_awips_f97 + edit FCSTHR '97' + trigger ../../prdgen/jgfs_pgrb2_f97 == complete + endtask + task jgfs_awips_f98 + edit FCSTHR '98' + trigger ../../prdgen/jgfs_pgrb2_f98 == complete + endtask + task jgfs_awips_f99 + edit FCSTHR '99' + trigger ../../prdgen/jgfs_pgrb2_f99 == complete + endtask + task jgfs_awips_f100 + edit FCSTHR '100' + trigger ../../prdgen/jgfs_pgrb2_f100 == complete + endtask + task jgfs_awips_f101 + edit FCSTHR '101' + trigger ../../prdgen/jgfs_pgrb2_f101 == complete + endtask + task jgfs_awips_f102 + edit FCSTHR '102' + trigger ../../prdgen/jgfs_pgrb2_f102 == complete + endtask + task jgfs_awips_f103 + edit FCSTHR '103' + trigger ../../prdgen/jgfs_pgrb2_f103 == complete + endtask + task jgfs_awips_f104 + edit FCSTHR '104' + trigger ../../prdgen/jgfs_pgrb2_f104 == complete + endtask + task jgfs_awips_f105 + edit FCSTHR '105' + trigger ../../prdgen/jgfs_pgrb2_f105 == complete + endtask + task jgfs_awips_f106 + edit FCSTHR '106' + trigger ../../prdgen/jgfs_pgrb2_f106 == complete + endtask + task jgfs_awips_f107 + edit FCSTHR '107' + trigger ../../prdgen/jgfs_pgrb2_f107 == complete + endtask + task jgfs_awips_f108 + edit FCSTHR '108' + trigger ../../prdgen/jgfs_pgrb2_f108 == complete + endtask + task jgfs_awips_f109 + edit FCSTHR '109' + trigger ../../prdgen/jgfs_pgrb2_f109 == complete + endtask + task jgfs_awips_f110 + edit FCSTHR '110' + trigger ../../prdgen/jgfs_pgrb2_f110 == complete + endtask + task jgfs_awips_f111 + edit FCSTHR '111' + trigger ../../prdgen/jgfs_pgrb2_f111 == complete + endtask + task jgfs_awips_f112 + edit FCSTHR '112' + trigger ../../prdgen/jgfs_pgrb2_f112 == complete + endtask + task jgfs_awips_f113 + edit FCSTHR '113' + trigger ../../prdgen/jgfs_pgrb2_f113 == complete + endtask + task jgfs_awips_f114 + edit FCSTHR '114' + trigger ../../prdgen/jgfs_pgrb2_f114 == complete + endtask + task jgfs_awips_f115 + edit FCSTHR '115' + trigger ../../prdgen/jgfs_pgrb2_f115 == complete + endtask + task jgfs_awips_f116 + edit FCSTHR '116' + trigger ../../prdgen/jgfs_pgrb2_f116 == complete + endtask + task jgfs_awips_f117 + edit FCSTHR '117' + trigger ../../prdgen/jgfs_pgrb2_f117 == complete + endtask + task jgfs_awips_f118 + edit FCSTHR '118' + trigger ../../prdgen/jgfs_pgrb2_f118 == complete + endtask + task jgfs_awips_f119 + edit FCSTHR '119' + trigger ../../prdgen/jgfs_pgrb2_f119 == complete + endtask + task jgfs_awips_f120 + edit FCSTHR '120' + trigger ../../prdgen/jgfs_pgrb2_f120 == complete + endtask + task jgfs_awips_f123 + edit FCSTHR '123' + trigger ../../prdgen/jgfs_pgrb2_f123 == complete + endtask + task jgfs_awips_f126 + edit FCSTHR '126' + trigger ../../prdgen/jgfs_pgrb2_f126 == complete + endtask + task jgfs_awips_f129 + edit FCSTHR '129' + trigger ../../prdgen/jgfs_pgrb2_f129 == complete + endtask + task jgfs_awips_f132 + edit FCSTHR '132' + trigger ../../prdgen/jgfs_pgrb2_f132 == complete + endtask + task jgfs_awips_f135 + edit FCSTHR '135' + trigger ../../prdgen/jgfs_pgrb2_f135 == complete + endtask + task jgfs_awips_f138 + edit FCSTHR '138' + trigger ../../prdgen/jgfs_pgrb2_f138 == complete + endtask + task jgfs_awips_f141 + edit FCSTHR '141' + trigger ../../prdgen/jgfs_pgrb2_f141 == complete + endtask + task jgfs_awips_f144 + edit FCSTHR '144' + trigger ../../prdgen/jgfs_pgrb2_f144 == complete + endtask + task jgfs_awips_f147 + edit FCSTHR '147' + trigger ../../prdgen/jgfs_pgrb2_f147 == complete + endtask + task jgfs_awips_f150 + edit FCSTHR '150' + trigger ../../prdgen/jgfs_pgrb2_f150 == complete + endtask + task jgfs_awips_f153 + edit FCSTHR '153' + trigger ../../prdgen/jgfs_pgrb2_f153 == complete + endtask + task jgfs_awips_f156 + edit FCSTHR '156' + trigger ../../prdgen/jgfs_pgrb2_f156 == complete + endtask + task jgfs_awips_f159 + edit FCSTHR '159' + trigger ../../prdgen/jgfs_pgrb2_f159 == complete + endtask + task jgfs_awips_f162 + edit FCSTHR '162' + trigger ../../prdgen/jgfs_pgrb2_f162 == complete + endtask + task jgfs_awips_f165 + edit FCSTHR '165' + trigger ../../prdgen/jgfs_pgrb2_f165 == complete + endtask + task jgfs_awips_f168 + edit FCSTHR '168' + trigger ../../prdgen/jgfs_pgrb2_f168 == complete + endtask + task jgfs_awips_f171 + edit FCSTHR '171' + trigger ../../prdgen/jgfs_pgrb2_f171 == complete + endtask + task jgfs_awips_f174 + edit FCSTHR '174' + trigger ../../prdgen/jgfs_pgrb2_f174 == complete + endtask + task jgfs_awips_f177 + edit FCSTHR '177' + trigger ../../prdgen/jgfs_pgrb2_f177 == complete + endtask + task jgfs_awips_f180 + edit FCSTHR '180' + trigger ../../prdgen/jgfs_pgrb2_f180 == complete + endtask + task jgfs_awips_f183 + edit FCSTHR '183' + trigger ../../prdgen/jgfs_pgrb2_f183 == complete + endtask + task jgfs_awips_f186 + edit FCSTHR '186' + trigger ../../prdgen/jgfs_pgrb2_f186 == complete + endtask + task jgfs_awips_f189 + edit FCSTHR '189' + trigger ../../prdgen/jgfs_pgrb2_f189 == complete + endtask + task jgfs_awips_f192 + edit FCSTHR '192' + trigger ../../prdgen/jgfs_pgrb2_f192 == complete + endtask + task jgfs_awips_f195 + edit FCSTHR '195' + trigger ../../prdgen/jgfs_pgrb2_f195 == complete + endtask + task jgfs_awips_f198 + edit FCSTHR '198' + trigger ../../prdgen/jgfs_pgrb2_f198 == complete + endtask + task jgfs_awips_f201 + edit FCSTHR '201' + trigger ../../prdgen/jgfs_pgrb2_f201 == complete + endtask + task jgfs_awips_f204 + edit FCSTHR '204' + trigger ../../prdgen/jgfs_pgrb2_f204 == complete + endtask + task jgfs_awips_f207 + edit FCSTHR '207' + trigger ../../prdgen/jgfs_pgrb2_f207 == complete + endtask + task jgfs_awips_f210 + edit FCSTHR '210' + trigger ../../prdgen/jgfs_pgrb2_f210 == complete + endtask + task jgfs_awips_f213 + edit FCSTHR '213' + trigger ../../prdgen/jgfs_pgrb2_f213 == complete + endtask + task jgfs_awips_f216 + edit FCSTHR '216' + trigger ../../prdgen/jgfs_pgrb2_f216 == complete + endtask + task jgfs_awips_f219 + edit FCSTHR '219' + trigger ../../prdgen/jgfs_pgrb2_f219 == complete + endtask + task jgfs_awips_f222 + edit FCSTHR '222' + trigger ../../prdgen/jgfs_pgrb2_f222 == complete + endtask + task jgfs_awips_f225 + edit FCSTHR '225' + trigger ../../prdgen/jgfs_pgrb2_f225 == complete + endtask + task jgfs_awips_f228 + edit FCSTHR '228' + trigger ../../prdgen/jgfs_pgrb2_f228 == complete + endtask + task jgfs_awips_f231 + edit FCSTHR '231' + trigger ../../prdgen/jgfs_pgrb2_f231 == complete + endtask + task jgfs_awips_f234 + edit FCSTHR '234' + trigger ../../prdgen/jgfs_pgrb2_f234 == complete + endtask + task jgfs_awips_f237 + edit FCSTHR '237' + trigger ../../prdgen/jgfs_pgrb2_f237 == complete + endtask + task jgfs_awips_f240 + edit FCSTHR '240' + trigger ../../prdgen/jgfs_pgrb2_f240 == complete + endtask + task jgfs_awips_f252 + edit FCSTHR '252' + trigger ../../prdgen/jgfs_pgrb2_f252 == complete + endtask + task jgfs_awips_f264 + edit FCSTHR '264' + trigger ../../prdgen/jgfs_pgrb2_f264 == complete + endtask + task jgfs_awips_f276 + edit FCSTHR '276' + trigger ../../prdgen/jgfs_pgrb2_f276 == complete + endtask + task jgfs_awips_f288 + edit FCSTHR '288' + trigger ../../prdgen/jgfs_pgrb2_f288 == complete + endtask + task jgfs_awips_f300 + edit FCSTHR '300' + trigger ../../prdgen/jgfs_pgrb2_f300 == complete + endtask + task jgfs_awips_f312 + edit FCSTHR '312' + trigger ../../prdgen/jgfs_pgrb2_f312 == complete + endtask + task jgfs_awips_f324 + edit FCSTHR '324' + trigger ../../prdgen/jgfs_pgrb2_f324 == complete + endtask + task jgfs_awips_f336 + edit FCSTHR '336' + trigger ../../prdgen/jgfs_pgrb2_f336 == complete + endtask + task jgfs_awips_f348 + edit FCSTHR '348' + trigger ../../prdgen/jgfs_pgrb2_f348 == complete + endtask + task jgfs_awips_f360 + edit FCSTHR '360' + trigger ../../prdgen/jgfs_pgrb2_f360 == complete + endtask + task jgfs_awips_f372 + edit FCSTHR '372' + trigger ../../prdgen/jgfs_pgrb2_f372 == complete + endtask + task jgfs_awips_f384 + edit FCSTHR '384' + trigger ../../prdgen/jgfs_pgrb2_f384 == complete + endtask + endfamily + family awips_1p0deg + edit RES '1p0deg' + edit RESC '1P0DEG' + #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + task jgfs_awips_f000 + edit FCSTHR '000' + trigger ../../prdgen/jgfs_pgrb2_f00 == complete + endtask + task jgfs_awips_f001 + edit FCSTHR '001' + trigger ../../prdgen/jgfs_pgrb2_f01 == complete + endtask + task jgfs_awips_f002 + edit FCSTHR '002' + trigger ../../prdgen/jgfs_pgrb2_f02 == complete + endtask + task jgfs_awips_f003 + edit FCSTHR '003' + trigger ../../prdgen/jgfs_pgrb2_f03 == complete + endtask + task jgfs_awips_f004 + edit FCSTHR '004' + trigger ../../prdgen/jgfs_pgrb2_f04 == complete + endtask + task jgfs_awips_f005 + edit FCSTHR '005' + trigger ../../prdgen/jgfs_pgrb2_f05 == complete + endtask + task jgfs_awips_f006 + edit FCSTHR '006' + trigger ../../prdgen/jgfs_pgrb2_f06 == complete + endtask + task jgfs_awips_f007 + edit FCSTHR '007' + trigger ../../prdgen/jgfs_pgrb2_f07 == complete + endtask + task jgfs_awips_f008 + edit FCSTHR '008' + trigger ../../prdgen/jgfs_pgrb2_f08 == complete + endtask + task jgfs_awips_f009 + edit FCSTHR '009' + trigger ../../prdgen/jgfs_pgrb2_f09 == complete + endtask + task jgfs_awips_f010 + edit FCSTHR '010' + trigger ../../prdgen/jgfs_pgrb2_f10 == complete + endtask + task jgfs_awips_f011 + edit FCSTHR '011' + trigger ../../prdgen/jgfs_pgrb2_f11 == complete + endtask + task jgfs_awips_f012 + edit FCSTHR '012' + trigger ../../prdgen/jgfs_pgrb2_f12 == complete + endtask + task jgfs_awips_f013 + edit FCSTHR '013' + trigger ../../prdgen/jgfs_pgrb2_f13 == complete + endtask + task jgfs_awips_f014 + edit FCSTHR '014' + trigger ../../prdgen/jgfs_pgrb2_f14 == complete + endtask + task jgfs_awips_f015 + edit FCSTHR '015' + trigger ../../prdgen/jgfs_pgrb2_f15 == complete + endtask + task jgfs_awips_f016 + edit FCSTHR '016' + trigger ../../prdgen/jgfs_pgrb2_f16 == complete + endtask + task jgfs_awips_f017 + edit FCSTHR '017' + trigger ../../prdgen/jgfs_pgrb2_f17 == complete + endtask + task jgfs_awips_f018 + edit FCSTHR '018' + trigger ../../prdgen/jgfs_pgrb2_f18 == complete + endtask + task jgfs_awips_f019 + edit FCSTHR '019' + trigger ../../prdgen/jgfs_pgrb2_f19 == complete + endtask + task jgfs_awips_f020 + edit FCSTHR '020' + trigger ../../prdgen/jgfs_pgrb2_f20 == complete + endtask + task jgfs_awips_f021 + edit FCSTHR '021' + trigger ../../prdgen/jgfs_pgrb2_f21 == complete + endtask + task jgfs_awips_f022 + edit FCSTHR '022' + trigger ../../prdgen/jgfs_pgrb2_f22 == complete + endtask + task jgfs_awips_f023 + edit FCSTHR '023' + trigger ../../prdgen/jgfs_pgrb2_f23 == complete + endtask + task jgfs_awips_f024 + edit FCSTHR '024' + trigger ../../prdgen/jgfs_pgrb2_f24 == complete + endtask + task jgfs_awips_f025 + edit FCSTHR '025' + trigger ../../prdgen/jgfs_pgrb2_f25 == complete + endtask + task jgfs_awips_f026 + edit FCSTHR '026' + trigger ../../prdgen/jgfs_pgrb2_f26 == complete + endtask + task jgfs_awips_f027 + edit FCSTHR '027' + trigger ../../prdgen/jgfs_pgrb2_f27 == complete + endtask + task jgfs_awips_f028 + edit FCSTHR '028' + trigger ../../prdgen/jgfs_pgrb2_f28 == complete + endtask + task jgfs_awips_f029 + edit FCSTHR '029' + trigger ../../prdgen/jgfs_pgrb2_f29 == complete + endtask + task jgfs_awips_f030 + edit FCSTHR '030' + trigger ../../prdgen/jgfs_pgrb2_f30 == complete + endtask + task jgfs_awips_f031 + edit FCSTHR '031' + trigger ../../prdgen/jgfs_pgrb2_f31 == complete + endtask + task jgfs_awips_f032 + edit FCSTHR '032' + trigger ../../prdgen/jgfs_pgrb2_f32 == complete + endtask + task jgfs_awips_f033 + edit FCSTHR '033' + trigger ../../prdgen/jgfs_pgrb2_f33 == complete + endtask + task jgfs_awips_f034 + edit FCSTHR '034' + trigger ../../prdgen/jgfs_pgrb2_f34 == complete + endtask + task jgfs_awips_f035 + edit FCSTHR '035' + trigger ../../prdgen/jgfs_pgrb2_f35 == complete + endtask + task jgfs_awips_f036 + edit FCSTHR '036' + trigger ../../prdgen/jgfs_pgrb2_f36 == complete + endtask + task jgfs_awips_f037 + edit FCSTHR '037' + trigger ../../prdgen/jgfs_pgrb2_f37 == complete + endtask + task jgfs_awips_f038 + edit FCSTHR '038' + trigger ../../prdgen/jgfs_pgrb2_f38 == complete + endtask + task jgfs_awips_f039 + edit FCSTHR '039' + trigger ../../prdgen/jgfs_pgrb2_f39 == complete + endtask + task jgfs_awips_f040 + edit FCSTHR '040' + trigger ../../prdgen/jgfs_pgrb2_f40 == complete + endtask + task jgfs_awips_f041 + edit FCSTHR '041' + trigger ../../prdgen/jgfs_pgrb2_f41 == complete + endtask + task jgfs_awips_f042 + edit FCSTHR '042' + trigger ../../prdgen/jgfs_pgrb2_f42 == complete + endtask + task jgfs_awips_f043 + edit FCSTHR '043' + trigger ../../prdgen/jgfs_pgrb2_f43 == complete + endtask + task jgfs_awips_f044 + edit FCSTHR '044' + trigger ../../prdgen/jgfs_pgrb2_f44 == complete + endtask + task jgfs_awips_f045 + edit FCSTHR '045' + trigger ../../prdgen/jgfs_pgrb2_f45 == complete + endtask + task jgfs_awips_f046 + edit FCSTHR '046' + trigger ../../prdgen/jgfs_pgrb2_f46 == complete + endtask + task jgfs_awips_f047 + edit FCSTHR '047' + trigger ../../prdgen/jgfs_pgrb2_f47 == complete + endtask + task jgfs_awips_f048 + edit FCSTHR '048' + trigger ../../prdgen/jgfs_pgrb2_f48 == complete + endtask + task jgfs_awips_f049 + edit FCSTHR '049' + trigger ../../prdgen/jgfs_pgrb2_f49 == complete + endtask + task jgfs_awips_f050 + edit FCSTHR '050' + trigger ../../prdgen/jgfs_pgrb2_f50 == complete + endtask + task jgfs_awips_f051 + edit FCSTHR '051' + trigger ../../prdgen/jgfs_pgrb2_f51 == complete + endtask + task jgfs_awips_f052 + edit FCSTHR '052' + trigger ../../prdgen/jgfs_pgrb2_f52 == complete + endtask + task jgfs_awips_f053 + edit FCSTHR '053' + trigger ../../prdgen/jgfs_pgrb2_f53 == complete + endtask + task jgfs_awips_f054 + edit FCSTHR '054' + trigger ../../prdgen/jgfs_pgrb2_f54 == complete + endtask + task jgfs_awips_f055 + edit FCSTHR '055' + trigger ../../prdgen/jgfs_pgrb2_f55 == complete + endtask + task jgfs_awips_f056 + edit FCSTHR '056' + trigger ../../prdgen/jgfs_pgrb2_f56 == complete + endtask + task jgfs_awips_f057 + edit FCSTHR '057' + trigger ../../prdgen/jgfs_pgrb2_f57 == complete + endtask + task jgfs_awips_f058 + edit FCSTHR '058' + trigger ../../prdgen/jgfs_pgrb2_f58 == complete + endtask + task jgfs_awips_f059 + edit FCSTHR '059' + trigger ../../prdgen/jgfs_pgrb2_f59 == complete + endtask + task jgfs_awips_f060 + edit FCSTHR '060' + trigger ../../prdgen/jgfs_pgrb2_f60 == complete + endtask + task jgfs_awips_f061 + edit FCSTHR '061' + trigger ../../prdgen/jgfs_pgrb2_f61 == complete + endtask + task jgfs_awips_f062 + edit FCSTHR '062' + trigger ../../prdgen/jgfs_pgrb2_f62 == complete + endtask + task jgfs_awips_f063 + edit FCSTHR '063' + trigger ../../prdgen/jgfs_pgrb2_f63 == complete + endtask + task jgfs_awips_f064 + edit FCSTHR '064' + trigger ../../prdgen/jgfs_pgrb2_f64 == complete + endtask + task jgfs_awips_f065 + edit FCSTHR '065' + trigger ../../prdgen/jgfs_pgrb2_f65 == complete + endtask + task jgfs_awips_f066 + edit FCSTHR '066' + trigger ../../prdgen/jgfs_pgrb2_f66 == complete + endtask + task jgfs_awips_f067 + edit FCSTHR '067' + trigger ../../prdgen/jgfs_pgrb2_f67 == complete + endtask + task jgfs_awips_f068 + edit FCSTHR '068' + trigger ../../prdgen/jgfs_pgrb2_f68 == complete + endtask + task jgfs_awips_f069 + edit FCSTHR '069' + trigger ../../prdgen/jgfs_pgrb2_f69 == complete + endtask + task jgfs_awips_f070 + edit FCSTHR '070' + trigger ../../prdgen/jgfs_pgrb2_f70 == complete + endtask + task jgfs_awips_f071 + edit FCSTHR '071' + trigger ../../prdgen/jgfs_pgrb2_f71 == complete + endtask + task jgfs_awips_f072 + edit FCSTHR '072' + trigger ../../prdgen/jgfs_pgrb2_f72 == complete + endtask + task jgfs_awips_f073 + edit FCSTHR '073' + trigger ../../prdgen/jgfs_pgrb2_f73 == complete + endtask + task jgfs_awips_f074 + edit FCSTHR '074' + trigger ../../prdgen/jgfs_pgrb2_f74 == complete + endtask + task jgfs_awips_f075 + edit FCSTHR '075' + trigger ../../prdgen/jgfs_pgrb2_f75 == complete + endtask + task jgfs_awips_f076 + edit FCSTHR '076' + trigger ../../prdgen/jgfs_pgrb2_f76 == complete + endtask + task jgfs_awips_f077 + edit FCSTHR '077' + trigger ../../prdgen/jgfs_pgrb2_f77 == complete + endtask + task jgfs_awips_f078 + edit FCSTHR '078' + trigger ../../prdgen/jgfs_pgrb2_f78 == complete + endtask + task jgfs_awips_f079 + edit FCSTHR '079' + trigger ../../prdgen/jgfs_pgrb2_f79 == complete + endtask + task jgfs_awips_f080 + edit FCSTHR '080' + trigger ../../prdgen/jgfs_pgrb2_f80 == complete + endtask + task jgfs_awips_f081 + edit FCSTHR '081' + trigger ../../prdgen/jgfs_pgrb2_f81 == complete + endtask + task jgfs_awips_f082 + edit FCSTHR '082' + trigger ../../prdgen/jgfs_pgrb2_f82 == complete + endtask + task jgfs_awips_f083 + edit FCSTHR '083' + trigger ../../prdgen/jgfs_pgrb2_f83 == complete + endtask + task jgfs_awips_f084 + edit FCSTHR '084' + trigger ../../prdgen/jgfs_pgrb2_f84 == complete + endtask + task jgfs_awips_f085 + edit FCSTHR '085' + trigger ../../prdgen/jgfs_pgrb2_f85 == complete + endtask + task jgfs_awips_f086 + edit FCSTHR '086' + trigger ../../prdgen/jgfs_pgrb2_f86 == complete + endtask + task jgfs_awips_f087 + edit FCSTHR '087' + trigger ../../prdgen/jgfs_pgrb2_f87 == complete + endtask + task jgfs_awips_f088 + edit FCSTHR '088' + trigger ../../prdgen/jgfs_pgrb2_f88 == complete + endtask + task jgfs_awips_f089 + edit FCSTHR '089' + trigger ../../prdgen/jgfs_pgrb2_f89 == complete + endtask + task jgfs_awips_f090 + edit FCSTHR '090' + trigger ../../prdgen/jgfs_pgrb2_f90 == complete + endtask + task jgfs_awips_f091 + edit FCSTHR '091' + trigger ../../prdgen/jgfs_pgrb2_f91 == complete + endtask + task jgfs_awips_f092 + edit FCSTHR '092' + trigger ../../prdgen/jgfs_pgrb2_f92 == complete + endtask + task jgfs_awips_f093 + edit FCSTHR '093' + trigger ../../prdgen/jgfs_pgrb2_f93 == complete + endtask + task jgfs_awips_f094 + edit FCSTHR '094' + trigger ../../prdgen/jgfs_pgrb2_f94 == complete + endtask + task jgfs_awips_f095 + edit FCSTHR '095' + trigger ../../prdgen/jgfs_pgrb2_f95 == complete + endtask + task jgfs_awips_f096 + edit FCSTHR '096' + trigger ../../prdgen/jgfs_pgrb2_f96 == complete + endtask + task jgfs_awips_f097 + edit FCSTHR '097' + trigger ../../prdgen/jgfs_pgrb2_f97 == complete + endtask + task jgfs_awips_f098 + edit FCSTHR '098' + trigger ../../prdgen/jgfs_pgrb2_f98 == complete + endtask + task jgfs_awips_f099 + edit FCSTHR '099' + trigger ../../prdgen/jgfs_pgrb2_f99 == complete + endtask + task jgfs_awips_f100 + edit FCSTHR '100' + trigger ../../prdgen/jgfs_pgrb2_f100 == complete + endtask + task jgfs_awips_f101 + edit FCSTHR '101' + trigger ../../prdgen/jgfs_pgrb2_f101 == complete + endtask + task jgfs_awips_f102 + edit FCSTHR '102' + trigger ../../prdgen/jgfs_pgrb2_f102 == complete + endtask + task jgfs_awips_f103 + edit FCSTHR '103' + trigger ../../prdgen/jgfs_pgrb2_f103 == complete + endtask + task jgfs_awips_f104 + edit FCSTHR '104' + trigger ../../prdgen/jgfs_pgrb2_f104 == complete + endtask + task jgfs_awips_f105 + edit FCSTHR '105' + trigger ../../prdgen/jgfs_pgrb2_f105 == complete + endtask + task jgfs_awips_f106 + edit FCSTHR '106' + trigger ../../prdgen/jgfs_pgrb2_f106 == complete + endtask + task jgfs_awips_f107 + edit FCSTHR '107' + trigger ../../prdgen/jgfs_pgrb2_f107 == complete + endtask + task jgfs_awips_f108 + edit FCSTHR '108' + trigger ../../prdgen/jgfs_pgrb2_f108 == complete + endtask + task jgfs_awips_f109 + edit FCSTHR '109' + trigger ../../prdgen/jgfs_pgrb2_f109 == complete + endtask + task jgfs_awips_f110 + edit FCSTHR '110' + trigger ../../prdgen/jgfs_pgrb2_f110 == complete + endtask + task jgfs_awips_f111 + edit FCSTHR '111' + trigger ../../prdgen/jgfs_pgrb2_f111 == complete + endtask + task jgfs_awips_f112 + edit FCSTHR '112' + trigger ../../prdgen/jgfs_pgrb2_f112 == complete + endtask + task jgfs_awips_f113 + edit FCSTHR '113' + trigger ../../prdgen/jgfs_pgrb2_f113 == complete + endtask + task jgfs_awips_f114 + edit FCSTHR '114' + trigger ../../prdgen/jgfs_pgrb2_f114 == complete + endtask + task jgfs_awips_f115 + edit FCSTHR '115' + trigger ../../prdgen/jgfs_pgrb2_f115 == complete + endtask + task jgfs_awips_f116 + edit FCSTHR '116' + trigger ../../prdgen/jgfs_pgrb2_f116 == complete + endtask + task jgfs_awips_f117 + edit FCSTHR '117' + trigger ../../prdgen/jgfs_pgrb2_f117 == complete + endtask + task jgfs_awips_f118 + edit FCSTHR '118' + trigger ../../prdgen/jgfs_pgrb2_f118 == complete + endtask + task jgfs_awips_f119 + edit FCSTHR '119' + trigger ../../prdgen/jgfs_pgrb2_f119 == complete + endtask + task jgfs_awips_f120 + edit FCSTHR '120' + trigger ../../prdgen/jgfs_pgrb2_f120 == complete + endtask + task jgfs_awips_f123 + edit FCSTHR '123' + trigger ../../prdgen/jgfs_pgrb2_f123 == complete + endtask + task jgfs_awips_f126 + edit FCSTHR '126' + trigger ../../prdgen/jgfs_pgrb2_f126 == complete + endtask + task jgfs_awips_f129 + edit FCSTHR '129' + trigger ../../prdgen/jgfs_pgrb2_f129 == complete + endtask + task jgfs_awips_f132 + edit FCSTHR '132' + trigger ../../prdgen/jgfs_pgrb2_f132 == complete + endtask + task jgfs_awips_f135 + edit FCSTHR '135' + trigger ../../prdgen/jgfs_pgrb2_f135 == complete + endtask + task jgfs_awips_f138 + edit FCSTHR '138' + trigger ../../prdgen/jgfs_pgrb2_f138 == complete + endtask + task jgfs_awips_f141 + edit FCSTHR '141' + trigger ../../prdgen/jgfs_pgrb2_f141 == complete + endtask + task jgfs_awips_f144 + edit FCSTHR '144' + trigger ../../prdgen/jgfs_pgrb2_f144 == complete + endtask + task jgfs_awips_f147 + edit FCSTHR '147' + trigger ../../prdgen/jgfs_pgrb2_f147 == complete + endtask + task jgfs_awips_f150 + edit FCSTHR '150' + trigger ../../prdgen/jgfs_pgrb2_f150 == complete + endtask + task jgfs_awips_f153 + edit FCSTHR '153' + trigger ../../prdgen/jgfs_pgrb2_f153 == complete + endtask + task jgfs_awips_f156 + edit FCSTHR '156' + trigger ../../prdgen/jgfs_pgrb2_f156 == complete + endtask + task jgfs_awips_f159 + edit FCSTHR '159' + trigger ../../prdgen/jgfs_pgrb2_f159 == complete + endtask + task jgfs_awips_f162 + edit FCSTHR '162' + trigger ../../prdgen/jgfs_pgrb2_f162 == complete + endtask + task jgfs_awips_f165 + edit FCSTHR '165' + trigger ../../prdgen/jgfs_pgrb2_f165 == complete + endtask + task jgfs_awips_f168 + edit FCSTHR '168' + trigger ../../prdgen/jgfs_pgrb2_f168 == complete + endtask + task jgfs_awips_f171 + edit FCSTHR '171' + trigger ../../prdgen/jgfs_pgrb2_f171 == complete + endtask + task jgfs_awips_f174 + edit FCSTHR '174' + trigger ../../prdgen/jgfs_pgrb2_f174 == complete + endtask + task jgfs_awips_f177 + edit FCSTHR '177' + trigger ../../prdgen/jgfs_pgrb2_f177 == complete + endtask + task jgfs_awips_f180 + edit FCSTHR '180' + trigger ../../prdgen/jgfs_pgrb2_f180 == complete + endtask + task jgfs_awips_f183 + edit FCSTHR '183' + trigger ../../prdgen/jgfs_pgrb2_f183 == complete + endtask + task jgfs_awips_f186 + edit FCSTHR '186' + trigger ../../prdgen/jgfs_pgrb2_f186 == complete + endtask + task jgfs_awips_f189 + edit FCSTHR '189' + trigger ../../prdgen/jgfs_pgrb2_f189 == complete + endtask + task jgfs_awips_f192 + edit FCSTHR '192' + trigger ../../prdgen/jgfs_pgrb2_f192 == complete + endtask + task jgfs_awips_f195 + edit FCSTHR '195' + trigger ../../prdgen/jgfs_pgrb2_f195 == complete + endtask + task jgfs_awips_f198 + edit FCSTHR '198' + trigger ../../prdgen/jgfs_pgrb2_f198 == complete + endtask + task jgfs_awips_f201 + edit FCSTHR '201' + trigger ../../prdgen/jgfs_pgrb2_f201 == complete + endtask + task jgfs_awips_f204 + edit FCSTHR '204' + trigger ../../prdgen/jgfs_pgrb2_f204 == complete + endtask + task jgfs_awips_f207 + edit FCSTHR '207' + trigger ../../prdgen/jgfs_pgrb2_f207 == complete + endtask + task jgfs_awips_f210 + edit FCSTHR '210' + trigger ../../prdgen/jgfs_pgrb2_f210 == complete + endtask + task jgfs_awips_f213 + edit FCSTHR '213' + trigger ../../prdgen/jgfs_pgrb2_f213 == complete + endtask + task jgfs_awips_f216 + edit FCSTHR '216' + trigger ../../prdgen/jgfs_pgrb2_f216 == complete + endtask + task jgfs_awips_f219 + edit FCSTHR '219' + trigger ../../prdgen/jgfs_pgrb2_f219 == complete + endtask + task jgfs_awips_f222 + edit FCSTHR '222' + trigger ../../prdgen/jgfs_pgrb2_f222 == complete + endtask + task jgfs_awips_f225 + edit FCSTHR '225' + trigger ../../prdgen/jgfs_pgrb2_f225 == complete + endtask + task jgfs_awips_f228 + edit FCSTHR '228' + trigger ../../prdgen/jgfs_pgrb2_f228 == complete + endtask + task jgfs_awips_f231 + edit FCSTHR '231' + trigger ../../prdgen/jgfs_pgrb2_f231 == complete + endtask + task jgfs_awips_f234 + edit FCSTHR '234' + trigger ../../prdgen/jgfs_pgrb2_f234 == complete + endtask + task jgfs_awips_f237 + edit FCSTHR '237' + trigger ../../prdgen/jgfs_pgrb2_f237 == complete + endtask task jgfs_awips_f240 + edit FCSTHR '240' trigger ../../prdgen/jgfs_pgrb2_f240 == complete endtask + task jgfs_awips_f252 + edit FCSTHR '252' + trigger ../../prdgen/jgfs_pgrb2_f252 == complete + endtask + task jgfs_awips_f264 + edit FCSTHR '264' + trigger ../../prdgen/jgfs_pgrb2_f264 == complete + endtask + task jgfs_awips_f276 + edit FCSTHR '276' + trigger ../../prdgen/jgfs_pgrb2_f276 == complete + endtask + task jgfs_awips_f288 + edit FCSTHR '288' + trigger ../../prdgen/jgfs_pgrb2_f288 == complete + endtask + task jgfs_awips_f300 + edit FCSTHR '300' + trigger ../../prdgen/jgfs_pgrb2_f300 == complete + endtask + task jgfs_awips_f312 + edit FCSTHR '312' + trigger ../../prdgen/jgfs_pgrb2_f312 == complete + endtask + task jgfs_awips_f324 + edit FCSTHR '324' + trigger ../../prdgen/jgfs_pgrb2_f324 == complete + endtask + task jgfs_awips_f336 + edit FCSTHR '336' + trigger ../../prdgen/jgfs_pgrb2_f336 == complete + endtask + task jgfs_awips_f348 + edit FCSTHR '348' + trigger ../../prdgen/jgfs_pgrb2_f348 == complete + endtask + task jgfs_awips_f360 + edit FCSTHR '360' + trigger ../../prdgen/jgfs_pgrb2_f360 == complete + endtask + task jgfs_awips_f372 + edit FCSTHR '372' + trigger ../../prdgen/jgfs_pgrb2_f372 == complete + endtask + task jgfs_awips_f384 + edit FCSTHR '384' + trigger ../../prdgen/jgfs_pgrb2_f384 == complete + endtask endfamily - family awips_1p0deg + family awips_20km + edit RES '20km' + edit RESC '20KM' + #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' task jgfs_awips_f000 + edit FCSTHR '000' trigger ../../prdgen/jgfs_pgrb2_f00 == complete endtask + task jgfs_awips_f001 + edit FCSTHR '001' + trigger ../../prdgen/jgfs_pgrb2_f01 == complete + endtask + task jgfs_awips_f002 + edit FCSTHR '002' + trigger ../../prdgen/jgfs_pgrb2_f02 == complete + endtask + task jgfs_awips_f003 + edit FCSTHR '003' + trigger ../../prdgen/jgfs_pgrb2_f03 == complete + endtask + task jgfs_awips_f004 + edit FCSTHR '004' + trigger ../../prdgen/jgfs_pgrb2_f04 == complete + endtask + task jgfs_awips_f005 + edit FCSTHR '005' + trigger ../../prdgen/jgfs_pgrb2_f05 == complete + endtask task jgfs_awips_f006 + edit FCSTHR '006' trigger ../../prdgen/jgfs_pgrb2_f06 == complete endtask + task jgfs_awips_f007 + edit FCSTHR '007' + trigger ../../prdgen/jgfs_pgrb2_f07 == complete + endtask + task jgfs_awips_f008 + edit FCSTHR '008' + trigger ../../prdgen/jgfs_pgrb2_f08 == complete + endtask + task jgfs_awips_f009 + edit FCSTHR '009' + trigger ../../prdgen/jgfs_pgrb2_f09 == complete + endtask + task jgfs_awips_f010 + edit FCSTHR '010' + trigger ../../prdgen/jgfs_pgrb2_f10 == complete + endtask + task jgfs_awips_f011 + edit FCSTHR '011' + trigger ../../prdgen/jgfs_pgrb2_f11 == complete + endtask + task jgfs_awips_f012 + edit FCSTHR '012' + trigger ../../prdgen/jgfs_pgrb2_f12 == complete + endtask + task jgfs_awips_f013 + edit FCSTHR '013' + trigger ../../prdgen/jgfs_pgrb2_f13 == complete + endtask + task jgfs_awips_f014 + edit FCSTHR '014' + trigger ../../prdgen/jgfs_pgrb2_f14 == complete + endtask + task jgfs_awips_f015 + edit FCSTHR '015' + trigger ../../prdgen/jgfs_pgrb2_f15 == complete + endtask + task jgfs_awips_f016 + edit FCSTHR '016' + trigger ../../prdgen/jgfs_pgrb2_f16 == complete + endtask + task jgfs_awips_f017 + edit FCSTHR '017' + trigger ../../prdgen/jgfs_pgrb2_f17 == complete + endtask + task jgfs_awips_f018 + edit FCSTHR '018' + trigger ../../prdgen/jgfs_pgrb2_f18 == complete + endtask + task jgfs_awips_f019 + edit FCSTHR '019' + trigger ../../prdgen/jgfs_pgrb2_f19 == complete + endtask + task jgfs_awips_f020 + edit FCSTHR '020' + trigger ../../prdgen/jgfs_pgrb2_f20 == complete + endtask + task jgfs_awips_f021 + edit FCSTHR '021' + trigger ../../prdgen/jgfs_pgrb2_f21 == complete + endtask + task jgfs_awips_f022 + edit FCSTHR '022' + trigger ../../prdgen/jgfs_pgrb2_f22 == complete + endtask + task jgfs_awips_f023 + edit FCSTHR '023' + trigger ../../prdgen/jgfs_pgrb2_f23 == complete + endtask + task jgfs_awips_f024 + edit FCSTHR '024' + trigger ../../prdgen/jgfs_pgrb2_f24 == complete + endtask + task jgfs_awips_f025 + edit FCSTHR '025' + trigger ../../prdgen/jgfs_pgrb2_f25 == complete + endtask + task jgfs_awips_f026 + edit FCSTHR '026' + trigger ../../prdgen/jgfs_pgrb2_f26 == complete + endtask + task jgfs_awips_f027 + edit FCSTHR '027' + trigger ../../prdgen/jgfs_pgrb2_f27 == complete + endtask + task jgfs_awips_f028 + edit FCSTHR '028' + trigger ../../prdgen/jgfs_pgrb2_f28 == complete + endtask + task jgfs_awips_f029 + edit FCSTHR '029' + trigger ../../prdgen/jgfs_pgrb2_f29 == complete + endtask + task jgfs_awips_f030 + edit FCSTHR '030' + trigger ../../prdgen/jgfs_pgrb2_f30 == complete + endtask + task jgfs_awips_f031 + edit FCSTHR '031' + trigger ../../prdgen/jgfs_pgrb2_f31 == complete + endtask + task jgfs_awips_f032 + edit FCSTHR '032' + trigger ../../prdgen/jgfs_pgrb2_f32 == complete + endtask + task jgfs_awips_f033 + edit FCSTHR '033' + trigger ../../prdgen/jgfs_pgrb2_f33 == complete + endtask + task jgfs_awips_f034 + edit FCSTHR '034' + trigger ../../prdgen/jgfs_pgrb2_f34 == complete + endtask + task jgfs_awips_f035 + edit FCSTHR '035' + trigger ../../prdgen/jgfs_pgrb2_f35 == complete + endtask + task jgfs_awips_f036 + edit FCSTHR '036' + trigger ../../prdgen/jgfs_pgrb2_f36 == complete + endtask + task jgfs_awips_f037 + edit FCSTHR '037' + trigger ../../prdgen/jgfs_pgrb2_f37 == complete + endtask + task jgfs_awips_f038 + edit FCSTHR '038' + trigger ../../prdgen/jgfs_pgrb2_f38 == complete + endtask + task jgfs_awips_f039 + edit FCSTHR '039' + trigger ../../prdgen/jgfs_pgrb2_f39 == complete + endtask + task jgfs_awips_f040 + edit FCSTHR '040' + trigger ../../prdgen/jgfs_pgrb2_f40 == complete + endtask + task jgfs_awips_f041 + edit FCSTHR '041' + trigger ../../prdgen/jgfs_pgrb2_f41 == complete + endtask + task jgfs_awips_f042 + edit FCSTHR '042' + trigger ../../prdgen/jgfs_pgrb2_f42 == complete + endtask + task jgfs_awips_f043 + edit FCSTHR '043' + trigger ../../prdgen/jgfs_pgrb2_f43 == complete + endtask + task jgfs_awips_f044 + edit FCSTHR '044' + trigger ../../prdgen/jgfs_pgrb2_f44 == complete + endtask + task jgfs_awips_f045 + edit FCSTHR '045' + trigger ../../prdgen/jgfs_pgrb2_f45 == complete + endtask + task jgfs_awips_f046 + edit FCSTHR '046' + trigger ../../prdgen/jgfs_pgrb2_f46 == complete + endtask + task jgfs_awips_f047 + edit FCSTHR '047' + trigger ../../prdgen/jgfs_pgrb2_f47 == complete + endtask + task jgfs_awips_f048 + edit FCSTHR '048' + trigger ../../prdgen/jgfs_pgrb2_f48 == complete + endtask + task jgfs_awips_f049 + edit FCSTHR '049' + trigger ../../prdgen/jgfs_pgrb2_f49 == complete + endtask + task jgfs_awips_f050 + edit FCSTHR '050' + trigger ../../prdgen/jgfs_pgrb2_f50 == complete + endtask + task jgfs_awips_f051 + edit FCSTHR '051' + trigger ../../prdgen/jgfs_pgrb2_f51 == complete + endtask + task jgfs_awips_f052 + edit FCSTHR '052' + trigger ../../prdgen/jgfs_pgrb2_f52 == complete + endtask + task jgfs_awips_f053 + edit FCSTHR '053' + trigger ../../prdgen/jgfs_pgrb2_f53 == complete + endtask + task jgfs_awips_f054 + edit FCSTHR '054' + trigger ../../prdgen/jgfs_pgrb2_f54 == complete + endtask + task jgfs_awips_f055 + edit FCSTHR '055' + trigger ../../prdgen/jgfs_pgrb2_f55 == complete + endtask + task jgfs_awips_f056 + edit FCSTHR '056' + trigger ../../prdgen/jgfs_pgrb2_f56 == complete + endtask + task jgfs_awips_f057 + edit FCSTHR '057' + trigger ../../prdgen/jgfs_pgrb2_f57 == complete + endtask + task jgfs_awips_f058 + edit FCSTHR '058' + trigger ../../prdgen/jgfs_pgrb2_f58 == complete + endtask + task jgfs_awips_f059 + edit FCSTHR '059' + trigger ../../prdgen/jgfs_pgrb2_f59 == complete + endtask + task jgfs_awips_f060 + edit FCSTHR '060' + trigger ../../prdgen/jgfs_pgrb2_f60 == complete + endtask + task jgfs_awips_f061 + edit FCSTHR '061' + trigger ../../prdgen/jgfs_pgrb2_f61 == complete + endtask + task jgfs_awips_f062 + edit FCSTHR '062' + trigger ../../prdgen/jgfs_pgrb2_f62 == complete + endtask + task jgfs_awips_f063 + edit FCSTHR '063' + trigger ../../prdgen/jgfs_pgrb2_f63 == complete + endtask + task jgfs_awips_f064 + edit FCSTHR '064' + trigger ../../prdgen/jgfs_pgrb2_f64 == complete + endtask + task jgfs_awips_f065 + edit FCSTHR '065' + trigger ../../prdgen/jgfs_pgrb2_f65 == complete + endtask + task jgfs_awips_f066 + edit FCSTHR '066' + trigger ../../prdgen/jgfs_pgrb2_f66 == complete + endtask + task jgfs_awips_f067 + edit FCSTHR '067' + trigger ../../prdgen/jgfs_pgrb2_f67 == complete + endtask + task jgfs_awips_f068 + edit FCSTHR '068' + trigger ../../prdgen/jgfs_pgrb2_f68 == complete + endtask + task jgfs_awips_f069 + edit FCSTHR '069' + trigger ../../prdgen/jgfs_pgrb2_f69 == complete + endtask + task jgfs_awips_f070 + edit FCSTHR '070' + trigger ../../prdgen/jgfs_pgrb2_f70 == complete + endtask + task jgfs_awips_f071 + edit FCSTHR '071' + trigger ../../prdgen/jgfs_pgrb2_f71 == complete + endtask + task jgfs_awips_f072 + edit FCSTHR '072' + trigger ../../prdgen/jgfs_pgrb2_f72 == complete + endtask + task jgfs_awips_f073 + edit FCSTHR '073' + trigger ../../prdgen/jgfs_pgrb2_f73 == complete + endtask + task jgfs_awips_f074 + edit FCSTHR '074' + trigger ../../prdgen/jgfs_pgrb2_f74 == complete + endtask + task jgfs_awips_f075 + edit FCSTHR '075' + trigger ../../prdgen/jgfs_pgrb2_f75 == complete + endtask + task jgfs_awips_f076 + edit FCSTHR '076' + trigger ../../prdgen/jgfs_pgrb2_f76 == complete + endtask + task jgfs_awips_f077 + edit FCSTHR '077' + trigger ../../prdgen/jgfs_pgrb2_f77 == complete + endtask + task jgfs_awips_f078 + edit FCSTHR '078' + trigger ../../prdgen/jgfs_pgrb2_f78 == complete + endtask + task jgfs_awips_f079 + edit FCSTHR '079' + trigger ../../prdgen/jgfs_pgrb2_f79 == complete + endtask + task jgfs_awips_f080 + edit FCSTHR '080' + trigger ../../prdgen/jgfs_pgrb2_f80 == complete + endtask + task jgfs_awips_f081 + edit FCSTHR '081' + trigger ../../prdgen/jgfs_pgrb2_f81 == complete + endtask + task jgfs_awips_f082 + edit FCSTHR '082' + trigger ../../prdgen/jgfs_pgrb2_f82 == complete + endtask + task jgfs_awips_f083 + edit FCSTHR '083' + trigger ../../prdgen/jgfs_pgrb2_f83 == complete + endtask + task jgfs_awips_f084 + edit FCSTHR '084' + trigger ../../prdgen/jgfs_pgrb2_f84 == complete + endtask + task jgfs_awips_f085 + edit FCSTHR '085' + trigger ../../prdgen/jgfs_pgrb2_f85 == complete + endtask + task jgfs_awips_f086 + edit FCSTHR '086' + trigger ../../prdgen/jgfs_pgrb2_f86 == complete + endtask + task jgfs_awips_f087 + edit FCSTHR '087' + trigger ../../prdgen/jgfs_pgrb2_f87 == complete + endtask + task jgfs_awips_f088 + edit FCSTHR '088' + trigger ../../prdgen/jgfs_pgrb2_f88 == complete + endtask + task jgfs_awips_f089 + edit FCSTHR '089' + trigger ../../prdgen/jgfs_pgrb2_f89 == complete + endtask + task jgfs_awips_f090 + edit FCSTHR '090' + trigger ../../prdgen/jgfs_pgrb2_f90 == complete + endtask + task jgfs_awips_f091 + edit FCSTHR '091' + trigger ../../prdgen/jgfs_pgrb2_f91 == complete + endtask + task jgfs_awips_f092 + edit FCSTHR '092' + trigger ../../prdgen/jgfs_pgrb2_f92 == complete + endtask + task jgfs_awips_f093 + edit FCSTHR '093' + trigger ../../prdgen/jgfs_pgrb2_f93 == complete + endtask + task jgfs_awips_f094 + edit FCSTHR '094' + trigger ../../prdgen/jgfs_pgrb2_f94 == complete + endtask + task jgfs_awips_f095 + edit FCSTHR '095' + trigger ../../prdgen/jgfs_pgrb2_f95 == complete + endtask + task jgfs_awips_f096 + edit FCSTHR '096' + trigger ../../prdgen/jgfs_pgrb2_f96 == complete + endtask + task jgfs_awips_f097 + edit FCSTHR '097' + trigger ../../prdgen/jgfs_pgrb2_f97 == complete + endtask + task jgfs_awips_f098 + edit FCSTHR '098' + trigger ../../prdgen/jgfs_pgrb2_f98 == complete + endtask + task jgfs_awips_f099 + edit FCSTHR '099' + trigger ../../prdgen/jgfs_pgrb2_f99 == complete + endtask + task jgfs_awips_f100 + edit FCSTHR '100' + trigger ../../prdgen/jgfs_pgrb2_f100 == complete + endtask + task jgfs_awips_f101 + edit FCSTHR '101' + trigger ../../prdgen/jgfs_pgrb2_f101 == complete + endtask + task jgfs_awips_f102 + edit FCSTHR '102' + trigger ../../prdgen/jgfs_pgrb2_f102 == complete + endtask + task jgfs_awips_f103 + edit FCSTHR '103' + trigger ../../prdgen/jgfs_pgrb2_f103 == complete + endtask + task jgfs_awips_f104 + edit FCSTHR '104' + trigger ../../prdgen/jgfs_pgrb2_f104 == complete + endtask + task jgfs_awips_f105 + edit FCSTHR '105' + trigger ../../prdgen/jgfs_pgrb2_f105 == complete + endtask + task jgfs_awips_f106 + edit FCSTHR '106' + trigger ../../prdgen/jgfs_pgrb2_f106 == complete + endtask + task jgfs_awips_f107 + edit FCSTHR '107' + trigger ../../prdgen/jgfs_pgrb2_f107 == complete + endtask + task jgfs_awips_f108 + edit FCSTHR '108' + trigger ../../prdgen/jgfs_pgrb2_f108 == complete + endtask + task jgfs_awips_f109 + edit FCSTHR '109' + trigger ../../prdgen/jgfs_pgrb2_f109 == complete + endtask + task jgfs_awips_f110 + edit FCSTHR '110' + trigger ../../prdgen/jgfs_pgrb2_f110 == complete + endtask + task jgfs_awips_f111 + edit FCSTHR '111' + trigger ../../prdgen/jgfs_pgrb2_f111 == complete + endtask + task jgfs_awips_f112 + edit FCSTHR '112' + trigger ../../prdgen/jgfs_pgrb2_f112 == complete + endtask + task jgfs_awips_f113 + edit FCSTHR '113' + trigger ../../prdgen/jgfs_pgrb2_f113 == complete + endtask + task jgfs_awips_f114 + edit FCSTHR '114' + trigger ../../prdgen/jgfs_pgrb2_f114 == complete + endtask + task jgfs_awips_f115 + edit FCSTHR '115' + trigger ../../prdgen/jgfs_pgrb2_f115 == complete + endtask + task jgfs_awips_f116 + edit FCSTHR '116' + trigger ../../prdgen/jgfs_pgrb2_f116 == complete + endtask + task jgfs_awips_f117 + edit FCSTHR '117' + trigger ../../prdgen/jgfs_pgrb2_f117 == complete + endtask + task jgfs_awips_f118 + edit FCSTHR '118' + trigger ../../prdgen/jgfs_pgrb2_f118 == complete + endtask + task jgfs_awips_f119 + edit FCSTHR '119' + trigger ../../prdgen/jgfs_pgrb2_f119 == complete + endtask + task jgfs_awips_f120 + edit FCSTHR '120' + trigger ../../prdgen/jgfs_pgrb2_f120 == complete + endtask + task jgfs_awips_f123 + edit FCSTHR '123' + trigger ../../prdgen/jgfs_pgrb2_f123 == complete + endtask + task jgfs_awips_f126 + edit FCSTHR '126' + trigger ../../prdgen/jgfs_pgrb2_f126 == complete + endtask + task jgfs_awips_f129 + edit FCSTHR '129' + trigger ../../prdgen/jgfs_pgrb2_f129 == complete + endtask + task jgfs_awips_f132 + edit FCSTHR '132' + trigger ../../prdgen/jgfs_pgrb2_f132 == complete + endtask + task jgfs_awips_f135 + edit FCSTHR '135' + trigger ../../prdgen/jgfs_pgrb2_f135 == complete + endtask + task jgfs_awips_f138 + edit FCSTHR '138' + trigger ../../prdgen/jgfs_pgrb2_f138 == complete + endtask + task jgfs_awips_f141 + edit FCSTHR '141' + trigger ../../prdgen/jgfs_pgrb2_f141 == complete + endtask + task jgfs_awips_f144 + edit FCSTHR '144' + trigger ../../prdgen/jgfs_pgrb2_f144 == complete + endtask + task jgfs_awips_f147 + edit FCSTHR '147' + trigger ../../prdgen/jgfs_pgrb2_f147 == complete + endtask + task jgfs_awips_f150 + edit FCSTHR '150' + trigger ../../prdgen/jgfs_pgrb2_f150 == complete + endtask + task jgfs_awips_f153 + edit FCSTHR '153' + trigger ../../prdgen/jgfs_pgrb2_f153 == complete + endtask + task jgfs_awips_f156 + edit FCSTHR '156' + trigger ../../prdgen/jgfs_pgrb2_f156 == complete + endtask + task jgfs_awips_f159 + edit FCSTHR '159' + trigger ../../prdgen/jgfs_pgrb2_f159 == complete + endtask + task jgfs_awips_f162 + edit FCSTHR '162' + trigger ../../prdgen/jgfs_pgrb2_f162 == complete + endtask + task jgfs_awips_f165 + edit FCSTHR '165' + trigger ../../prdgen/jgfs_pgrb2_f165 == complete + endtask + task jgfs_awips_f168 + edit FCSTHR '168' + trigger ../../prdgen/jgfs_pgrb2_f168 == complete + endtask + task jgfs_awips_f171 + edit FCSTHR '171' + trigger ../../prdgen/jgfs_pgrb2_f171 == complete + endtask + task jgfs_awips_f174 + edit FCSTHR '174' + trigger ../../prdgen/jgfs_pgrb2_f174 == complete + endtask + task jgfs_awips_f177 + edit FCSTHR '177' + trigger ../../prdgen/jgfs_pgrb2_f177 == complete + endtask + task jgfs_awips_f180 + edit FCSTHR '180' + trigger ../../prdgen/jgfs_pgrb2_f180 == complete + endtask + task jgfs_awips_f183 + edit FCSTHR '183' + trigger ../../prdgen/jgfs_pgrb2_f183 == complete + endtask + task jgfs_awips_f186 + edit FCSTHR '186' + trigger ../../prdgen/jgfs_pgrb2_f186 == complete + endtask + task jgfs_awips_f189 + edit FCSTHR '189' + trigger ../../prdgen/jgfs_pgrb2_f189 == complete + endtask + task jgfs_awips_f192 + edit FCSTHR '192' + trigger ../../prdgen/jgfs_pgrb2_f192 == complete + endtask + task jgfs_awips_f195 + edit FCSTHR '195' + trigger ../../prdgen/jgfs_pgrb2_f195 == complete + endtask + task jgfs_awips_f198 + edit FCSTHR '198' + trigger ../../prdgen/jgfs_pgrb2_f198 == complete + endtask + task jgfs_awips_f201 + edit FCSTHR '201' + trigger ../../prdgen/jgfs_pgrb2_f201 == complete + endtask + task jgfs_awips_f204 + edit FCSTHR '204' + trigger ../../prdgen/jgfs_pgrb2_f204 == complete + endtask + task jgfs_awips_f207 + edit FCSTHR '207' + trigger ../../prdgen/jgfs_pgrb2_f207 == complete + endtask + task jgfs_awips_f210 + edit FCSTHR '210' + trigger ../../prdgen/jgfs_pgrb2_f210 == complete + endtask + task jgfs_awips_f213 + edit FCSTHR '213' + trigger ../../prdgen/jgfs_pgrb2_f213 == complete + endtask + task jgfs_awips_f216 + edit FCSTHR '216' + trigger ../../prdgen/jgfs_pgrb2_f216 == complete + endtask + task jgfs_awips_f219 + edit FCSTHR '219' + trigger ../../prdgen/jgfs_pgrb2_f219 == complete + endtask + task jgfs_awips_f222 + edit FCSTHR '222' + trigger ../../prdgen/jgfs_pgrb2_f222 == complete + endtask + task jgfs_awips_f225 + edit FCSTHR '225' + trigger ../../prdgen/jgfs_pgrb2_f225 == complete + endtask + task jgfs_awips_f228 + edit FCSTHR '228' + trigger ../../prdgen/jgfs_pgrb2_f228 == complete + endtask + task jgfs_awips_f231 + edit FCSTHR '231' + trigger ../../prdgen/jgfs_pgrb2_f231 == complete + endtask + task jgfs_awips_f234 + edit FCSTHR '234' + trigger ../../prdgen/jgfs_pgrb2_f234 == complete + endtask + task jgfs_awips_f237 + edit FCSTHR '237' + trigger ../../prdgen/jgfs_pgrb2_f237 == complete + endtask task jgfs_awips_f240 + edit FCSTHR '240' trigger ../../prdgen/jgfs_pgrb2_f240 == complete endtask - endfamily - family awips_20km - task jgfs_awips_f000 - trigger ../../prdgen/jgfs_pgrb2_f00 == complete + task jgfs_awips_f252 + edit FCSTHR '252' + trigger ../../prdgen/jgfs_pgrb2_f252 == complete + endtask + task jgfs_awips_f264 + edit FCSTHR '264' + trigger ../../prdgen/jgfs_pgrb2_f264 == complete + endtask + task jgfs_awips_f276 + edit FCSTHR '276' + trigger ../../prdgen/jgfs_pgrb2_f276 == complete + endtask + task jgfs_awips_f288 + edit FCSTHR '288' + trigger ../../prdgen/jgfs_pgrb2_f288 == complete + endtask + task jgfs_awips_f300 + edit FCSTHR '300' + trigger ../../prdgen/jgfs_pgrb2_f300 == complete + endtask + task jgfs_awips_f312 + edit FCSTHR '312' + trigger ../../prdgen/jgfs_pgrb2_f312 == complete + endtask + task jgfs_awips_f324 + edit FCSTHR '324' + trigger ../../prdgen/jgfs_pgrb2_f324 == complete + endtask + task jgfs_awips_f336 + edit FCSTHR '336' + trigger ../../prdgen/jgfs_pgrb2_f336 == complete + endtask + task jgfs_awips_f348 + edit FCSTHR '348' + trigger ../../prdgen/jgfs_pgrb2_f348 == complete + endtask + task jgfs_awips_f360 + edit FCSTHR '360' + trigger ../../prdgen/jgfs_pgrb2_f360 == complete + endtask + task jgfs_awips_f372 + edit FCSTHR '372' + trigger ../../prdgen/jgfs_pgrb2_f372 == complete + endtask + task jgfs_awips_f384 + edit FCSTHR '384' + trigger ../../prdgen/jgfs_pgrb2_f384 == complete endtask endfamily endfamily family post task jgfs_post_anl + edit FHR 'anl' + edit HR 'anl' trigger ./jgfs_post_manager:release_postanl event 1 release_pgrb2_anl endtask + task jgfs_pgrb2_spec_post + trigger ./jgfs_post_f336 == complete and ./jgfs_post_f348 == complete and ./jgfs_post_f360 == complete and ./jgfs_post_f372 == complete and ./jgfs_post_f384 == complete + endtask task jgfs_post_manager trigger ../jgfs_analysis == complete and ../forecast == complete event 1 release_postanl @@ -382,531 +2483,878 @@ suite prod00 event 174 release_post384 endtask task jgfs_post_f00 + edit FHR: 'f00' + edit HR: '00' trigger ./jgfs_post_manager:release_post00 endtask task jgfs_post_f01 + edit FHR: 'f01' + edit HR: '01' trigger ./jgfs_post_manager:release_post01 endtask task jgfs_post_f02 + edit FHR: 'f02' + edit HR: '02' trigger ./jgfs_post_manager:release_post02 endtask task jgfs_post_f03 + edit FHR: 'f03' + edit HR: '03' trigger ./jgfs_post_manager:release_post03 endtask task jgfs_post_f04 + edit FHR: 'f04' + edit HR: '04' trigger ./jgfs_post_manager:release_post04 endtask task jgfs_post_f05 + edit FHR: 'f05' + edit HR: '05' trigger ./jgfs_post_manager:release_post05 endtask task jgfs_post_f06 + edit FHR: 'f06' + edit HR: '06' trigger ./jgfs_post_manager:release_post06 endtask task jgfs_post_f07 + edit FHR: 'f07' + edit HR: '07' trigger ./jgfs_post_manager:release_post07 endtask task jgfs_post_f08 + edit FHR: 'f08' + edit HR: '08' trigger ./jgfs_post_manager:release_post08 endtask task jgfs_post_f09 + edit FHR: 'f09' + edit HR: '09' trigger ./jgfs_post_manager:release_post09 endtask task jgfs_post_f10 + edit FHR: 'f10' + edit HR: '10' trigger ./jgfs_post_manager:release_post10 endtask task jgfs_post_f11 + edit FHR: 'f11' + edit HR: '11' trigger ./jgfs_post_manager:release_post11 endtask task jgfs_post_f12 + edit FHR: 'f12' + edit HR: '12' trigger ./jgfs_post_manager:release_post12 endtask task jgfs_post_f13 + edit FHR: 'f13' + edit HR: '13' trigger ./jgfs_post_manager:release_post13 endtask task jgfs_post_f14 + edit FHR: 'f14' + edit HR: '14' trigger ./jgfs_post_manager:release_post14 endtask task jgfs_post_f15 + edit FHR: 'f15' + edit HR: '15' trigger ./jgfs_post_manager:release_post15 endtask task jgfs_post_f16 + edit FHR: 'f16' + edit HR: '16' trigger ./jgfs_post_manager:release_post16 endtask task jgfs_post_f17 + edit FHR: 'f17' + edit HR: '17' trigger ./jgfs_post_manager:release_post17 endtask task jgfs_post_f18 + edit FHR: 'f18' + edit HR: '18' trigger ./jgfs_post_manager:release_post18 endtask task jgfs_post_f19 + edit FHR: 'f19' + edit HR: '19' trigger ./jgfs_post_manager:release_post19 endtask task jgfs_post_f20 + edit FHR: 'f20' + edit HR: '20' trigger ./jgfs_post_manager:release_post20 endtask task jgfs_post_f21 + edit FHR: 'f21' + edit HR: '21' trigger ./jgfs_post_manager:release_post21 endtask task jgfs_post_f22 + edit FHR: 'f22' + edit HR: '22' trigger ./jgfs_post_manager:release_post22 endtask task jgfs_post_f23 + edit FHR: 'f23' + edit HR: '23' trigger ./jgfs_post_manager:release_post23 endtask task jgfs_post_f24 + edit FHR: 'f24' + edit HR: '24' trigger ./jgfs_post_manager:release_post24 endtask task jgfs_post_f25 + edit FHR: 'f25' + edit HR: '25' trigger ./jgfs_post_manager:release_post25 endtask task jgfs_post_f26 + edit FHR: 'f26' + edit HR: '26' trigger ./jgfs_post_manager:release_post26 endtask task jgfs_post_f27 + edit FHR: 'f27' + edit HR: '27' trigger ./jgfs_post_manager:release_post27 endtask task jgfs_post_f28 + edit FHR: 'f28' + edit HR: '28' trigger ./jgfs_post_manager:release_post28 endtask task jgfs_post_f29 + edit FHR: 'f29' + edit HR: '29' trigger ./jgfs_post_manager:release_post29 endtask task jgfs_post_f30 + edit FHR: 'f30' + edit HR: '30' trigger ./jgfs_post_manager:release_post30 endtask task jgfs_post_f31 + edit FHR: 'f31' + edit HR: '31' trigger ./jgfs_post_manager:release_post31 endtask task jgfs_post_f32 + edit FHR: 'f32' + edit HR: '32' trigger ./jgfs_post_manager:release_post32 endtask task jgfs_post_f33 + edit FHR: 'f33' + edit HR: '33' trigger ./jgfs_post_manager:release_post33 endtask task jgfs_post_f34 + edit FHR: 'f34' + edit HR: '34' trigger ./jgfs_post_manager:release_post34 endtask task jgfs_post_f35 + edit FHR: 'f35' + edit HR: '35' trigger ./jgfs_post_manager:release_post35 endtask task jgfs_post_f36 + edit FHR: 'f36' + edit HR: '36' trigger ./jgfs_post_manager:release_post36 endtask task jgfs_post_f37 + edit FHR: 'f37' + edit HR: '37' trigger ./jgfs_post_manager:release_post37 endtask task jgfs_post_f38 + edit FHR: 'f38' + edit HR: '38' trigger ./jgfs_post_manager:release_post38 endtask task jgfs_post_f39 + edit FHR: 'f39' + edit HR: '39' trigger ./jgfs_post_manager:release_post39 endtask task jgfs_post_f40 + edit FHR: 'f40' + edit HR: '40' trigger ./jgfs_post_manager:release_post40 endtask task jgfs_post_f41 + edit FHR: 'f41' + edit HR: '41' trigger ./jgfs_post_manager:release_post41 endtask task jgfs_post_f42 + edit FHR: 'f42' + edit HR: '42' trigger ./jgfs_post_manager:release_post42 endtask task jgfs_post_f43 + edit FHR: 'f43' + edit HR: '43' trigger ./jgfs_post_manager:release_post43 endtask task jgfs_post_f44 + edit FHR: 'f44' + edit HR: '44' trigger ./jgfs_post_manager:release_post44 endtask task jgfs_post_f45 + edit FHR: 'f45' + edit HR: '45' trigger ./jgfs_post_manager:release_post45 endtask task jgfs_post_f46 + edit FHR: 'f46' + edit HR: '46' trigger ./jgfs_post_manager:release_post46 endtask task jgfs_post_f47 + edit FHR: 'f47' + edit HR: '47' trigger ./jgfs_post_manager:release_post47 endtask task jgfs_post_f48 + edit FHR: 'f48' + edit HR: '48' trigger ./jgfs_post_manager:release_post48 endtask task jgfs_post_f49 + edit FHR: 'f49' + edit HR: '49' trigger ./jgfs_post_manager:release_post49 endtask task jgfs_post_f50 + edit FHR: 'f50' + edit HR: '50' trigger ./jgfs_post_manager:release_post50 endtask task jgfs_post_f51 + edit FHR: 'f51' + edit HR: '51' trigger ./jgfs_post_manager:release_post51 endtask task jgfs_post_f52 + edit FHR: 'f52' + edit HR: '52' trigger ./jgfs_post_manager:release_post52 endtask task jgfs_post_f53 + edit FHR: 'f53' + edit HR: '53' trigger ./jgfs_post_manager:release_post53 endtask task jgfs_post_f54 + edit FHR: 'f54' + edit HR: '54' trigger ./jgfs_post_manager:release_post54 endtask task jgfs_post_f55 + edit FHR: 'f55' + edit HR: '55' trigger ./jgfs_post_manager:release_post55 endtask task jgfs_post_f56 + edit FHR: 'f56' + edit HR: '56' trigger ./jgfs_post_manager:release_post56 endtask task jgfs_post_f57 + edit FHR: 'f57' + edit HR: '57' trigger ./jgfs_post_manager:release_post57 endtask task jgfs_post_f58 + edit FHR: 'f58' + edit HR: '58' trigger ./jgfs_post_manager:release_post58 endtask task jgfs_post_f59 + edit FHR: 'f59' + edit HR: '59' trigger ./jgfs_post_manager:release_post59 endtask task jgfs_post_f60 + edit FHR: 'f60' + edit HR: '60' trigger ./jgfs_post_manager:release_post60 endtask task jgfs_post_f61 + edit FHR: 'f61' + edit HR: '61' trigger ./jgfs_post_manager:release_post61 endtask task jgfs_post_f62 + edit FHR: 'f62' + edit HR: '62' trigger ./jgfs_post_manager:release_post62 endtask task jgfs_post_f63 + edit FHR: 'f63' + edit HR: '63' trigger ./jgfs_post_manager:release_post63 endtask task jgfs_post_f64 + edit FHR: 'f64' + edit HR: '64' trigger ./jgfs_post_manager:release_post64 endtask task jgfs_post_f65 + edit FHR: 'f65' + edit HR: '65' trigger ./jgfs_post_manager:release_post65 endtask task jgfs_post_f66 + edit FHR: 'f66' + edit HR: '66' trigger ./jgfs_post_manager:release_post66 endtask task jgfs_post_f67 + edit FHR: 'f67' + edit HR: '67' trigger ./jgfs_post_manager:release_post67 endtask task jgfs_post_f68 + edit FHR: 'f68' + edit HR: '68' trigger ./jgfs_post_manager:release_post68 endtask task jgfs_post_f69 + edit FHR: 'f69' + edit HR: '69' trigger ./jgfs_post_manager:release_post69 endtask task jgfs_post_f70 + edit FHR: 'f70' + edit HR: '70' trigger ./jgfs_post_manager:release_post70 endtask task jgfs_post_f71 + edit FHR: 'f71' + edit HR: '71' trigger ./jgfs_post_manager:release_post71 endtask task jgfs_post_f72 + edit FHR: 'f72' + edit HR: '72' trigger ./jgfs_post_manager:release_post72 endtask task jgfs_post_f73 + edit FHR: 'f73' + edit HR: '73' trigger ./jgfs_post_manager:release_post73 endtask task jgfs_post_f74 + edit FHR: 'f74' + edit HR: '74' trigger ./jgfs_post_manager:release_post74 endtask task jgfs_post_f75 + edit FHR: 'f75' + edit HR: '75' trigger ./jgfs_post_manager:release_post75 endtask task jgfs_post_f76 + edit FHR: 'f76' + edit HR: '76' trigger ./jgfs_post_manager:release_post76 endtask task jgfs_post_f77 + edit FHR: 'f77' + edit HR: '77' trigger ./jgfs_post_manager:release_post77 endtask task jgfs_post_f78 + edit FHR: 'f78' + edit HR: '78' trigger ./jgfs_post_manager:release_post78 endtask task jgfs_post_f79 + edit FHR: 'f79' + edit HR: '79' trigger ./jgfs_post_manager:release_post79 endtask task jgfs_post_f80 + edit FHR: 'f80' + edit HR: '80' trigger ./jgfs_post_manager:release_post80 endtask task jgfs_post_f81 + edit FHR: 'f81' + edit HR: '81' trigger ./jgfs_post_manager:release_post81 endtask task jgfs_post_f82 + edit FHR: 'f82' + edit HR: '82' trigger ./jgfs_post_manager:release_post82 endtask task jgfs_post_f83 + edit FHR: 'f83' + edit HR: '83' trigger ./jgfs_post_manager:release_post83 endtask task jgfs_post_f84 + edit FHR: 'f84' + edit HR: '84' trigger ./jgfs_post_manager:release_post84 endtask task jgfs_post_f85 + edit FHR: 'f85' + edit HR: '85' trigger ./jgfs_post_manager:release_post85 endtask task jgfs_post_f86 + edit FHR: 'f86' + edit HR: '86' trigger ./jgfs_post_manager:release_post86 endtask task jgfs_post_f87 + edit FHR: 'f87' + edit HR: '87' trigger ./jgfs_post_manager:release_post87 endtask task jgfs_post_f88 + edit FHR: 'f88' + edit HR: '88' trigger ./jgfs_post_manager:release_post88 endtask task jgfs_post_f89 + edit FHR: 'f89' + edit HR: '89' trigger ./jgfs_post_manager:release_post89 endtask task jgfs_post_f90 + edit FHR: 'f90' + edit HR: '90' trigger ./jgfs_post_manager:release_post90 endtask task jgfs_post_f91 + edit FHR: 'f91' + edit HR: '91' trigger ./jgfs_post_manager:release_post91 endtask task jgfs_post_f92 + edit FHR: 'f92' + edit HR: '92' trigger ./jgfs_post_manager:release_post92 endtask task jgfs_post_f93 + edit FHR: 'f93' + edit HR: '93' trigger ./jgfs_post_manager:release_post93 endtask task jgfs_post_f94 + edit FHR: 'f94' + edit HR: '94' trigger ./jgfs_post_manager:release_post94 endtask task jgfs_post_f95 + edit FHR: 'f95' + edit HR: '95' trigger ./jgfs_post_manager:release_post95 endtask task jgfs_post_f96 + edit FHR: 'f96' + edit HR: '96' trigger ./jgfs_post_manager:release_post96 endtask task jgfs_post_f97 + edit FHR: 'f97' + edit HR: '97' trigger ./jgfs_post_manager:release_post97 endtask task jgfs_post_f98 + edit FHR: 'f98' + edit HR: '98' trigger ./jgfs_post_manager:release_post98 endtask task jgfs_post_f99 + edit FHR: 'f99' + edit HR: '99' trigger ./jgfs_post_manager:release_post99 endtask task jgfs_post_f100 + edit FHR: 'f100' + edit HR: '100' trigger ./jgfs_post_manager:release_post100 endtask task jgfs_post_f101 + edit FHR: 'f101' + edit HR: '101' trigger ./jgfs_post_manager:release_post101 endtask task jgfs_post_f102 + edit FHR: 'f102' + edit HR: '102' trigger ./jgfs_post_manager:release_post102 endtask task jgfs_post_f103 + edit FHR: 'f103' + edit HR: '103' trigger ./jgfs_post_manager:release_post103 endtask task jgfs_post_f104 + edit FHR: 'f104' + edit HR: '104' trigger ./jgfs_post_manager:release_post104 endtask task jgfs_post_f105 + edit FHR: 'f105' + edit HR: '105' trigger ./jgfs_post_manager:release_post105 endtask task jgfs_post_f106 + edit FHR: 'f106' + edit HR: '106' trigger ./jgfs_post_manager:release_post106 endtask task jgfs_post_f107 + edit FHR: 'f107' + edit HR: '107' trigger ./jgfs_post_manager:release_post107 endtask task jgfs_post_f108 + edit FHR: 'f108' + edit HR: '108' trigger ./jgfs_post_manager:release_post108 endtask task jgfs_post_f109 + edit FHR: 'f109' + edit HR: '109' trigger ./jgfs_post_manager:release_post109 endtask task jgfs_post_f110 + edit FHR: 'f110' + edit HR: '110' trigger ./jgfs_post_manager:release_post110 endtask task jgfs_post_f111 + edit FHR: 'f111' + edit HR: '111' trigger ./jgfs_post_manager:release_post111 endtask task jgfs_post_f112 + edit FHR: 'f112' + edit HR: '112' trigger ./jgfs_post_manager:release_post112 endtask task jgfs_post_f113 + edit FHR: 'f113' + edit HR: '113' trigger ./jgfs_post_manager:release_post113 endtask task jgfs_post_f114 + edit FHR: 'f114' + edit HR: '114' trigger ./jgfs_post_manager:release_post114 endtask task jgfs_post_f115 + edit FHR: 'f115' + edit HR: '115' trigger ./jgfs_post_manager:release_post115 endtask task jgfs_post_f116 + edit FHR: 'f116' + edit HR: '116' trigger ./jgfs_post_manager:release_post116 endtask task jgfs_post_f117 + edit FHR: 'f117' + edit HR: '117' trigger ./jgfs_post_manager:release_post117 endtask task jgfs_post_f118 + edit FHR: 'f118' + edit HR: '118' trigger ./jgfs_post_manager:release_post118 endtask task jgfs_post_f119 + edit FHR: 'f119' + edit HR: '119' trigger ./jgfs_post_manager:release_post119 endtask task jgfs_post_f120 + edit FHR: 'f120' + edit HR: '120' trigger ./jgfs_post_manager:release_post120 endtask task jgfs_post_f123 + edit FHR: 'f123' + edit HR: '123' trigger ./jgfs_post_manager:release_post123 endtask task jgfs_post_f126 + edit FHR: 'f126' + edit HR: '126' trigger ./jgfs_post_manager:release_post126 endtask task jgfs_post_f129 + edit FHR: 'f129' + edit HR: '129' trigger ./jgfs_post_manager:release_post129 endtask task jgfs_post_f132 + edit FHR: 'f132' + edit HR: '132' trigger ./jgfs_post_manager:release_post132 endtask task jgfs_post_f135 + edit FHR: 'f135' + edit HR: '135' trigger ./jgfs_post_manager:release_post135 endtask task jgfs_post_f138 + edit FHR: 'f138' + edit HR: '138' trigger ./jgfs_post_manager:release_post138 endtask task jgfs_post_f141 + edit FHR: 'f141' + edit HR: '141' trigger ./jgfs_post_manager:release_post141 endtask task jgfs_post_f144 + edit FHR: 'f144' + edit HR: '144' trigger ./jgfs_post_manager:release_post144 endtask task jgfs_post_f147 + edit FHR: 'f147' + edit HR: '147' trigger ./jgfs_post_manager:release_post147 endtask task jgfs_post_f150 + edit FHR: 'f150' + edit HR: '150' trigger ./jgfs_post_manager:release_post150 endtask task jgfs_post_f153 + edit FHR: 'f153' + edit HR: '153' trigger ./jgfs_post_manager:release_post153 endtask task jgfs_post_f156 + edit FHR: 'f156' + edit HR: '156' trigger ./jgfs_post_manager:release_post156 endtask task jgfs_post_f159 + edit FHR: 'f159' + edit HR: '159' trigger ./jgfs_post_manager:release_post159 endtask task jgfs_post_f162 + edit FHR: 'f162' + edit HR: '162' trigger ./jgfs_post_manager:release_post162 endtask task jgfs_post_f165 + edit FHR: 'f165' + edit HR: '165' trigger ./jgfs_post_manager:release_post165 endtask task jgfs_post_f168 + edit FHR: 'f168' + edit HR: '168' trigger ./jgfs_post_manager:release_post168 endtask task jgfs_post_f171 + edit FHR: 'f171' + edit HR: '171' trigger ./jgfs_post_manager:release_post171 endtask task jgfs_post_f174 + edit FHR: 'f174' + edit HR: '174' trigger ./jgfs_post_manager:release_post174 endtask task jgfs_post_f177 + edit FHR: 'f177' + edit HR: '177' trigger ./jgfs_post_manager:release_post177 endtask task jgfs_post_f180 + edit FHR: 'f180' + edit HR: '180' trigger ./jgfs_post_manager:release_post180 endtask task jgfs_post_f183 + edit FHR: 'f183' + edit HR: '183' trigger ./jgfs_post_manager:release_post183 endtask task jgfs_post_f186 + edit FHR: 'f186' + edit HR: '186' trigger ./jgfs_post_manager:release_post186 endtask task jgfs_post_f189 + edit FHR: 'f189' + edit HR: '189' trigger ./jgfs_post_manager:release_post189 endtask task jgfs_post_f192 + edit FHR: 'f192' + edit HR: '192' trigger ./jgfs_post_manager:release_post192 endtask task jgfs_post_f195 + edit FHR: 'f195' + edit HR: '195' trigger ./jgfs_post_manager:release_post195 endtask task jgfs_post_f198 + edit FHR: 'f198' + edit HR: '198' trigger ./jgfs_post_manager:release_post198 endtask task jgfs_post_f201 + edit FHR: 'f201' + edit HR: '201' trigger ./jgfs_post_manager:release_post201 endtask task jgfs_post_f204 + edit FHR: 'f204' + edit HR: '204' trigger ./jgfs_post_manager:release_post204 endtask task jgfs_post_f207 + edit FHR: 'f207' + edit HR: '207' trigger ./jgfs_post_manager:release_post207 endtask task jgfs_post_f210 + edit FHR: 'f210' + edit HR: '210' trigger ./jgfs_post_manager:release_post210 endtask task jgfs_post_f213 + edit FHR: 'f213' + edit HR: '213' trigger ./jgfs_post_manager:release_post213 endtask task jgfs_post_f216 + edit FHR: 'f216' + edit HR: '216' trigger ./jgfs_post_manager:release_post216 endtask task jgfs_post_f219 + edit FHR: 'f219' + edit HR: '219' trigger ./jgfs_post_manager:release_post219 endtask task jgfs_post_f222 + edit FHR: 'f222' + edit HR: '222' trigger ./jgfs_post_manager:release_post222 endtask task jgfs_post_f225 + edit FHR: 'f225' + edit HR: '225' trigger ./jgfs_post_manager:release_post225 endtask task jgfs_post_f228 + edit FHR: 'f228' + edit HR: '228' trigger ./jgfs_post_manager:release_post228 endtask task jgfs_post_f231 + edit FHR: 'f231' + edit HR: '231' trigger ./jgfs_post_manager:release_post231 endtask task jgfs_post_f234 + edit FHR: 'f234' + edit HR: '234' trigger ./jgfs_post_manager:release_post234 endtask task jgfs_post_f237 + edit FHR: 'f237' + edit HR: '237' trigger ./jgfs_post_manager:release_post237 endtask task jgfs_post_f240 + edit FHR: 'f240' + edit HR: '240' trigger ./jgfs_post_manager:release_post240 endtask task jgfs_post_f252 + edit FHR: 'f252' + edit HR: '252' trigger ./jgfs_post_manager:release_post252 endtask task jgfs_post_f264 + edit FHR: 'f264' + edit HR: '264' trigger ./jgfs_post_manager:release_post264 endtask task jgfs_post_f276 + edit FHR: 'f276' + edit HR: '276' trigger ./jgfs_post_manager:release_post276 endtask task jgfs_post_f288 + edit FHR: 'f288' + edit HR: '288' trigger ./jgfs_post_manager:release_post288 endtask task jgfs_post_f300 + edit FHR: 'f300' + edit HR: '300' trigger ./jgfs_post_manager:release_post300 endtask task jgfs_post_f312 + edit FHR: 'f312' + edit HR: '312' trigger ./jgfs_post_manager:release_post312 endtask task jgfs_post_f324 + edit FHR: 'f324' + edit HR: '324' trigger ./jgfs_post_manager:release_post324 endtask task jgfs_post_f336 + edit FHR: 'f336' + edit HR: '336' trigger ./jgfs_post_manager:release_post336 endtask task jgfs_post_f348 + edit FHR: 'f348' + edit HR: '348' trigger ./jgfs_post_manager:release_post348 endtask task jgfs_post_f360 + edit FHR: 'f360' + edit HR: '360' trigger ./jgfs_post_manager:release_post360 endtask task jgfs_post_f372 + edit FHR: 'f372' + edit HR: '372' trigger ./jgfs_post_manager:release_post372 endtask task jgfs_post_f384 + edit FHR: 'f384' + edit HR: '384' trigger ./jgfs_post_manager:release_post384 endtask endfamily family prdgen task jgfs_pgrb2_anl + edit FHR 'anl' + edit HR 'anl' endtask task jgfs_pgrb2_manager trigger ../post == complete - event 1 release_postanl event 2 release_post00 event 3 release_post01 event 4 release_post02 @@ -1082,350 +3530,696 @@ suite prod00 event 174 release_post384 endtask task jgfs_pgrb2_f00 + edit FHR '00' + edit HR '00' endtask task jgfs_pgrb2_f01 + edit FHR '01' + edit HR '01' endtask task jgfs_pgrb2_f02 + edit FHR '02' + edit HR '02' endtask task jgfs_pgrb2_f03 + edit FHR '03' + edit HR '03' endtask task jgfs_pgrb2_f04 + edit FHR '04' + edit HR '04' endtask task jgfs_pgrb2_f05 + edit FHR '05' + edit HR '05' endtask task jgfs_pgrb2_f06 + edit FHR '06' + edit HR '06' endtask task jgfs_pgrb2_f07 + edit FHR '07' + edit HR '07' endtask task jgfs_pgrb2_f08 + edit FHR '08' + edit HR '08' endtask task jgfs_pgrb2_f09 + edit FHR '09' + edit HR '09' endtask task jgfs_pgrb2_f10 + edit FHR '10' + edit HR '10' endtask task jgfs_pgrb2_f11 + edit FHR '11' + edit HR '11' endtask task jgfs_pgrb2_f12 + edit FHR '12' + edit HR '12' endtask task jgfs_pgrb2_f13 + edit FHR '13' + edit HR '13' endtask task jgfs_pgrb2_f14 + edit FHR '14' + edit HR '14' endtask task jgfs_pgrb2_f15 + edit FHR '15' + edit HR '15' endtask task jgfs_pgrb2_f16 + edit FHR '16' + edit HR '16' endtask task jgfs_pgrb2_f17 + edit FHR '17' + edit HR '17' endtask task jgfs_pgrb2_f18 + edit FHR '18' + edit HR '18' endtask task jgfs_pgrb2_f19 + edit FHR '19' + edit HR '19' endtask task jgfs_pgrb2_f20 + edit FHR '20' + edit HR '20' endtask task jgfs_pgrb2_f21 + edit FHR '21' + edit HR '21' endtask task jgfs_pgrb2_f22 + edit FHR '22' + edit HR '22' endtask task jgfs_pgrb2_f23 + edit FHR '23' + edit HR '23' endtask task jgfs_pgrb2_f24 + edit FHR '24' + edit HR '24' endtask task jgfs_pgrb2_f25 + edit FHR '25' + edit HR '25' endtask task jgfs_pgrb2_f26 + edit FHR '26' + edit HR '26' endtask task jgfs_pgrb2_f27 + edit FHR '27' + edit HR '27' endtask task jgfs_pgrb2_f28 + edit FHR '28' + edit HR '28' endtask task jgfs_pgrb2_f29 + edit FHR '29' + edit HR '29' endtask task jgfs_pgrb2_f30 + edit FHR '30' + edit HR '30' endtask task jgfs_pgrb2_f31 + edit FHR '31' + edit HR '31' endtask task jgfs_pgrb2_f32 + edit FHR '32' + edit HR '32' endtask task jgfs_pgrb2_f33 + edit FHR '33' + edit HR '33' endtask task jgfs_pgrb2_f34 + edit FHR '34' + edit HR '34' endtask task jgfs_pgrb2_f35 + edit FHR '35' + edit HR '35' endtask task jgfs_pgrb2_f36 + edit FHR '36' + edit HR '36' endtask task jgfs_pgrb2_f37 + edit FHR '37' + edit HR '37' endtask task jgfs_pgrb2_f38 + edit FHR '38' + edit HR '38' endtask task jgfs_pgrb2_f39 + edit FHR '39' + edit HR '39' endtask task jgfs_pgrb2_f40 + edit FHR '40' + edit HR '40' endtask task jgfs_pgrb2_f41 + edit FHR '41' + edit HR '41' endtask task jgfs_pgrb2_f42 + edit FHR '42' + edit HR '42' endtask task jgfs_pgrb2_f43 + edit FHR '43' + edit HR '43' endtask task jgfs_pgrb2_f44 + edit FHR '44' + edit HR '44' endtask task jgfs_pgrb2_f45 + edit FHR '45' + edit HR '45' endtask task jgfs_pgrb2_f46 + edit FHR '46' + edit HR '46' endtask task jgfs_pgrb2_f47 + edit FHR '47' + edit HR '47' endtask task jgfs_pgrb2_f48 + edit FHR '48' + edit HR '48' endtask task jgfs_pgrb2_f49 + edit FHR '49' + edit HR '49' endtask task jgfs_pgrb2_f50 + edit FHR '50' + edit HR '50' endtask task jgfs_pgrb2_f51 + edit FHR '51' + edit HR '51' endtask task jgfs_pgrb2_f52 + edit FHR '52' + edit HR '52' endtask task jgfs_pgrb2_f53 + edit FHR '53' + edit HR '53' endtask task jgfs_pgrb2_f54 + edit FHR '54' + edit HR '54' endtask task jgfs_pgrb2_f55 + edit FHR '55' + edit HR '55' endtask task jgfs_pgrb2_f56 + edit FHR '56' + edit HR '56' endtask task jgfs_pgrb2_f57 + edit FHR '57' + edit HR '57' endtask task jgfs_pgrb2_f58 + edit FHR '58' + edit HR '58' endtask task jgfs_pgrb2_f59 + edit FHR '59' + edit HR '59' endtask task jgfs_pgrb2_f60 + edit FHR '60' + edit HR '60' endtask task jgfs_pgrb2_f61 + edit FHR '61' + edit HR '61' endtask task jgfs_pgrb2_f62 + edit FHR '62' + edit HR '62' endtask task jgfs_pgrb2_f63 + edit FHR '63' + edit HR '63' endtask task jgfs_pgrb2_f64 + edit FHR '64' + edit HR '64' endtask task jgfs_pgrb2_f65 + edit FHR '65' + edit HR '65' endtask task jgfs_pgrb2_f66 + edit FHR '66' + edit HR '66' endtask task jgfs_pgrb2_f67 + edit FHR '67' + edit HR '67' endtask task jgfs_pgrb2_f68 + edit FHR '68' + edit HR '68' endtask task jgfs_pgrb2_f69 + edit FHR '69' + edit HR '69' endtask task jgfs_pgrb2_f70 + edit FHR '70' + edit HR '70' endtask task jgfs_pgrb2_f71 + edit FHR '71' + edit HR '71' endtask task jgfs_pgrb2_f72 + edit FHR '72' + edit HR '72' endtask task jgfs_pgrb2_f73 + edit FHR '73' + edit HR '73' endtask task jgfs_pgrb2_f74 + edit FHR '74' + edit HR '74' endtask task jgfs_pgrb2_f75 + edit FHR '75' + edit HR '75' endtask task jgfs_pgrb2_f76 + edit FHR '76' + edit HR '76' endtask task jgfs_pgrb2_f77 + edit FHR '77' + edit HR '77' endtask task jgfs_pgrb2_f78 + edit FHR '78' + edit HR '78' endtask task jgfs_pgrb2_f79 + edit FHR '79' + edit HR '79' endtask task jgfs_pgrb2_f80 + edit FHR '80' + edit HR '80' endtask task jgfs_pgrb2_f81 + edit FHR '81' + edit HR '81' endtask task jgfs_pgrb2_f82 + edit FHR '82' + edit HR '82' endtask task jgfs_pgrb2_f83 + edit FHR '83' + edit HR '83' endtask task jgfs_pgrb2_f84 + edit FHR '84' + edit HR '84' endtask task jgfs_pgrb2_f85 + edit FHR '85' + edit HR '85' endtask task jgfs_pgrb2_f86 + edit FHR '86' + edit HR '86' endtask task jgfs_pgrb2_f87 + edit FHR '87' + edit HR '87' endtask task jgfs_pgrb2_f88 + edit FHR '88' + edit HR '88' endtask task jgfs_pgrb2_f89 + edit FHR '89' + edit HR '89' endtask task jgfs_pgrb2_f90 + edit FHR '90' + edit HR '90' endtask task jgfs_pgrb2_f91 + edit FHR '91' + edit HR '91' endtask task jgfs_pgrb2_f92 + edit FHR '92' + edit HR '92' endtask task jgfs_pgrb2_f93 + edit FHR '93' + edit HR '93' endtask task jgfs_pgrb2_f94 + edit FHR '94' + edit HR '94' endtask task jgfs_pgrb2_f95 + edit FHR '95' + edit HR '95' endtask task jgfs_pgrb2_f96 + edit FHR '96' + edit HR '96' endtask task jgfs_pgrb2_f97 + edit FHR '97' + edit HR '97' endtask task jgfs_pgrb2_f98 + edit FHR '98' + edit HR '98' endtask task jgfs_pgrb2_f99 + edit FHR '99' + edit HR '99' endtask task jgfs_pgrb2_f100 + edit FHR '100' + edit HR '100' endtask task jgfs_pgrb2_f101 + edit FHR '101' + edit HR '101' endtask task jgfs_pgrb2_f102 + edit FHR '102' + edit HR '102' endtask task jgfs_pgrb2_f103 + edit FHR '103' + edit HR '103' endtask task jgfs_pgrb2_f104 + edit FHR '104' + edit HR '104' endtask task jgfs_pgrb2_f105 + edit FHR '105' + edit HR '105' endtask task jgfs_pgrb2_f106 + edit FHR '106' + edit HR '106' endtask task jgfs_pgrb2_f107 + edit FHR '107' + edit HR '107' endtask task jgfs_pgrb2_f108 + edit FHR '108' + edit HR '108' endtask task jgfs_pgrb2_f109 + edit FHR '109' + edit HR '109' endtask task jgfs_pgrb2_f110 + edit FHR '110' + edit HR '110' endtask task jgfs_pgrb2_f111 + edit FHR '111' + edit HR '111' endtask task jgfs_pgrb2_f112 + edit FHR '112' + edit HR '112' endtask task jgfs_pgrb2_f113 + edit FHR '113' + edit HR '113' endtask task jgfs_pgrb2_f114 + edit FHR '114' + edit HR '114' endtask task jgfs_pgrb2_f115 + edit FHR '115' + edit HR '115' endtask task jgfs_pgrb2_f116 + edit FHR '116' + edit HR '116' endtask task jgfs_pgrb2_f117 + edit FHR '117' + edit HR '117' endtask task jgfs_pgrb2_f118 + edit FHR '118' + edit HR '118' endtask task jgfs_pgrb2_f119 + edit FHR '119' + edit HR '119' endtask task jgfs_pgrb2_f120 + edit FHR '120' + edit HR '120' endtask task jgfs_pgrb2_f123 + edit FHR '123' + edit HR '123' endtask task jgfs_pgrb2_f126 + edit FHR '126' + edit HR '126' endtask task jgfs_pgrb2_f129 + edit FHR '129' + edit HR '129' endtask task jgfs_pgrb2_f132 + edit FHR '132' + edit HR '132' endtask task jgfs_pgrb2_f135 + edit FHR '135' + edit HR '135' endtask task jgfs_pgrb2_f138 + edit FHR '138' + edit HR '138' endtask task jgfs_pgrb2_f141 + edit FHR '141' + edit HR '141' endtask task jgfs_pgrb2_f144 + edit FHR '144' + edit HR '144' endtask task jgfs_pgrb2_f147 + edit FHR '147' + edit HR '147' endtask task jgfs_pgrb2_f150 + edit FHR '150' + edit HR '150' endtask task jgfs_pgrb2_f153 + edit FHR '153' + edit HR '153' endtask task jgfs_pgrb2_f156 + edit FHR '156' + edit HR '156' endtask task jgfs_pgrb2_f159 + edit FHR '159' + edit HR '159' endtask task jgfs_pgrb2_f162 + edit FHR '162' + edit HR '162' endtask task jgfs_pgrb2_f165 + edit FHR '165' + edit HR '165' endtask task jgfs_pgrb2_f168 + edit FHR '168' + edit HR '168' endtask task jgfs_pgrb2_f171 + edit FHR '171' + edit HR '171' endtask task jgfs_pgrb2_f174 + edit FHR '174' + edit HR '174' endtask task jgfs_pgrb2_f177 + edit FHR '177' + edit HR '177' endtask task jgfs_pgrb2_f180 + edit FHR '180' + edit HR '180' endtask task jgfs_pgrb2_f183 + edit FHR '183' + edit HR '183' endtask task jgfs_pgrb2_f186 + edit FHR '186' + edit HR '186' endtask task jgfs_pgrb2_f189 + edit FHR '189' + edit HR '189' endtask task jgfs_pgrb2_f192 + edit FHR '192' + edit HR '192' endtask task jgfs_pgrb2_f195 + edit FHR '195' + edit HR '195' endtask task jgfs_pgrb2_f198 + edit FHR '198' + edit HR '198' endtask task jgfs_pgrb2_f201 + edit FHR '201' + edit HR '201' endtask task jgfs_pgrb2_f204 + edit FHR '204' + edit HR '204' endtask task jgfs_pgrb2_f207 + edit FHR '207' + edit HR '207' endtask task jgfs_pgrb2_f210 + edit FHR '210' + edit HR '210' endtask task jgfs_pgrb2_f213 + edit FHR '213' + edit HR '213' endtask task jgfs_pgrb2_f216 + edit FHR '216' + edit HR '216' endtask task jgfs_pgrb2_f219 + edit FHR '219' + edit HR '219' endtask task jgfs_pgrb2_f222 + edit FHR '222' + edit HR '222' endtask task jgfs_pgrb2_f225 + edit FHR '225' + edit HR '225' endtask task jgfs_pgrb2_f228 + edit FHR '228' + edit HR '228' endtask task jgfs_pgrb2_f231 + edit FHR '231' + edit HR '231' endtask task jgfs_pgrb2_f234 + edit FHR '234' + edit HR '234' endtask task jgfs_pgrb2_f237 + edit FHR '237' + edit HR '237' endtask task jgfs_pgrb2_f240 + edit FHR '240' + edit HR '240' endtask task jgfs_pgrb2_f252 + edit FHR '252' + edit HR '252' endtask task jgfs_pgrb2_f264 + edit FHR '264' + edit HR '264' endtask task jgfs_pgrb2_f276 + edit FHR '276' + edit HR '276' endtask task jgfs_pgrb2_f288 + edit FHR '288' + edit HR '288' endtask task jgfs_pgrb2_f300 + edit FHR '300' + edit HR '300' endtask task jgfs_pgrb2_f312 + edit FHR '312' + edit HR '312' endtask task jgfs_pgrb2_f324 + edit FHR '324' + edit HR '324' endtask task jgfs_pgrb2_f336 + edit FHR '336' + edit HR '336' endtask task jgfs_pgrb2_f348 + edit FHR '348' + edit HR '348' endtask task jgfs_pgrb2_f360 + edit FHR '360' + edit HR '360' endtask task jgfs_pgrb2_f372 + edit FHR '372' + edit HR '372' endtask task jgfs_pgrb2_f384 + edit FHR '384' + edit HR '384' endtask endfamily family gempak @@ -1444,6 +4238,10 @@ suite prod00 endfamily endfamily family gdas + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + edit PROJ '%PROJENVIR%' + edit MODEL_NAME 'gdas' task jgdas_verfrad trigger ./enkf == complete endtask @@ -1529,24 +4327,9 @@ suite prod00 endtask task jgdas_enkf_innovate_obs_grp8 endtask - task jgdas_enkf_innovate_obs_grp9 - endtask - task jgdas_enkf_innovate_obs_grp10 - endtask - task jgdas_enkf_innovate_obs_grp11 - endtask - task jgdas_enkf_innovate_obs_grp12 - endtask - task jgdas_enkf_innovate_obs_grp13 - endtask - task jgdas_enkf_innovate_obs_grp14 - endtask - task jgdas_enkf_innovate_obs_grp15 - endtask - task jgdas_enkf_innovate_obs_grp16 - endtask endfamily task jgdas_enkf_update + edit ECF_PASS 'FREE' trigger ./innovate == complete endtask task jgdas_enkf_inflate_recenter @@ -1570,22 +4353,6 @@ suite prod00 endtask task jgdas_enkf_fcst_grp8 endtask - task jgdas_enkf_fcst_grp9 - endtask - task jgdas_enkf_fcst_grp10 - endtask - task jgdas_enkf_fcst_grp11 - endtask - task jgdas_enkf_fcst_grp12 - endtask - task jgdas_enkf_fcst_grp13 - endtask - task jgdas_enkf_fcst_grp14 - endtask - task jgdas_enkf_fcst_grp15 - endtask - task jgdas_enkf_fcst_grp16 - endtask endfamily task jgdas_enkf_post trigger ./forecast == complete @@ -1593,5 +4360,7 @@ suite prod00 endfamily endfamily task cycle_end + edit ECF_JOB_CMD '%ECF_JOB% 1> %ECF_JOBOUT% 2>&1' + edit ECF_PASS 'FREE' endtask endsuite diff --git a/model/ecflow_fv3gfs/defs/prod06.def b/model/ecflow_fv3gfs/defs/prod06.def index a0f3294..6305876 100644 --- a/model/ecflow_fv3gfs/defs/prod06.def +++ b/model/ecflow_fv3gfs/defs/prod06.def @@ -9,11 +9,14 @@ suite prod06 #edit ECF_HOME '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3' edit CYC '06' edit ENVIR 'prod' + edit PROJ 'GFS-T2O' edit E 'jecffv3' #edit QUEUE 'dev' edit QUEUE 'debug' edit PROJENVIR 'GFS-T2O' + #edit EMCPEN 'ecfgfsfv3' edit EMCPEN 'ecfgfsfv3' + #edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' edit COM '/gpfs/hps2/ptmp/emc.glopara/ecfgfsfv3/com' edit QUEUESERV 'dev_transfer' edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/ecfgfsfv3' @@ -22,6 +25,10 @@ suite prod06 edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' edit MODEL_NAME 'gfs' family gfs + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' + edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' + edit PROJ '%PROJENVIR%' + edit MODEL_NAME 'gfs' family dump task jgfs_tropcy_qc_reloc trigger ./jgfs_dump == complete @@ -70,83 +77,109 @@ suite prod06 endtask family fax task jgfs_fax_f00 + edit FCSTHR '00' trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_anl == complete endtask task jgfs_fax_anl + edit FCSTHR 'anl' trigger ../../prdgen/jgfs_pgrb2_anl == complete endtask task jgfs_fax_wafs_f12 + edit FCSTHR '12' trigger ../../prdgen/jgfs_pgrb2_f12 == complete endtask task jgfs_fax_wafs_f24 + edit FCSTHR '24' trigger ../../prdgen/jgfs_pgrb2_f24 == complete endtask task jgfs_fax_wafs_f36 + edit FCSTHR '36' trigger ../../prdgen/jgfs_pgrb2_f36 == complete endtask endfamily family grib_wafs task jgfs_wafs_f00 + edit FCSTHR '00' trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f120 == complete and ../grib2_wafs/jgfs_wafs_grib2 == complete endtask task jgfs_wafs_f06 + edit FCSTHR '06' trigger ../../prdgen/jgfs_pgrb2_f06 == complete and ./jgfs_wafs_f00 == complete endtask task jgfs_wafs_f12 + edit FCSTHR '12' trigger ../../prdgen/jgfs_pgrb2_f12 == complete and ./jgfs_wafs_f06 == complete endtask task jgfs_wafs_f18 + edit FCSTHR '18' trigger ../../prdgen/jgfs_pgrb2_f18 == complete and ./jgfs_wafs_f12 == complete endtask task jgfs_wafs_f24 + edit FCSTHR '24' trigger ../../prdgen/jgfs_pgrb2_f24 == complete and ./jgfs_wafs_f18 == complete endtask task jgfs_wafs_f30 + edit FCSTHR '30' trigger ../../prdgen/jgfs_pgrb2_f30 == complete and ./jgfs_wafs_f24 == complete endtask task jgfs_wafs_f36 + edit FCSTHR '36' trigger ../../prdgen/jgfs_pgrb2_f36 == complete and ./jgfs_wafs_f30 == complete endtask task jgfs_wafs_f42 + edit FCSTHR '42' trigger ../../prdgen/jgfs_pgrb2_f42 == complete and ./jgfs_wafs_f36 == complete endtask task jgfs_wafs_f48 + edit FCSTHR '48' trigger ../../prdgen/jgfs_pgrb2_f48 == complete and ./jgfs_wafs_f42 == complete endtask task jgfs_wafs_f54 + edit FCSTHR '54' trigger ../../prdgen/jgfs_pgrb2_f54 == complete and ./jgfs_wafs_f48 == complete endtask task jgfs_wafs_f60 + edit FCSTHR '60' trigger ../../prdgen/jgfs_pgrb2_f60 == complete and ./jgfs_wafs_f54 == complete endtask task jgfs_wafs_f66 + edit FCSTHR '66' trigger ../../prdgen/jgfs_pgrb2_f66 == complete and ./jgfs_wafs_f60 == complete endtask task jgfs_wafs_f72 + edit FCSTHR '72' trigger ../../prdgen/jgfs_pgrb2_f72 == complete and ./jgfs_wafs_f66 == complete endtask task jgfs_wafs_f78 + edit FCSTHR '78' trigger ../../prdgen/jgfs_pgrb2_f78 == complete and ./jgfs_wafs_f72 == complete endtask task jgfs_wafs_f84 + edit FCSTHR '84' trigger ../../prdgen/jgfs_pgrb2_f84 == complete and ./jgfs_wafs_f78 == complete endtask task jgfs_wafs_f90 + edit FCSTHR '90' trigger ../../prdgen/jgfs_pgrb2_f90 == complete and ./jgfs_wafs_f84 == complete endtask task jgfs_wafs_f96 + edit FCSTHR '96' trigger ../../prdgen/jgfs_pgrb2_f96 == complete and ./jgfs_wafs_f90 == complete endtask task jgfs_wafs_f102 + edit FCSTHR '102' trigger ../../prdgen/jgfs_pgrb2_f102 == complete and ./jgfs_wafs_f96 == complete endtask task jgfs_wafs_f108 + edit FCSTHR '108' trigger ../../prdgen/jgfs_pgrb2_f108 == complete and ./jgfs_wafs_f102 == complete endtask task jgfs_wafs_f114 + edit FCSTHR '114' trigger ../../prdgen/jgfs_pgrb2_f114 == complete and ./jgfs_wafs_f108 == complete endtask task jgfs_wafs_f120 + edit FCSTHR '120' trigger ../../prdgen/jgfs_pgrb2_f120 == complete and ./jgfs_wafs_f114 == complete endtask endfamily @@ -160,7 +193,7 @@ suite prod06 trigger ../../post/jgfs_post_f06 == complete and ../../post/jgfs_post_f12 == complete and ../../post/jgfs_post_f24 == complete endtask task jgfs_cyclone_tracker - trigger ../../post/jgfs_post_f00 == complete and ../../post/jgfs_post_f06 == complete and ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f06 == complete + trigger ../../post/jgfs_post_f00 == complete and ../../post/jgfs_post_f06 == complete and ../../post/jgfs_post_f12 == complete and ../../post/jgfs_post_f18 == complete and ../../post/jgfs_post_f24 == complete and ../../post/jgfs_post_f30 == complete and ../../post/jgfs_post_f36 == complete and ../../post/jgfs_post_f42 == complete and ../../post/jgfs_post_f48 == complete and ../../post/jgfs_post_f54 == complete and ../../post/jgfs_post_f60 == complete and ../../post/jgfs_post_f66 == complete and ../../post/jgfs_post_f72 == complete and ../../post/jgfs_post_f78 == complete and ../../post/jgfs_post_f84 == complete and ../../post/jgfs_post_f90 == complete and ../../post/jgfs_post_f96 == complete and ../../post/jgfs_post_f102 == complete and ../../post/jgfs_post_f108 == complete and ../../post/jgfs_post_f114 == complete and ../../post/jgfs_post_f120 == complete and ../../post/jgfs_post_f126 == complete and ../../post/jgfs_post_f132 == complete and ../../post/jgfs_post_f138 == complete and ../../post/jgfs_post_f144 == complete and ../../post/jgfs_post_f150 == complete and ../../post/jgfs_post_f156 == complete and ../../post/jgfs_post_f162 == complete and ../../post/jgfs_post_f168 == complete and ../../post/jgfs_post_f174 == complete and ../../post/jgfs_post_f180 == complete and ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f06 == complete and ../../prdgen/jgfs_pgrb2_f12 == complete and ../../prdgen/jgfs_pgrb2_f18 == complete and ../../prdgen/jgfs_pgrb2_f24 == complete and ../../prdgen/jgfs_pgrb2_f30 == complete and ../../prdgen/jgfs_pgrb2_f36 == complete and ../../prdgen/jgfs_pgrb2_f42 == complete and ../../prdgen/jgfs_pgrb2_f48 == complete and ../../prdgen/jgfs_pgrb2_f54 == complete and ../../prdgen/jgfs_pgrb2_f60 == complete and ../../prdgen/jgfs_pgrb2_f66 == complete and ../../prdgen/jgfs_pgrb2_f72 == complete and ../../prdgen/jgfs_pgrb2_f78 == complete and ../../prdgen/jgfs_pgrb2_f84 == complete and ../../prdgen/jgfs_pgrb2_f90 == complete and ../../prdgen/jgfs_pgrb2_f96 == complete and ../../prdgen/jgfs_pgrb2_f102 == complete and ../../prdgen/jgfs_pgrb2_f108 == complete and ../../prdgen/jgfs_pgrb2_f114 == complete and ../../prdgen/jgfs_pgrb2_f120 == complete and ../../prdgen/jgfs_pgrb2_f126 == complete and ../../prdgen/jgfs_pgrb2_f132 == complete and ../../prdgen/jgfs_pgrb2_f138 == complete and ../../prdgen/jgfs_pgrb2_f144 == complete and ../../prdgen/jgfs_pgrb2_f150 == complete and ../../prdgen/jgfs_pgrb2_f156 == complete and ../../prdgen/jgfs_pgrb2_f162 == complete and ../../prdgen/jgfs_pgrb2_f168 == complete and ../../prdgen/jgfs_pgrb2_f174 == complete and ../../prdgen/jgfs_pgrb2_f180 == complete endtask endfamily family grib2_wafs @@ -173,37 +206,2105 @@ suite prod06 endfamily family grib_awips task jgfs_awips_f00 + edit FCSTHR '00' trigger ../../prdgen/jgfs_pgrb2_f00 == complete endtask + task jgfs_awips_f01 + edit FCSTHR '01' + trigger ../../prdgen/jgfs_pgrb2_f01 == complete + endtask + task jgfs_awips_f02 + edit FCSTHR '02' + trigger ../../prdgen/jgfs_pgrb2_f02 == complete + endtask + task jgfs_awips_f03 + edit FCSTHR '03' + trigger ../../prdgen/jgfs_pgrb2_f03 == complete + endtask + task jgfs_awips_f04 + edit FCSTHR '04' + trigger ../../prdgen/jgfs_pgrb2_f04 == complete + endtask + task jgfs_awips_f05 + edit FCSTHR '05' + trigger ../../prdgen/jgfs_pgrb2_f05 == complete + endtask task jgfs_awips_f06 + edit FCSTHR '06' trigger ../../prdgen/jgfs_pgrb2_f06 == complete endtask + task jgfs_awips_f07 + edit FCSTHR '07' + trigger ../../prdgen/jgfs_pgrb2_f07 == complete + endtask + task jgfs_awips_f08 + edit FCSTHR '08' + trigger ../../prdgen/jgfs_pgrb2_f08 == complete + endtask + task jgfs_awips_f09 + edit FCSTHR '09' + trigger ../../prdgen/jgfs_pgrb2_f09 == complete + endtask + task jgfs_awips_f10 + edit FCSTHR '10' + trigger ../../prdgen/jgfs_pgrb2_f10 == complete + endtask + task jgfs_awips_f11 + edit FCSTHR '11' + trigger ../../prdgen/jgfs_pgrb2_f11 == complete + endtask + task jgfs_awips_f12 + edit FCSTHR '12' + trigger ../../prdgen/jgfs_pgrb2_f12 == complete + endtask + task jgfs_awips_f13 + edit FCSTHR '13' + trigger ../../prdgen/jgfs_pgrb2_f13 == complete + endtask + task jgfs_awips_f14 + edit FCSTHR '14' + trigger ../../prdgen/jgfs_pgrb2_f14 == complete + endtask + task jgfs_awips_f15 + edit FCSTHR '15' + trigger ../../prdgen/jgfs_pgrb2_f15 == complete + endtask + task jgfs_awips_f16 + edit FCSTHR '16' + trigger ../../prdgen/jgfs_pgrb2_f16 == complete + endtask + task jgfs_awips_f17 + edit FCSTHR '17' + trigger ../../prdgen/jgfs_pgrb2_f17 == complete + endtask + task jgfs_awips_f18 + edit FCSTHR '18' + trigger ../../prdgen/jgfs_pgrb2_f18 == complete + endtask + task jgfs_awips_f19 + edit FCSTHR '19' + trigger ../../prdgen/jgfs_pgrb2_f19 == complete + endtask + task jgfs_awips_f20 + edit FCSTHR '20' + trigger ../../prdgen/jgfs_pgrb2_f20 == complete + endtask + task jgfs_awips_f21 + edit FCSTHR '21' + trigger ../../prdgen/jgfs_pgrb2_f21 == complete + endtask + task jgfs_awips_f22 + edit FCSTHR '22' + trigger ../../prdgen/jgfs_pgrb2_f22 == complete + endtask + task jgfs_awips_f23 + edit FCSTHR '23' + trigger ../../prdgen/jgfs_pgrb2_f23 == complete + endtask + task jgfs_awips_f24 + edit FCSTHR '24' + trigger ../../prdgen/jgfs_pgrb2_f24 == complete + endtask + task jgfs_awips_f25 + edit FCSTHR '25' + trigger ../../prdgen/jgfs_pgrb2_f25 == complete + endtask + task jgfs_awips_f26 + edit FCSTHR '26' + trigger ../../prdgen/jgfs_pgrb2_f26 == complete + endtask + task jgfs_awips_f27 + edit FCSTHR '27' + trigger ../../prdgen/jgfs_pgrb2_f27 == complete + endtask + task jgfs_awips_f28 + edit FCSTHR '28' + trigger ../../prdgen/jgfs_pgrb2_f28 == complete + endtask + task jgfs_awips_f29 + edit FCSTHR '29' + trigger ../../prdgen/jgfs_pgrb2_f29 == complete + endtask + task jgfs_awips_f30 + edit FCSTHR '30' + trigger ../../prdgen/jgfs_pgrb2_f30 == complete + endtask + task jgfs_awips_f31 + edit FCSTHR '31' + trigger ../../prdgen/jgfs_pgrb2_f31 == complete + endtask + task jgfs_awips_f32 + edit FCSTHR '32' + trigger ../../prdgen/jgfs_pgrb2_f32 == complete + endtask + task jgfs_awips_f33 + edit FCSTHR '33' + trigger ../../prdgen/jgfs_pgrb2_f33 == complete + endtask + task jgfs_awips_f34 + edit FCSTHR '34' + trigger ../../prdgen/jgfs_pgrb2_f34 == complete + endtask + task jgfs_awips_f35 + edit FCSTHR '35' + trigger ../../prdgen/jgfs_pgrb2_f35 == complete + endtask + task jgfs_awips_f36 + edit FCSTHR '36' + trigger ../../prdgen/jgfs_pgrb2_f36 == complete + endtask + task jgfs_awips_f37 + edit FCSTHR '37' + trigger ../../prdgen/jgfs_pgrb2_f37 == complete + endtask + task jgfs_awips_f38 + edit FCSTHR '38' + trigger ../../prdgen/jgfs_pgrb2_f38 == complete + endtask + task jgfs_awips_f39 + edit FCSTHR '39' + trigger ../../prdgen/jgfs_pgrb2_f39 == complete + endtask + task jgfs_awips_f40 + edit FCSTHR '40' + trigger ../../prdgen/jgfs_pgrb2_f40 == complete + endtask + task jgfs_awips_f41 + edit FCSTHR '41' + trigger ../../prdgen/jgfs_pgrb2_f41 == complete + endtask + task jgfs_awips_f42 + edit FCSTHR '42' + trigger ../../prdgen/jgfs_pgrb2_f42 == complete + endtask + task jgfs_awips_f43 + edit FCSTHR '43' + trigger ../../prdgen/jgfs_pgrb2_f43 == complete + endtask + task jgfs_awips_f44 + edit FCSTHR '44' + trigger ../../prdgen/jgfs_pgrb2_f44 == complete + endtask + task jgfs_awips_f45 + edit FCSTHR '45' + trigger ../../prdgen/jgfs_pgrb2_f45 == complete + endtask + task jgfs_awips_f46 + edit FCSTHR '46' + trigger ../../prdgen/jgfs_pgrb2_f46 == complete + endtask + task jgfs_awips_f47 + edit FCSTHR '47' + trigger ../../prdgen/jgfs_pgrb2_f47 == complete + endtask + task jgfs_awips_f48 + edit FCSTHR '48' + trigger ../../prdgen/jgfs_pgrb2_f48 == complete + endtask + task jgfs_awips_f49 + edit FCSTHR '49' + trigger ../../prdgen/jgfs_pgrb2_f49 == complete + endtask + task jgfs_awips_f50 + edit FCSTHR '50' + trigger ../../prdgen/jgfs_pgrb2_f50 == complete + endtask + task jgfs_awips_f51 + edit FCSTHR '51' + trigger ../../prdgen/jgfs_pgrb2_f51 == complete + endtask + task jgfs_awips_f52 + edit FCSTHR '52' + trigger ../../prdgen/jgfs_pgrb2_f52 == complete + endtask + task jgfs_awips_f53 + edit FCSTHR '53' + trigger ../../prdgen/jgfs_pgrb2_f53 == complete + endtask + task jgfs_awips_f54 + edit FCSTHR '54' + trigger ../../prdgen/jgfs_pgrb2_f54 == complete + endtask + task jgfs_awips_f55 + edit FCSTHR '55' + trigger ../../prdgen/jgfs_pgrb2_f55 == complete + endtask + task jgfs_awips_f56 + edit FCSTHR '56' + trigger ../../prdgen/jgfs_pgrb2_f56 == complete + endtask + task jgfs_awips_f57 + edit FCSTHR '57' + trigger ../../prdgen/jgfs_pgrb2_f57 == complete + endtask + task jgfs_awips_f58 + edit FCSTHR '58' + trigger ../../prdgen/jgfs_pgrb2_f58 == complete + endtask + task jgfs_awips_f59 + edit FCSTHR '59' + trigger ../../prdgen/jgfs_pgrb2_f59 == complete + endtask + task jgfs_awips_f60 + edit FCSTHR '60' + trigger ../../prdgen/jgfs_pgrb2_f60 == complete + endtask + task jgfs_awips_f61 + edit FCSTHR '61' + trigger ../../prdgen/jgfs_pgrb2_f61 == complete + endtask + task jgfs_awips_f62 + edit FCSTHR '62' + trigger ../../prdgen/jgfs_pgrb2_f62 == complete + endtask + task jgfs_awips_f63 + edit FCSTHR '63' + trigger ../../prdgen/jgfs_pgrb2_f63 == complete + endtask + task jgfs_awips_f64 + edit FCSTHR '64' + trigger ../../prdgen/jgfs_pgrb2_f64 == complete + endtask + task jgfs_awips_f65 + edit FCSTHR '65' + trigger ../../prdgen/jgfs_pgrb2_f65 == complete + endtask + task jgfs_awips_f66 + edit FCSTHR '66' + trigger ../../prdgen/jgfs_pgrb2_f66 == complete + endtask + task jgfs_awips_f67 + edit FCSTHR '67' + trigger ../../prdgen/jgfs_pgrb2_f67 == complete + endtask + task jgfs_awips_f68 + edit FCSTHR '68' + trigger ../../prdgen/jgfs_pgrb2_f68 == complete + endtask + task jgfs_awips_f69 + edit FCSTHR '69' + trigger ../../prdgen/jgfs_pgrb2_f69 == complete + endtask + task jgfs_awips_f70 + edit FCSTHR '70' + trigger ../../prdgen/jgfs_pgrb2_f70 == complete + endtask + task jgfs_awips_f71 + edit FCSTHR '71' + trigger ../../prdgen/jgfs_pgrb2_f71 == complete + endtask + task jgfs_awips_f72 + edit FCSTHR '72' + trigger ../../prdgen/jgfs_pgrb2_f72 == complete + endtask + task jgfs_awips_f73 + edit FCSTHR '73' + trigger ../../prdgen/jgfs_pgrb2_f73 == complete + endtask + task jgfs_awips_f74 + edit FCSTHR '74' + trigger ../../prdgen/jgfs_pgrb2_f74 == complete + endtask + task jgfs_awips_f75 + edit FCSTHR '75' + trigger ../../prdgen/jgfs_pgrb2_f75 == complete + endtask + task jgfs_awips_f76 + edit FCSTHR '76' + trigger ../../prdgen/jgfs_pgrb2_f76 == complete + endtask + task jgfs_awips_f77 + edit FCSTHR '77' + trigger ../../prdgen/jgfs_pgrb2_f77 == complete + endtask + task jgfs_awips_f78 + edit FCSTHR '78' + trigger ../../prdgen/jgfs_pgrb2_f78 == complete + endtask + task jgfs_awips_f79 + edit FCSTHR '79' + trigger ../../prdgen/jgfs_pgrb2_f79 == complete + endtask + task jgfs_awips_f80 + edit FCSTHR '80' + trigger ../../prdgen/jgfs_pgrb2_f80 == complete + endtask + task jgfs_awips_f81 + edit FCSTHR '81' + trigger ../../prdgen/jgfs_pgrb2_f81 == complete + endtask + task jgfs_awips_f82 + edit FCSTHR '82' + trigger ../../prdgen/jgfs_pgrb2_f82 == complete + endtask + task jgfs_awips_f83 + edit FCSTHR '83' + trigger ../../prdgen/jgfs_pgrb2_f83 == complete + endtask + task jgfs_awips_f84 + edit FCSTHR '84' + trigger ../../prdgen/jgfs_pgrb2_f84 == complete + endtask + task jgfs_awips_f85 + edit FCSTHR '85' + trigger ../../prdgen/jgfs_pgrb2_f85 == complete + endtask + task jgfs_awips_f86 + edit FCSTHR '86' + trigger ../../prdgen/jgfs_pgrb2_f86 == complete + endtask + task jgfs_awips_f87 + edit FCSTHR '87' + trigger ../../prdgen/jgfs_pgrb2_f87 == complete + endtask + task jgfs_awips_f88 + edit FCSTHR '88' + trigger ../../prdgen/jgfs_pgrb2_f88 == complete + endtask + task jgfs_awips_f89 + edit FCSTHR '89' + trigger ../../prdgen/jgfs_pgrb2_f89 == complete + endtask + task jgfs_awips_f90 + edit FCSTHR '90' + trigger ../../prdgen/jgfs_pgrb2_f90 == complete + endtask + task jgfs_awips_f91 + edit FCSTHR '91' + trigger ../../prdgen/jgfs_pgrb2_f91 == complete + endtask + task jgfs_awips_f92 + edit FCSTHR '92' + trigger ../../prdgen/jgfs_pgrb2_f92 == complete + endtask + task jgfs_awips_f93 + edit FCSTHR '93' + trigger ../../prdgen/jgfs_pgrb2_f93 == complete + endtask + task jgfs_awips_f94 + edit FCSTHR '94' + trigger ../../prdgen/jgfs_pgrb2_f94 == complete + endtask + task jgfs_awips_f95 + edit FCSTHR '95' + trigger ../../prdgen/jgfs_pgrb2_f95 == complete + endtask + task jgfs_awips_f96 + edit FCSTHR '96' + trigger ../../prdgen/jgfs_pgrb2_f96 == complete + endtask + task jgfs_awips_f97 + edit FCSTHR '97' + trigger ../../prdgen/jgfs_pgrb2_f97 == complete + endtask + task jgfs_awips_f98 + edit FCSTHR '98' + trigger ../../prdgen/jgfs_pgrb2_f98 == complete + endtask + task jgfs_awips_f99 + edit FCSTHR '99' + trigger ../../prdgen/jgfs_pgrb2_f99 == complete + endtask + task jgfs_awips_f100 + edit FCSTHR '100' + trigger ../../prdgen/jgfs_pgrb2_f100 == complete + endtask + task jgfs_awips_f101 + edit FCSTHR '101' + trigger ../../prdgen/jgfs_pgrb2_f101 == complete + endtask + task jgfs_awips_f102 + edit FCSTHR '102' + trigger ../../prdgen/jgfs_pgrb2_f102 == complete + endtask + task jgfs_awips_f103 + edit FCSTHR '103' + trigger ../../prdgen/jgfs_pgrb2_f103 == complete + endtask + task jgfs_awips_f104 + edit FCSTHR '104' + trigger ../../prdgen/jgfs_pgrb2_f104 == complete + endtask + task jgfs_awips_f105 + edit FCSTHR '105' + trigger ../../prdgen/jgfs_pgrb2_f105 == complete + endtask + task jgfs_awips_f106 + edit FCSTHR '106' + trigger ../../prdgen/jgfs_pgrb2_f106 == complete + endtask + task jgfs_awips_f107 + edit FCSTHR '107' + trigger ../../prdgen/jgfs_pgrb2_f107 == complete + endtask + task jgfs_awips_f108 + edit FCSTHR '108' + trigger ../../prdgen/jgfs_pgrb2_f108 == complete + endtask + task jgfs_awips_f109 + edit FCSTHR '109' + trigger ../../prdgen/jgfs_pgrb2_f109 == complete + endtask + task jgfs_awips_f110 + edit FCSTHR '110' + trigger ../../prdgen/jgfs_pgrb2_f110 == complete + endtask + task jgfs_awips_f111 + edit FCSTHR '111' + trigger ../../prdgen/jgfs_pgrb2_f111 == complete + endtask + task jgfs_awips_f112 + edit FCSTHR '112' + trigger ../../prdgen/jgfs_pgrb2_f112 == complete + endtask + task jgfs_awips_f113 + edit FCSTHR '113' + trigger ../../prdgen/jgfs_pgrb2_f113 == complete + endtask + task jgfs_awips_f114 + edit FCSTHR '114' + trigger ../../prdgen/jgfs_pgrb2_f114 == complete + endtask + task jgfs_awips_f115 + edit FCSTHR '115' + trigger ../../prdgen/jgfs_pgrb2_f115 == complete + endtask + task jgfs_awips_f116 + edit FCSTHR '116' + trigger ../../prdgen/jgfs_pgrb2_f116 == complete + endtask + task jgfs_awips_f117 + edit FCSTHR '117' + trigger ../../prdgen/jgfs_pgrb2_f117 == complete + endtask + task jgfs_awips_f118 + edit FCSTHR '118' + trigger ../../prdgen/jgfs_pgrb2_f118 == complete + endtask + task jgfs_awips_f119 + edit FCSTHR '119' + trigger ../../prdgen/jgfs_pgrb2_f119 == complete + endtask + task jgfs_awips_f120 + edit FCSTHR '120' + trigger ../../prdgen/jgfs_pgrb2_f120 == complete + endtask + task jgfs_awips_f123 + edit FCSTHR '123' + trigger ../../prdgen/jgfs_pgrb2_f123 == complete + endtask + task jgfs_awips_f126 + edit FCSTHR '126' + trigger ../../prdgen/jgfs_pgrb2_f126 == complete + endtask + task jgfs_awips_f129 + edit FCSTHR '129' + trigger ../../prdgen/jgfs_pgrb2_f129 == complete + endtask + task jgfs_awips_f132 + edit FCSTHR '132' + trigger ../../prdgen/jgfs_pgrb2_f132 == complete + endtask + task jgfs_awips_f135 + edit FCSTHR '135' + trigger ../../prdgen/jgfs_pgrb2_f135 == complete + endtask + task jgfs_awips_f138 + edit FCSTHR '138' + trigger ../../prdgen/jgfs_pgrb2_f138 == complete + endtask + task jgfs_awips_f141 + edit FCSTHR '141' + trigger ../../prdgen/jgfs_pgrb2_f141 == complete + endtask + task jgfs_awips_f144 + edit FCSTHR '144' + trigger ../../prdgen/jgfs_pgrb2_f144 == complete + endtask + task jgfs_awips_f147 + edit FCSTHR '147' + trigger ../../prdgen/jgfs_pgrb2_f147 == complete + endtask + task jgfs_awips_f150 + edit FCSTHR '150' + trigger ../../prdgen/jgfs_pgrb2_f150 == complete + endtask + task jgfs_awips_f153 + edit FCSTHR '153' + trigger ../../prdgen/jgfs_pgrb2_f153 == complete + endtask + task jgfs_awips_f156 + edit FCSTHR '156' + trigger ../../prdgen/jgfs_pgrb2_f156 == complete + endtask + task jgfs_awips_f159 + edit FCSTHR '159' + trigger ../../prdgen/jgfs_pgrb2_f159 == complete + endtask + task jgfs_awips_f162 + edit FCSTHR '162' + trigger ../../prdgen/jgfs_pgrb2_f162 == complete + endtask + task jgfs_awips_f165 + edit FCSTHR '165' + trigger ../../prdgen/jgfs_pgrb2_f165 == complete + endtask + task jgfs_awips_f168 + edit FCSTHR '168' + trigger ../../prdgen/jgfs_pgrb2_f168 == complete + endtask + task jgfs_awips_f171 + edit FCSTHR '171' + trigger ../../prdgen/jgfs_pgrb2_f171 == complete + endtask + task jgfs_awips_f174 + edit FCSTHR '174' + trigger ../../prdgen/jgfs_pgrb2_f174 == complete + endtask + task jgfs_awips_f177 + edit FCSTHR '177' + trigger ../../prdgen/jgfs_pgrb2_f177 == complete + endtask + task jgfs_awips_f180 + edit FCSTHR '180' + trigger ../../prdgen/jgfs_pgrb2_f180 == complete + endtask + task jgfs_awips_f183 + edit FCSTHR '183' + trigger ../../prdgen/jgfs_pgrb2_f183 == complete + endtask + task jgfs_awips_f186 + edit FCSTHR '186' + trigger ../../prdgen/jgfs_pgrb2_f186 == complete + endtask + task jgfs_awips_f189 + edit FCSTHR '189' + trigger ../../prdgen/jgfs_pgrb2_f189 == complete + endtask + task jgfs_awips_f192 + edit FCSTHR '192' + trigger ../../prdgen/jgfs_pgrb2_f192 == complete + endtask + task jgfs_awips_f195 + edit FCSTHR '195' + trigger ../../prdgen/jgfs_pgrb2_f195 == complete + endtask + task jgfs_awips_f198 + edit FCSTHR '198' + trigger ../../prdgen/jgfs_pgrb2_f198 == complete + endtask + task jgfs_awips_f201 + edit FCSTHR '201' + trigger ../../prdgen/jgfs_pgrb2_f201 == complete + endtask + task jgfs_awips_f204 + edit FCSTHR '204' + trigger ../../prdgen/jgfs_pgrb2_f204 == complete + endtask + task jgfs_awips_f207 + edit FCSTHR '207' + trigger ../../prdgen/jgfs_pgrb2_f207 == complete + endtask + task jgfs_awips_f210 + edit FCSTHR '210' + trigger ../../prdgen/jgfs_pgrb2_f210 == complete + endtask + task jgfs_awips_f213 + edit FCSTHR '213' + trigger ../../prdgen/jgfs_pgrb2_f213 == complete + endtask + task jgfs_awips_f216 + edit FCSTHR '216' + trigger ../../prdgen/jgfs_pgrb2_f216 == complete + endtask + task jgfs_awips_f219 + edit FCSTHR '219' + trigger ../../prdgen/jgfs_pgrb2_f219 == complete + endtask + task jgfs_awips_f222 + edit FCSTHR '222' + trigger ../../prdgen/jgfs_pgrb2_f222 == complete + endtask + task jgfs_awips_f225 + edit FCSTHR '225' + trigger ../../prdgen/jgfs_pgrb2_f225 == complete + endtask + task jgfs_awips_f228 + edit FCSTHR '228' + trigger ../../prdgen/jgfs_pgrb2_f228 == complete + endtask + task jgfs_awips_f231 + edit FCSTHR '231' + trigger ../../prdgen/jgfs_pgrb2_f231 == complete + endtask + task jgfs_awips_f234 + edit FCSTHR '234' + trigger ../../prdgen/jgfs_pgrb2_f234 == complete + endtask + task jgfs_awips_f237 + edit FCSTHR '237' + trigger ../../prdgen/jgfs_pgrb2_f237 == complete + endtask + task jgfs_awips_f240 + edit FCSTHR '240' + trigger ../../prdgen/jgfs_pgrb2_f240 == complete + endtask + task jgfs_awips_f252 + edit FCSTHR '252' + trigger ../../prdgen/jgfs_pgrb2_f252 == complete + endtask + task jgfs_awips_f264 + edit FCSTHR '264' + trigger ../../prdgen/jgfs_pgrb2_f264 == complete + endtask + task jgfs_awips_f276 + edit FCSTHR '276' + trigger ../../prdgen/jgfs_pgrb2_f276 == complete + endtask + task jgfs_awips_f288 + edit FCSTHR '288' + trigger ../../prdgen/jgfs_pgrb2_f288 == complete + endtask + task jgfs_awips_f300 + edit FCSTHR '300' + trigger ../../prdgen/jgfs_pgrb2_f300 == complete + endtask + task jgfs_awips_f312 + edit FCSTHR '312' + trigger ../../prdgen/jgfs_pgrb2_f312 == complete + endtask + task jgfs_awips_f324 + edit FCSTHR '324' + trigger ../../prdgen/jgfs_pgrb2_f324 == complete + endtask + task jgfs_awips_f336 + edit FCSTHR '336' + trigger ../../prdgen/jgfs_pgrb2_f336 == complete + endtask + task jgfs_awips_f348 + edit FCSTHR '348' + trigger ../../prdgen/jgfs_pgrb2_f348 == complete + endtask + task jgfs_awips_f360 + edit FCSTHR '360' + trigger ../../prdgen/jgfs_pgrb2_f360 == complete + endtask + task jgfs_awips_f372 + edit FCSTHR '372' + trigger ../../prdgen/jgfs_pgrb2_f372 == complete + endtask + task jgfs_awips_f384 + edit FCSTHR '384' + trigger ../../prdgen/jgfs_pgrb2_f384 == complete + endtask + endfamily + family awips_1p0deg + edit RES '1p0deg' + edit RESC '1P0DEG' + #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + task jgfs_awips_f000 + edit FCSTHR '000' + trigger ../../prdgen/jgfs_pgrb2_f00 == complete + endtask + task jgfs_awips_f001 + edit FCSTHR '001' + trigger ../../prdgen/jgfs_pgrb2_f01 == complete + endtask + task jgfs_awips_f002 + edit FCSTHR '002' + trigger ../../prdgen/jgfs_pgrb2_f02 == complete + endtask + task jgfs_awips_f003 + edit FCSTHR '003' + trigger ../../prdgen/jgfs_pgrb2_f03 == complete + endtask + task jgfs_awips_f004 + edit FCSTHR '004' + trigger ../../prdgen/jgfs_pgrb2_f04 == complete + endtask + task jgfs_awips_f005 + edit FCSTHR '005' + trigger ../../prdgen/jgfs_pgrb2_f05 == complete + endtask + task jgfs_awips_f006 + edit FCSTHR '006' + trigger ../../prdgen/jgfs_pgrb2_f06 == complete + endtask + task jgfs_awips_f007 + edit FCSTHR '007' + trigger ../../prdgen/jgfs_pgrb2_f07 == complete + endtask + task jgfs_awips_f008 + edit FCSTHR '008' + trigger ../../prdgen/jgfs_pgrb2_f08 == complete + endtask + task jgfs_awips_f009 + edit FCSTHR '009' + trigger ../../prdgen/jgfs_pgrb2_f09 == complete + endtask + task jgfs_awips_f010 + edit FCSTHR '010' + trigger ../../prdgen/jgfs_pgrb2_f10 == complete + endtask + task jgfs_awips_f011 + edit FCSTHR '011' + trigger ../../prdgen/jgfs_pgrb2_f11 == complete + endtask + task jgfs_awips_f012 + edit FCSTHR '012' + trigger ../../prdgen/jgfs_pgrb2_f12 == complete + endtask + task jgfs_awips_f013 + edit FCSTHR '013' + trigger ../../prdgen/jgfs_pgrb2_f13 == complete + endtask + task jgfs_awips_f014 + edit FCSTHR '014' + trigger ../../prdgen/jgfs_pgrb2_f14 == complete + endtask + task jgfs_awips_f015 + edit FCSTHR '015' + trigger ../../prdgen/jgfs_pgrb2_f15 == complete + endtask + task jgfs_awips_f016 + edit FCSTHR '016' + trigger ../../prdgen/jgfs_pgrb2_f16 == complete + endtask + task jgfs_awips_f017 + edit FCSTHR '017' + trigger ../../prdgen/jgfs_pgrb2_f17 == complete + endtask + task jgfs_awips_f018 + edit FCSTHR '018' + trigger ../../prdgen/jgfs_pgrb2_f18 == complete + endtask + task jgfs_awips_f019 + edit FCSTHR '019' + trigger ../../prdgen/jgfs_pgrb2_f19 == complete + endtask + task jgfs_awips_f020 + edit FCSTHR '020' + trigger ../../prdgen/jgfs_pgrb2_f20 == complete + endtask + task jgfs_awips_f021 + edit FCSTHR '021' + trigger ../../prdgen/jgfs_pgrb2_f21 == complete + endtask + task jgfs_awips_f022 + edit FCSTHR '022' + trigger ../../prdgen/jgfs_pgrb2_f22 == complete + endtask + task jgfs_awips_f023 + edit FCSTHR '023' + trigger ../../prdgen/jgfs_pgrb2_f23 == complete + endtask + task jgfs_awips_f024 + edit FCSTHR '024' + trigger ../../prdgen/jgfs_pgrb2_f24 == complete + endtask + task jgfs_awips_f025 + edit FCSTHR '025' + trigger ../../prdgen/jgfs_pgrb2_f25 == complete + endtask + task jgfs_awips_f026 + edit FCSTHR '026' + trigger ../../prdgen/jgfs_pgrb2_f26 == complete + endtask + task jgfs_awips_f027 + edit FCSTHR '027' + trigger ../../prdgen/jgfs_pgrb2_f27 == complete + endtask + task jgfs_awips_f028 + edit FCSTHR '028' + trigger ../../prdgen/jgfs_pgrb2_f28 == complete + endtask + task jgfs_awips_f029 + edit FCSTHR '029' + trigger ../../prdgen/jgfs_pgrb2_f29 == complete + endtask + task jgfs_awips_f030 + edit FCSTHR '030' + trigger ../../prdgen/jgfs_pgrb2_f30 == complete + endtask + task jgfs_awips_f031 + edit FCSTHR '031' + trigger ../../prdgen/jgfs_pgrb2_f31 == complete + endtask + task jgfs_awips_f032 + edit FCSTHR '032' + trigger ../../prdgen/jgfs_pgrb2_f32 == complete + endtask + task jgfs_awips_f033 + edit FCSTHR '033' + trigger ../../prdgen/jgfs_pgrb2_f33 == complete + endtask + task jgfs_awips_f034 + edit FCSTHR '034' + trigger ../../prdgen/jgfs_pgrb2_f34 == complete + endtask + task jgfs_awips_f035 + edit FCSTHR '035' + trigger ../../prdgen/jgfs_pgrb2_f35 == complete + endtask + task jgfs_awips_f036 + edit FCSTHR '036' + trigger ../../prdgen/jgfs_pgrb2_f36 == complete + endtask + task jgfs_awips_f037 + edit FCSTHR '037' + trigger ../../prdgen/jgfs_pgrb2_f37 == complete + endtask + task jgfs_awips_f038 + edit FCSTHR '038' + trigger ../../prdgen/jgfs_pgrb2_f38 == complete + endtask + task jgfs_awips_f039 + edit FCSTHR '039' + trigger ../../prdgen/jgfs_pgrb2_f39 == complete + endtask + task jgfs_awips_f040 + edit FCSTHR '040' + trigger ../../prdgen/jgfs_pgrb2_f40 == complete + endtask + task jgfs_awips_f041 + edit FCSTHR '041' + trigger ../../prdgen/jgfs_pgrb2_f41 == complete + endtask + task jgfs_awips_f042 + edit FCSTHR '042' + trigger ../../prdgen/jgfs_pgrb2_f42 == complete + endtask + task jgfs_awips_f043 + edit FCSTHR '043' + trigger ../../prdgen/jgfs_pgrb2_f43 == complete + endtask + task jgfs_awips_f044 + edit FCSTHR '044' + trigger ../../prdgen/jgfs_pgrb2_f44 == complete + endtask + task jgfs_awips_f045 + edit FCSTHR '045' + trigger ../../prdgen/jgfs_pgrb2_f45 == complete + endtask + task jgfs_awips_f046 + edit FCSTHR '046' + trigger ../../prdgen/jgfs_pgrb2_f46 == complete + endtask + task jgfs_awips_f047 + edit FCSTHR '047' + trigger ../../prdgen/jgfs_pgrb2_f47 == complete + endtask + task jgfs_awips_f048 + edit FCSTHR '048' + trigger ../../prdgen/jgfs_pgrb2_f48 == complete + endtask + task jgfs_awips_f049 + edit FCSTHR '049' + trigger ../../prdgen/jgfs_pgrb2_f49 == complete + endtask + task jgfs_awips_f050 + edit FCSTHR '050' + trigger ../../prdgen/jgfs_pgrb2_f50 == complete + endtask + task jgfs_awips_f051 + edit FCSTHR '051' + trigger ../../prdgen/jgfs_pgrb2_f51 == complete + endtask + task jgfs_awips_f052 + edit FCSTHR '052' + trigger ../../prdgen/jgfs_pgrb2_f52 == complete + endtask + task jgfs_awips_f053 + edit FCSTHR '053' + trigger ../../prdgen/jgfs_pgrb2_f53 == complete + endtask + task jgfs_awips_f054 + edit FCSTHR '054' + trigger ../../prdgen/jgfs_pgrb2_f54 == complete + endtask + task jgfs_awips_f055 + edit FCSTHR '055' + trigger ../../prdgen/jgfs_pgrb2_f55 == complete + endtask + task jgfs_awips_f056 + edit FCSTHR '056' + trigger ../../prdgen/jgfs_pgrb2_f56 == complete + endtask + task jgfs_awips_f057 + edit FCSTHR '057' + trigger ../../prdgen/jgfs_pgrb2_f57 == complete + endtask + task jgfs_awips_f058 + edit FCSTHR '058' + trigger ../../prdgen/jgfs_pgrb2_f58 == complete + endtask + task jgfs_awips_f059 + edit FCSTHR '059' + trigger ../../prdgen/jgfs_pgrb2_f59 == complete + endtask + task jgfs_awips_f060 + edit FCSTHR '060' + trigger ../../prdgen/jgfs_pgrb2_f60 == complete + endtask + task jgfs_awips_f061 + edit FCSTHR '061' + trigger ../../prdgen/jgfs_pgrb2_f61 == complete + endtask + task jgfs_awips_f062 + edit FCSTHR '062' + trigger ../../prdgen/jgfs_pgrb2_f62 == complete + endtask + task jgfs_awips_f063 + edit FCSTHR '063' + trigger ../../prdgen/jgfs_pgrb2_f63 == complete + endtask + task jgfs_awips_f064 + edit FCSTHR '064' + trigger ../../prdgen/jgfs_pgrb2_f64 == complete + endtask + task jgfs_awips_f065 + edit FCSTHR '065' + trigger ../../prdgen/jgfs_pgrb2_f65 == complete + endtask + task jgfs_awips_f066 + edit FCSTHR '066' + trigger ../../prdgen/jgfs_pgrb2_f66 == complete + endtask + task jgfs_awips_f067 + edit FCSTHR '067' + trigger ../../prdgen/jgfs_pgrb2_f67 == complete + endtask + task jgfs_awips_f068 + edit FCSTHR '068' + trigger ../../prdgen/jgfs_pgrb2_f68 == complete + endtask + task jgfs_awips_f069 + edit FCSTHR '069' + trigger ../../prdgen/jgfs_pgrb2_f69 == complete + endtask + task jgfs_awips_f070 + edit FCSTHR '070' + trigger ../../prdgen/jgfs_pgrb2_f70 == complete + endtask + task jgfs_awips_f071 + edit FCSTHR '071' + trigger ../../prdgen/jgfs_pgrb2_f71 == complete + endtask + task jgfs_awips_f072 + edit FCSTHR '072' + trigger ../../prdgen/jgfs_pgrb2_f72 == complete + endtask + task jgfs_awips_f073 + edit FCSTHR '073' + trigger ../../prdgen/jgfs_pgrb2_f73 == complete + endtask + task jgfs_awips_f074 + edit FCSTHR '074' + trigger ../../prdgen/jgfs_pgrb2_f74 == complete + endtask + task jgfs_awips_f075 + edit FCSTHR '075' + trigger ../../prdgen/jgfs_pgrb2_f75 == complete + endtask + task jgfs_awips_f076 + edit FCSTHR '076' + trigger ../../prdgen/jgfs_pgrb2_f76 == complete + endtask + task jgfs_awips_f077 + edit FCSTHR '077' + trigger ../../prdgen/jgfs_pgrb2_f77 == complete + endtask + task jgfs_awips_f078 + edit FCSTHR '078' + trigger ../../prdgen/jgfs_pgrb2_f78 == complete + endtask + task jgfs_awips_f079 + edit FCSTHR '079' + trigger ../../prdgen/jgfs_pgrb2_f79 == complete + endtask + task jgfs_awips_f080 + edit FCSTHR '080' + trigger ../../prdgen/jgfs_pgrb2_f80 == complete + endtask + task jgfs_awips_f081 + edit FCSTHR '081' + trigger ../../prdgen/jgfs_pgrb2_f81 == complete + endtask + task jgfs_awips_f082 + edit FCSTHR '082' + trigger ../../prdgen/jgfs_pgrb2_f82 == complete + endtask + task jgfs_awips_f083 + edit FCSTHR '083' + trigger ../../prdgen/jgfs_pgrb2_f83 == complete + endtask + task jgfs_awips_f084 + edit FCSTHR '084' + trigger ../../prdgen/jgfs_pgrb2_f84 == complete + endtask + task jgfs_awips_f085 + edit FCSTHR '085' + trigger ../../prdgen/jgfs_pgrb2_f85 == complete + endtask + task jgfs_awips_f086 + edit FCSTHR '086' + trigger ../../prdgen/jgfs_pgrb2_f86 == complete + endtask + task jgfs_awips_f087 + edit FCSTHR '087' + trigger ../../prdgen/jgfs_pgrb2_f87 == complete + endtask + task jgfs_awips_f088 + edit FCSTHR '088' + trigger ../../prdgen/jgfs_pgrb2_f88 == complete + endtask + task jgfs_awips_f089 + edit FCSTHR '089' + trigger ../../prdgen/jgfs_pgrb2_f89 == complete + endtask + task jgfs_awips_f090 + edit FCSTHR '090' + trigger ../../prdgen/jgfs_pgrb2_f90 == complete + endtask + task jgfs_awips_f091 + edit FCSTHR '091' + trigger ../../prdgen/jgfs_pgrb2_f91 == complete + endtask + task jgfs_awips_f092 + edit FCSTHR '092' + trigger ../../prdgen/jgfs_pgrb2_f92 == complete + endtask + task jgfs_awips_f093 + edit FCSTHR '093' + trigger ../../prdgen/jgfs_pgrb2_f93 == complete + endtask + task jgfs_awips_f094 + edit FCSTHR '094' + trigger ../../prdgen/jgfs_pgrb2_f94 == complete + endtask + task jgfs_awips_f095 + edit FCSTHR '095' + trigger ../../prdgen/jgfs_pgrb2_f95 == complete + endtask + task jgfs_awips_f096 + edit FCSTHR '096' + trigger ../../prdgen/jgfs_pgrb2_f96 == complete + endtask + task jgfs_awips_f097 + edit FCSTHR '097' + trigger ../../prdgen/jgfs_pgrb2_f97 == complete + endtask + task jgfs_awips_f098 + edit FCSTHR '098' + trigger ../../prdgen/jgfs_pgrb2_f98 == complete + endtask + task jgfs_awips_f099 + edit FCSTHR '099' + trigger ../../prdgen/jgfs_pgrb2_f99 == complete + endtask + task jgfs_awips_f100 + edit FCSTHR '100' + trigger ../../prdgen/jgfs_pgrb2_f100 == complete + endtask + task jgfs_awips_f101 + edit FCSTHR '101' + trigger ../../prdgen/jgfs_pgrb2_f101 == complete + endtask + task jgfs_awips_f102 + edit FCSTHR '102' + trigger ../../prdgen/jgfs_pgrb2_f102 == complete + endtask + task jgfs_awips_f103 + edit FCSTHR '103' + trigger ../../prdgen/jgfs_pgrb2_f103 == complete + endtask + task jgfs_awips_f104 + edit FCSTHR '104' + trigger ../../prdgen/jgfs_pgrb2_f104 == complete + endtask + task jgfs_awips_f105 + edit FCSTHR '105' + trigger ../../prdgen/jgfs_pgrb2_f105 == complete + endtask + task jgfs_awips_f106 + edit FCSTHR '106' + trigger ../../prdgen/jgfs_pgrb2_f106 == complete + endtask + task jgfs_awips_f107 + edit FCSTHR '107' + trigger ../../prdgen/jgfs_pgrb2_f107 == complete + endtask + task jgfs_awips_f108 + edit FCSTHR '108' + trigger ../../prdgen/jgfs_pgrb2_f108 == complete + endtask + task jgfs_awips_f109 + edit FCSTHR '109' + trigger ../../prdgen/jgfs_pgrb2_f109 == complete + endtask + task jgfs_awips_f110 + edit FCSTHR '110' + trigger ../../prdgen/jgfs_pgrb2_f110 == complete + endtask + task jgfs_awips_f111 + edit FCSTHR '111' + trigger ../../prdgen/jgfs_pgrb2_f111 == complete + endtask + task jgfs_awips_f112 + edit FCSTHR '112' + trigger ../../prdgen/jgfs_pgrb2_f112 == complete + endtask + task jgfs_awips_f113 + edit FCSTHR '113' + trigger ../../prdgen/jgfs_pgrb2_f113 == complete + endtask + task jgfs_awips_f114 + edit FCSTHR '114' + trigger ../../prdgen/jgfs_pgrb2_f114 == complete + endtask + task jgfs_awips_f115 + edit FCSTHR '115' + trigger ../../prdgen/jgfs_pgrb2_f115 == complete + endtask + task jgfs_awips_f116 + edit FCSTHR '116' + trigger ../../prdgen/jgfs_pgrb2_f116 == complete + endtask + task jgfs_awips_f117 + edit FCSTHR '117' + trigger ../../prdgen/jgfs_pgrb2_f117 == complete + endtask + task jgfs_awips_f118 + edit FCSTHR '118' + trigger ../../prdgen/jgfs_pgrb2_f118 == complete + endtask + task jgfs_awips_f119 + edit FCSTHR '119' + trigger ../../prdgen/jgfs_pgrb2_f119 == complete + endtask + task jgfs_awips_f120 + edit FCSTHR '120' + trigger ../../prdgen/jgfs_pgrb2_f120 == complete + endtask + task jgfs_awips_f123 + edit FCSTHR '123' + trigger ../../prdgen/jgfs_pgrb2_f123 == complete + endtask + task jgfs_awips_f126 + edit FCSTHR '126' + trigger ../../prdgen/jgfs_pgrb2_f126 == complete + endtask + task jgfs_awips_f129 + edit FCSTHR '129' + trigger ../../prdgen/jgfs_pgrb2_f129 == complete + endtask + task jgfs_awips_f132 + edit FCSTHR '132' + trigger ../../prdgen/jgfs_pgrb2_f132 == complete + endtask + task jgfs_awips_f135 + edit FCSTHR '135' + trigger ../../prdgen/jgfs_pgrb2_f135 == complete + endtask + task jgfs_awips_f138 + edit FCSTHR '138' + trigger ../../prdgen/jgfs_pgrb2_f138 == complete + endtask + task jgfs_awips_f141 + edit FCSTHR '141' + trigger ../../prdgen/jgfs_pgrb2_f141 == complete + endtask + task jgfs_awips_f144 + edit FCSTHR '144' + trigger ../../prdgen/jgfs_pgrb2_f144 == complete + endtask + task jgfs_awips_f147 + edit FCSTHR '147' + trigger ../../prdgen/jgfs_pgrb2_f147 == complete + endtask + task jgfs_awips_f150 + edit FCSTHR '150' + trigger ../../prdgen/jgfs_pgrb2_f150 == complete + endtask + task jgfs_awips_f153 + edit FCSTHR '153' + trigger ../../prdgen/jgfs_pgrb2_f153 == complete + endtask + task jgfs_awips_f156 + edit FCSTHR '156' + trigger ../../prdgen/jgfs_pgrb2_f156 == complete + endtask + task jgfs_awips_f159 + edit FCSTHR '159' + trigger ../../prdgen/jgfs_pgrb2_f159 == complete + endtask + task jgfs_awips_f162 + edit FCSTHR '162' + trigger ../../prdgen/jgfs_pgrb2_f162 == complete + endtask + task jgfs_awips_f165 + edit FCSTHR '165' + trigger ../../prdgen/jgfs_pgrb2_f165 == complete + endtask + task jgfs_awips_f168 + edit FCSTHR '168' + trigger ../../prdgen/jgfs_pgrb2_f168 == complete + endtask + task jgfs_awips_f171 + edit FCSTHR '171' + trigger ../../prdgen/jgfs_pgrb2_f171 == complete + endtask + task jgfs_awips_f174 + edit FCSTHR '174' + trigger ../../prdgen/jgfs_pgrb2_f174 == complete + endtask + task jgfs_awips_f177 + edit FCSTHR '177' + trigger ../../prdgen/jgfs_pgrb2_f177 == complete + endtask + task jgfs_awips_f180 + edit FCSTHR '180' + trigger ../../prdgen/jgfs_pgrb2_f180 == complete + endtask + task jgfs_awips_f183 + edit FCSTHR '183' + trigger ../../prdgen/jgfs_pgrb2_f183 == complete + endtask + task jgfs_awips_f186 + edit FCSTHR '186' + trigger ../../prdgen/jgfs_pgrb2_f186 == complete + endtask + task jgfs_awips_f189 + edit FCSTHR '189' + trigger ../../prdgen/jgfs_pgrb2_f189 == complete + endtask + task jgfs_awips_f192 + edit FCSTHR '192' + trigger ../../prdgen/jgfs_pgrb2_f192 == complete + endtask + task jgfs_awips_f195 + edit FCSTHR '195' + trigger ../../prdgen/jgfs_pgrb2_f195 == complete + endtask + task jgfs_awips_f198 + edit FCSTHR '198' + trigger ../../prdgen/jgfs_pgrb2_f198 == complete + endtask + task jgfs_awips_f201 + edit FCSTHR '201' + trigger ../../prdgen/jgfs_pgrb2_f201 == complete + endtask + task jgfs_awips_f204 + edit FCSTHR '204' + trigger ../../prdgen/jgfs_pgrb2_f204 == complete + endtask + task jgfs_awips_f207 + edit FCSTHR '207' + trigger ../../prdgen/jgfs_pgrb2_f207 == complete + endtask + task jgfs_awips_f210 + edit FCSTHR '210' + trigger ../../prdgen/jgfs_pgrb2_f210 == complete + endtask + task jgfs_awips_f213 + edit FCSTHR '213' + trigger ../../prdgen/jgfs_pgrb2_f213 == complete + endtask + task jgfs_awips_f216 + edit FCSTHR '216' + trigger ../../prdgen/jgfs_pgrb2_f216 == complete + endtask + task jgfs_awips_f219 + edit FCSTHR '219' + trigger ../../prdgen/jgfs_pgrb2_f219 == complete + endtask + task jgfs_awips_f222 + edit FCSTHR '222' + trigger ../../prdgen/jgfs_pgrb2_f222 == complete + endtask + task jgfs_awips_f225 + edit FCSTHR '225' + trigger ../../prdgen/jgfs_pgrb2_f225 == complete + endtask + task jgfs_awips_f228 + edit FCSTHR '228' + trigger ../../prdgen/jgfs_pgrb2_f228 == complete + endtask + task jgfs_awips_f231 + edit FCSTHR '231' + trigger ../../prdgen/jgfs_pgrb2_f231 == complete + endtask + task jgfs_awips_f234 + edit FCSTHR '234' + trigger ../../prdgen/jgfs_pgrb2_f234 == complete + endtask + task jgfs_awips_f237 + edit FCSTHR '237' + trigger ../../prdgen/jgfs_pgrb2_f237 == complete + endtask task jgfs_awips_f240 + edit FCSTHR '240' trigger ../../prdgen/jgfs_pgrb2_f240 == complete endtask + task jgfs_awips_f252 + edit FCSTHR '252' + trigger ../../prdgen/jgfs_pgrb2_f252 == complete + endtask + task jgfs_awips_f264 + edit FCSTHR '264' + trigger ../../prdgen/jgfs_pgrb2_f264 == complete + endtask + task jgfs_awips_f276 + edit FCSTHR '276' + trigger ../../prdgen/jgfs_pgrb2_f276 == complete + endtask + task jgfs_awips_f288 + edit FCSTHR '288' + trigger ../../prdgen/jgfs_pgrb2_f288 == complete + endtask + task jgfs_awips_f300 + edit FCSTHR '300' + trigger ../../prdgen/jgfs_pgrb2_f300 == complete + endtask + task jgfs_awips_f312 + edit FCSTHR '312' + trigger ../../prdgen/jgfs_pgrb2_f312 == complete + endtask + task jgfs_awips_f324 + edit FCSTHR '324' + trigger ../../prdgen/jgfs_pgrb2_f324 == complete + endtask + task jgfs_awips_f336 + edit FCSTHR '336' + trigger ../../prdgen/jgfs_pgrb2_f336 == complete + endtask + task jgfs_awips_f348 + edit FCSTHR '348' + trigger ../../prdgen/jgfs_pgrb2_f348 == complete + endtask + task jgfs_awips_f360 + edit FCSTHR '360' + trigger ../../prdgen/jgfs_pgrb2_f360 == complete + endtask + task jgfs_awips_f372 + edit FCSTHR '372' + trigger ../../prdgen/jgfs_pgrb2_f372 == complete + endtask + task jgfs_awips_f384 + edit FCSTHR '384' + trigger ../../prdgen/jgfs_pgrb2_f384 == complete + endtask endfamily - family awips_1p0deg + family awips_20km + edit RES '20km' + edit RESC '20KM' + #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' task jgfs_awips_f000 + edit FCSTHR '000' trigger ../../prdgen/jgfs_pgrb2_f00 == complete endtask + task jgfs_awips_f001 + edit FCSTHR '001' + trigger ../../prdgen/jgfs_pgrb2_f01 == complete + endtask + task jgfs_awips_f002 + edit FCSTHR '002' + trigger ../../prdgen/jgfs_pgrb2_f02 == complete + endtask + task jgfs_awips_f003 + edit FCSTHR '003' + trigger ../../prdgen/jgfs_pgrb2_f03 == complete + endtask + task jgfs_awips_f004 + edit FCSTHR '004' + trigger ../../prdgen/jgfs_pgrb2_f04 == complete + endtask + task jgfs_awips_f005 + edit FCSTHR '005' + trigger ../../prdgen/jgfs_pgrb2_f05 == complete + endtask task jgfs_awips_f006 + edit FCSTHR '006' trigger ../../prdgen/jgfs_pgrb2_f06 == complete endtask + task jgfs_awips_f007 + edit FCSTHR '007' + trigger ../../prdgen/jgfs_pgrb2_f07 == complete + endtask + task jgfs_awips_f008 + edit FCSTHR '008' + trigger ../../prdgen/jgfs_pgrb2_f08 == complete + endtask + task jgfs_awips_f009 + edit FCSTHR '009' + trigger ../../prdgen/jgfs_pgrb2_f09 == complete + endtask + task jgfs_awips_f010 + edit FCSTHR '010' + trigger ../../prdgen/jgfs_pgrb2_f10 == complete + endtask + task jgfs_awips_f011 + edit FCSTHR '011' + trigger ../../prdgen/jgfs_pgrb2_f11 == complete + endtask + task jgfs_awips_f012 + edit FCSTHR '012' + trigger ../../prdgen/jgfs_pgrb2_f12 == complete + endtask + task jgfs_awips_f013 + edit FCSTHR '013' + trigger ../../prdgen/jgfs_pgrb2_f13 == complete + endtask + task jgfs_awips_f014 + edit FCSTHR '014' + trigger ../../prdgen/jgfs_pgrb2_f14 == complete + endtask + task jgfs_awips_f015 + edit FCSTHR '015' + trigger ../../prdgen/jgfs_pgrb2_f15 == complete + endtask + task jgfs_awips_f016 + edit FCSTHR '016' + trigger ../../prdgen/jgfs_pgrb2_f16 == complete + endtask + task jgfs_awips_f017 + edit FCSTHR '017' + trigger ../../prdgen/jgfs_pgrb2_f17 == complete + endtask + task jgfs_awips_f018 + edit FCSTHR '018' + trigger ../../prdgen/jgfs_pgrb2_f18 == complete + endtask + task jgfs_awips_f019 + edit FCSTHR '019' + trigger ../../prdgen/jgfs_pgrb2_f19 == complete + endtask + task jgfs_awips_f020 + edit FCSTHR '020' + trigger ../../prdgen/jgfs_pgrb2_f20 == complete + endtask + task jgfs_awips_f021 + edit FCSTHR '021' + trigger ../../prdgen/jgfs_pgrb2_f21 == complete + endtask + task jgfs_awips_f022 + edit FCSTHR '022' + trigger ../../prdgen/jgfs_pgrb2_f22 == complete + endtask + task jgfs_awips_f023 + edit FCSTHR '023' + trigger ../../prdgen/jgfs_pgrb2_f23 == complete + endtask + task jgfs_awips_f024 + edit FCSTHR '024' + trigger ../../prdgen/jgfs_pgrb2_f24 == complete + endtask + task jgfs_awips_f025 + edit FCSTHR '025' + trigger ../../prdgen/jgfs_pgrb2_f25 == complete + endtask + task jgfs_awips_f026 + edit FCSTHR '026' + trigger ../../prdgen/jgfs_pgrb2_f26 == complete + endtask + task jgfs_awips_f027 + edit FCSTHR '027' + trigger ../../prdgen/jgfs_pgrb2_f27 == complete + endtask + task jgfs_awips_f028 + edit FCSTHR '028' + trigger ../../prdgen/jgfs_pgrb2_f28 == complete + endtask + task jgfs_awips_f029 + edit FCSTHR '029' + trigger ../../prdgen/jgfs_pgrb2_f29 == complete + endtask + task jgfs_awips_f030 + edit FCSTHR '030' + trigger ../../prdgen/jgfs_pgrb2_f30 == complete + endtask + task jgfs_awips_f031 + edit FCSTHR '031' + trigger ../../prdgen/jgfs_pgrb2_f31 == complete + endtask + task jgfs_awips_f032 + edit FCSTHR '032' + trigger ../../prdgen/jgfs_pgrb2_f32 == complete + endtask + task jgfs_awips_f033 + edit FCSTHR '033' + trigger ../../prdgen/jgfs_pgrb2_f33 == complete + endtask + task jgfs_awips_f034 + edit FCSTHR '034' + trigger ../../prdgen/jgfs_pgrb2_f34 == complete + endtask + task jgfs_awips_f035 + edit FCSTHR '035' + trigger ../../prdgen/jgfs_pgrb2_f35 == complete + endtask + task jgfs_awips_f036 + edit FCSTHR '036' + trigger ../../prdgen/jgfs_pgrb2_f36 == complete + endtask + task jgfs_awips_f037 + edit FCSTHR '037' + trigger ../../prdgen/jgfs_pgrb2_f37 == complete + endtask + task jgfs_awips_f038 + edit FCSTHR '038' + trigger ../../prdgen/jgfs_pgrb2_f38 == complete + endtask + task jgfs_awips_f039 + edit FCSTHR '039' + trigger ../../prdgen/jgfs_pgrb2_f39 == complete + endtask + task jgfs_awips_f040 + edit FCSTHR '040' + trigger ../../prdgen/jgfs_pgrb2_f40 == complete + endtask + task jgfs_awips_f041 + edit FCSTHR '041' + trigger ../../prdgen/jgfs_pgrb2_f41 == complete + endtask + task jgfs_awips_f042 + edit FCSTHR '042' + trigger ../../prdgen/jgfs_pgrb2_f42 == complete + endtask + task jgfs_awips_f043 + edit FCSTHR '043' + trigger ../../prdgen/jgfs_pgrb2_f43 == complete + endtask + task jgfs_awips_f044 + edit FCSTHR '044' + trigger ../../prdgen/jgfs_pgrb2_f44 == complete + endtask + task jgfs_awips_f045 + edit FCSTHR '045' + trigger ../../prdgen/jgfs_pgrb2_f45 == complete + endtask + task jgfs_awips_f046 + edit FCSTHR '046' + trigger ../../prdgen/jgfs_pgrb2_f46 == complete + endtask + task jgfs_awips_f047 + edit FCSTHR '047' + trigger ../../prdgen/jgfs_pgrb2_f47 == complete + endtask + task jgfs_awips_f048 + edit FCSTHR '048' + trigger ../../prdgen/jgfs_pgrb2_f48 == complete + endtask + task jgfs_awips_f049 + edit FCSTHR '049' + trigger ../../prdgen/jgfs_pgrb2_f49 == complete + endtask + task jgfs_awips_f050 + edit FCSTHR '050' + trigger ../../prdgen/jgfs_pgrb2_f50 == complete + endtask + task jgfs_awips_f051 + edit FCSTHR '051' + trigger ../../prdgen/jgfs_pgrb2_f51 == complete + endtask + task jgfs_awips_f052 + edit FCSTHR '052' + trigger ../../prdgen/jgfs_pgrb2_f52 == complete + endtask + task jgfs_awips_f053 + edit FCSTHR '053' + trigger ../../prdgen/jgfs_pgrb2_f53 == complete + endtask + task jgfs_awips_f054 + edit FCSTHR '054' + trigger ../../prdgen/jgfs_pgrb2_f54 == complete + endtask + task jgfs_awips_f055 + edit FCSTHR '055' + trigger ../../prdgen/jgfs_pgrb2_f55 == complete + endtask + task jgfs_awips_f056 + edit FCSTHR '056' + trigger ../../prdgen/jgfs_pgrb2_f56 == complete + endtask + task jgfs_awips_f057 + edit FCSTHR '057' + trigger ../../prdgen/jgfs_pgrb2_f57 == complete + endtask + task jgfs_awips_f058 + edit FCSTHR '058' + trigger ../../prdgen/jgfs_pgrb2_f58 == complete + endtask + task jgfs_awips_f059 + edit FCSTHR '059' + trigger ../../prdgen/jgfs_pgrb2_f59 == complete + endtask + task jgfs_awips_f060 + edit FCSTHR '060' + trigger ../../prdgen/jgfs_pgrb2_f60 == complete + endtask + task jgfs_awips_f061 + edit FCSTHR '061' + trigger ../../prdgen/jgfs_pgrb2_f61 == complete + endtask + task jgfs_awips_f062 + edit FCSTHR '062' + trigger ../../prdgen/jgfs_pgrb2_f62 == complete + endtask + task jgfs_awips_f063 + edit FCSTHR '063' + trigger ../../prdgen/jgfs_pgrb2_f63 == complete + endtask + task jgfs_awips_f064 + edit FCSTHR '064' + trigger ../../prdgen/jgfs_pgrb2_f64 == complete + endtask + task jgfs_awips_f065 + edit FCSTHR '065' + trigger ../../prdgen/jgfs_pgrb2_f65 == complete + endtask + task jgfs_awips_f066 + edit FCSTHR '066' + trigger ../../prdgen/jgfs_pgrb2_f66 == complete + endtask + task jgfs_awips_f067 + edit FCSTHR '067' + trigger ../../prdgen/jgfs_pgrb2_f67 == complete + endtask + task jgfs_awips_f068 + edit FCSTHR '068' + trigger ../../prdgen/jgfs_pgrb2_f68 == complete + endtask + task jgfs_awips_f069 + edit FCSTHR '069' + trigger ../../prdgen/jgfs_pgrb2_f69 == complete + endtask + task jgfs_awips_f070 + edit FCSTHR '070' + trigger ../../prdgen/jgfs_pgrb2_f70 == complete + endtask + task jgfs_awips_f071 + edit FCSTHR '071' + trigger ../../prdgen/jgfs_pgrb2_f71 == complete + endtask + task jgfs_awips_f072 + edit FCSTHR '072' + trigger ../../prdgen/jgfs_pgrb2_f72 == complete + endtask + task jgfs_awips_f073 + edit FCSTHR '073' + trigger ../../prdgen/jgfs_pgrb2_f73 == complete + endtask + task jgfs_awips_f074 + edit FCSTHR '074' + trigger ../../prdgen/jgfs_pgrb2_f74 == complete + endtask + task jgfs_awips_f075 + edit FCSTHR '075' + trigger ../../prdgen/jgfs_pgrb2_f75 == complete + endtask + task jgfs_awips_f076 + edit FCSTHR '076' + trigger ../../prdgen/jgfs_pgrb2_f76 == complete + endtask + task jgfs_awips_f077 + edit FCSTHR '077' + trigger ../../prdgen/jgfs_pgrb2_f77 == complete + endtask + task jgfs_awips_f078 + edit FCSTHR '078' + trigger ../../prdgen/jgfs_pgrb2_f78 == complete + endtask + task jgfs_awips_f079 + edit FCSTHR '079' + trigger ../../prdgen/jgfs_pgrb2_f79 == complete + endtask + task jgfs_awips_f080 + edit FCSTHR '080' + trigger ../../prdgen/jgfs_pgrb2_f80 == complete + endtask + task jgfs_awips_f081 + edit FCSTHR '081' + trigger ../../prdgen/jgfs_pgrb2_f81 == complete + endtask + task jgfs_awips_f082 + edit FCSTHR '082' + trigger ../../prdgen/jgfs_pgrb2_f82 == complete + endtask + task jgfs_awips_f083 + edit FCSTHR '083' + trigger ../../prdgen/jgfs_pgrb2_f83 == complete + endtask + task jgfs_awips_f084 + edit FCSTHR '084' + trigger ../../prdgen/jgfs_pgrb2_f84 == complete + endtask + task jgfs_awips_f085 + edit FCSTHR '085' + trigger ../../prdgen/jgfs_pgrb2_f85 == complete + endtask + task jgfs_awips_f086 + edit FCSTHR '086' + trigger ../../prdgen/jgfs_pgrb2_f86 == complete + endtask + task jgfs_awips_f087 + edit FCSTHR '087' + trigger ../../prdgen/jgfs_pgrb2_f87 == complete + endtask + task jgfs_awips_f088 + edit FCSTHR '088' + trigger ../../prdgen/jgfs_pgrb2_f88 == complete + endtask + task jgfs_awips_f089 + edit FCSTHR '089' + trigger ../../prdgen/jgfs_pgrb2_f89 == complete + endtask + task jgfs_awips_f090 + edit FCSTHR '090' + trigger ../../prdgen/jgfs_pgrb2_f90 == complete + endtask + task jgfs_awips_f091 + edit FCSTHR '091' + trigger ../../prdgen/jgfs_pgrb2_f91 == complete + endtask + task jgfs_awips_f092 + edit FCSTHR '092' + trigger ../../prdgen/jgfs_pgrb2_f92 == complete + endtask + task jgfs_awips_f093 + edit FCSTHR '093' + trigger ../../prdgen/jgfs_pgrb2_f93 == complete + endtask + task jgfs_awips_f094 + edit FCSTHR '094' + trigger ../../prdgen/jgfs_pgrb2_f94 == complete + endtask + task jgfs_awips_f095 + edit FCSTHR '095' + trigger ../../prdgen/jgfs_pgrb2_f95 == complete + endtask + task jgfs_awips_f096 + edit FCSTHR '096' + trigger ../../prdgen/jgfs_pgrb2_f96 == complete + endtask + task jgfs_awips_f097 + edit FCSTHR '097' + trigger ../../prdgen/jgfs_pgrb2_f97 == complete + endtask + task jgfs_awips_f098 + edit FCSTHR '098' + trigger ../../prdgen/jgfs_pgrb2_f98 == complete + endtask + task jgfs_awips_f099 + edit FCSTHR '099' + trigger ../../prdgen/jgfs_pgrb2_f99 == complete + endtask + task jgfs_awips_f100 + edit FCSTHR '100' + trigger ../../prdgen/jgfs_pgrb2_f100 == complete + endtask + task jgfs_awips_f101 + edit FCSTHR '101' + trigger ../../prdgen/jgfs_pgrb2_f101 == complete + endtask + task jgfs_awips_f102 + edit FCSTHR '102' + trigger ../../prdgen/jgfs_pgrb2_f102 == complete + endtask + task jgfs_awips_f103 + edit FCSTHR '103' + trigger ../../prdgen/jgfs_pgrb2_f103 == complete + endtask + task jgfs_awips_f104 + edit FCSTHR '104' + trigger ../../prdgen/jgfs_pgrb2_f104 == complete + endtask + task jgfs_awips_f105 + edit FCSTHR '105' + trigger ../../prdgen/jgfs_pgrb2_f105 == complete + endtask + task jgfs_awips_f106 + edit FCSTHR '106' + trigger ../../prdgen/jgfs_pgrb2_f106 == complete + endtask + task jgfs_awips_f107 + edit FCSTHR '107' + trigger ../../prdgen/jgfs_pgrb2_f107 == complete + endtask + task jgfs_awips_f108 + edit FCSTHR '108' + trigger ../../prdgen/jgfs_pgrb2_f108 == complete + endtask + task jgfs_awips_f109 + edit FCSTHR '109' + trigger ../../prdgen/jgfs_pgrb2_f109 == complete + endtask + task jgfs_awips_f110 + edit FCSTHR '110' + trigger ../../prdgen/jgfs_pgrb2_f110 == complete + endtask + task jgfs_awips_f111 + edit FCSTHR '111' + trigger ../../prdgen/jgfs_pgrb2_f111 == complete + endtask + task jgfs_awips_f112 + edit FCSTHR '112' + trigger ../../prdgen/jgfs_pgrb2_f112 == complete + endtask + task jgfs_awips_f113 + edit FCSTHR '113' + trigger ../../prdgen/jgfs_pgrb2_f113 == complete + endtask + task jgfs_awips_f114 + edit FCSTHR '114' + trigger ../../prdgen/jgfs_pgrb2_f114 == complete + endtask + task jgfs_awips_f115 + edit FCSTHR '115' + trigger ../../prdgen/jgfs_pgrb2_f115 == complete + endtask + task jgfs_awips_f116 + edit FCSTHR '116' + trigger ../../prdgen/jgfs_pgrb2_f116 == complete + endtask + task jgfs_awips_f117 + edit FCSTHR '117' + trigger ../../prdgen/jgfs_pgrb2_f117 == complete + endtask + task jgfs_awips_f118 + edit FCSTHR '118' + trigger ../../prdgen/jgfs_pgrb2_f118 == complete + endtask + task jgfs_awips_f119 + edit FCSTHR '119' + trigger ../../prdgen/jgfs_pgrb2_f119 == complete + endtask + task jgfs_awips_f120 + edit FCSTHR '120' + trigger ../../prdgen/jgfs_pgrb2_f120 == complete + endtask + task jgfs_awips_f123 + edit FCSTHR '123' + trigger ../../prdgen/jgfs_pgrb2_f123 == complete + endtask + task jgfs_awips_f126 + edit FCSTHR '126' + trigger ../../prdgen/jgfs_pgrb2_f126 == complete + endtask + task jgfs_awips_f129 + edit FCSTHR '129' + trigger ../../prdgen/jgfs_pgrb2_f129 == complete + endtask + task jgfs_awips_f132 + edit FCSTHR '132' + trigger ../../prdgen/jgfs_pgrb2_f132 == complete + endtask + task jgfs_awips_f135 + edit FCSTHR '135' + trigger ../../prdgen/jgfs_pgrb2_f135 == complete + endtask + task jgfs_awips_f138 + edit FCSTHR '138' + trigger ../../prdgen/jgfs_pgrb2_f138 == complete + endtask + task jgfs_awips_f141 + edit FCSTHR '141' + trigger ../../prdgen/jgfs_pgrb2_f141 == complete + endtask + task jgfs_awips_f144 + edit FCSTHR '144' + trigger ../../prdgen/jgfs_pgrb2_f144 == complete + endtask + task jgfs_awips_f147 + edit FCSTHR '147' + trigger ../../prdgen/jgfs_pgrb2_f147 == complete + endtask + task jgfs_awips_f150 + edit FCSTHR '150' + trigger ../../prdgen/jgfs_pgrb2_f150 == complete + endtask + task jgfs_awips_f153 + edit FCSTHR '153' + trigger ../../prdgen/jgfs_pgrb2_f153 == complete + endtask + task jgfs_awips_f156 + edit FCSTHR '156' + trigger ../../prdgen/jgfs_pgrb2_f156 == complete + endtask + task jgfs_awips_f159 + edit FCSTHR '159' + trigger ../../prdgen/jgfs_pgrb2_f159 == complete + endtask + task jgfs_awips_f162 + edit FCSTHR '162' + trigger ../../prdgen/jgfs_pgrb2_f162 == complete + endtask + task jgfs_awips_f165 + edit FCSTHR '165' + trigger ../../prdgen/jgfs_pgrb2_f165 == complete + endtask + task jgfs_awips_f168 + edit FCSTHR '168' + trigger ../../prdgen/jgfs_pgrb2_f168 == complete + endtask + task jgfs_awips_f171 + edit FCSTHR '171' + trigger ../../prdgen/jgfs_pgrb2_f171 == complete + endtask + task jgfs_awips_f174 + edit FCSTHR '174' + trigger ../../prdgen/jgfs_pgrb2_f174 == complete + endtask + task jgfs_awips_f177 + edit FCSTHR '177' + trigger ../../prdgen/jgfs_pgrb2_f177 == complete + endtask + task jgfs_awips_f180 + edit FCSTHR '180' + trigger ../../prdgen/jgfs_pgrb2_f180 == complete + endtask + task jgfs_awips_f183 + edit FCSTHR '183' + trigger ../../prdgen/jgfs_pgrb2_f183 == complete + endtask + task jgfs_awips_f186 + edit FCSTHR '186' + trigger ../../prdgen/jgfs_pgrb2_f186 == complete + endtask + task jgfs_awips_f189 + edit FCSTHR '189' + trigger ../../prdgen/jgfs_pgrb2_f189 == complete + endtask + task jgfs_awips_f192 + edit FCSTHR '192' + trigger ../../prdgen/jgfs_pgrb2_f192 == complete + endtask + task jgfs_awips_f195 + edit FCSTHR '195' + trigger ../../prdgen/jgfs_pgrb2_f195 == complete + endtask + task jgfs_awips_f198 + edit FCSTHR '198' + trigger ../../prdgen/jgfs_pgrb2_f198 == complete + endtask + task jgfs_awips_f201 + edit FCSTHR '201' + trigger ../../prdgen/jgfs_pgrb2_f201 == complete + endtask + task jgfs_awips_f204 + edit FCSTHR '204' + trigger ../../prdgen/jgfs_pgrb2_f204 == complete + endtask + task jgfs_awips_f207 + edit FCSTHR '207' + trigger ../../prdgen/jgfs_pgrb2_f207 == complete + endtask + task jgfs_awips_f210 + edit FCSTHR '210' + trigger ../../prdgen/jgfs_pgrb2_f210 == complete + endtask + task jgfs_awips_f213 + edit FCSTHR '213' + trigger ../../prdgen/jgfs_pgrb2_f213 == complete + endtask + task jgfs_awips_f216 + edit FCSTHR '216' + trigger ../../prdgen/jgfs_pgrb2_f216 == complete + endtask + task jgfs_awips_f219 + edit FCSTHR '219' + trigger ../../prdgen/jgfs_pgrb2_f219 == complete + endtask + task jgfs_awips_f222 + edit FCSTHR '222' + trigger ../../prdgen/jgfs_pgrb2_f222 == complete + endtask + task jgfs_awips_f225 + edit FCSTHR '225' + trigger ../../prdgen/jgfs_pgrb2_f225 == complete + endtask + task jgfs_awips_f228 + edit FCSTHR '228' + trigger ../../prdgen/jgfs_pgrb2_f228 == complete + endtask + task jgfs_awips_f231 + edit FCSTHR '231' + trigger ../../prdgen/jgfs_pgrb2_f231 == complete + endtask + task jgfs_awips_f234 + edit FCSTHR '234' + trigger ../../prdgen/jgfs_pgrb2_f234 == complete + endtask + task jgfs_awips_f237 + edit FCSTHR '237' + trigger ../../prdgen/jgfs_pgrb2_f237 == complete + endtask task jgfs_awips_f240 + edit FCSTHR '240' trigger ../../prdgen/jgfs_pgrb2_f240 == complete endtask - endfamily - family awips_20km - task jgfs_awips_f000 - trigger ../../prdgen/jgfs_pgrb2_f00 == complete + task jgfs_awips_f252 + edit FCSTHR '252' + trigger ../../prdgen/jgfs_pgrb2_f252 == complete + endtask + task jgfs_awips_f264 + edit FCSTHR '264' + trigger ../../prdgen/jgfs_pgrb2_f264 == complete + endtask + task jgfs_awips_f276 + edit FCSTHR '276' + trigger ../../prdgen/jgfs_pgrb2_f276 == complete + endtask + task jgfs_awips_f288 + edit FCSTHR '288' + trigger ../../prdgen/jgfs_pgrb2_f288 == complete + endtask + task jgfs_awips_f300 + edit FCSTHR '300' + trigger ../../prdgen/jgfs_pgrb2_f300 == complete + endtask + task jgfs_awips_f312 + edit FCSTHR '312' + trigger ../../prdgen/jgfs_pgrb2_f312 == complete + endtask + task jgfs_awips_f324 + edit FCSTHR '324' + trigger ../../prdgen/jgfs_pgrb2_f324 == complete + endtask + task jgfs_awips_f336 + edit FCSTHR '336' + trigger ../../prdgen/jgfs_pgrb2_f336 == complete + endtask + task jgfs_awips_f348 + edit FCSTHR '348' + trigger ../../prdgen/jgfs_pgrb2_f348 == complete + endtask + task jgfs_awips_f360 + edit FCSTHR '360' + trigger ../../prdgen/jgfs_pgrb2_f360 == complete + endtask + task jgfs_awips_f372 + edit FCSTHR '372' + trigger ../../prdgen/jgfs_pgrb2_f372 == complete + endtask + task jgfs_awips_f384 + edit FCSTHR '384' + trigger ../../prdgen/jgfs_pgrb2_f384 == complete endtask endfamily endfamily family post task jgfs_post_anl + edit FHR 'anl' + edit HR 'anl' trigger ./jgfs_post_manager:release_postanl event 1 release_pgrb2_anl endtask + task jgfs_pgrb2_spec_post + trigger ./jgfs_post_f336 == complete and ./jgfs_post_f348 == complete and ./jgfs_post_f360 == complete and ./jgfs_post_f372 == complete and ./jgfs_post_f384 == complete + endtask task jgfs_post_manager trigger ../jgfs_analysis == complete and ../forecast == complete event 1 release_postanl @@ -382,531 +2483,878 @@ suite prod06 event 174 release_post384 endtask task jgfs_post_f00 + edit FHR: 'f00' + edit HR: '00' trigger ./jgfs_post_manager:release_post00 endtask task jgfs_post_f01 + edit FHR: 'f01' + edit HR: '01' trigger ./jgfs_post_manager:release_post01 endtask task jgfs_post_f02 + edit FHR: 'f02' + edit HR: '02' trigger ./jgfs_post_manager:release_post02 endtask task jgfs_post_f03 + edit FHR: 'f03' + edit HR: '03' trigger ./jgfs_post_manager:release_post03 endtask task jgfs_post_f04 + edit FHR: 'f04' + edit HR: '04' trigger ./jgfs_post_manager:release_post04 endtask task jgfs_post_f05 + edit FHR: 'f05' + edit HR: '05' trigger ./jgfs_post_manager:release_post05 endtask task jgfs_post_f06 + edit FHR: 'f06' + edit HR: '06' trigger ./jgfs_post_manager:release_post06 endtask task jgfs_post_f07 + edit FHR: 'f07' + edit HR: '07' trigger ./jgfs_post_manager:release_post07 endtask task jgfs_post_f08 + edit FHR: 'f08' + edit HR: '08' trigger ./jgfs_post_manager:release_post08 endtask task jgfs_post_f09 + edit FHR: 'f09' + edit HR: '09' trigger ./jgfs_post_manager:release_post09 endtask task jgfs_post_f10 + edit FHR: 'f10' + edit HR: '10' trigger ./jgfs_post_manager:release_post10 endtask task jgfs_post_f11 + edit FHR: 'f11' + edit HR: '11' trigger ./jgfs_post_manager:release_post11 endtask task jgfs_post_f12 + edit FHR: 'f12' + edit HR: '12' trigger ./jgfs_post_manager:release_post12 endtask task jgfs_post_f13 + edit FHR: 'f13' + edit HR: '13' trigger ./jgfs_post_manager:release_post13 endtask task jgfs_post_f14 + edit FHR: 'f14' + edit HR: '14' trigger ./jgfs_post_manager:release_post14 endtask task jgfs_post_f15 + edit FHR: 'f15' + edit HR: '15' trigger ./jgfs_post_manager:release_post15 endtask task jgfs_post_f16 + edit FHR: 'f16' + edit HR: '16' trigger ./jgfs_post_manager:release_post16 endtask task jgfs_post_f17 + edit FHR: 'f17' + edit HR: '17' trigger ./jgfs_post_manager:release_post17 endtask task jgfs_post_f18 + edit FHR: 'f18' + edit HR: '18' trigger ./jgfs_post_manager:release_post18 endtask task jgfs_post_f19 + edit FHR: 'f19' + edit HR: '19' trigger ./jgfs_post_manager:release_post19 endtask task jgfs_post_f20 + edit FHR: 'f20' + edit HR: '20' trigger ./jgfs_post_manager:release_post20 endtask task jgfs_post_f21 + edit FHR: 'f21' + edit HR: '21' trigger ./jgfs_post_manager:release_post21 endtask task jgfs_post_f22 + edit FHR: 'f22' + edit HR: '22' trigger ./jgfs_post_manager:release_post22 endtask task jgfs_post_f23 + edit FHR: 'f23' + edit HR: '23' trigger ./jgfs_post_manager:release_post23 endtask task jgfs_post_f24 + edit FHR: 'f24' + edit HR: '24' trigger ./jgfs_post_manager:release_post24 endtask task jgfs_post_f25 + edit FHR: 'f25' + edit HR: '25' trigger ./jgfs_post_manager:release_post25 endtask task jgfs_post_f26 + edit FHR: 'f26' + edit HR: '26' trigger ./jgfs_post_manager:release_post26 endtask task jgfs_post_f27 + edit FHR: 'f27' + edit HR: '27' trigger ./jgfs_post_manager:release_post27 endtask task jgfs_post_f28 + edit FHR: 'f28' + edit HR: '28' trigger ./jgfs_post_manager:release_post28 endtask task jgfs_post_f29 + edit FHR: 'f29' + edit HR: '29' trigger ./jgfs_post_manager:release_post29 endtask task jgfs_post_f30 + edit FHR: 'f30' + edit HR: '30' trigger ./jgfs_post_manager:release_post30 endtask task jgfs_post_f31 + edit FHR: 'f31' + edit HR: '31' trigger ./jgfs_post_manager:release_post31 endtask task jgfs_post_f32 + edit FHR: 'f32' + edit HR: '32' trigger ./jgfs_post_manager:release_post32 endtask task jgfs_post_f33 + edit FHR: 'f33' + edit HR: '33' trigger ./jgfs_post_manager:release_post33 endtask task jgfs_post_f34 + edit FHR: 'f34' + edit HR: '34' trigger ./jgfs_post_manager:release_post34 endtask task jgfs_post_f35 + edit FHR: 'f35' + edit HR: '35' trigger ./jgfs_post_manager:release_post35 endtask task jgfs_post_f36 + edit FHR: 'f36' + edit HR: '36' trigger ./jgfs_post_manager:release_post36 endtask task jgfs_post_f37 + edit FHR: 'f37' + edit HR: '37' trigger ./jgfs_post_manager:release_post37 endtask task jgfs_post_f38 + edit FHR: 'f38' + edit HR: '38' trigger ./jgfs_post_manager:release_post38 endtask task jgfs_post_f39 + edit FHR: 'f39' + edit HR: '39' trigger ./jgfs_post_manager:release_post39 endtask task jgfs_post_f40 + edit FHR: 'f40' + edit HR: '40' trigger ./jgfs_post_manager:release_post40 endtask task jgfs_post_f41 + edit FHR: 'f41' + edit HR: '41' trigger ./jgfs_post_manager:release_post41 endtask task jgfs_post_f42 + edit FHR: 'f42' + edit HR: '42' trigger ./jgfs_post_manager:release_post42 endtask task jgfs_post_f43 + edit FHR: 'f43' + edit HR: '43' trigger ./jgfs_post_manager:release_post43 endtask task jgfs_post_f44 + edit FHR: 'f44' + edit HR: '44' trigger ./jgfs_post_manager:release_post44 endtask task jgfs_post_f45 + edit FHR: 'f45' + edit HR: '45' trigger ./jgfs_post_manager:release_post45 endtask task jgfs_post_f46 + edit FHR: 'f46' + edit HR: '46' trigger ./jgfs_post_manager:release_post46 endtask task jgfs_post_f47 + edit FHR: 'f47' + edit HR: '47' trigger ./jgfs_post_manager:release_post47 endtask task jgfs_post_f48 + edit FHR: 'f48' + edit HR: '48' trigger ./jgfs_post_manager:release_post48 endtask task jgfs_post_f49 + edit FHR: 'f49' + edit HR: '49' trigger ./jgfs_post_manager:release_post49 endtask task jgfs_post_f50 + edit FHR: 'f50' + edit HR: '50' trigger ./jgfs_post_manager:release_post50 endtask task jgfs_post_f51 + edit FHR: 'f51' + edit HR: '51' trigger ./jgfs_post_manager:release_post51 endtask task jgfs_post_f52 + edit FHR: 'f52' + edit HR: '52' trigger ./jgfs_post_manager:release_post52 endtask task jgfs_post_f53 + edit FHR: 'f53' + edit HR: '53' trigger ./jgfs_post_manager:release_post53 endtask task jgfs_post_f54 + edit FHR: 'f54' + edit HR: '54' trigger ./jgfs_post_manager:release_post54 endtask task jgfs_post_f55 + edit FHR: 'f55' + edit HR: '55' trigger ./jgfs_post_manager:release_post55 endtask task jgfs_post_f56 + edit FHR: 'f56' + edit HR: '56' trigger ./jgfs_post_manager:release_post56 endtask task jgfs_post_f57 + edit FHR: 'f57' + edit HR: '57' trigger ./jgfs_post_manager:release_post57 endtask task jgfs_post_f58 + edit FHR: 'f58' + edit HR: '58' trigger ./jgfs_post_manager:release_post58 endtask task jgfs_post_f59 + edit FHR: 'f59' + edit HR: '59' trigger ./jgfs_post_manager:release_post59 endtask task jgfs_post_f60 + edit FHR: 'f60' + edit HR: '60' trigger ./jgfs_post_manager:release_post60 endtask task jgfs_post_f61 + edit FHR: 'f61' + edit HR: '61' trigger ./jgfs_post_manager:release_post61 endtask task jgfs_post_f62 + edit FHR: 'f62' + edit HR: '62' trigger ./jgfs_post_manager:release_post62 endtask task jgfs_post_f63 + edit FHR: 'f63' + edit HR: '63' trigger ./jgfs_post_manager:release_post63 endtask task jgfs_post_f64 + edit FHR: 'f64' + edit HR: '64' trigger ./jgfs_post_manager:release_post64 endtask task jgfs_post_f65 + edit FHR: 'f65' + edit HR: '65' trigger ./jgfs_post_manager:release_post65 endtask task jgfs_post_f66 + edit FHR: 'f66' + edit HR: '66' trigger ./jgfs_post_manager:release_post66 endtask task jgfs_post_f67 + edit FHR: 'f67' + edit HR: '67' trigger ./jgfs_post_manager:release_post67 endtask task jgfs_post_f68 + edit FHR: 'f68' + edit HR: '68' trigger ./jgfs_post_manager:release_post68 endtask task jgfs_post_f69 + edit FHR: 'f69' + edit HR: '69' trigger ./jgfs_post_manager:release_post69 endtask task jgfs_post_f70 + edit FHR: 'f70' + edit HR: '70' trigger ./jgfs_post_manager:release_post70 endtask task jgfs_post_f71 + edit FHR: 'f71' + edit HR: '71' trigger ./jgfs_post_manager:release_post71 endtask task jgfs_post_f72 + edit FHR: 'f72' + edit HR: '72' trigger ./jgfs_post_manager:release_post72 endtask task jgfs_post_f73 + edit FHR: 'f73' + edit HR: '73' trigger ./jgfs_post_manager:release_post73 endtask task jgfs_post_f74 + edit FHR: 'f74' + edit HR: '74' trigger ./jgfs_post_manager:release_post74 endtask task jgfs_post_f75 + edit FHR: 'f75' + edit HR: '75' trigger ./jgfs_post_manager:release_post75 endtask task jgfs_post_f76 + edit FHR: 'f76' + edit HR: '76' trigger ./jgfs_post_manager:release_post76 endtask task jgfs_post_f77 + edit FHR: 'f77' + edit HR: '77' trigger ./jgfs_post_manager:release_post77 endtask task jgfs_post_f78 + edit FHR: 'f78' + edit HR: '78' trigger ./jgfs_post_manager:release_post78 endtask task jgfs_post_f79 + edit FHR: 'f79' + edit HR: '79' trigger ./jgfs_post_manager:release_post79 endtask task jgfs_post_f80 + edit FHR: 'f80' + edit HR: '80' trigger ./jgfs_post_manager:release_post80 endtask task jgfs_post_f81 + edit FHR: 'f81' + edit HR: '81' trigger ./jgfs_post_manager:release_post81 endtask task jgfs_post_f82 + edit FHR: 'f82' + edit HR: '82' trigger ./jgfs_post_manager:release_post82 endtask task jgfs_post_f83 + edit FHR: 'f83' + edit HR: '83' trigger ./jgfs_post_manager:release_post83 endtask task jgfs_post_f84 + edit FHR: 'f84' + edit HR: '84' trigger ./jgfs_post_manager:release_post84 endtask task jgfs_post_f85 + edit FHR: 'f85' + edit HR: '85' trigger ./jgfs_post_manager:release_post85 endtask task jgfs_post_f86 + edit FHR: 'f86' + edit HR: '86' trigger ./jgfs_post_manager:release_post86 endtask task jgfs_post_f87 + edit FHR: 'f87' + edit HR: '87' trigger ./jgfs_post_manager:release_post87 endtask task jgfs_post_f88 + edit FHR: 'f88' + edit HR: '88' trigger ./jgfs_post_manager:release_post88 endtask task jgfs_post_f89 + edit FHR: 'f89' + edit HR: '89' trigger ./jgfs_post_manager:release_post89 endtask task jgfs_post_f90 + edit FHR: 'f90' + edit HR: '90' trigger ./jgfs_post_manager:release_post90 endtask task jgfs_post_f91 + edit FHR: 'f91' + edit HR: '91' trigger ./jgfs_post_manager:release_post91 endtask task jgfs_post_f92 + edit FHR: 'f92' + edit HR: '92' trigger ./jgfs_post_manager:release_post92 endtask task jgfs_post_f93 + edit FHR: 'f93' + edit HR: '93' trigger ./jgfs_post_manager:release_post93 endtask task jgfs_post_f94 + edit FHR: 'f94' + edit HR: '94' trigger ./jgfs_post_manager:release_post94 endtask task jgfs_post_f95 + edit FHR: 'f95' + edit HR: '95' trigger ./jgfs_post_manager:release_post95 endtask task jgfs_post_f96 + edit FHR: 'f96' + edit HR: '96' trigger ./jgfs_post_manager:release_post96 endtask task jgfs_post_f97 + edit FHR: 'f97' + edit HR: '97' trigger ./jgfs_post_manager:release_post97 endtask task jgfs_post_f98 + edit FHR: 'f98' + edit HR: '98' trigger ./jgfs_post_manager:release_post98 endtask task jgfs_post_f99 + edit FHR: 'f99' + edit HR: '99' trigger ./jgfs_post_manager:release_post99 endtask task jgfs_post_f100 + edit FHR: 'f100' + edit HR: '100' trigger ./jgfs_post_manager:release_post100 endtask task jgfs_post_f101 + edit FHR: 'f101' + edit HR: '101' trigger ./jgfs_post_manager:release_post101 endtask task jgfs_post_f102 + edit FHR: 'f102' + edit HR: '102' trigger ./jgfs_post_manager:release_post102 endtask task jgfs_post_f103 + edit FHR: 'f103' + edit HR: '103' trigger ./jgfs_post_manager:release_post103 endtask task jgfs_post_f104 + edit FHR: 'f104' + edit HR: '104' trigger ./jgfs_post_manager:release_post104 endtask task jgfs_post_f105 + edit FHR: 'f105' + edit HR: '105' trigger ./jgfs_post_manager:release_post105 endtask task jgfs_post_f106 + edit FHR: 'f106' + edit HR: '106' trigger ./jgfs_post_manager:release_post106 endtask task jgfs_post_f107 + edit FHR: 'f107' + edit HR: '107' trigger ./jgfs_post_manager:release_post107 endtask task jgfs_post_f108 + edit FHR: 'f108' + edit HR: '108' trigger ./jgfs_post_manager:release_post108 endtask task jgfs_post_f109 + edit FHR: 'f109' + edit HR: '109' trigger ./jgfs_post_manager:release_post109 endtask task jgfs_post_f110 + edit FHR: 'f110' + edit HR: '110' trigger ./jgfs_post_manager:release_post110 endtask task jgfs_post_f111 + edit FHR: 'f111' + edit HR: '111' trigger ./jgfs_post_manager:release_post111 endtask task jgfs_post_f112 + edit FHR: 'f112' + edit HR: '112' trigger ./jgfs_post_manager:release_post112 endtask task jgfs_post_f113 + edit FHR: 'f113' + edit HR: '113' trigger ./jgfs_post_manager:release_post113 endtask task jgfs_post_f114 + edit FHR: 'f114' + edit HR: '114' trigger ./jgfs_post_manager:release_post114 endtask task jgfs_post_f115 + edit FHR: 'f115' + edit HR: '115' trigger ./jgfs_post_manager:release_post115 endtask task jgfs_post_f116 + edit FHR: 'f116' + edit HR: '116' trigger ./jgfs_post_manager:release_post116 endtask task jgfs_post_f117 + edit FHR: 'f117' + edit HR: '117' trigger ./jgfs_post_manager:release_post117 endtask task jgfs_post_f118 + edit FHR: 'f118' + edit HR: '118' trigger ./jgfs_post_manager:release_post118 endtask task jgfs_post_f119 + edit FHR: 'f119' + edit HR: '119' trigger ./jgfs_post_manager:release_post119 endtask task jgfs_post_f120 + edit FHR: 'f120' + edit HR: '120' trigger ./jgfs_post_manager:release_post120 endtask task jgfs_post_f123 + edit FHR: 'f123' + edit HR: '123' trigger ./jgfs_post_manager:release_post123 endtask task jgfs_post_f126 + edit FHR: 'f126' + edit HR: '126' trigger ./jgfs_post_manager:release_post126 endtask task jgfs_post_f129 + edit FHR: 'f129' + edit HR: '129' trigger ./jgfs_post_manager:release_post129 endtask task jgfs_post_f132 + edit FHR: 'f132' + edit HR: '132' trigger ./jgfs_post_manager:release_post132 endtask task jgfs_post_f135 + edit FHR: 'f135' + edit HR: '135' trigger ./jgfs_post_manager:release_post135 endtask task jgfs_post_f138 + edit FHR: 'f138' + edit HR: '138' trigger ./jgfs_post_manager:release_post138 endtask task jgfs_post_f141 + edit FHR: 'f141' + edit HR: '141' trigger ./jgfs_post_manager:release_post141 endtask task jgfs_post_f144 + edit FHR: 'f144' + edit HR: '144' trigger ./jgfs_post_manager:release_post144 endtask task jgfs_post_f147 + edit FHR: 'f147' + edit HR: '147' trigger ./jgfs_post_manager:release_post147 endtask task jgfs_post_f150 + edit FHR: 'f150' + edit HR: '150' trigger ./jgfs_post_manager:release_post150 endtask task jgfs_post_f153 + edit FHR: 'f153' + edit HR: '153' trigger ./jgfs_post_manager:release_post153 endtask task jgfs_post_f156 + edit FHR: 'f156' + edit HR: '156' trigger ./jgfs_post_manager:release_post156 endtask task jgfs_post_f159 + edit FHR: 'f159' + edit HR: '159' trigger ./jgfs_post_manager:release_post159 endtask task jgfs_post_f162 + edit FHR: 'f162' + edit HR: '162' trigger ./jgfs_post_manager:release_post162 endtask task jgfs_post_f165 + edit FHR: 'f165' + edit HR: '165' trigger ./jgfs_post_manager:release_post165 endtask task jgfs_post_f168 + edit FHR: 'f168' + edit HR: '168' trigger ./jgfs_post_manager:release_post168 endtask task jgfs_post_f171 + edit FHR: 'f171' + edit HR: '171' trigger ./jgfs_post_manager:release_post171 endtask task jgfs_post_f174 + edit FHR: 'f174' + edit HR: '174' trigger ./jgfs_post_manager:release_post174 endtask task jgfs_post_f177 + edit FHR: 'f177' + edit HR: '177' trigger ./jgfs_post_manager:release_post177 endtask task jgfs_post_f180 + edit FHR: 'f180' + edit HR: '180' trigger ./jgfs_post_manager:release_post180 endtask task jgfs_post_f183 + edit FHR: 'f183' + edit HR: '183' trigger ./jgfs_post_manager:release_post183 endtask task jgfs_post_f186 + edit FHR: 'f186' + edit HR: '186' trigger ./jgfs_post_manager:release_post186 endtask task jgfs_post_f189 + edit FHR: 'f189' + edit HR: '189' trigger ./jgfs_post_manager:release_post189 endtask task jgfs_post_f192 + edit FHR: 'f192' + edit HR: '192' trigger ./jgfs_post_manager:release_post192 endtask task jgfs_post_f195 + edit FHR: 'f195' + edit HR: '195' trigger ./jgfs_post_manager:release_post195 endtask task jgfs_post_f198 + edit FHR: 'f198' + edit HR: '198' trigger ./jgfs_post_manager:release_post198 endtask task jgfs_post_f201 + edit FHR: 'f201' + edit HR: '201' trigger ./jgfs_post_manager:release_post201 endtask task jgfs_post_f204 + edit FHR: 'f204' + edit HR: '204' trigger ./jgfs_post_manager:release_post204 endtask task jgfs_post_f207 + edit FHR: 'f207' + edit HR: '207' trigger ./jgfs_post_manager:release_post207 endtask task jgfs_post_f210 + edit FHR: 'f210' + edit HR: '210' trigger ./jgfs_post_manager:release_post210 endtask task jgfs_post_f213 + edit FHR: 'f213' + edit HR: '213' trigger ./jgfs_post_manager:release_post213 endtask task jgfs_post_f216 + edit FHR: 'f216' + edit HR: '216' trigger ./jgfs_post_manager:release_post216 endtask task jgfs_post_f219 + edit FHR: 'f219' + edit HR: '219' trigger ./jgfs_post_manager:release_post219 endtask task jgfs_post_f222 + edit FHR: 'f222' + edit HR: '222' trigger ./jgfs_post_manager:release_post222 endtask task jgfs_post_f225 + edit FHR: 'f225' + edit HR: '225' trigger ./jgfs_post_manager:release_post225 endtask task jgfs_post_f228 + edit FHR: 'f228' + edit HR: '228' trigger ./jgfs_post_manager:release_post228 endtask task jgfs_post_f231 + edit FHR: 'f231' + edit HR: '231' trigger ./jgfs_post_manager:release_post231 endtask task jgfs_post_f234 + edit FHR: 'f234' + edit HR: '234' trigger ./jgfs_post_manager:release_post234 endtask task jgfs_post_f237 + edit FHR: 'f237' + edit HR: '237' trigger ./jgfs_post_manager:release_post237 endtask task jgfs_post_f240 + edit FHR: 'f240' + edit HR: '240' trigger ./jgfs_post_manager:release_post240 endtask task jgfs_post_f252 + edit FHR: 'f252' + edit HR: '252' trigger ./jgfs_post_manager:release_post252 endtask task jgfs_post_f264 + edit FHR: 'f264' + edit HR: '264' trigger ./jgfs_post_manager:release_post264 endtask task jgfs_post_f276 + edit FHR: 'f276' + edit HR: '276' trigger ./jgfs_post_manager:release_post276 endtask task jgfs_post_f288 + edit FHR: 'f288' + edit HR: '288' trigger ./jgfs_post_manager:release_post288 endtask task jgfs_post_f300 + edit FHR: 'f300' + edit HR: '300' trigger ./jgfs_post_manager:release_post300 endtask task jgfs_post_f312 + edit FHR: 'f312' + edit HR: '312' trigger ./jgfs_post_manager:release_post312 endtask task jgfs_post_f324 + edit FHR: 'f324' + edit HR: '324' trigger ./jgfs_post_manager:release_post324 endtask task jgfs_post_f336 + edit FHR: 'f336' + edit HR: '336' trigger ./jgfs_post_manager:release_post336 endtask task jgfs_post_f348 + edit FHR: 'f348' + edit HR: '348' trigger ./jgfs_post_manager:release_post348 endtask task jgfs_post_f360 + edit FHR: 'f360' + edit HR: '360' trigger ./jgfs_post_manager:release_post360 endtask task jgfs_post_f372 + edit FHR: 'f372' + edit HR: '372' trigger ./jgfs_post_manager:release_post372 endtask task jgfs_post_f384 + edit FHR: 'f384' + edit HR: '384' trigger ./jgfs_post_manager:release_post384 endtask endfamily family prdgen task jgfs_pgrb2_anl + edit FHR 'anl' + edit HR 'anl' endtask task jgfs_pgrb2_manager trigger ../post == complete - event 1 release_postanl event 2 release_post00 event 3 release_post01 event 4 release_post02 @@ -1082,350 +3530,696 @@ suite prod06 event 174 release_post384 endtask task jgfs_pgrb2_f00 + edit FHR '00' + edit HR '00' endtask task jgfs_pgrb2_f01 + edit FHR '01' + edit HR '01' endtask task jgfs_pgrb2_f02 + edit FHR '02' + edit HR '02' endtask task jgfs_pgrb2_f03 + edit FHR '03' + edit HR '03' endtask task jgfs_pgrb2_f04 + edit FHR '04' + edit HR '04' endtask task jgfs_pgrb2_f05 + edit FHR '05' + edit HR '05' endtask task jgfs_pgrb2_f06 + edit FHR '06' + edit HR '06' endtask task jgfs_pgrb2_f07 + edit FHR '07' + edit HR '07' endtask task jgfs_pgrb2_f08 + edit FHR '08' + edit HR '08' endtask task jgfs_pgrb2_f09 + edit FHR '09' + edit HR '09' endtask task jgfs_pgrb2_f10 + edit FHR '10' + edit HR '10' endtask task jgfs_pgrb2_f11 + edit FHR '11' + edit HR '11' endtask task jgfs_pgrb2_f12 + edit FHR '12' + edit HR '12' endtask task jgfs_pgrb2_f13 + edit FHR '13' + edit HR '13' endtask task jgfs_pgrb2_f14 + edit FHR '14' + edit HR '14' endtask task jgfs_pgrb2_f15 + edit FHR '15' + edit HR '15' endtask task jgfs_pgrb2_f16 + edit FHR '16' + edit HR '16' endtask task jgfs_pgrb2_f17 + edit FHR '17' + edit HR '17' endtask task jgfs_pgrb2_f18 + edit FHR '18' + edit HR '18' endtask task jgfs_pgrb2_f19 + edit FHR '19' + edit HR '19' endtask task jgfs_pgrb2_f20 + edit FHR '20' + edit HR '20' endtask task jgfs_pgrb2_f21 + edit FHR '21' + edit HR '21' endtask task jgfs_pgrb2_f22 + edit FHR '22' + edit HR '22' endtask task jgfs_pgrb2_f23 + edit FHR '23' + edit HR '23' endtask task jgfs_pgrb2_f24 + edit FHR '24' + edit HR '24' endtask task jgfs_pgrb2_f25 + edit FHR '25' + edit HR '25' endtask task jgfs_pgrb2_f26 + edit FHR '26' + edit HR '26' endtask task jgfs_pgrb2_f27 + edit FHR '27' + edit HR '27' endtask task jgfs_pgrb2_f28 + edit FHR '28' + edit HR '28' endtask task jgfs_pgrb2_f29 + edit FHR '29' + edit HR '29' endtask task jgfs_pgrb2_f30 + edit FHR '30' + edit HR '30' endtask task jgfs_pgrb2_f31 + edit FHR '31' + edit HR '31' endtask task jgfs_pgrb2_f32 + edit FHR '32' + edit HR '32' endtask task jgfs_pgrb2_f33 + edit FHR '33' + edit HR '33' endtask task jgfs_pgrb2_f34 + edit FHR '34' + edit HR '34' endtask task jgfs_pgrb2_f35 + edit FHR '35' + edit HR '35' endtask task jgfs_pgrb2_f36 + edit FHR '36' + edit HR '36' endtask task jgfs_pgrb2_f37 + edit FHR '37' + edit HR '37' endtask task jgfs_pgrb2_f38 + edit FHR '38' + edit HR '38' endtask task jgfs_pgrb2_f39 + edit FHR '39' + edit HR '39' endtask task jgfs_pgrb2_f40 + edit FHR '40' + edit HR '40' endtask task jgfs_pgrb2_f41 + edit FHR '41' + edit HR '41' endtask task jgfs_pgrb2_f42 + edit FHR '42' + edit HR '42' endtask task jgfs_pgrb2_f43 + edit FHR '43' + edit HR '43' endtask task jgfs_pgrb2_f44 + edit FHR '44' + edit HR '44' endtask task jgfs_pgrb2_f45 + edit FHR '45' + edit HR '45' endtask task jgfs_pgrb2_f46 + edit FHR '46' + edit HR '46' endtask task jgfs_pgrb2_f47 + edit FHR '47' + edit HR '47' endtask task jgfs_pgrb2_f48 + edit FHR '48' + edit HR '48' endtask task jgfs_pgrb2_f49 + edit FHR '49' + edit HR '49' endtask task jgfs_pgrb2_f50 + edit FHR '50' + edit HR '50' endtask task jgfs_pgrb2_f51 + edit FHR '51' + edit HR '51' endtask task jgfs_pgrb2_f52 + edit FHR '52' + edit HR '52' endtask task jgfs_pgrb2_f53 + edit FHR '53' + edit HR '53' endtask task jgfs_pgrb2_f54 + edit FHR '54' + edit HR '54' endtask task jgfs_pgrb2_f55 + edit FHR '55' + edit HR '55' endtask task jgfs_pgrb2_f56 + edit FHR '56' + edit HR '56' endtask task jgfs_pgrb2_f57 + edit FHR '57' + edit HR '57' endtask task jgfs_pgrb2_f58 + edit FHR '58' + edit HR '58' endtask task jgfs_pgrb2_f59 + edit FHR '59' + edit HR '59' endtask task jgfs_pgrb2_f60 + edit FHR '60' + edit HR '60' endtask task jgfs_pgrb2_f61 + edit FHR '61' + edit HR '61' endtask task jgfs_pgrb2_f62 + edit FHR '62' + edit HR '62' endtask task jgfs_pgrb2_f63 + edit FHR '63' + edit HR '63' endtask task jgfs_pgrb2_f64 + edit FHR '64' + edit HR '64' endtask task jgfs_pgrb2_f65 + edit FHR '65' + edit HR '65' endtask task jgfs_pgrb2_f66 + edit FHR '66' + edit HR '66' endtask task jgfs_pgrb2_f67 + edit FHR '67' + edit HR '67' endtask task jgfs_pgrb2_f68 + edit FHR '68' + edit HR '68' endtask task jgfs_pgrb2_f69 + edit FHR '69' + edit HR '69' endtask task jgfs_pgrb2_f70 + edit FHR '70' + edit HR '70' endtask task jgfs_pgrb2_f71 + edit FHR '71' + edit HR '71' endtask task jgfs_pgrb2_f72 + edit FHR '72' + edit HR '72' endtask task jgfs_pgrb2_f73 + edit FHR '73' + edit HR '73' endtask task jgfs_pgrb2_f74 + edit FHR '74' + edit HR '74' endtask task jgfs_pgrb2_f75 + edit FHR '75' + edit HR '75' endtask task jgfs_pgrb2_f76 + edit FHR '76' + edit HR '76' endtask task jgfs_pgrb2_f77 + edit FHR '77' + edit HR '77' endtask task jgfs_pgrb2_f78 + edit FHR '78' + edit HR '78' endtask task jgfs_pgrb2_f79 + edit FHR '79' + edit HR '79' endtask task jgfs_pgrb2_f80 + edit FHR '80' + edit HR '80' endtask task jgfs_pgrb2_f81 + edit FHR '81' + edit HR '81' endtask task jgfs_pgrb2_f82 + edit FHR '82' + edit HR '82' endtask task jgfs_pgrb2_f83 + edit FHR '83' + edit HR '83' endtask task jgfs_pgrb2_f84 + edit FHR '84' + edit HR '84' endtask task jgfs_pgrb2_f85 + edit FHR '85' + edit HR '85' endtask task jgfs_pgrb2_f86 + edit FHR '86' + edit HR '86' endtask task jgfs_pgrb2_f87 + edit FHR '87' + edit HR '87' endtask task jgfs_pgrb2_f88 + edit FHR '88' + edit HR '88' endtask task jgfs_pgrb2_f89 + edit FHR '89' + edit HR '89' endtask task jgfs_pgrb2_f90 + edit FHR '90' + edit HR '90' endtask task jgfs_pgrb2_f91 + edit FHR '91' + edit HR '91' endtask task jgfs_pgrb2_f92 + edit FHR '92' + edit HR '92' endtask task jgfs_pgrb2_f93 + edit FHR '93' + edit HR '93' endtask task jgfs_pgrb2_f94 + edit FHR '94' + edit HR '94' endtask task jgfs_pgrb2_f95 + edit FHR '95' + edit HR '95' endtask task jgfs_pgrb2_f96 + edit FHR '96' + edit HR '96' endtask task jgfs_pgrb2_f97 + edit FHR '97' + edit HR '97' endtask task jgfs_pgrb2_f98 + edit FHR '98' + edit HR '98' endtask task jgfs_pgrb2_f99 + edit FHR '99' + edit HR '99' endtask task jgfs_pgrb2_f100 + edit FHR '100' + edit HR '100' endtask task jgfs_pgrb2_f101 + edit FHR '101' + edit HR '101' endtask task jgfs_pgrb2_f102 + edit FHR '102' + edit HR '102' endtask task jgfs_pgrb2_f103 + edit FHR '103' + edit HR '103' endtask task jgfs_pgrb2_f104 + edit FHR '104' + edit HR '104' endtask task jgfs_pgrb2_f105 + edit FHR '105' + edit HR '105' endtask task jgfs_pgrb2_f106 + edit FHR '106' + edit HR '106' endtask task jgfs_pgrb2_f107 + edit FHR '107' + edit HR '107' endtask task jgfs_pgrb2_f108 + edit FHR '108' + edit HR '108' endtask task jgfs_pgrb2_f109 + edit FHR '109' + edit HR '109' endtask task jgfs_pgrb2_f110 + edit FHR '110' + edit HR '110' endtask task jgfs_pgrb2_f111 + edit FHR '111' + edit HR '111' endtask task jgfs_pgrb2_f112 + edit FHR '112' + edit HR '112' endtask task jgfs_pgrb2_f113 + edit FHR '113' + edit HR '113' endtask task jgfs_pgrb2_f114 + edit FHR '114' + edit HR '114' endtask task jgfs_pgrb2_f115 + edit FHR '115' + edit HR '115' endtask task jgfs_pgrb2_f116 + edit FHR '116' + edit HR '116' endtask task jgfs_pgrb2_f117 + edit FHR '117' + edit HR '117' endtask task jgfs_pgrb2_f118 + edit FHR '118' + edit HR '118' endtask task jgfs_pgrb2_f119 + edit FHR '119' + edit HR '119' endtask task jgfs_pgrb2_f120 + edit FHR '120' + edit HR '120' endtask task jgfs_pgrb2_f123 + edit FHR '123' + edit HR '123' endtask task jgfs_pgrb2_f126 + edit FHR '126' + edit HR '126' endtask task jgfs_pgrb2_f129 + edit FHR '129' + edit HR '129' endtask task jgfs_pgrb2_f132 + edit FHR '132' + edit HR '132' endtask task jgfs_pgrb2_f135 + edit FHR '135' + edit HR '135' endtask task jgfs_pgrb2_f138 + edit FHR '138' + edit HR '138' endtask task jgfs_pgrb2_f141 + edit FHR '141' + edit HR '141' endtask task jgfs_pgrb2_f144 + edit FHR '144' + edit HR '144' endtask task jgfs_pgrb2_f147 + edit FHR '147' + edit HR '147' endtask task jgfs_pgrb2_f150 + edit FHR '150' + edit HR '150' endtask task jgfs_pgrb2_f153 + edit FHR '153' + edit HR '153' endtask task jgfs_pgrb2_f156 + edit FHR '156' + edit HR '156' endtask task jgfs_pgrb2_f159 + edit FHR '159' + edit HR '159' endtask task jgfs_pgrb2_f162 + edit FHR '162' + edit HR '162' endtask task jgfs_pgrb2_f165 + edit FHR '165' + edit HR '165' endtask task jgfs_pgrb2_f168 + edit FHR '168' + edit HR '168' endtask task jgfs_pgrb2_f171 + edit FHR '171' + edit HR '171' endtask task jgfs_pgrb2_f174 + edit FHR '174' + edit HR '174' endtask task jgfs_pgrb2_f177 + edit FHR '177' + edit HR '177' endtask task jgfs_pgrb2_f180 + edit FHR '180' + edit HR '180' endtask task jgfs_pgrb2_f183 + edit FHR '183' + edit HR '183' endtask task jgfs_pgrb2_f186 + edit FHR '186' + edit HR '186' endtask task jgfs_pgrb2_f189 + edit FHR '189' + edit HR '189' endtask task jgfs_pgrb2_f192 + edit FHR '192' + edit HR '192' endtask task jgfs_pgrb2_f195 + edit FHR '195' + edit HR '195' endtask task jgfs_pgrb2_f198 + edit FHR '198' + edit HR '198' endtask task jgfs_pgrb2_f201 + edit FHR '201' + edit HR '201' endtask task jgfs_pgrb2_f204 + edit FHR '204' + edit HR '204' endtask task jgfs_pgrb2_f207 + edit FHR '207' + edit HR '207' endtask task jgfs_pgrb2_f210 + edit FHR '210' + edit HR '210' endtask task jgfs_pgrb2_f213 + edit FHR '213' + edit HR '213' endtask task jgfs_pgrb2_f216 + edit FHR '216' + edit HR '216' endtask task jgfs_pgrb2_f219 + edit FHR '219' + edit HR '219' endtask task jgfs_pgrb2_f222 + edit FHR '222' + edit HR '222' endtask task jgfs_pgrb2_f225 + edit FHR '225' + edit HR '225' endtask task jgfs_pgrb2_f228 + edit FHR '228' + edit HR '228' endtask task jgfs_pgrb2_f231 + edit FHR '231' + edit HR '231' endtask task jgfs_pgrb2_f234 + edit FHR '234' + edit HR '234' endtask task jgfs_pgrb2_f237 + edit FHR '237' + edit HR '237' endtask task jgfs_pgrb2_f240 + edit FHR '240' + edit HR '240' endtask task jgfs_pgrb2_f252 + edit FHR '252' + edit HR '252' endtask task jgfs_pgrb2_f264 + edit FHR '264' + edit HR '264' endtask task jgfs_pgrb2_f276 + edit FHR '276' + edit HR '276' endtask task jgfs_pgrb2_f288 + edit FHR '288' + edit HR '288' endtask task jgfs_pgrb2_f300 + edit FHR '300' + edit HR '300' endtask task jgfs_pgrb2_f312 + edit FHR '312' + edit HR '312' endtask task jgfs_pgrb2_f324 + edit FHR '324' + edit HR '324' endtask task jgfs_pgrb2_f336 + edit FHR '336' + edit HR '336' endtask task jgfs_pgrb2_f348 + edit FHR '348' + edit HR '348' endtask task jgfs_pgrb2_f360 + edit FHR '360' + edit HR '360' endtask task jgfs_pgrb2_f372 + edit FHR '372' + edit HR '372' endtask task jgfs_pgrb2_f384 + edit FHR '384' + edit HR '384' endtask endfamily family gempak @@ -1444,6 +4238,10 @@ suite prod06 endfamily endfamily family gdas + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + edit PROJ '%PROJENVIR%' + edit MODEL_NAME 'gdas' task jgdas_verfrad trigger ./enkf == complete endtask @@ -1529,24 +4327,9 @@ suite prod06 endtask task jgdas_enkf_innovate_obs_grp8 endtask - task jgdas_enkf_innovate_obs_grp9 - endtask - task jgdas_enkf_innovate_obs_grp10 - endtask - task jgdas_enkf_innovate_obs_grp11 - endtask - task jgdas_enkf_innovate_obs_grp12 - endtask - task jgdas_enkf_innovate_obs_grp13 - endtask - task jgdas_enkf_innovate_obs_grp14 - endtask - task jgdas_enkf_innovate_obs_grp15 - endtask - task jgdas_enkf_innovate_obs_grp16 - endtask endfamily task jgdas_enkf_update + edit ECF_PASS 'FREE' trigger ./innovate == complete endtask task jgdas_enkf_inflate_recenter @@ -1570,22 +4353,6 @@ suite prod06 endtask task jgdas_enkf_fcst_grp8 endtask - task jgdas_enkf_fcst_grp9 - endtask - task jgdas_enkf_fcst_grp10 - endtask - task jgdas_enkf_fcst_grp11 - endtask - task jgdas_enkf_fcst_grp12 - endtask - task jgdas_enkf_fcst_grp13 - endtask - task jgdas_enkf_fcst_grp14 - endtask - task jgdas_enkf_fcst_grp15 - endtask - task jgdas_enkf_fcst_grp16 - endtask endfamily task jgdas_enkf_post trigger ./forecast == complete @@ -1593,5 +4360,7 @@ suite prod06 endfamily endfamily task cycle_end + edit ECF_JOB_CMD '%ECF_JOB% 1> %ECF_JOBOUT% 2>&1' + edit ECF_PASS 'FREE' endtask endsuite diff --git a/model/ecflow_fv3gfs/defs/prod12.def b/model/ecflow_fv3gfs/defs/prod12.def index f4380ae..1af12dd 100644 --- a/model/ecflow_fv3gfs/defs/prod12.def +++ b/model/ecflow_fv3gfs/defs/prod12.def @@ -9,11 +9,14 @@ suite prod12 #edit ECF_HOME '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3' edit CYC '12' edit ENVIR 'prod' + edit PROJ 'GFS-T2O' edit E 'jecffv3' #edit QUEUE 'dev' edit QUEUE 'debug' edit PROJENVIR 'GFS-T2O' + #edit EMCPEN 'ecfgfsfv3' edit EMCPEN 'ecfgfsfv3' + #edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' edit COM '/gpfs/hps2/ptmp/emc.glopara/ecfgfsfv3/com' edit QUEUESERV 'dev_transfer' edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/ecfgfsfv3' @@ -22,6 +25,10 @@ suite prod12 edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' edit MODEL_NAME 'gfs' family gfs + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' + edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' + edit PROJ '%PROJENVIR%' + edit MODEL_NAME 'gfs' family dump task jgfs_tropcy_qc_reloc trigger ./jgfs_dump == complete @@ -70,83 +77,109 @@ suite prod12 endtask family fax task jgfs_fax_f00 + edit FCSTHR '00' trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_anl == complete endtask task jgfs_fax_anl + edit FCSTHR 'anl' trigger ../../prdgen/jgfs_pgrb2_anl == complete endtask task jgfs_fax_wafs_f12 + edit FCSTHR '12' trigger ../../prdgen/jgfs_pgrb2_f12 == complete endtask task jgfs_fax_wafs_f24 + edit FCSTHR '24' trigger ../../prdgen/jgfs_pgrb2_f24 == complete endtask task jgfs_fax_wafs_f36 + edit FCSTHR '36' trigger ../../prdgen/jgfs_pgrb2_f36 == complete endtask endfamily family grib_wafs task jgfs_wafs_f00 + edit FCSTHR '00' trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f120 == complete and ../grib2_wafs/jgfs_wafs_grib2 == complete endtask task jgfs_wafs_f06 + edit FCSTHR '06' trigger ../../prdgen/jgfs_pgrb2_f06 == complete and ./jgfs_wafs_f00 == complete endtask task jgfs_wafs_f12 + edit FCSTHR '12' trigger ../../prdgen/jgfs_pgrb2_f12 == complete and ./jgfs_wafs_f06 == complete endtask task jgfs_wafs_f18 + edit FCSTHR '18' trigger ../../prdgen/jgfs_pgrb2_f18 == complete and ./jgfs_wafs_f12 == complete endtask task jgfs_wafs_f24 + edit FCSTHR '24' trigger ../../prdgen/jgfs_pgrb2_f24 == complete and ./jgfs_wafs_f18 == complete endtask task jgfs_wafs_f30 + edit FCSTHR '30' trigger ../../prdgen/jgfs_pgrb2_f30 == complete and ./jgfs_wafs_f24 == complete endtask task jgfs_wafs_f36 + edit FCSTHR '36' trigger ../../prdgen/jgfs_pgrb2_f36 == complete and ./jgfs_wafs_f30 == complete endtask task jgfs_wafs_f42 + edit FCSTHR '42' trigger ../../prdgen/jgfs_pgrb2_f42 == complete and ./jgfs_wafs_f36 == complete endtask task jgfs_wafs_f48 + edit FCSTHR '48' trigger ../../prdgen/jgfs_pgrb2_f48 == complete and ./jgfs_wafs_f42 == complete endtask task jgfs_wafs_f54 + edit FCSTHR '54' trigger ../../prdgen/jgfs_pgrb2_f54 == complete and ./jgfs_wafs_f48 == complete endtask task jgfs_wafs_f60 + edit FCSTHR '60' trigger ../../prdgen/jgfs_pgrb2_f60 == complete and ./jgfs_wafs_f54 == complete endtask task jgfs_wafs_f66 + edit FCSTHR '66' trigger ../../prdgen/jgfs_pgrb2_f66 == complete and ./jgfs_wafs_f60 == complete endtask task jgfs_wafs_f72 + edit FCSTHR '72' trigger ../../prdgen/jgfs_pgrb2_f72 == complete and ./jgfs_wafs_f66 == complete endtask task jgfs_wafs_f78 + edit FCSTHR '78' trigger ../../prdgen/jgfs_pgrb2_f78 == complete and ./jgfs_wafs_f72 == complete endtask task jgfs_wafs_f84 + edit FCSTHR '84' trigger ../../prdgen/jgfs_pgrb2_f84 == complete and ./jgfs_wafs_f78 == complete endtask task jgfs_wafs_f90 + edit FCSTHR '90' trigger ../../prdgen/jgfs_pgrb2_f90 == complete and ./jgfs_wafs_f84 == complete endtask task jgfs_wafs_f96 + edit FCSTHR '96' trigger ../../prdgen/jgfs_pgrb2_f96 == complete and ./jgfs_wafs_f90 == complete endtask task jgfs_wafs_f102 + edit FCSTHR '102' trigger ../../prdgen/jgfs_pgrb2_f102 == complete and ./jgfs_wafs_f96 == complete endtask task jgfs_wafs_f108 + edit FCSTHR '108' trigger ../../prdgen/jgfs_pgrb2_f108 == complete and ./jgfs_wafs_f102 == complete endtask task jgfs_wafs_f114 + edit FCSTHR '114' trigger ../../prdgen/jgfs_pgrb2_f114 == complete and ./jgfs_wafs_f108 == complete endtask task jgfs_wafs_f120 + edit FCSTHR '120' trigger ../../prdgen/jgfs_pgrb2_f120 == complete and ./jgfs_wafs_f114 == complete endtask endfamily @@ -160,7 +193,7 @@ suite prod12 trigger ../../post/jgfs_post_f06 == complete and ../../post/jgfs_post_f12 == complete and ../../post/jgfs_post_f24 == complete endtask task jgfs_cyclone_tracker - trigger ../../post/jgfs_post_f00 == complete and ../../post/jgfs_post_f06 == complete and ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f06 == complete + trigger ../../post/jgfs_post_f00 == complete and ../../post/jgfs_post_f06 == complete and ../../post/jgfs_post_f12 == complete and ../../post/jgfs_post_f18 == complete and ../../post/jgfs_post_f24 == complete and ../../post/jgfs_post_f30 == complete and ../../post/jgfs_post_f36 == complete and ../../post/jgfs_post_f42 == complete and ../../post/jgfs_post_f48 == complete and ../../post/jgfs_post_f54 == complete and ../../post/jgfs_post_f60 == complete and ../../post/jgfs_post_f66 == complete and ../../post/jgfs_post_f72 == complete and ../../post/jgfs_post_f78 == complete and ../../post/jgfs_post_f84 == complete and ../../post/jgfs_post_f90 == complete and ../../post/jgfs_post_f96 == complete and ../../post/jgfs_post_f102 == complete and ../../post/jgfs_post_f108 == complete and ../../post/jgfs_post_f114 == complete and ../../post/jgfs_post_f120 == complete and ../../post/jgfs_post_f126 == complete and ../../post/jgfs_post_f132 == complete and ../../post/jgfs_post_f138 == complete and ../../post/jgfs_post_f144 == complete and ../../post/jgfs_post_f150 == complete and ../../post/jgfs_post_f156 == complete and ../../post/jgfs_post_f162 == complete and ../../post/jgfs_post_f168 == complete and ../../post/jgfs_post_f174 == complete and ../../post/jgfs_post_f180 == complete and ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f06 == complete and ../../prdgen/jgfs_pgrb2_f12 == complete and ../../prdgen/jgfs_pgrb2_f18 == complete and ../../prdgen/jgfs_pgrb2_f24 == complete and ../../prdgen/jgfs_pgrb2_f30 == complete and ../../prdgen/jgfs_pgrb2_f36 == complete and ../../prdgen/jgfs_pgrb2_f42 == complete and ../../prdgen/jgfs_pgrb2_f48 == complete and ../../prdgen/jgfs_pgrb2_f54 == complete and ../../prdgen/jgfs_pgrb2_f60 == complete and ../../prdgen/jgfs_pgrb2_f66 == complete and ../../prdgen/jgfs_pgrb2_f72 == complete and ../../prdgen/jgfs_pgrb2_f78 == complete and ../../prdgen/jgfs_pgrb2_f84 == complete and ../../prdgen/jgfs_pgrb2_f90 == complete and ../../prdgen/jgfs_pgrb2_f96 == complete and ../../prdgen/jgfs_pgrb2_f102 == complete and ../../prdgen/jgfs_pgrb2_f108 == complete and ../../prdgen/jgfs_pgrb2_f114 == complete and ../../prdgen/jgfs_pgrb2_f120 == complete and ../../prdgen/jgfs_pgrb2_f126 == complete and ../../prdgen/jgfs_pgrb2_f132 == complete and ../../prdgen/jgfs_pgrb2_f138 == complete and ../../prdgen/jgfs_pgrb2_f144 == complete and ../../prdgen/jgfs_pgrb2_f150 == complete and ../../prdgen/jgfs_pgrb2_f156 == complete and ../../prdgen/jgfs_pgrb2_f162 == complete and ../../prdgen/jgfs_pgrb2_f168 == complete and ../../prdgen/jgfs_pgrb2_f174 == complete and ../../prdgen/jgfs_pgrb2_f180 == complete endtask endfamily family grib2_wafs @@ -173,37 +206,2105 @@ suite prod12 endfamily family grib_awips task jgfs_awips_f00 + edit FCSTHR '00' trigger ../../prdgen/jgfs_pgrb2_f00 == complete endtask + task jgfs_awips_f01 + edit FCSTHR '01' + trigger ../../prdgen/jgfs_pgrb2_f01 == complete + endtask + task jgfs_awips_f02 + edit FCSTHR '02' + trigger ../../prdgen/jgfs_pgrb2_f02 == complete + endtask + task jgfs_awips_f03 + edit FCSTHR '03' + trigger ../../prdgen/jgfs_pgrb2_f03 == complete + endtask + task jgfs_awips_f04 + edit FCSTHR '04' + trigger ../../prdgen/jgfs_pgrb2_f04 == complete + endtask + task jgfs_awips_f05 + edit FCSTHR '05' + trigger ../../prdgen/jgfs_pgrb2_f05 == complete + endtask task jgfs_awips_f06 + edit FCSTHR '06' trigger ../../prdgen/jgfs_pgrb2_f06 == complete endtask + task jgfs_awips_f07 + edit FCSTHR '07' + trigger ../../prdgen/jgfs_pgrb2_f07 == complete + endtask + task jgfs_awips_f08 + edit FCSTHR '08' + trigger ../../prdgen/jgfs_pgrb2_f08 == complete + endtask + task jgfs_awips_f09 + edit FCSTHR '09' + trigger ../../prdgen/jgfs_pgrb2_f09 == complete + endtask + task jgfs_awips_f10 + edit FCSTHR '10' + trigger ../../prdgen/jgfs_pgrb2_f10 == complete + endtask + task jgfs_awips_f11 + edit FCSTHR '11' + trigger ../../prdgen/jgfs_pgrb2_f11 == complete + endtask + task jgfs_awips_f12 + edit FCSTHR '12' + trigger ../../prdgen/jgfs_pgrb2_f12 == complete + endtask + task jgfs_awips_f13 + edit FCSTHR '13' + trigger ../../prdgen/jgfs_pgrb2_f13 == complete + endtask + task jgfs_awips_f14 + edit FCSTHR '14' + trigger ../../prdgen/jgfs_pgrb2_f14 == complete + endtask + task jgfs_awips_f15 + edit FCSTHR '15' + trigger ../../prdgen/jgfs_pgrb2_f15 == complete + endtask + task jgfs_awips_f16 + edit FCSTHR '16' + trigger ../../prdgen/jgfs_pgrb2_f16 == complete + endtask + task jgfs_awips_f17 + edit FCSTHR '17' + trigger ../../prdgen/jgfs_pgrb2_f17 == complete + endtask + task jgfs_awips_f18 + edit FCSTHR '18' + trigger ../../prdgen/jgfs_pgrb2_f18 == complete + endtask + task jgfs_awips_f19 + edit FCSTHR '19' + trigger ../../prdgen/jgfs_pgrb2_f19 == complete + endtask + task jgfs_awips_f20 + edit FCSTHR '20' + trigger ../../prdgen/jgfs_pgrb2_f20 == complete + endtask + task jgfs_awips_f21 + edit FCSTHR '21' + trigger ../../prdgen/jgfs_pgrb2_f21 == complete + endtask + task jgfs_awips_f22 + edit FCSTHR '22' + trigger ../../prdgen/jgfs_pgrb2_f22 == complete + endtask + task jgfs_awips_f23 + edit FCSTHR '23' + trigger ../../prdgen/jgfs_pgrb2_f23 == complete + endtask + task jgfs_awips_f24 + edit FCSTHR '24' + trigger ../../prdgen/jgfs_pgrb2_f24 == complete + endtask + task jgfs_awips_f25 + edit FCSTHR '25' + trigger ../../prdgen/jgfs_pgrb2_f25 == complete + endtask + task jgfs_awips_f26 + edit FCSTHR '26' + trigger ../../prdgen/jgfs_pgrb2_f26 == complete + endtask + task jgfs_awips_f27 + edit FCSTHR '27' + trigger ../../prdgen/jgfs_pgrb2_f27 == complete + endtask + task jgfs_awips_f28 + edit FCSTHR '28' + trigger ../../prdgen/jgfs_pgrb2_f28 == complete + endtask + task jgfs_awips_f29 + edit FCSTHR '29' + trigger ../../prdgen/jgfs_pgrb2_f29 == complete + endtask + task jgfs_awips_f30 + edit FCSTHR '30' + trigger ../../prdgen/jgfs_pgrb2_f30 == complete + endtask + task jgfs_awips_f31 + edit FCSTHR '31' + trigger ../../prdgen/jgfs_pgrb2_f31 == complete + endtask + task jgfs_awips_f32 + edit FCSTHR '32' + trigger ../../prdgen/jgfs_pgrb2_f32 == complete + endtask + task jgfs_awips_f33 + edit FCSTHR '33' + trigger ../../prdgen/jgfs_pgrb2_f33 == complete + endtask + task jgfs_awips_f34 + edit FCSTHR '34' + trigger ../../prdgen/jgfs_pgrb2_f34 == complete + endtask + task jgfs_awips_f35 + edit FCSTHR '35' + trigger ../../prdgen/jgfs_pgrb2_f35 == complete + endtask + task jgfs_awips_f36 + edit FCSTHR '36' + trigger ../../prdgen/jgfs_pgrb2_f36 == complete + endtask + task jgfs_awips_f37 + edit FCSTHR '37' + trigger ../../prdgen/jgfs_pgrb2_f37 == complete + endtask + task jgfs_awips_f38 + edit FCSTHR '38' + trigger ../../prdgen/jgfs_pgrb2_f38 == complete + endtask + task jgfs_awips_f39 + edit FCSTHR '39' + trigger ../../prdgen/jgfs_pgrb2_f39 == complete + endtask + task jgfs_awips_f40 + edit FCSTHR '40' + trigger ../../prdgen/jgfs_pgrb2_f40 == complete + endtask + task jgfs_awips_f41 + edit FCSTHR '41' + trigger ../../prdgen/jgfs_pgrb2_f41 == complete + endtask + task jgfs_awips_f42 + edit FCSTHR '42' + trigger ../../prdgen/jgfs_pgrb2_f42 == complete + endtask + task jgfs_awips_f43 + edit FCSTHR '43' + trigger ../../prdgen/jgfs_pgrb2_f43 == complete + endtask + task jgfs_awips_f44 + edit FCSTHR '44' + trigger ../../prdgen/jgfs_pgrb2_f44 == complete + endtask + task jgfs_awips_f45 + edit FCSTHR '45' + trigger ../../prdgen/jgfs_pgrb2_f45 == complete + endtask + task jgfs_awips_f46 + edit FCSTHR '46' + trigger ../../prdgen/jgfs_pgrb2_f46 == complete + endtask + task jgfs_awips_f47 + edit FCSTHR '47' + trigger ../../prdgen/jgfs_pgrb2_f47 == complete + endtask + task jgfs_awips_f48 + edit FCSTHR '48' + trigger ../../prdgen/jgfs_pgrb2_f48 == complete + endtask + task jgfs_awips_f49 + edit FCSTHR '49' + trigger ../../prdgen/jgfs_pgrb2_f49 == complete + endtask + task jgfs_awips_f50 + edit FCSTHR '50' + trigger ../../prdgen/jgfs_pgrb2_f50 == complete + endtask + task jgfs_awips_f51 + edit FCSTHR '51' + trigger ../../prdgen/jgfs_pgrb2_f51 == complete + endtask + task jgfs_awips_f52 + edit FCSTHR '52' + trigger ../../prdgen/jgfs_pgrb2_f52 == complete + endtask + task jgfs_awips_f53 + edit FCSTHR '53' + trigger ../../prdgen/jgfs_pgrb2_f53 == complete + endtask + task jgfs_awips_f54 + edit FCSTHR '54' + trigger ../../prdgen/jgfs_pgrb2_f54 == complete + endtask + task jgfs_awips_f55 + edit FCSTHR '55' + trigger ../../prdgen/jgfs_pgrb2_f55 == complete + endtask + task jgfs_awips_f56 + edit FCSTHR '56' + trigger ../../prdgen/jgfs_pgrb2_f56 == complete + endtask + task jgfs_awips_f57 + edit FCSTHR '57' + trigger ../../prdgen/jgfs_pgrb2_f57 == complete + endtask + task jgfs_awips_f58 + edit FCSTHR '58' + trigger ../../prdgen/jgfs_pgrb2_f58 == complete + endtask + task jgfs_awips_f59 + edit FCSTHR '59' + trigger ../../prdgen/jgfs_pgrb2_f59 == complete + endtask + task jgfs_awips_f60 + edit FCSTHR '60' + trigger ../../prdgen/jgfs_pgrb2_f60 == complete + endtask + task jgfs_awips_f61 + edit FCSTHR '61' + trigger ../../prdgen/jgfs_pgrb2_f61 == complete + endtask + task jgfs_awips_f62 + edit FCSTHR '62' + trigger ../../prdgen/jgfs_pgrb2_f62 == complete + endtask + task jgfs_awips_f63 + edit FCSTHR '63' + trigger ../../prdgen/jgfs_pgrb2_f63 == complete + endtask + task jgfs_awips_f64 + edit FCSTHR '64' + trigger ../../prdgen/jgfs_pgrb2_f64 == complete + endtask + task jgfs_awips_f65 + edit FCSTHR '65' + trigger ../../prdgen/jgfs_pgrb2_f65 == complete + endtask + task jgfs_awips_f66 + edit FCSTHR '66' + trigger ../../prdgen/jgfs_pgrb2_f66 == complete + endtask + task jgfs_awips_f67 + edit FCSTHR '67' + trigger ../../prdgen/jgfs_pgrb2_f67 == complete + endtask + task jgfs_awips_f68 + edit FCSTHR '68' + trigger ../../prdgen/jgfs_pgrb2_f68 == complete + endtask + task jgfs_awips_f69 + edit FCSTHR '69' + trigger ../../prdgen/jgfs_pgrb2_f69 == complete + endtask + task jgfs_awips_f70 + edit FCSTHR '70' + trigger ../../prdgen/jgfs_pgrb2_f70 == complete + endtask + task jgfs_awips_f71 + edit FCSTHR '71' + trigger ../../prdgen/jgfs_pgrb2_f71 == complete + endtask + task jgfs_awips_f72 + edit FCSTHR '72' + trigger ../../prdgen/jgfs_pgrb2_f72 == complete + endtask + task jgfs_awips_f73 + edit FCSTHR '73' + trigger ../../prdgen/jgfs_pgrb2_f73 == complete + endtask + task jgfs_awips_f74 + edit FCSTHR '74' + trigger ../../prdgen/jgfs_pgrb2_f74 == complete + endtask + task jgfs_awips_f75 + edit FCSTHR '75' + trigger ../../prdgen/jgfs_pgrb2_f75 == complete + endtask + task jgfs_awips_f76 + edit FCSTHR '76' + trigger ../../prdgen/jgfs_pgrb2_f76 == complete + endtask + task jgfs_awips_f77 + edit FCSTHR '77' + trigger ../../prdgen/jgfs_pgrb2_f77 == complete + endtask + task jgfs_awips_f78 + edit FCSTHR '78' + trigger ../../prdgen/jgfs_pgrb2_f78 == complete + endtask + task jgfs_awips_f79 + edit FCSTHR '79' + trigger ../../prdgen/jgfs_pgrb2_f79 == complete + endtask + task jgfs_awips_f80 + edit FCSTHR '80' + trigger ../../prdgen/jgfs_pgrb2_f80 == complete + endtask + task jgfs_awips_f81 + edit FCSTHR '81' + trigger ../../prdgen/jgfs_pgrb2_f81 == complete + endtask + task jgfs_awips_f82 + edit FCSTHR '82' + trigger ../../prdgen/jgfs_pgrb2_f82 == complete + endtask + task jgfs_awips_f83 + edit FCSTHR '83' + trigger ../../prdgen/jgfs_pgrb2_f83 == complete + endtask + task jgfs_awips_f84 + edit FCSTHR '84' + trigger ../../prdgen/jgfs_pgrb2_f84 == complete + endtask + task jgfs_awips_f85 + edit FCSTHR '85' + trigger ../../prdgen/jgfs_pgrb2_f85 == complete + endtask + task jgfs_awips_f86 + edit FCSTHR '86' + trigger ../../prdgen/jgfs_pgrb2_f86 == complete + endtask + task jgfs_awips_f87 + edit FCSTHR '87' + trigger ../../prdgen/jgfs_pgrb2_f87 == complete + endtask + task jgfs_awips_f88 + edit FCSTHR '88' + trigger ../../prdgen/jgfs_pgrb2_f88 == complete + endtask + task jgfs_awips_f89 + edit FCSTHR '89' + trigger ../../prdgen/jgfs_pgrb2_f89 == complete + endtask + task jgfs_awips_f90 + edit FCSTHR '90' + trigger ../../prdgen/jgfs_pgrb2_f90 == complete + endtask + task jgfs_awips_f91 + edit FCSTHR '91' + trigger ../../prdgen/jgfs_pgrb2_f91 == complete + endtask + task jgfs_awips_f92 + edit FCSTHR '92' + trigger ../../prdgen/jgfs_pgrb2_f92 == complete + endtask + task jgfs_awips_f93 + edit FCSTHR '93' + trigger ../../prdgen/jgfs_pgrb2_f93 == complete + endtask + task jgfs_awips_f94 + edit FCSTHR '94' + trigger ../../prdgen/jgfs_pgrb2_f94 == complete + endtask + task jgfs_awips_f95 + edit FCSTHR '95' + trigger ../../prdgen/jgfs_pgrb2_f95 == complete + endtask + task jgfs_awips_f96 + edit FCSTHR '96' + trigger ../../prdgen/jgfs_pgrb2_f96 == complete + endtask + task jgfs_awips_f97 + edit FCSTHR '97' + trigger ../../prdgen/jgfs_pgrb2_f97 == complete + endtask + task jgfs_awips_f98 + edit FCSTHR '98' + trigger ../../prdgen/jgfs_pgrb2_f98 == complete + endtask + task jgfs_awips_f99 + edit FCSTHR '99' + trigger ../../prdgen/jgfs_pgrb2_f99 == complete + endtask + task jgfs_awips_f100 + edit FCSTHR '100' + trigger ../../prdgen/jgfs_pgrb2_f100 == complete + endtask + task jgfs_awips_f101 + edit FCSTHR '101' + trigger ../../prdgen/jgfs_pgrb2_f101 == complete + endtask + task jgfs_awips_f102 + edit FCSTHR '102' + trigger ../../prdgen/jgfs_pgrb2_f102 == complete + endtask + task jgfs_awips_f103 + edit FCSTHR '103' + trigger ../../prdgen/jgfs_pgrb2_f103 == complete + endtask + task jgfs_awips_f104 + edit FCSTHR '104' + trigger ../../prdgen/jgfs_pgrb2_f104 == complete + endtask + task jgfs_awips_f105 + edit FCSTHR '105' + trigger ../../prdgen/jgfs_pgrb2_f105 == complete + endtask + task jgfs_awips_f106 + edit FCSTHR '106' + trigger ../../prdgen/jgfs_pgrb2_f106 == complete + endtask + task jgfs_awips_f107 + edit FCSTHR '107' + trigger ../../prdgen/jgfs_pgrb2_f107 == complete + endtask + task jgfs_awips_f108 + edit FCSTHR '108' + trigger ../../prdgen/jgfs_pgrb2_f108 == complete + endtask + task jgfs_awips_f109 + edit FCSTHR '109' + trigger ../../prdgen/jgfs_pgrb2_f109 == complete + endtask + task jgfs_awips_f110 + edit FCSTHR '110' + trigger ../../prdgen/jgfs_pgrb2_f110 == complete + endtask + task jgfs_awips_f111 + edit FCSTHR '111' + trigger ../../prdgen/jgfs_pgrb2_f111 == complete + endtask + task jgfs_awips_f112 + edit FCSTHR '112' + trigger ../../prdgen/jgfs_pgrb2_f112 == complete + endtask + task jgfs_awips_f113 + edit FCSTHR '113' + trigger ../../prdgen/jgfs_pgrb2_f113 == complete + endtask + task jgfs_awips_f114 + edit FCSTHR '114' + trigger ../../prdgen/jgfs_pgrb2_f114 == complete + endtask + task jgfs_awips_f115 + edit FCSTHR '115' + trigger ../../prdgen/jgfs_pgrb2_f115 == complete + endtask + task jgfs_awips_f116 + edit FCSTHR '116' + trigger ../../prdgen/jgfs_pgrb2_f116 == complete + endtask + task jgfs_awips_f117 + edit FCSTHR '117' + trigger ../../prdgen/jgfs_pgrb2_f117 == complete + endtask + task jgfs_awips_f118 + edit FCSTHR '118' + trigger ../../prdgen/jgfs_pgrb2_f118 == complete + endtask + task jgfs_awips_f119 + edit FCSTHR '119' + trigger ../../prdgen/jgfs_pgrb2_f119 == complete + endtask + task jgfs_awips_f120 + edit FCSTHR '120' + trigger ../../prdgen/jgfs_pgrb2_f120 == complete + endtask + task jgfs_awips_f123 + edit FCSTHR '123' + trigger ../../prdgen/jgfs_pgrb2_f123 == complete + endtask + task jgfs_awips_f126 + edit FCSTHR '126' + trigger ../../prdgen/jgfs_pgrb2_f126 == complete + endtask + task jgfs_awips_f129 + edit FCSTHR '129' + trigger ../../prdgen/jgfs_pgrb2_f129 == complete + endtask + task jgfs_awips_f132 + edit FCSTHR '132' + trigger ../../prdgen/jgfs_pgrb2_f132 == complete + endtask + task jgfs_awips_f135 + edit FCSTHR '135' + trigger ../../prdgen/jgfs_pgrb2_f135 == complete + endtask + task jgfs_awips_f138 + edit FCSTHR '138' + trigger ../../prdgen/jgfs_pgrb2_f138 == complete + endtask + task jgfs_awips_f141 + edit FCSTHR '141' + trigger ../../prdgen/jgfs_pgrb2_f141 == complete + endtask + task jgfs_awips_f144 + edit FCSTHR '144' + trigger ../../prdgen/jgfs_pgrb2_f144 == complete + endtask + task jgfs_awips_f147 + edit FCSTHR '147' + trigger ../../prdgen/jgfs_pgrb2_f147 == complete + endtask + task jgfs_awips_f150 + edit FCSTHR '150' + trigger ../../prdgen/jgfs_pgrb2_f150 == complete + endtask + task jgfs_awips_f153 + edit FCSTHR '153' + trigger ../../prdgen/jgfs_pgrb2_f153 == complete + endtask + task jgfs_awips_f156 + edit FCSTHR '156' + trigger ../../prdgen/jgfs_pgrb2_f156 == complete + endtask + task jgfs_awips_f159 + edit FCSTHR '159' + trigger ../../prdgen/jgfs_pgrb2_f159 == complete + endtask + task jgfs_awips_f162 + edit FCSTHR '162' + trigger ../../prdgen/jgfs_pgrb2_f162 == complete + endtask + task jgfs_awips_f165 + edit FCSTHR '165' + trigger ../../prdgen/jgfs_pgrb2_f165 == complete + endtask + task jgfs_awips_f168 + edit FCSTHR '168' + trigger ../../prdgen/jgfs_pgrb2_f168 == complete + endtask + task jgfs_awips_f171 + edit FCSTHR '171' + trigger ../../prdgen/jgfs_pgrb2_f171 == complete + endtask + task jgfs_awips_f174 + edit FCSTHR '174' + trigger ../../prdgen/jgfs_pgrb2_f174 == complete + endtask + task jgfs_awips_f177 + edit FCSTHR '177' + trigger ../../prdgen/jgfs_pgrb2_f177 == complete + endtask + task jgfs_awips_f180 + edit FCSTHR '180' + trigger ../../prdgen/jgfs_pgrb2_f180 == complete + endtask + task jgfs_awips_f183 + edit FCSTHR '183' + trigger ../../prdgen/jgfs_pgrb2_f183 == complete + endtask + task jgfs_awips_f186 + edit FCSTHR '186' + trigger ../../prdgen/jgfs_pgrb2_f186 == complete + endtask + task jgfs_awips_f189 + edit FCSTHR '189' + trigger ../../prdgen/jgfs_pgrb2_f189 == complete + endtask + task jgfs_awips_f192 + edit FCSTHR '192' + trigger ../../prdgen/jgfs_pgrb2_f192 == complete + endtask + task jgfs_awips_f195 + edit FCSTHR '195' + trigger ../../prdgen/jgfs_pgrb2_f195 == complete + endtask + task jgfs_awips_f198 + edit FCSTHR '198' + trigger ../../prdgen/jgfs_pgrb2_f198 == complete + endtask + task jgfs_awips_f201 + edit FCSTHR '201' + trigger ../../prdgen/jgfs_pgrb2_f201 == complete + endtask + task jgfs_awips_f204 + edit FCSTHR '204' + trigger ../../prdgen/jgfs_pgrb2_f204 == complete + endtask + task jgfs_awips_f207 + edit FCSTHR '207' + trigger ../../prdgen/jgfs_pgrb2_f207 == complete + endtask + task jgfs_awips_f210 + edit FCSTHR '210' + trigger ../../prdgen/jgfs_pgrb2_f210 == complete + endtask + task jgfs_awips_f213 + edit FCSTHR '213' + trigger ../../prdgen/jgfs_pgrb2_f213 == complete + endtask + task jgfs_awips_f216 + edit FCSTHR '216' + trigger ../../prdgen/jgfs_pgrb2_f216 == complete + endtask + task jgfs_awips_f219 + edit FCSTHR '219' + trigger ../../prdgen/jgfs_pgrb2_f219 == complete + endtask + task jgfs_awips_f222 + edit FCSTHR '222' + trigger ../../prdgen/jgfs_pgrb2_f222 == complete + endtask + task jgfs_awips_f225 + edit FCSTHR '225' + trigger ../../prdgen/jgfs_pgrb2_f225 == complete + endtask + task jgfs_awips_f228 + edit FCSTHR '228' + trigger ../../prdgen/jgfs_pgrb2_f228 == complete + endtask + task jgfs_awips_f231 + edit FCSTHR '231' + trigger ../../prdgen/jgfs_pgrb2_f231 == complete + endtask + task jgfs_awips_f234 + edit FCSTHR '234' + trigger ../../prdgen/jgfs_pgrb2_f234 == complete + endtask + task jgfs_awips_f237 + edit FCSTHR '237' + trigger ../../prdgen/jgfs_pgrb2_f237 == complete + endtask + task jgfs_awips_f240 + edit FCSTHR '240' + trigger ../../prdgen/jgfs_pgrb2_f240 == complete + endtask + task jgfs_awips_f252 + edit FCSTHR '252' + trigger ../../prdgen/jgfs_pgrb2_f252 == complete + endtask + task jgfs_awips_f264 + edit FCSTHR '264' + trigger ../../prdgen/jgfs_pgrb2_f264 == complete + endtask + task jgfs_awips_f276 + edit FCSTHR '276' + trigger ../../prdgen/jgfs_pgrb2_f276 == complete + endtask + task jgfs_awips_f288 + edit FCSTHR '288' + trigger ../../prdgen/jgfs_pgrb2_f288 == complete + endtask + task jgfs_awips_f300 + edit FCSTHR '300' + trigger ../../prdgen/jgfs_pgrb2_f300 == complete + endtask + task jgfs_awips_f312 + edit FCSTHR '312' + trigger ../../prdgen/jgfs_pgrb2_f312 == complete + endtask + task jgfs_awips_f324 + edit FCSTHR '324' + trigger ../../prdgen/jgfs_pgrb2_f324 == complete + endtask + task jgfs_awips_f336 + edit FCSTHR '336' + trigger ../../prdgen/jgfs_pgrb2_f336 == complete + endtask + task jgfs_awips_f348 + edit FCSTHR '348' + trigger ../../prdgen/jgfs_pgrb2_f348 == complete + endtask + task jgfs_awips_f360 + edit FCSTHR '360' + trigger ../../prdgen/jgfs_pgrb2_f360 == complete + endtask + task jgfs_awips_f372 + edit FCSTHR '372' + trigger ../../prdgen/jgfs_pgrb2_f372 == complete + endtask + task jgfs_awips_f384 + edit FCSTHR '384' + trigger ../../prdgen/jgfs_pgrb2_f384 == complete + endtask + endfamily + family awips_1p0deg + edit RES '1p0deg' + edit RESC '1P0DEG' + #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + task jgfs_awips_f000 + edit FCSTHR '000' + trigger ../../prdgen/jgfs_pgrb2_f00 == complete + endtask + task jgfs_awips_f001 + edit FCSTHR '001' + trigger ../../prdgen/jgfs_pgrb2_f01 == complete + endtask + task jgfs_awips_f002 + edit FCSTHR '002' + trigger ../../prdgen/jgfs_pgrb2_f02 == complete + endtask + task jgfs_awips_f003 + edit FCSTHR '003' + trigger ../../prdgen/jgfs_pgrb2_f03 == complete + endtask + task jgfs_awips_f004 + edit FCSTHR '004' + trigger ../../prdgen/jgfs_pgrb2_f04 == complete + endtask + task jgfs_awips_f005 + edit FCSTHR '005' + trigger ../../prdgen/jgfs_pgrb2_f05 == complete + endtask + task jgfs_awips_f006 + edit FCSTHR '006' + trigger ../../prdgen/jgfs_pgrb2_f06 == complete + endtask + task jgfs_awips_f007 + edit FCSTHR '007' + trigger ../../prdgen/jgfs_pgrb2_f07 == complete + endtask + task jgfs_awips_f008 + edit FCSTHR '008' + trigger ../../prdgen/jgfs_pgrb2_f08 == complete + endtask + task jgfs_awips_f009 + edit FCSTHR '009' + trigger ../../prdgen/jgfs_pgrb2_f09 == complete + endtask + task jgfs_awips_f010 + edit FCSTHR '010' + trigger ../../prdgen/jgfs_pgrb2_f10 == complete + endtask + task jgfs_awips_f011 + edit FCSTHR '011' + trigger ../../prdgen/jgfs_pgrb2_f11 == complete + endtask + task jgfs_awips_f012 + edit FCSTHR '012' + trigger ../../prdgen/jgfs_pgrb2_f12 == complete + endtask + task jgfs_awips_f013 + edit FCSTHR '013' + trigger ../../prdgen/jgfs_pgrb2_f13 == complete + endtask + task jgfs_awips_f014 + edit FCSTHR '014' + trigger ../../prdgen/jgfs_pgrb2_f14 == complete + endtask + task jgfs_awips_f015 + edit FCSTHR '015' + trigger ../../prdgen/jgfs_pgrb2_f15 == complete + endtask + task jgfs_awips_f016 + edit FCSTHR '016' + trigger ../../prdgen/jgfs_pgrb2_f16 == complete + endtask + task jgfs_awips_f017 + edit FCSTHR '017' + trigger ../../prdgen/jgfs_pgrb2_f17 == complete + endtask + task jgfs_awips_f018 + edit FCSTHR '018' + trigger ../../prdgen/jgfs_pgrb2_f18 == complete + endtask + task jgfs_awips_f019 + edit FCSTHR '019' + trigger ../../prdgen/jgfs_pgrb2_f19 == complete + endtask + task jgfs_awips_f020 + edit FCSTHR '020' + trigger ../../prdgen/jgfs_pgrb2_f20 == complete + endtask + task jgfs_awips_f021 + edit FCSTHR '021' + trigger ../../prdgen/jgfs_pgrb2_f21 == complete + endtask + task jgfs_awips_f022 + edit FCSTHR '022' + trigger ../../prdgen/jgfs_pgrb2_f22 == complete + endtask + task jgfs_awips_f023 + edit FCSTHR '023' + trigger ../../prdgen/jgfs_pgrb2_f23 == complete + endtask + task jgfs_awips_f024 + edit FCSTHR '024' + trigger ../../prdgen/jgfs_pgrb2_f24 == complete + endtask + task jgfs_awips_f025 + edit FCSTHR '025' + trigger ../../prdgen/jgfs_pgrb2_f25 == complete + endtask + task jgfs_awips_f026 + edit FCSTHR '026' + trigger ../../prdgen/jgfs_pgrb2_f26 == complete + endtask + task jgfs_awips_f027 + edit FCSTHR '027' + trigger ../../prdgen/jgfs_pgrb2_f27 == complete + endtask + task jgfs_awips_f028 + edit FCSTHR '028' + trigger ../../prdgen/jgfs_pgrb2_f28 == complete + endtask + task jgfs_awips_f029 + edit FCSTHR '029' + trigger ../../prdgen/jgfs_pgrb2_f29 == complete + endtask + task jgfs_awips_f030 + edit FCSTHR '030' + trigger ../../prdgen/jgfs_pgrb2_f30 == complete + endtask + task jgfs_awips_f031 + edit FCSTHR '031' + trigger ../../prdgen/jgfs_pgrb2_f31 == complete + endtask + task jgfs_awips_f032 + edit FCSTHR '032' + trigger ../../prdgen/jgfs_pgrb2_f32 == complete + endtask + task jgfs_awips_f033 + edit FCSTHR '033' + trigger ../../prdgen/jgfs_pgrb2_f33 == complete + endtask + task jgfs_awips_f034 + edit FCSTHR '034' + trigger ../../prdgen/jgfs_pgrb2_f34 == complete + endtask + task jgfs_awips_f035 + edit FCSTHR '035' + trigger ../../prdgen/jgfs_pgrb2_f35 == complete + endtask + task jgfs_awips_f036 + edit FCSTHR '036' + trigger ../../prdgen/jgfs_pgrb2_f36 == complete + endtask + task jgfs_awips_f037 + edit FCSTHR '037' + trigger ../../prdgen/jgfs_pgrb2_f37 == complete + endtask + task jgfs_awips_f038 + edit FCSTHR '038' + trigger ../../prdgen/jgfs_pgrb2_f38 == complete + endtask + task jgfs_awips_f039 + edit FCSTHR '039' + trigger ../../prdgen/jgfs_pgrb2_f39 == complete + endtask + task jgfs_awips_f040 + edit FCSTHR '040' + trigger ../../prdgen/jgfs_pgrb2_f40 == complete + endtask + task jgfs_awips_f041 + edit FCSTHR '041' + trigger ../../prdgen/jgfs_pgrb2_f41 == complete + endtask + task jgfs_awips_f042 + edit FCSTHR '042' + trigger ../../prdgen/jgfs_pgrb2_f42 == complete + endtask + task jgfs_awips_f043 + edit FCSTHR '043' + trigger ../../prdgen/jgfs_pgrb2_f43 == complete + endtask + task jgfs_awips_f044 + edit FCSTHR '044' + trigger ../../prdgen/jgfs_pgrb2_f44 == complete + endtask + task jgfs_awips_f045 + edit FCSTHR '045' + trigger ../../prdgen/jgfs_pgrb2_f45 == complete + endtask + task jgfs_awips_f046 + edit FCSTHR '046' + trigger ../../prdgen/jgfs_pgrb2_f46 == complete + endtask + task jgfs_awips_f047 + edit FCSTHR '047' + trigger ../../prdgen/jgfs_pgrb2_f47 == complete + endtask + task jgfs_awips_f048 + edit FCSTHR '048' + trigger ../../prdgen/jgfs_pgrb2_f48 == complete + endtask + task jgfs_awips_f049 + edit FCSTHR '049' + trigger ../../prdgen/jgfs_pgrb2_f49 == complete + endtask + task jgfs_awips_f050 + edit FCSTHR '050' + trigger ../../prdgen/jgfs_pgrb2_f50 == complete + endtask + task jgfs_awips_f051 + edit FCSTHR '051' + trigger ../../prdgen/jgfs_pgrb2_f51 == complete + endtask + task jgfs_awips_f052 + edit FCSTHR '052' + trigger ../../prdgen/jgfs_pgrb2_f52 == complete + endtask + task jgfs_awips_f053 + edit FCSTHR '053' + trigger ../../prdgen/jgfs_pgrb2_f53 == complete + endtask + task jgfs_awips_f054 + edit FCSTHR '054' + trigger ../../prdgen/jgfs_pgrb2_f54 == complete + endtask + task jgfs_awips_f055 + edit FCSTHR '055' + trigger ../../prdgen/jgfs_pgrb2_f55 == complete + endtask + task jgfs_awips_f056 + edit FCSTHR '056' + trigger ../../prdgen/jgfs_pgrb2_f56 == complete + endtask + task jgfs_awips_f057 + edit FCSTHR '057' + trigger ../../prdgen/jgfs_pgrb2_f57 == complete + endtask + task jgfs_awips_f058 + edit FCSTHR '058' + trigger ../../prdgen/jgfs_pgrb2_f58 == complete + endtask + task jgfs_awips_f059 + edit FCSTHR '059' + trigger ../../prdgen/jgfs_pgrb2_f59 == complete + endtask + task jgfs_awips_f060 + edit FCSTHR '060' + trigger ../../prdgen/jgfs_pgrb2_f60 == complete + endtask + task jgfs_awips_f061 + edit FCSTHR '061' + trigger ../../prdgen/jgfs_pgrb2_f61 == complete + endtask + task jgfs_awips_f062 + edit FCSTHR '062' + trigger ../../prdgen/jgfs_pgrb2_f62 == complete + endtask + task jgfs_awips_f063 + edit FCSTHR '063' + trigger ../../prdgen/jgfs_pgrb2_f63 == complete + endtask + task jgfs_awips_f064 + edit FCSTHR '064' + trigger ../../prdgen/jgfs_pgrb2_f64 == complete + endtask + task jgfs_awips_f065 + edit FCSTHR '065' + trigger ../../prdgen/jgfs_pgrb2_f65 == complete + endtask + task jgfs_awips_f066 + edit FCSTHR '066' + trigger ../../prdgen/jgfs_pgrb2_f66 == complete + endtask + task jgfs_awips_f067 + edit FCSTHR '067' + trigger ../../prdgen/jgfs_pgrb2_f67 == complete + endtask + task jgfs_awips_f068 + edit FCSTHR '068' + trigger ../../prdgen/jgfs_pgrb2_f68 == complete + endtask + task jgfs_awips_f069 + edit FCSTHR '069' + trigger ../../prdgen/jgfs_pgrb2_f69 == complete + endtask + task jgfs_awips_f070 + edit FCSTHR '070' + trigger ../../prdgen/jgfs_pgrb2_f70 == complete + endtask + task jgfs_awips_f071 + edit FCSTHR '071' + trigger ../../prdgen/jgfs_pgrb2_f71 == complete + endtask + task jgfs_awips_f072 + edit FCSTHR '072' + trigger ../../prdgen/jgfs_pgrb2_f72 == complete + endtask + task jgfs_awips_f073 + edit FCSTHR '073' + trigger ../../prdgen/jgfs_pgrb2_f73 == complete + endtask + task jgfs_awips_f074 + edit FCSTHR '074' + trigger ../../prdgen/jgfs_pgrb2_f74 == complete + endtask + task jgfs_awips_f075 + edit FCSTHR '075' + trigger ../../prdgen/jgfs_pgrb2_f75 == complete + endtask + task jgfs_awips_f076 + edit FCSTHR '076' + trigger ../../prdgen/jgfs_pgrb2_f76 == complete + endtask + task jgfs_awips_f077 + edit FCSTHR '077' + trigger ../../prdgen/jgfs_pgrb2_f77 == complete + endtask + task jgfs_awips_f078 + edit FCSTHR '078' + trigger ../../prdgen/jgfs_pgrb2_f78 == complete + endtask + task jgfs_awips_f079 + edit FCSTHR '079' + trigger ../../prdgen/jgfs_pgrb2_f79 == complete + endtask + task jgfs_awips_f080 + edit FCSTHR '080' + trigger ../../prdgen/jgfs_pgrb2_f80 == complete + endtask + task jgfs_awips_f081 + edit FCSTHR '081' + trigger ../../prdgen/jgfs_pgrb2_f81 == complete + endtask + task jgfs_awips_f082 + edit FCSTHR '082' + trigger ../../prdgen/jgfs_pgrb2_f82 == complete + endtask + task jgfs_awips_f083 + edit FCSTHR '083' + trigger ../../prdgen/jgfs_pgrb2_f83 == complete + endtask + task jgfs_awips_f084 + edit FCSTHR '084' + trigger ../../prdgen/jgfs_pgrb2_f84 == complete + endtask + task jgfs_awips_f085 + edit FCSTHR '085' + trigger ../../prdgen/jgfs_pgrb2_f85 == complete + endtask + task jgfs_awips_f086 + edit FCSTHR '086' + trigger ../../prdgen/jgfs_pgrb2_f86 == complete + endtask + task jgfs_awips_f087 + edit FCSTHR '087' + trigger ../../prdgen/jgfs_pgrb2_f87 == complete + endtask + task jgfs_awips_f088 + edit FCSTHR '088' + trigger ../../prdgen/jgfs_pgrb2_f88 == complete + endtask + task jgfs_awips_f089 + edit FCSTHR '089' + trigger ../../prdgen/jgfs_pgrb2_f89 == complete + endtask + task jgfs_awips_f090 + edit FCSTHR '090' + trigger ../../prdgen/jgfs_pgrb2_f90 == complete + endtask + task jgfs_awips_f091 + edit FCSTHR '091' + trigger ../../prdgen/jgfs_pgrb2_f91 == complete + endtask + task jgfs_awips_f092 + edit FCSTHR '092' + trigger ../../prdgen/jgfs_pgrb2_f92 == complete + endtask + task jgfs_awips_f093 + edit FCSTHR '093' + trigger ../../prdgen/jgfs_pgrb2_f93 == complete + endtask + task jgfs_awips_f094 + edit FCSTHR '094' + trigger ../../prdgen/jgfs_pgrb2_f94 == complete + endtask + task jgfs_awips_f095 + edit FCSTHR '095' + trigger ../../prdgen/jgfs_pgrb2_f95 == complete + endtask + task jgfs_awips_f096 + edit FCSTHR '096' + trigger ../../prdgen/jgfs_pgrb2_f96 == complete + endtask + task jgfs_awips_f097 + edit FCSTHR '097' + trigger ../../prdgen/jgfs_pgrb2_f97 == complete + endtask + task jgfs_awips_f098 + edit FCSTHR '098' + trigger ../../prdgen/jgfs_pgrb2_f98 == complete + endtask + task jgfs_awips_f099 + edit FCSTHR '099' + trigger ../../prdgen/jgfs_pgrb2_f99 == complete + endtask + task jgfs_awips_f100 + edit FCSTHR '100' + trigger ../../prdgen/jgfs_pgrb2_f100 == complete + endtask + task jgfs_awips_f101 + edit FCSTHR '101' + trigger ../../prdgen/jgfs_pgrb2_f101 == complete + endtask + task jgfs_awips_f102 + edit FCSTHR '102' + trigger ../../prdgen/jgfs_pgrb2_f102 == complete + endtask + task jgfs_awips_f103 + edit FCSTHR '103' + trigger ../../prdgen/jgfs_pgrb2_f103 == complete + endtask + task jgfs_awips_f104 + edit FCSTHR '104' + trigger ../../prdgen/jgfs_pgrb2_f104 == complete + endtask + task jgfs_awips_f105 + edit FCSTHR '105' + trigger ../../prdgen/jgfs_pgrb2_f105 == complete + endtask + task jgfs_awips_f106 + edit FCSTHR '106' + trigger ../../prdgen/jgfs_pgrb2_f106 == complete + endtask + task jgfs_awips_f107 + edit FCSTHR '107' + trigger ../../prdgen/jgfs_pgrb2_f107 == complete + endtask + task jgfs_awips_f108 + edit FCSTHR '108' + trigger ../../prdgen/jgfs_pgrb2_f108 == complete + endtask + task jgfs_awips_f109 + edit FCSTHR '109' + trigger ../../prdgen/jgfs_pgrb2_f109 == complete + endtask + task jgfs_awips_f110 + edit FCSTHR '110' + trigger ../../prdgen/jgfs_pgrb2_f110 == complete + endtask + task jgfs_awips_f111 + edit FCSTHR '111' + trigger ../../prdgen/jgfs_pgrb2_f111 == complete + endtask + task jgfs_awips_f112 + edit FCSTHR '112' + trigger ../../prdgen/jgfs_pgrb2_f112 == complete + endtask + task jgfs_awips_f113 + edit FCSTHR '113' + trigger ../../prdgen/jgfs_pgrb2_f113 == complete + endtask + task jgfs_awips_f114 + edit FCSTHR '114' + trigger ../../prdgen/jgfs_pgrb2_f114 == complete + endtask + task jgfs_awips_f115 + edit FCSTHR '115' + trigger ../../prdgen/jgfs_pgrb2_f115 == complete + endtask + task jgfs_awips_f116 + edit FCSTHR '116' + trigger ../../prdgen/jgfs_pgrb2_f116 == complete + endtask + task jgfs_awips_f117 + edit FCSTHR '117' + trigger ../../prdgen/jgfs_pgrb2_f117 == complete + endtask + task jgfs_awips_f118 + edit FCSTHR '118' + trigger ../../prdgen/jgfs_pgrb2_f118 == complete + endtask + task jgfs_awips_f119 + edit FCSTHR '119' + trigger ../../prdgen/jgfs_pgrb2_f119 == complete + endtask + task jgfs_awips_f120 + edit FCSTHR '120' + trigger ../../prdgen/jgfs_pgrb2_f120 == complete + endtask + task jgfs_awips_f123 + edit FCSTHR '123' + trigger ../../prdgen/jgfs_pgrb2_f123 == complete + endtask + task jgfs_awips_f126 + edit FCSTHR '126' + trigger ../../prdgen/jgfs_pgrb2_f126 == complete + endtask + task jgfs_awips_f129 + edit FCSTHR '129' + trigger ../../prdgen/jgfs_pgrb2_f129 == complete + endtask + task jgfs_awips_f132 + edit FCSTHR '132' + trigger ../../prdgen/jgfs_pgrb2_f132 == complete + endtask + task jgfs_awips_f135 + edit FCSTHR '135' + trigger ../../prdgen/jgfs_pgrb2_f135 == complete + endtask + task jgfs_awips_f138 + edit FCSTHR '138' + trigger ../../prdgen/jgfs_pgrb2_f138 == complete + endtask + task jgfs_awips_f141 + edit FCSTHR '141' + trigger ../../prdgen/jgfs_pgrb2_f141 == complete + endtask + task jgfs_awips_f144 + edit FCSTHR '144' + trigger ../../prdgen/jgfs_pgrb2_f144 == complete + endtask + task jgfs_awips_f147 + edit FCSTHR '147' + trigger ../../prdgen/jgfs_pgrb2_f147 == complete + endtask + task jgfs_awips_f150 + edit FCSTHR '150' + trigger ../../prdgen/jgfs_pgrb2_f150 == complete + endtask + task jgfs_awips_f153 + edit FCSTHR '153' + trigger ../../prdgen/jgfs_pgrb2_f153 == complete + endtask + task jgfs_awips_f156 + edit FCSTHR '156' + trigger ../../prdgen/jgfs_pgrb2_f156 == complete + endtask + task jgfs_awips_f159 + edit FCSTHR '159' + trigger ../../prdgen/jgfs_pgrb2_f159 == complete + endtask + task jgfs_awips_f162 + edit FCSTHR '162' + trigger ../../prdgen/jgfs_pgrb2_f162 == complete + endtask + task jgfs_awips_f165 + edit FCSTHR '165' + trigger ../../prdgen/jgfs_pgrb2_f165 == complete + endtask + task jgfs_awips_f168 + edit FCSTHR '168' + trigger ../../prdgen/jgfs_pgrb2_f168 == complete + endtask + task jgfs_awips_f171 + edit FCSTHR '171' + trigger ../../prdgen/jgfs_pgrb2_f171 == complete + endtask + task jgfs_awips_f174 + edit FCSTHR '174' + trigger ../../prdgen/jgfs_pgrb2_f174 == complete + endtask + task jgfs_awips_f177 + edit FCSTHR '177' + trigger ../../prdgen/jgfs_pgrb2_f177 == complete + endtask + task jgfs_awips_f180 + edit FCSTHR '180' + trigger ../../prdgen/jgfs_pgrb2_f180 == complete + endtask + task jgfs_awips_f183 + edit FCSTHR '183' + trigger ../../prdgen/jgfs_pgrb2_f183 == complete + endtask + task jgfs_awips_f186 + edit FCSTHR '186' + trigger ../../prdgen/jgfs_pgrb2_f186 == complete + endtask + task jgfs_awips_f189 + edit FCSTHR '189' + trigger ../../prdgen/jgfs_pgrb2_f189 == complete + endtask + task jgfs_awips_f192 + edit FCSTHR '192' + trigger ../../prdgen/jgfs_pgrb2_f192 == complete + endtask + task jgfs_awips_f195 + edit FCSTHR '195' + trigger ../../prdgen/jgfs_pgrb2_f195 == complete + endtask + task jgfs_awips_f198 + edit FCSTHR '198' + trigger ../../prdgen/jgfs_pgrb2_f198 == complete + endtask + task jgfs_awips_f201 + edit FCSTHR '201' + trigger ../../prdgen/jgfs_pgrb2_f201 == complete + endtask + task jgfs_awips_f204 + edit FCSTHR '204' + trigger ../../prdgen/jgfs_pgrb2_f204 == complete + endtask + task jgfs_awips_f207 + edit FCSTHR '207' + trigger ../../prdgen/jgfs_pgrb2_f207 == complete + endtask + task jgfs_awips_f210 + edit FCSTHR '210' + trigger ../../prdgen/jgfs_pgrb2_f210 == complete + endtask + task jgfs_awips_f213 + edit FCSTHR '213' + trigger ../../prdgen/jgfs_pgrb2_f213 == complete + endtask + task jgfs_awips_f216 + edit FCSTHR '216' + trigger ../../prdgen/jgfs_pgrb2_f216 == complete + endtask + task jgfs_awips_f219 + edit FCSTHR '219' + trigger ../../prdgen/jgfs_pgrb2_f219 == complete + endtask + task jgfs_awips_f222 + edit FCSTHR '222' + trigger ../../prdgen/jgfs_pgrb2_f222 == complete + endtask + task jgfs_awips_f225 + edit FCSTHR '225' + trigger ../../prdgen/jgfs_pgrb2_f225 == complete + endtask + task jgfs_awips_f228 + edit FCSTHR '228' + trigger ../../prdgen/jgfs_pgrb2_f228 == complete + endtask + task jgfs_awips_f231 + edit FCSTHR '231' + trigger ../../prdgen/jgfs_pgrb2_f231 == complete + endtask + task jgfs_awips_f234 + edit FCSTHR '234' + trigger ../../prdgen/jgfs_pgrb2_f234 == complete + endtask + task jgfs_awips_f237 + edit FCSTHR '237' + trigger ../../prdgen/jgfs_pgrb2_f237 == complete + endtask task jgfs_awips_f240 + edit FCSTHR '240' trigger ../../prdgen/jgfs_pgrb2_f240 == complete endtask + task jgfs_awips_f252 + edit FCSTHR '252' + trigger ../../prdgen/jgfs_pgrb2_f252 == complete + endtask + task jgfs_awips_f264 + edit FCSTHR '264' + trigger ../../prdgen/jgfs_pgrb2_f264 == complete + endtask + task jgfs_awips_f276 + edit FCSTHR '276' + trigger ../../prdgen/jgfs_pgrb2_f276 == complete + endtask + task jgfs_awips_f288 + edit FCSTHR '288' + trigger ../../prdgen/jgfs_pgrb2_f288 == complete + endtask + task jgfs_awips_f300 + edit FCSTHR '300' + trigger ../../prdgen/jgfs_pgrb2_f300 == complete + endtask + task jgfs_awips_f312 + edit FCSTHR '312' + trigger ../../prdgen/jgfs_pgrb2_f312 == complete + endtask + task jgfs_awips_f324 + edit FCSTHR '324' + trigger ../../prdgen/jgfs_pgrb2_f324 == complete + endtask + task jgfs_awips_f336 + edit FCSTHR '336' + trigger ../../prdgen/jgfs_pgrb2_f336 == complete + endtask + task jgfs_awips_f348 + edit FCSTHR '348' + trigger ../../prdgen/jgfs_pgrb2_f348 == complete + endtask + task jgfs_awips_f360 + edit FCSTHR '360' + trigger ../../prdgen/jgfs_pgrb2_f360 == complete + endtask + task jgfs_awips_f372 + edit FCSTHR '372' + trigger ../../prdgen/jgfs_pgrb2_f372 == complete + endtask + task jgfs_awips_f384 + edit FCSTHR '384' + trigger ../../prdgen/jgfs_pgrb2_f384 == complete + endtask endfamily - family awips_1p0deg + family awips_20km + edit RES '20km' + edit RESC '20KM' + #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' task jgfs_awips_f000 + edit FCSTHR '000' trigger ../../prdgen/jgfs_pgrb2_f00 == complete endtask + task jgfs_awips_f001 + edit FCSTHR '001' + trigger ../../prdgen/jgfs_pgrb2_f01 == complete + endtask + task jgfs_awips_f002 + edit FCSTHR '002' + trigger ../../prdgen/jgfs_pgrb2_f02 == complete + endtask + task jgfs_awips_f003 + edit FCSTHR '003' + trigger ../../prdgen/jgfs_pgrb2_f03 == complete + endtask + task jgfs_awips_f004 + edit FCSTHR '004' + trigger ../../prdgen/jgfs_pgrb2_f04 == complete + endtask + task jgfs_awips_f005 + edit FCSTHR '005' + trigger ../../prdgen/jgfs_pgrb2_f05 == complete + endtask task jgfs_awips_f006 + edit FCSTHR '006' trigger ../../prdgen/jgfs_pgrb2_f06 == complete endtask + task jgfs_awips_f007 + edit FCSTHR '007' + trigger ../../prdgen/jgfs_pgrb2_f07 == complete + endtask + task jgfs_awips_f008 + edit FCSTHR '008' + trigger ../../prdgen/jgfs_pgrb2_f08 == complete + endtask + task jgfs_awips_f009 + edit FCSTHR '009' + trigger ../../prdgen/jgfs_pgrb2_f09 == complete + endtask + task jgfs_awips_f010 + edit FCSTHR '010' + trigger ../../prdgen/jgfs_pgrb2_f10 == complete + endtask + task jgfs_awips_f011 + edit FCSTHR '011' + trigger ../../prdgen/jgfs_pgrb2_f11 == complete + endtask + task jgfs_awips_f012 + edit FCSTHR '012' + trigger ../../prdgen/jgfs_pgrb2_f12 == complete + endtask + task jgfs_awips_f013 + edit FCSTHR '013' + trigger ../../prdgen/jgfs_pgrb2_f13 == complete + endtask + task jgfs_awips_f014 + edit FCSTHR '014' + trigger ../../prdgen/jgfs_pgrb2_f14 == complete + endtask + task jgfs_awips_f015 + edit FCSTHR '015' + trigger ../../prdgen/jgfs_pgrb2_f15 == complete + endtask + task jgfs_awips_f016 + edit FCSTHR '016' + trigger ../../prdgen/jgfs_pgrb2_f16 == complete + endtask + task jgfs_awips_f017 + edit FCSTHR '017' + trigger ../../prdgen/jgfs_pgrb2_f17 == complete + endtask + task jgfs_awips_f018 + edit FCSTHR '018' + trigger ../../prdgen/jgfs_pgrb2_f18 == complete + endtask + task jgfs_awips_f019 + edit FCSTHR '019' + trigger ../../prdgen/jgfs_pgrb2_f19 == complete + endtask + task jgfs_awips_f020 + edit FCSTHR '020' + trigger ../../prdgen/jgfs_pgrb2_f20 == complete + endtask + task jgfs_awips_f021 + edit FCSTHR '021' + trigger ../../prdgen/jgfs_pgrb2_f21 == complete + endtask + task jgfs_awips_f022 + edit FCSTHR '022' + trigger ../../prdgen/jgfs_pgrb2_f22 == complete + endtask + task jgfs_awips_f023 + edit FCSTHR '023' + trigger ../../prdgen/jgfs_pgrb2_f23 == complete + endtask + task jgfs_awips_f024 + edit FCSTHR '024' + trigger ../../prdgen/jgfs_pgrb2_f24 == complete + endtask + task jgfs_awips_f025 + edit FCSTHR '025' + trigger ../../prdgen/jgfs_pgrb2_f25 == complete + endtask + task jgfs_awips_f026 + edit FCSTHR '026' + trigger ../../prdgen/jgfs_pgrb2_f26 == complete + endtask + task jgfs_awips_f027 + edit FCSTHR '027' + trigger ../../prdgen/jgfs_pgrb2_f27 == complete + endtask + task jgfs_awips_f028 + edit FCSTHR '028' + trigger ../../prdgen/jgfs_pgrb2_f28 == complete + endtask + task jgfs_awips_f029 + edit FCSTHR '029' + trigger ../../prdgen/jgfs_pgrb2_f29 == complete + endtask + task jgfs_awips_f030 + edit FCSTHR '030' + trigger ../../prdgen/jgfs_pgrb2_f30 == complete + endtask + task jgfs_awips_f031 + edit FCSTHR '031' + trigger ../../prdgen/jgfs_pgrb2_f31 == complete + endtask + task jgfs_awips_f032 + edit FCSTHR '032' + trigger ../../prdgen/jgfs_pgrb2_f32 == complete + endtask + task jgfs_awips_f033 + edit FCSTHR '033' + trigger ../../prdgen/jgfs_pgrb2_f33 == complete + endtask + task jgfs_awips_f034 + edit FCSTHR '034' + trigger ../../prdgen/jgfs_pgrb2_f34 == complete + endtask + task jgfs_awips_f035 + edit FCSTHR '035' + trigger ../../prdgen/jgfs_pgrb2_f35 == complete + endtask + task jgfs_awips_f036 + edit FCSTHR '036' + trigger ../../prdgen/jgfs_pgrb2_f36 == complete + endtask + task jgfs_awips_f037 + edit FCSTHR '037' + trigger ../../prdgen/jgfs_pgrb2_f37 == complete + endtask + task jgfs_awips_f038 + edit FCSTHR '038' + trigger ../../prdgen/jgfs_pgrb2_f38 == complete + endtask + task jgfs_awips_f039 + edit FCSTHR '039' + trigger ../../prdgen/jgfs_pgrb2_f39 == complete + endtask + task jgfs_awips_f040 + edit FCSTHR '040' + trigger ../../prdgen/jgfs_pgrb2_f40 == complete + endtask + task jgfs_awips_f041 + edit FCSTHR '041' + trigger ../../prdgen/jgfs_pgrb2_f41 == complete + endtask + task jgfs_awips_f042 + edit FCSTHR '042' + trigger ../../prdgen/jgfs_pgrb2_f42 == complete + endtask + task jgfs_awips_f043 + edit FCSTHR '043' + trigger ../../prdgen/jgfs_pgrb2_f43 == complete + endtask + task jgfs_awips_f044 + edit FCSTHR '044' + trigger ../../prdgen/jgfs_pgrb2_f44 == complete + endtask + task jgfs_awips_f045 + edit FCSTHR '045' + trigger ../../prdgen/jgfs_pgrb2_f45 == complete + endtask + task jgfs_awips_f046 + edit FCSTHR '046' + trigger ../../prdgen/jgfs_pgrb2_f46 == complete + endtask + task jgfs_awips_f047 + edit FCSTHR '047' + trigger ../../prdgen/jgfs_pgrb2_f47 == complete + endtask + task jgfs_awips_f048 + edit FCSTHR '048' + trigger ../../prdgen/jgfs_pgrb2_f48 == complete + endtask + task jgfs_awips_f049 + edit FCSTHR '049' + trigger ../../prdgen/jgfs_pgrb2_f49 == complete + endtask + task jgfs_awips_f050 + edit FCSTHR '050' + trigger ../../prdgen/jgfs_pgrb2_f50 == complete + endtask + task jgfs_awips_f051 + edit FCSTHR '051' + trigger ../../prdgen/jgfs_pgrb2_f51 == complete + endtask + task jgfs_awips_f052 + edit FCSTHR '052' + trigger ../../prdgen/jgfs_pgrb2_f52 == complete + endtask + task jgfs_awips_f053 + edit FCSTHR '053' + trigger ../../prdgen/jgfs_pgrb2_f53 == complete + endtask + task jgfs_awips_f054 + edit FCSTHR '054' + trigger ../../prdgen/jgfs_pgrb2_f54 == complete + endtask + task jgfs_awips_f055 + edit FCSTHR '055' + trigger ../../prdgen/jgfs_pgrb2_f55 == complete + endtask + task jgfs_awips_f056 + edit FCSTHR '056' + trigger ../../prdgen/jgfs_pgrb2_f56 == complete + endtask + task jgfs_awips_f057 + edit FCSTHR '057' + trigger ../../prdgen/jgfs_pgrb2_f57 == complete + endtask + task jgfs_awips_f058 + edit FCSTHR '058' + trigger ../../prdgen/jgfs_pgrb2_f58 == complete + endtask + task jgfs_awips_f059 + edit FCSTHR '059' + trigger ../../prdgen/jgfs_pgrb2_f59 == complete + endtask + task jgfs_awips_f060 + edit FCSTHR '060' + trigger ../../prdgen/jgfs_pgrb2_f60 == complete + endtask + task jgfs_awips_f061 + edit FCSTHR '061' + trigger ../../prdgen/jgfs_pgrb2_f61 == complete + endtask + task jgfs_awips_f062 + edit FCSTHR '062' + trigger ../../prdgen/jgfs_pgrb2_f62 == complete + endtask + task jgfs_awips_f063 + edit FCSTHR '063' + trigger ../../prdgen/jgfs_pgrb2_f63 == complete + endtask + task jgfs_awips_f064 + edit FCSTHR '064' + trigger ../../prdgen/jgfs_pgrb2_f64 == complete + endtask + task jgfs_awips_f065 + edit FCSTHR '065' + trigger ../../prdgen/jgfs_pgrb2_f65 == complete + endtask + task jgfs_awips_f066 + edit FCSTHR '066' + trigger ../../prdgen/jgfs_pgrb2_f66 == complete + endtask + task jgfs_awips_f067 + edit FCSTHR '067' + trigger ../../prdgen/jgfs_pgrb2_f67 == complete + endtask + task jgfs_awips_f068 + edit FCSTHR '068' + trigger ../../prdgen/jgfs_pgrb2_f68 == complete + endtask + task jgfs_awips_f069 + edit FCSTHR '069' + trigger ../../prdgen/jgfs_pgrb2_f69 == complete + endtask + task jgfs_awips_f070 + edit FCSTHR '070' + trigger ../../prdgen/jgfs_pgrb2_f70 == complete + endtask + task jgfs_awips_f071 + edit FCSTHR '071' + trigger ../../prdgen/jgfs_pgrb2_f71 == complete + endtask + task jgfs_awips_f072 + edit FCSTHR '072' + trigger ../../prdgen/jgfs_pgrb2_f72 == complete + endtask + task jgfs_awips_f073 + edit FCSTHR '073' + trigger ../../prdgen/jgfs_pgrb2_f73 == complete + endtask + task jgfs_awips_f074 + edit FCSTHR '074' + trigger ../../prdgen/jgfs_pgrb2_f74 == complete + endtask + task jgfs_awips_f075 + edit FCSTHR '075' + trigger ../../prdgen/jgfs_pgrb2_f75 == complete + endtask + task jgfs_awips_f076 + edit FCSTHR '076' + trigger ../../prdgen/jgfs_pgrb2_f76 == complete + endtask + task jgfs_awips_f077 + edit FCSTHR '077' + trigger ../../prdgen/jgfs_pgrb2_f77 == complete + endtask + task jgfs_awips_f078 + edit FCSTHR '078' + trigger ../../prdgen/jgfs_pgrb2_f78 == complete + endtask + task jgfs_awips_f079 + edit FCSTHR '079' + trigger ../../prdgen/jgfs_pgrb2_f79 == complete + endtask + task jgfs_awips_f080 + edit FCSTHR '080' + trigger ../../prdgen/jgfs_pgrb2_f80 == complete + endtask + task jgfs_awips_f081 + edit FCSTHR '081' + trigger ../../prdgen/jgfs_pgrb2_f81 == complete + endtask + task jgfs_awips_f082 + edit FCSTHR '082' + trigger ../../prdgen/jgfs_pgrb2_f82 == complete + endtask + task jgfs_awips_f083 + edit FCSTHR '083' + trigger ../../prdgen/jgfs_pgrb2_f83 == complete + endtask + task jgfs_awips_f084 + edit FCSTHR '084' + trigger ../../prdgen/jgfs_pgrb2_f84 == complete + endtask + task jgfs_awips_f085 + edit FCSTHR '085' + trigger ../../prdgen/jgfs_pgrb2_f85 == complete + endtask + task jgfs_awips_f086 + edit FCSTHR '086' + trigger ../../prdgen/jgfs_pgrb2_f86 == complete + endtask + task jgfs_awips_f087 + edit FCSTHR '087' + trigger ../../prdgen/jgfs_pgrb2_f87 == complete + endtask + task jgfs_awips_f088 + edit FCSTHR '088' + trigger ../../prdgen/jgfs_pgrb2_f88 == complete + endtask + task jgfs_awips_f089 + edit FCSTHR '089' + trigger ../../prdgen/jgfs_pgrb2_f89 == complete + endtask + task jgfs_awips_f090 + edit FCSTHR '090' + trigger ../../prdgen/jgfs_pgrb2_f90 == complete + endtask + task jgfs_awips_f091 + edit FCSTHR '091' + trigger ../../prdgen/jgfs_pgrb2_f91 == complete + endtask + task jgfs_awips_f092 + edit FCSTHR '092' + trigger ../../prdgen/jgfs_pgrb2_f92 == complete + endtask + task jgfs_awips_f093 + edit FCSTHR '093' + trigger ../../prdgen/jgfs_pgrb2_f93 == complete + endtask + task jgfs_awips_f094 + edit FCSTHR '094' + trigger ../../prdgen/jgfs_pgrb2_f94 == complete + endtask + task jgfs_awips_f095 + edit FCSTHR '095' + trigger ../../prdgen/jgfs_pgrb2_f95 == complete + endtask + task jgfs_awips_f096 + edit FCSTHR '096' + trigger ../../prdgen/jgfs_pgrb2_f96 == complete + endtask + task jgfs_awips_f097 + edit FCSTHR '097' + trigger ../../prdgen/jgfs_pgrb2_f97 == complete + endtask + task jgfs_awips_f098 + edit FCSTHR '098' + trigger ../../prdgen/jgfs_pgrb2_f98 == complete + endtask + task jgfs_awips_f099 + edit FCSTHR '099' + trigger ../../prdgen/jgfs_pgrb2_f99 == complete + endtask + task jgfs_awips_f100 + edit FCSTHR '100' + trigger ../../prdgen/jgfs_pgrb2_f100 == complete + endtask + task jgfs_awips_f101 + edit FCSTHR '101' + trigger ../../prdgen/jgfs_pgrb2_f101 == complete + endtask + task jgfs_awips_f102 + edit FCSTHR '102' + trigger ../../prdgen/jgfs_pgrb2_f102 == complete + endtask + task jgfs_awips_f103 + edit FCSTHR '103' + trigger ../../prdgen/jgfs_pgrb2_f103 == complete + endtask + task jgfs_awips_f104 + edit FCSTHR '104' + trigger ../../prdgen/jgfs_pgrb2_f104 == complete + endtask + task jgfs_awips_f105 + edit FCSTHR '105' + trigger ../../prdgen/jgfs_pgrb2_f105 == complete + endtask + task jgfs_awips_f106 + edit FCSTHR '106' + trigger ../../prdgen/jgfs_pgrb2_f106 == complete + endtask + task jgfs_awips_f107 + edit FCSTHR '107' + trigger ../../prdgen/jgfs_pgrb2_f107 == complete + endtask + task jgfs_awips_f108 + edit FCSTHR '108' + trigger ../../prdgen/jgfs_pgrb2_f108 == complete + endtask + task jgfs_awips_f109 + edit FCSTHR '109' + trigger ../../prdgen/jgfs_pgrb2_f109 == complete + endtask + task jgfs_awips_f110 + edit FCSTHR '110' + trigger ../../prdgen/jgfs_pgrb2_f110 == complete + endtask + task jgfs_awips_f111 + edit FCSTHR '111' + trigger ../../prdgen/jgfs_pgrb2_f111 == complete + endtask + task jgfs_awips_f112 + edit FCSTHR '112' + trigger ../../prdgen/jgfs_pgrb2_f112 == complete + endtask + task jgfs_awips_f113 + edit FCSTHR '113' + trigger ../../prdgen/jgfs_pgrb2_f113 == complete + endtask + task jgfs_awips_f114 + edit FCSTHR '114' + trigger ../../prdgen/jgfs_pgrb2_f114 == complete + endtask + task jgfs_awips_f115 + edit FCSTHR '115' + trigger ../../prdgen/jgfs_pgrb2_f115 == complete + endtask + task jgfs_awips_f116 + edit FCSTHR '116' + trigger ../../prdgen/jgfs_pgrb2_f116 == complete + endtask + task jgfs_awips_f117 + edit FCSTHR '117' + trigger ../../prdgen/jgfs_pgrb2_f117 == complete + endtask + task jgfs_awips_f118 + edit FCSTHR '118' + trigger ../../prdgen/jgfs_pgrb2_f118 == complete + endtask + task jgfs_awips_f119 + edit FCSTHR '119' + trigger ../../prdgen/jgfs_pgrb2_f119 == complete + endtask + task jgfs_awips_f120 + edit FCSTHR '120' + trigger ../../prdgen/jgfs_pgrb2_f120 == complete + endtask + task jgfs_awips_f123 + edit FCSTHR '123' + trigger ../../prdgen/jgfs_pgrb2_f123 == complete + endtask + task jgfs_awips_f126 + edit FCSTHR '126' + trigger ../../prdgen/jgfs_pgrb2_f126 == complete + endtask + task jgfs_awips_f129 + edit FCSTHR '129' + trigger ../../prdgen/jgfs_pgrb2_f129 == complete + endtask + task jgfs_awips_f132 + edit FCSTHR '132' + trigger ../../prdgen/jgfs_pgrb2_f132 == complete + endtask + task jgfs_awips_f135 + edit FCSTHR '135' + trigger ../../prdgen/jgfs_pgrb2_f135 == complete + endtask + task jgfs_awips_f138 + edit FCSTHR '138' + trigger ../../prdgen/jgfs_pgrb2_f138 == complete + endtask + task jgfs_awips_f141 + edit FCSTHR '141' + trigger ../../prdgen/jgfs_pgrb2_f141 == complete + endtask + task jgfs_awips_f144 + edit FCSTHR '144' + trigger ../../prdgen/jgfs_pgrb2_f144 == complete + endtask + task jgfs_awips_f147 + edit FCSTHR '147' + trigger ../../prdgen/jgfs_pgrb2_f147 == complete + endtask + task jgfs_awips_f150 + edit FCSTHR '150' + trigger ../../prdgen/jgfs_pgrb2_f150 == complete + endtask + task jgfs_awips_f153 + edit FCSTHR '153' + trigger ../../prdgen/jgfs_pgrb2_f153 == complete + endtask + task jgfs_awips_f156 + edit FCSTHR '156' + trigger ../../prdgen/jgfs_pgrb2_f156 == complete + endtask + task jgfs_awips_f159 + edit FCSTHR '159' + trigger ../../prdgen/jgfs_pgrb2_f159 == complete + endtask + task jgfs_awips_f162 + edit FCSTHR '162' + trigger ../../prdgen/jgfs_pgrb2_f162 == complete + endtask + task jgfs_awips_f165 + edit FCSTHR '165' + trigger ../../prdgen/jgfs_pgrb2_f165 == complete + endtask + task jgfs_awips_f168 + edit FCSTHR '168' + trigger ../../prdgen/jgfs_pgrb2_f168 == complete + endtask + task jgfs_awips_f171 + edit FCSTHR '171' + trigger ../../prdgen/jgfs_pgrb2_f171 == complete + endtask + task jgfs_awips_f174 + edit FCSTHR '174' + trigger ../../prdgen/jgfs_pgrb2_f174 == complete + endtask + task jgfs_awips_f177 + edit FCSTHR '177' + trigger ../../prdgen/jgfs_pgrb2_f177 == complete + endtask + task jgfs_awips_f180 + edit FCSTHR '180' + trigger ../../prdgen/jgfs_pgrb2_f180 == complete + endtask + task jgfs_awips_f183 + edit FCSTHR '183' + trigger ../../prdgen/jgfs_pgrb2_f183 == complete + endtask + task jgfs_awips_f186 + edit FCSTHR '186' + trigger ../../prdgen/jgfs_pgrb2_f186 == complete + endtask + task jgfs_awips_f189 + edit FCSTHR '189' + trigger ../../prdgen/jgfs_pgrb2_f189 == complete + endtask + task jgfs_awips_f192 + edit FCSTHR '192' + trigger ../../prdgen/jgfs_pgrb2_f192 == complete + endtask + task jgfs_awips_f195 + edit FCSTHR '195' + trigger ../../prdgen/jgfs_pgrb2_f195 == complete + endtask + task jgfs_awips_f198 + edit FCSTHR '198' + trigger ../../prdgen/jgfs_pgrb2_f198 == complete + endtask + task jgfs_awips_f201 + edit FCSTHR '201' + trigger ../../prdgen/jgfs_pgrb2_f201 == complete + endtask + task jgfs_awips_f204 + edit FCSTHR '204' + trigger ../../prdgen/jgfs_pgrb2_f204 == complete + endtask + task jgfs_awips_f207 + edit FCSTHR '207' + trigger ../../prdgen/jgfs_pgrb2_f207 == complete + endtask + task jgfs_awips_f210 + edit FCSTHR '210' + trigger ../../prdgen/jgfs_pgrb2_f210 == complete + endtask + task jgfs_awips_f213 + edit FCSTHR '213' + trigger ../../prdgen/jgfs_pgrb2_f213 == complete + endtask + task jgfs_awips_f216 + edit FCSTHR '216' + trigger ../../prdgen/jgfs_pgrb2_f216 == complete + endtask + task jgfs_awips_f219 + edit FCSTHR '219' + trigger ../../prdgen/jgfs_pgrb2_f219 == complete + endtask + task jgfs_awips_f222 + edit FCSTHR '222' + trigger ../../prdgen/jgfs_pgrb2_f222 == complete + endtask + task jgfs_awips_f225 + edit FCSTHR '225' + trigger ../../prdgen/jgfs_pgrb2_f225 == complete + endtask + task jgfs_awips_f228 + edit FCSTHR '228' + trigger ../../prdgen/jgfs_pgrb2_f228 == complete + endtask + task jgfs_awips_f231 + edit FCSTHR '231' + trigger ../../prdgen/jgfs_pgrb2_f231 == complete + endtask + task jgfs_awips_f234 + edit FCSTHR '234' + trigger ../../prdgen/jgfs_pgrb2_f234 == complete + endtask + task jgfs_awips_f237 + edit FCSTHR '237' + trigger ../../prdgen/jgfs_pgrb2_f237 == complete + endtask task jgfs_awips_f240 + edit FCSTHR '240' trigger ../../prdgen/jgfs_pgrb2_f240 == complete endtask - endfamily - family awips_20km - task jgfs_awips_f000 - trigger ../../prdgen/jgfs_pgrb2_f00 == complete + task jgfs_awips_f252 + edit FCSTHR '252' + trigger ../../prdgen/jgfs_pgrb2_f252 == complete + endtask + task jgfs_awips_f264 + edit FCSTHR '264' + trigger ../../prdgen/jgfs_pgrb2_f264 == complete + endtask + task jgfs_awips_f276 + edit FCSTHR '276' + trigger ../../prdgen/jgfs_pgrb2_f276 == complete + endtask + task jgfs_awips_f288 + edit FCSTHR '288' + trigger ../../prdgen/jgfs_pgrb2_f288 == complete + endtask + task jgfs_awips_f300 + edit FCSTHR '300' + trigger ../../prdgen/jgfs_pgrb2_f300 == complete + endtask + task jgfs_awips_f312 + edit FCSTHR '312' + trigger ../../prdgen/jgfs_pgrb2_f312 == complete + endtask + task jgfs_awips_f324 + edit FCSTHR '324' + trigger ../../prdgen/jgfs_pgrb2_f324 == complete + endtask + task jgfs_awips_f336 + edit FCSTHR '336' + trigger ../../prdgen/jgfs_pgrb2_f336 == complete + endtask + task jgfs_awips_f348 + edit FCSTHR '348' + trigger ../../prdgen/jgfs_pgrb2_f348 == complete + endtask + task jgfs_awips_f360 + edit FCSTHR '360' + trigger ../../prdgen/jgfs_pgrb2_f360 == complete + endtask + task jgfs_awips_f372 + edit FCSTHR '372' + trigger ../../prdgen/jgfs_pgrb2_f372 == complete + endtask + task jgfs_awips_f384 + edit FCSTHR '384' + trigger ../../prdgen/jgfs_pgrb2_f384 == complete endtask endfamily endfamily family post task jgfs_post_anl + edit FHR 'anl' + edit HR 'anl' trigger ./jgfs_post_manager:release_postanl event 1 release_pgrb2_anl endtask + task jgfs_pgrb2_spec_post + trigger ./jgfs_post_f336 == complete and ./jgfs_post_f348 == complete and ./jgfs_post_f360 == complete and ./jgfs_post_f372 == complete and ./jgfs_post_f384 == complete + endtask task jgfs_post_manager trigger ../jgfs_analysis == complete and ../forecast == complete event 1 release_postanl @@ -382,531 +2483,878 @@ suite prod12 event 174 release_post384 endtask task jgfs_post_f00 + edit FHR: 'f00' + edit HR: '00' trigger ./jgfs_post_manager:release_post00 endtask task jgfs_post_f01 + edit FHR: 'f01' + edit HR: '01' trigger ./jgfs_post_manager:release_post01 endtask task jgfs_post_f02 + edit FHR: 'f02' + edit HR: '02' trigger ./jgfs_post_manager:release_post02 endtask task jgfs_post_f03 + edit FHR: 'f03' + edit HR: '03' trigger ./jgfs_post_manager:release_post03 endtask task jgfs_post_f04 + edit FHR: 'f04' + edit HR: '04' trigger ./jgfs_post_manager:release_post04 endtask task jgfs_post_f05 + edit FHR: 'f05' + edit HR: '05' trigger ./jgfs_post_manager:release_post05 endtask task jgfs_post_f06 + edit FHR: 'f06' + edit HR: '06' trigger ./jgfs_post_manager:release_post06 endtask task jgfs_post_f07 + edit FHR: 'f07' + edit HR: '07' trigger ./jgfs_post_manager:release_post07 endtask task jgfs_post_f08 + edit FHR: 'f08' + edit HR: '08' trigger ./jgfs_post_manager:release_post08 endtask task jgfs_post_f09 + edit FHR: 'f09' + edit HR: '09' trigger ./jgfs_post_manager:release_post09 endtask task jgfs_post_f10 + edit FHR: 'f10' + edit HR: '10' trigger ./jgfs_post_manager:release_post10 endtask task jgfs_post_f11 + edit FHR: 'f11' + edit HR: '11' trigger ./jgfs_post_manager:release_post11 endtask task jgfs_post_f12 + edit FHR: 'f12' + edit HR: '12' trigger ./jgfs_post_manager:release_post12 endtask task jgfs_post_f13 + edit FHR: 'f13' + edit HR: '13' trigger ./jgfs_post_manager:release_post13 endtask task jgfs_post_f14 + edit FHR: 'f14' + edit HR: '14' trigger ./jgfs_post_manager:release_post14 endtask task jgfs_post_f15 + edit FHR: 'f15' + edit HR: '15' trigger ./jgfs_post_manager:release_post15 endtask task jgfs_post_f16 + edit FHR: 'f16' + edit HR: '16' trigger ./jgfs_post_manager:release_post16 endtask task jgfs_post_f17 + edit FHR: 'f17' + edit HR: '17' trigger ./jgfs_post_manager:release_post17 endtask task jgfs_post_f18 + edit FHR: 'f18' + edit HR: '18' trigger ./jgfs_post_manager:release_post18 endtask task jgfs_post_f19 + edit FHR: 'f19' + edit HR: '19' trigger ./jgfs_post_manager:release_post19 endtask task jgfs_post_f20 + edit FHR: 'f20' + edit HR: '20' trigger ./jgfs_post_manager:release_post20 endtask task jgfs_post_f21 + edit FHR: 'f21' + edit HR: '21' trigger ./jgfs_post_manager:release_post21 endtask task jgfs_post_f22 + edit FHR: 'f22' + edit HR: '22' trigger ./jgfs_post_manager:release_post22 endtask task jgfs_post_f23 + edit FHR: 'f23' + edit HR: '23' trigger ./jgfs_post_manager:release_post23 endtask task jgfs_post_f24 + edit FHR: 'f24' + edit HR: '24' trigger ./jgfs_post_manager:release_post24 endtask task jgfs_post_f25 + edit FHR: 'f25' + edit HR: '25' trigger ./jgfs_post_manager:release_post25 endtask task jgfs_post_f26 + edit FHR: 'f26' + edit HR: '26' trigger ./jgfs_post_manager:release_post26 endtask task jgfs_post_f27 + edit FHR: 'f27' + edit HR: '27' trigger ./jgfs_post_manager:release_post27 endtask task jgfs_post_f28 + edit FHR: 'f28' + edit HR: '28' trigger ./jgfs_post_manager:release_post28 endtask task jgfs_post_f29 + edit FHR: 'f29' + edit HR: '29' trigger ./jgfs_post_manager:release_post29 endtask task jgfs_post_f30 + edit FHR: 'f30' + edit HR: '30' trigger ./jgfs_post_manager:release_post30 endtask task jgfs_post_f31 + edit FHR: 'f31' + edit HR: '31' trigger ./jgfs_post_manager:release_post31 endtask task jgfs_post_f32 + edit FHR: 'f32' + edit HR: '32' trigger ./jgfs_post_manager:release_post32 endtask task jgfs_post_f33 + edit FHR: 'f33' + edit HR: '33' trigger ./jgfs_post_manager:release_post33 endtask task jgfs_post_f34 + edit FHR: 'f34' + edit HR: '34' trigger ./jgfs_post_manager:release_post34 endtask task jgfs_post_f35 + edit FHR: 'f35' + edit HR: '35' trigger ./jgfs_post_manager:release_post35 endtask task jgfs_post_f36 + edit FHR: 'f36' + edit HR: '36' trigger ./jgfs_post_manager:release_post36 endtask task jgfs_post_f37 + edit FHR: 'f37' + edit HR: '37' trigger ./jgfs_post_manager:release_post37 endtask task jgfs_post_f38 + edit FHR: 'f38' + edit HR: '38' trigger ./jgfs_post_manager:release_post38 endtask task jgfs_post_f39 + edit FHR: 'f39' + edit HR: '39' trigger ./jgfs_post_manager:release_post39 endtask task jgfs_post_f40 + edit FHR: 'f40' + edit HR: '40' trigger ./jgfs_post_manager:release_post40 endtask task jgfs_post_f41 + edit FHR: 'f41' + edit HR: '41' trigger ./jgfs_post_manager:release_post41 endtask task jgfs_post_f42 + edit FHR: 'f42' + edit HR: '42' trigger ./jgfs_post_manager:release_post42 endtask task jgfs_post_f43 + edit FHR: 'f43' + edit HR: '43' trigger ./jgfs_post_manager:release_post43 endtask task jgfs_post_f44 + edit FHR: 'f44' + edit HR: '44' trigger ./jgfs_post_manager:release_post44 endtask task jgfs_post_f45 + edit FHR: 'f45' + edit HR: '45' trigger ./jgfs_post_manager:release_post45 endtask task jgfs_post_f46 + edit FHR: 'f46' + edit HR: '46' trigger ./jgfs_post_manager:release_post46 endtask task jgfs_post_f47 + edit FHR: 'f47' + edit HR: '47' trigger ./jgfs_post_manager:release_post47 endtask task jgfs_post_f48 + edit FHR: 'f48' + edit HR: '48' trigger ./jgfs_post_manager:release_post48 endtask task jgfs_post_f49 + edit FHR: 'f49' + edit HR: '49' trigger ./jgfs_post_manager:release_post49 endtask task jgfs_post_f50 + edit FHR: 'f50' + edit HR: '50' trigger ./jgfs_post_manager:release_post50 endtask task jgfs_post_f51 + edit FHR: 'f51' + edit HR: '51' trigger ./jgfs_post_manager:release_post51 endtask task jgfs_post_f52 + edit FHR: 'f52' + edit HR: '52' trigger ./jgfs_post_manager:release_post52 endtask task jgfs_post_f53 + edit FHR: 'f53' + edit HR: '53' trigger ./jgfs_post_manager:release_post53 endtask task jgfs_post_f54 + edit FHR: 'f54' + edit HR: '54' trigger ./jgfs_post_manager:release_post54 endtask task jgfs_post_f55 + edit FHR: 'f55' + edit HR: '55' trigger ./jgfs_post_manager:release_post55 endtask task jgfs_post_f56 + edit FHR: 'f56' + edit HR: '56' trigger ./jgfs_post_manager:release_post56 endtask task jgfs_post_f57 + edit FHR: 'f57' + edit HR: '57' trigger ./jgfs_post_manager:release_post57 endtask task jgfs_post_f58 + edit FHR: 'f58' + edit HR: '58' trigger ./jgfs_post_manager:release_post58 endtask task jgfs_post_f59 + edit FHR: 'f59' + edit HR: '59' trigger ./jgfs_post_manager:release_post59 endtask task jgfs_post_f60 + edit FHR: 'f60' + edit HR: '60' trigger ./jgfs_post_manager:release_post60 endtask task jgfs_post_f61 + edit FHR: 'f61' + edit HR: '61' trigger ./jgfs_post_manager:release_post61 endtask task jgfs_post_f62 + edit FHR: 'f62' + edit HR: '62' trigger ./jgfs_post_manager:release_post62 endtask task jgfs_post_f63 + edit FHR: 'f63' + edit HR: '63' trigger ./jgfs_post_manager:release_post63 endtask task jgfs_post_f64 + edit FHR: 'f64' + edit HR: '64' trigger ./jgfs_post_manager:release_post64 endtask task jgfs_post_f65 + edit FHR: 'f65' + edit HR: '65' trigger ./jgfs_post_manager:release_post65 endtask task jgfs_post_f66 + edit FHR: 'f66' + edit HR: '66' trigger ./jgfs_post_manager:release_post66 endtask task jgfs_post_f67 + edit FHR: 'f67' + edit HR: '67' trigger ./jgfs_post_manager:release_post67 endtask task jgfs_post_f68 + edit FHR: 'f68' + edit HR: '68' trigger ./jgfs_post_manager:release_post68 endtask task jgfs_post_f69 + edit FHR: 'f69' + edit HR: '69' trigger ./jgfs_post_manager:release_post69 endtask task jgfs_post_f70 + edit FHR: 'f70' + edit HR: '70' trigger ./jgfs_post_manager:release_post70 endtask task jgfs_post_f71 + edit FHR: 'f71' + edit HR: '71' trigger ./jgfs_post_manager:release_post71 endtask task jgfs_post_f72 + edit FHR: 'f72' + edit HR: '72' trigger ./jgfs_post_manager:release_post72 endtask task jgfs_post_f73 + edit FHR: 'f73' + edit HR: '73' trigger ./jgfs_post_manager:release_post73 endtask task jgfs_post_f74 + edit FHR: 'f74' + edit HR: '74' trigger ./jgfs_post_manager:release_post74 endtask task jgfs_post_f75 + edit FHR: 'f75' + edit HR: '75' trigger ./jgfs_post_manager:release_post75 endtask task jgfs_post_f76 + edit FHR: 'f76' + edit HR: '76' trigger ./jgfs_post_manager:release_post76 endtask task jgfs_post_f77 + edit FHR: 'f77' + edit HR: '77' trigger ./jgfs_post_manager:release_post77 endtask task jgfs_post_f78 + edit FHR: 'f78' + edit HR: '78' trigger ./jgfs_post_manager:release_post78 endtask task jgfs_post_f79 + edit FHR: 'f79' + edit HR: '79' trigger ./jgfs_post_manager:release_post79 endtask task jgfs_post_f80 + edit FHR: 'f80' + edit HR: '80' trigger ./jgfs_post_manager:release_post80 endtask task jgfs_post_f81 + edit FHR: 'f81' + edit HR: '81' trigger ./jgfs_post_manager:release_post81 endtask task jgfs_post_f82 + edit FHR: 'f82' + edit HR: '82' trigger ./jgfs_post_manager:release_post82 endtask task jgfs_post_f83 + edit FHR: 'f83' + edit HR: '83' trigger ./jgfs_post_manager:release_post83 endtask task jgfs_post_f84 + edit FHR: 'f84' + edit HR: '84' trigger ./jgfs_post_manager:release_post84 endtask task jgfs_post_f85 + edit FHR: 'f85' + edit HR: '85' trigger ./jgfs_post_manager:release_post85 endtask task jgfs_post_f86 + edit FHR: 'f86' + edit HR: '86' trigger ./jgfs_post_manager:release_post86 endtask task jgfs_post_f87 + edit FHR: 'f87' + edit HR: '87' trigger ./jgfs_post_manager:release_post87 endtask task jgfs_post_f88 + edit FHR: 'f88' + edit HR: '88' trigger ./jgfs_post_manager:release_post88 endtask task jgfs_post_f89 + edit FHR: 'f89' + edit HR: '89' trigger ./jgfs_post_manager:release_post89 endtask task jgfs_post_f90 + edit FHR: 'f90' + edit HR: '90' trigger ./jgfs_post_manager:release_post90 endtask task jgfs_post_f91 + edit FHR: 'f91' + edit HR: '91' trigger ./jgfs_post_manager:release_post91 endtask task jgfs_post_f92 + edit FHR: 'f92' + edit HR: '92' trigger ./jgfs_post_manager:release_post92 endtask task jgfs_post_f93 + edit FHR: 'f93' + edit HR: '93' trigger ./jgfs_post_manager:release_post93 endtask task jgfs_post_f94 + edit FHR: 'f94' + edit HR: '94' trigger ./jgfs_post_manager:release_post94 endtask task jgfs_post_f95 + edit FHR: 'f95' + edit HR: '95' trigger ./jgfs_post_manager:release_post95 endtask task jgfs_post_f96 + edit FHR: 'f96' + edit HR: '96' trigger ./jgfs_post_manager:release_post96 endtask task jgfs_post_f97 + edit FHR: 'f97' + edit HR: '97' trigger ./jgfs_post_manager:release_post97 endtask task jgfs_post_f98 + edit FHR: 'f98' + edit HR: '98' trigger ./jgfs_post_manager:release_post98 endtask task jgfs_post_f99 + edit FHR: 'f99' + edit HR: '99' trigger ./jgfs_post_manager:release_post99 endtask task jgfs_post_f100 + edit FHR: 'f100' + edit HR: '100' trigger ./jgfs_post_manager:release_post100 endtask task jgfs_post_f101 + edit FHR: 'f101' + edit HR: '101' trigger ./jgfs_post_manager:release_post101 endtask task jgfs_post_f102 + edit FHR: 'f102' + edit HR: '102' trigger ./jgfs_post_manager:release_post102 endtask task jgfs_post_f103 + edit FHR: 'f103' + edit HR: '103' trigger ./jgfs_post_manager:release_post103 endtask task jgfs_post_f104 + edit FHR: 'f104' + edit HR: '104' trigger ./jgfs_post_manager:release_post104 endtask task jgfs_post_f105 + edit FHR: 'f105' + edit HR: '105' trigger ./jgfs_post_manager:release_post105 endtask task jgfs_post_f106 + edit FHR: 'f106' + edit HR: '106' trigger ./jgfs_post_manager:release_post106 endtask task jgfs_post_f107 + edit FHR: 'f107' + edit HR: '107' trigger ./jgfs_post_manager:release_post107 endtask task jgfs_post_f108 + edit FHR: 'f108' + edit HR: '108' trigger ./jgfs_post_manager:release_post108 endtask task jgfs_post_f109 + edit FHR: 'f109' + edit HR: '109' trigger ./jgfs_post_manager:release_post109 endtask task jgfs_post_f110 + edit FHR: 'f110' + edit HR: '110' trigger ./jgfs_post_manager:release_post110 endtask task jgfs_post_f111 + edit FHR: 'f111' + edit HR: '111' trigger ./jgfs_post_manager:release_post111 endtask task jgfs_post_f112 + edit FHR: 'f112' + edit HR: '112' trigger ./jgfs_post_manager:release_post112 endtask task jgfs_post_f113 + edit FHR: 'f113' + edit HR: '113' trigger ./jgfs_post_manager:release_post113 endtask task jgfs_post_f114 + edit FHR: 'f114' + edit HR: '114' trigger ./jgfs_post_manager:release_post114 endtask task jgfs_post_f115 + edit FHR: 'f115' + edit HR: '115' trigger ./jgfs_post_manager:release_post115 endtask task jgfs_post_f116 + edit FHR: 'f116' + edit HR: '116' trigger ./jgfs_post_manager:release_post116 endtask task jgfs_post_f117 + edit FHR: 'f117' + edit HR: '117' trigger ./jgfs_post_manager:release_post117 endtask task jgfs_post_f118 + edit FHR: 'f118' + edit HR: '118' trigger ./jgfs_post_manager:release_post118 endtask task jgfs_post_f119 + edit FHR: 'f119' + edit HR: '119' trigger ./jgfs_post_manager:release_post119 endtask task jgfs_post_f120 + edit FHR: 'f120' + edit HR: '120' trigger ./jgfs_post_manager:release_post120 endtask task jgfs_post_f123 + edit FHR: 'f123' + edit HR: '123' trigger ./jgfs_post_manager:release_post123 endtask task jgfs_post_f126 + edit FHR: 'f126' + edit HR: '126' trigger ./jgfs_post_manager:release_post126 endtask task jgfs_post_f129 + edit FHR: 'f129' + edit HR: '129' trigger ./jgfs_post_manager:release_post129 endtask task jgfs_post_f132 + edit FHR: 'f132' + edit HR: '132' trigger ./jgfs_post_manager:release_post132 endtask task jgfs_post_f135 + edit FHR: 'f135' + edit HR: '135' trigger ./jgfs_post_manager:release_post135 endtask task jgfs_post_f138 + edit FHR: 'f138' + edit HR: '138' trigger ./jgfs_post_manager:release_post138 endtask task jgfs_post_f141 + edit FHR: 'f141' + edit HR: '141' trigger ./jgfs_post_manager:release_post141 endtask task jgfs_post_f144 + edit FHR: 'f144' + edit HR: '144' trigger ./jgfs_post_manager:release_post144 endtask task jgfs_post_f147 + edit FHR: 'f147' + edit HR: '147' trigger ./jgfs_post_manager:release_post147 endtask task jgfs_post_f150 + edit FHR: 'f150' + edit HR: '150' trigger ./jgfs_post_manager:release_post150 endtask task jgfs_post_f153 + edit FHR: 'f153' + edit HR: '153' trigger ./jgfs_post_manager:release_post153 endtask task jgfs_post_f156 + edit FHR: 'f156' + edit HR: '156' trigger ./jgfs_post_manager:release_post156 endtask task jgfs_post_f159 + edit FHR: 'f159' + edit HR: '159' trigger ./jgfs_post_manager:release_post159 endtask task jgfs_post_f162 + edit FHR: 'f162' + edit HR: '162' trigger ./jgfs_post_manager:release_post162 endtask task jgfs_post_f165 + edit FHR: 'f165' + edit HR: '165' trigger ./jgfs_post_manager:release_post165 endtask task jgfs_post_f168 + edit FHR: 'f168' + edit HR: '168' trigger ./jgfs_post_manager:release_post168 endtask task jgfs_post_f171 + edit FHR: 'f171' + edit HR: '171' trigger ./jgfs_post_manager:release_post171 endtask task jgfs_post_f174 + edit FHR: 'f174' + edit HR: '174' trigger ./jgfs_post_manager:release_post174 endtask task jgfs_post_f177 + edit FHR: 'f177' + edit HR: '177' trigger ./jgfs_post_manager:release_post177 endtask task jgfs_post_f180 + edit FHR: 'f180' + edit HR: '180' trigger ./jgfs_post_manager:release_post180 endtask task jgfs_post_f183 + edit FHR: 'f183' + edit HR: '183' trigger ./jgfs_post_manager:release_post183 endtask task jgfs_post_f186 + edit FHR: 'f186' + edit HR: '186' trigger ./jgfs_post_manager:release_post186 endtask task jgfs_post_f189 + edit FHR: 'f189' + edit HR: '189' trigger ./jgfs_post_manager:release_post189 endtask task jgfs_post_f192 + edit FHR: 'f192' + edit HR: '192' trigger ./jgfs_post_manager:release_post192 endtask task jgfs_post_f195 + edit FHR: 'f195' + edit HR: '195' trigger ./jgfs_post_manager:release_post195 endtask task jgfs_post_f198 + edit FHR: 'f198' + edit HR: '198' trigger ./jgfs_post_manager:release_post198 endtask task jgfs_post_f201 + edit FHR: 'f201' + edit HR: '201' trigger ./jgfs_post_manager:release_post201 endtask task jgfs_post_f204 + edit FHR: 'f204' + edit HR: '204' trigger ./jgfs_post_manager:release_post204 endtask task jgfs_post_f207 + edit FHR: 'f207' + edit HR: '207' trigger ./jgfs_post_manager:release_post207 endtask task jgfs_post_f210 + edit FHR: 'f210' + edit HR: '210' trigger ./jgfs_post_manager:release_post210 endtask task jgfs_post_f213 + edit FHR: 'f213' + edit HR: '213' trigger ./jgfs_post_manager:release_post213 endtask task jgfs_post_f216 + edit FHR: 'f216' + edit HR: '216' trigger ./jgfs_post_manager:release_post216 endtask task jgfs_post_f219 + edit FHR: 'f219' + edit HR: '219' trigger ./jgfs_post_manager:release_post219 endtask task jgfs_post_f222 + edit FHR: 'f222' + edit HR: '222' trigger ./jgfs_post_manager:release_post222 endtask task jgfs_post_f225 + edit FHR: 'f225' + edit HR: '225' trigger ./jgfs_post_manager:release_post225 endtask task jgfs_post_f228 + edit FHR: 'f228' + edit HR: '228' trigger ./jgfs_post_manager:release_post228 endtask task jgfs_post_f231 + edit FHR: 'f231' + edit HR: '231' trigger ./jgfs_post_manager:release_post231 endtask task jgfs_post_f234 + edit FHR: 'f234' + edit HR: '234' trigger ./jgfs_post_manager:release_post234 endtask task jgfs_post_f237 + edit FHR: 'f237' + edit HR: '237' trigger ./jgfs_post_manager:release_post237 endtask task jgfs_post_f240 + edit FHR: 'f240' + edit HR: '240' trigger ./jgfs_post_manager:release_post240 endtask task jgfs_post_f252 + edit FHR: 'f252' + edit HR: '252' trigger ./jgfs_post_manager:release_post252 endtask task jgfs_post_f264 + edit FHR: 'f264' + edit HR: '264' trigger ./jgfs_post_manager:release_post264 endtask task jgfs_post_f276 + edit FHR: 'f276' + edit HR: '276' trigger ./jgfs_post_manager:release_post276 endtask task jgfs_post_f288 + edit FHR: 'f288' + edit HR: '288' trigger ./jgfs_post_manager:release_post288 endtask task jgfs_post_f300 + edit FHR: 'f300' + edit HR: '300' trigger ./jgfs_post_manager:release_post300 endtask task jgfs_post_f312 + edit FHR: 'f312' + edit HR: '312' trigger ./jgfs_post_manager:release_post312 endtask task jgfs_post_f324 + edit FHR: 'f324' + edit HR: '324' trigger ./jgfs_post_manager:release_post324 endtask task jgfs_post_f336 + edit FHR: 'f336' + edit HR: '336' trigger ./jgfs_post_manager:release_post336 endtask task jgfs_post_f348 + edit FHR: 'f348' + edit HR: '348' trigger ./jgfs_post_manager:release_post348 endtask task jgfs_post_f360 + edit FHR: 'f360' + edit HR: '360' trigger ./jgfs_post_manager:release_post360 endtask task jgfs_post_f372 + edit FHR: 'f372' + edit HR: '372' trigger ./jgfs_post_manager:release_post372 endtask task jgfs_post_f384 + edit FHR: 'f384' + edit HR: '384' trigger ./jgfs_post_manager:release_post384 endtask endfamily family prdgen task jgfs_pgrb2_anl + edit FHR 'anl' + edit HR 'anl' endtask task jgfs_pgrb2_manager trigger ../post == complete - event 1 release_postanl event 2 release_post00 event 3 release_post01 event 4 release_post02 @@ -1082,350 +3530,696 @@ suite prod12 event 174 release_post384 endtask task jgfs_pgrb2_f00 + edit FHR '00' + edit HR '00' endtask task jgfs_pgrb2_f01 + edit FHR '01' + edit HR '01' endtask task jgfs_pgrb2_f02 + edit FHR '02' + edit HR '02' endtask task jgfs_pgrb2_f03 + edit FHR '03' + edit HR '03' endtask task jgfs_pgrb2_f04 + edit FHR '04' + edit HR '04' endtask task jgfs_pgrb2_f05 + edit FHR '05' + edit HR '05' endtask task jgfs_pgrb2_f06 + edit FHR '06' + edit HR '06' endtask task jgfs_pgrb2_f07 + edit FHR '07' + edit HR '07' endtask task jgfs_pgrb2_f08 + edit FHR '08' + edit HR '08' endtask task jgfs_pgrb2_f09 + edit FHR '09' + edit HR '09' endtask task jgfs_pgrb2_f10 + edit FHR '10' + edit HR '10' endtask task jgfs_pgrb2_f11 + edit FHR '11' + edit HR '11' endtask task jgfs_pgrb2_f12 + edit FHR '12' + edit HR '12' endtask task jgfs_pgrb2_f13 + edit FHR '13' + edit HR '13' endtask task jgfs_pgrb2_f14 + edit FHR '14' + edit HR '14' endtask task jgfs_pgrb2_f15 + edit FHR '15' + edit HR '15' endtask task jgfs_pgrb2_f16 + edit FHR '16' + edit HR '16' endtask task jgfs_pgrb2_f17 + edit FHR '17' + edit HR '17' endtask task jgfs_pgrb2_f18 + edit FHR '18' + edit HR '18' endtask task jgfs_pgrb2_f19 + edit FHR '19' + edit HR '19' endtask task jgfs_pgrb2_f20 + edit FHR '20' + edit HR '20' endtask task jgfs_pgrb2_f21 + edit FHR '21' + edit HR '21' endtask task jgfs_pgrb2_f22 + edit FHR '22' + edit HR '22' endtask task jgfs_pgrb2_f23 + edit FHR '23' + edit HR '23' endtask task jgfs_pgrb2_f24 + edit FHR '24' + edit HR '24' endtask task jgfs_pgrb2_f25 + edit FHR '25' + edit HR '25' endtask task jgfs_pgrb2_f26 + edit FHR '26' + edit HR '26' endtask task jgfs_pgrb2_f27 + edit FHR '27' + edit HR '27' endtask task jgfs_pgrb2_f28 + edit FHR '28' + edit HR '28' endtask task jgfs_pgrb2_f29 + edit FHR '29' + edit HR '29' endtask task jgfs_pgrb2_f30 + edit FHR '30' + edit HR '30' endtask task jgfs_pgrb2_f31 + edit FHR '31' + edit HR '31' endtask task jgfs_pgrb2_f32 + edit FHR '32' + edit HR '32' endtask task jgfs_pgrb2_f33 + edit FHR '33' + edit HR '33' endtask task jgfs_pgrb2_f34 + edit FHR '34' + edit HR '34' endtask task jgfs_pgrb2_f35 + edit FHR '35' + edit HR '35' endtask task jgfs_pgrb2_f36 + edit FHR '36' + edit HR '36' endtask task jgfs_pgrb2_f37 + edit FHR '37' + edit HR '37' endtask task jgfs_pgrb2_f38 + edit FHR '38' + edit HR '38' endtask task jgfs_pgrb2_f39 + edit FHR '39' + edit HR '39' endtask task jgfs_pgrb2_f40 + edit FHR '40' + edit HR '40' endtask task jgfs_pgrb2_f41 + edit FHR '41' + edit HR '41' endtask task jgfs_pgrb2_f42 + edit FHR '42' + edit HR '42' endtask task jgfs_pgrb2_f43 + edit FHR '43' + edit HR '43' endtask task jgfs_pgrb2_f44 + edit FHR '44' + edit HR '44' endtask task jgfs_pgrb2_f45 + edit FHR '45' + edit HR '45' endtask task jgfs_pgrb2_f46 + edit FHR '46' + edit HR '46' endtask task jgfs_pgrb2_f47 + edit FHR '47' + edit HR '47' endtask task jgfs_pgrb2_f48 + edit FHR '48' + edit HR '48' endtask task jgfs_pgrb2_f49 + edit FHR '49' + edit HR '49' endtask task jgfs_pgrb2_f50 + edit FHR '50' + edit HR '50' endtask task jgfs_pgrb2_f51 + edit FHR '51' + edit HR '51' endtask task jgfs_pgrb2_f52 + edit FHR '52' + edit HR '52' endtask task jgfs_pgrb2_f53 + edit FHR '53' + edit HR '53' endtask task jgfs_pgrb2_f54 + edit FHR '54' + edit HR '54' endtask task jgfs_pgrb2_f55 + edit FHR '55' + edit HR '55' endtask task jgfs_pgrb2_f56 + edit FHR '56' + edit HR '56' endtask task jgfs_pgrb2_f57 + edit FHR '57' + edit HR '57' endtask task jgfs_pgrb2_f58 + edit FHR '58' + edit HR '58' endtask task jgfs_pgrb2_f59 + edit FHR '59' + edit HR '59' endtask task jgfs_pgrb2_f60 + edit FHR '60' + edit HR '60' endtask task jgfs_pgrb2_f61 + edit FHR '61' + edit HR '61' endtask task jgfs_pgrb2_f62 + edit FHR '62' + edit HR '62' endtask task jgfs_pgrb2_f63 + edit FHR '63' + edit HR '63' endtask task jgfs_pgrb2_f64 + edit FHR '64' + edit HR '64' endtask task jgfs_pgrb2_f65 + edit FHR '65' + edit HR '65' endtask task jgfs_pgrb2_f66 + edit FHR '66' + edit HR '66' endtask task jgfs_pgrb2_f67 + edit FHR '67' + edit HR '67' endtask task jgfs_pgrb2_f68 + edit FHR '68' + edit HR '68' endtask task jgfs_pgrb2_f69 + edit FHR '69' + edit HR '69' endtask task jgfs_pgrb2_f70 + edit FHR '70' + edit HR '70' endtask task jgfs_pgrb2_f71 + edit FHR '71' + edit HR '71' endtask task jgfs_pgrb2_f72 + edit FHR '72' + edit HR '72' endtask task jgfs_pgrb2_f73 + edit FHR '73' + edit HR '73' endtask task jgfs_pgrb2_f74 + edit FHR '74' + edit HR '74' endtask task jgfs_pgrb2_f75 + edit FHR '75' + edit HR '75' endtask task jgfs_pgrb2_f76 + edit FHR '76' + edit HR '76' endtask task jgfs_pgrb2_f77 + edit FHR '77' + edit HR '77' endtask task jgfs_pgrb2_f78 + edit FHR '78' + edit HR '78' endtask task jgfs_pgrb2_f79 + edit FHR '79' + edit HR '79' endtask task jgfs_pgrb2_f80 + edit FHR '80' + edit HR '80' endtask task jgfs_pgrb2_f81 + edit FHR '81' + edit HR '81' endtask task jgfs_pgrb2_f82 + edit FHR '82' + edit HR '82' endtask task jgfs_pgrb2_f83 + edit FHR '83' + edit HR '83' endtask task jgfs_pgrb2_f84 + edit FHR '84' + edit HR '84' endtask task jgfs_pgrb2_f85 + edit FHR '85' + edit HR '85' endtask task jgfs_pgrb2_f86 + edit FHR '86' + edit HR '86' endtask task jgfs_pgrb2_f87 + edit FHR '87' + edit HR '87' endtask task jgfs_pgrb2_f88 + edit FHR '88' + edit HR '88' endtask task jgfs_pgrb2_f89 + edit FHR '89' + edit HR '89' endtask task jgfs_pgrb2_f90 + edit FHR '90' + edit HR '90' endtask task jgfs_pgrb2_f91 + edit FHR '91' + edit HR '91' endtask task jgfs_pgrb2_f92 + edit FHR '92' + edit HR '92' endtask task jgfs_pgrb2_f93 + edit FHR '93' + edit HR '93' endtask task jgfs_pgrb2_f94 + edit FHR '94' + edit HR '94' endtask task jgfs_pgrb2_f95 + edit FHR '95' + edit HR '95' endtask task jgfs_pgrb2_f96 + edit FHR '96' + edit HR '96' endtask task jgfs_pgrb2_f97 + edit FHR '97' + edit HR '97' endtask task jgfs_pgrb2_f98 + edit FHR '98' + edit HR '98' endtask task jgfs_pgrb2_f99 + edit FHR '99' + edit HR '99' endtask task jgfs_pgrb2_f100 + edit FHR '100' + edit HR '100' endtask task jgfs_pgrb2_f101 + edit FHR '101' + edit HR '101' endtask task jgfs_pgrb2_f102 + edit FHR '102' + edit HR '102' endtask task jgfs_pgrb2_f103 + edit FHR '103' + edit HR '103' endtask task jgfs_pgrb2_f104 + edit FHR '104' + edit HR '104' endtask task jgfs_pgrb2_f105 + edit FHR '105' + edit HR '105' endtask task jgfs_pgrb2_f106 + edit FHR '106' + edit HR '106' endtask task jgfs_pgrb2_f107 + edit FHR '107' + edit HR '107' endtask task jgfs_pgrb2_f108 + edit FHR '108' + edit HR '108' endtask task jgfs_pgrb2_f109 + edit FHR '109' + edit HR '109' endtask task jgfs_pgrb2_f110 + edit FHR '110' + edit HR '110' endtask task jgfs_pgrb2_f111 + edit FHR '111' + edit HR '111' endtask task jgfs_pgrb2_f112 + edit FHR '112' + edit HR '112' endtask task jgfs_pgrb2_f113 + edit FHR '113' + edit HR '113' endtask task jgfs_pgrb2_f114 + edit FHR '114' + edit HR '114' endtask task jgfs_pgrb2_f115 + edit FHR '115' + edit HR '115' endtask task jgfs_pgrb2_f116 + edit FHR '116' + edit HR '116' endtask task jgfs_pgrb2_f117 + edit FHR '117' + edit HR '117' endtask task jgfs_pgrb2_f118 + edit FHR '118' + edit HR '118' endtask task jgfs_pgrb2_f119 + edit FHR '119' + edit HR '119' endtask task jgfs_pgrb2_f120 + edit FHR '120' + edit HR '120' endtask task jgfs_pgrb2_f123 + edit FHR '123' + edit HR '123' endtask task jgfs_pgrb2_f126 + edit FHR '126' + edit HR '126' endtask task jgfs_pgrb2_f129 + edit FHR '129' + edit HR '129' endtask task jgfs_pgrb2_f132 + edit FHR '132' + edit HR '132' endtask task jgfs_pgrb2_f135 + edit FHR '135' + edit HR '135' endtask task jgfs_pgrb2_f138 + edit FHR '138' + edit HR '138' endtask task jgfs_pgrb2_f141 + edit FHR '141' + edit HR '141' endtask task jgfs_pgrb2_f144 + edit FHR '144' + edit HR '144' endtask task jgfs_pgrb2_f147 + edit FHR '147' + edit HR '147' endtask task jgfs_pgrb2_f150 + edit FHR '150' + edit HR '150' endtask task jgfs_pgrb2_f153 + edit FHR '153' + edit HR '153' endtask task jgfs_pgrb2_f156 + edit FHR '156' + edit HR '156' endtask task jgfs_pgrb2_f159 + edit FHR '159' + edit HR '159' endtask task jgfs_pgrb2_f162 + edit FHR '162' + edit HR '162' endtask task jgfs_pgrb2_f165 + edit FHR '165' + edit HR '165' endtask task jgfs_pgrb2_f168 + edit FHR '168' + edit HR '168' endtask task jgfs_pgrb2_f171 + edit FHR '171' + edit HR '171' endtask task jgfs_pgrb2_f174 + edit FHR '174' + edit HR '174' endtask task jgfs_pgrb2_f177 + edit FHR '177' + edit HR '177' endtask task jgfs_pgrb2_f180 + edit FHR '180' + edit HR '180' endtask task jgfs_pgrb2_f183 + edit FHR '183' + edit HR '183' endtask task jgfs_pgrb2_f186 + edit FHR '186' + edit HR '186' endtask task jgfs_pgrb2_f189 + edit FHR '189' + edit HR '189' endtask task jgfs_pgrb2_f192 + edit FHR '192' + edit HR '192' endtask task jgfs_pgrb2_f195 + edit FHR '195' + edit HR '195' endtask task jgfs_pgrb2_f198 + edit FHR '198' + edit HR '198' endtask task jgfs_pgrb2_f201 + edit FHR '201' + edit HR '201' endtask task jgfs_pgrb2_f204 + edit FHR '204' + edit HR '204' endtask task jgfs_pgrb2_f207 + edit FHR '207' + edit HR '207' endtask task jgfs_pgrb2_f210 + edit FHR '210' + edit HR '210' endtask task jgfs_pgrb2_f213 + edit FHR '213' + edit HR '213' endtask task jgfs_pgrb2_f216 + edit FHR '216' + edit HR '216' endtask task jgfs_pgrb2_f219 + edit FHR '219' + edit HR '219' endtask task jgfs_pgrb2_f222 + edit FHR '222' + edit HR '222' endtask task jgfs_pgrb2_f225 + edit FHR '225' + edit HR '225' endtask task jgfs_pgrb2_f228 + edit FHR '228' + edit HR '228' endtask task jgfs_pgrb2_f231 + edit FHR '231' + edit HR '231' endtask task jgfs_pgrb2_f234 + edit FHR '234' + edit HR '234' endtask task jgfs_pgrb2_f237 + edit FHR '237' + edit HR '237' endtask task jgfs_pgrb2_f240 + edit FHR '240' + edit HR '240' endtask task jgfs_pgrb2_f252 + edit FHR '252' + edit HR '252' endtask task jgfs_pgrb2_f264 + edit FHR '264' + edit HR '264' endtask task jgfs_pgrb2_f276 + edit FHR '276' + edit HR '276' endtask task jgfs_pgrb2_f288 + edit FHR '288' + edit HR '288' endtask task jgfs_pgrb2_f300 + edit FHR '300' + edit HR '300' endtask task jgfs_pgrb2_f312 + edit FHR '312' + edit HR '312' endtask task jgfs_pgrb2_f324 + edit FHR '324' + edit HR '324' endtask task jgfs_pgrb2_f336 + edit FHR '336' + edit HR '336' endtask task jgfs_pgrb2_f348 + edit FHR '348' + edit HR '348' endtask task jgfs_pgrb2_f360 + edit FHR '360' + edit HR '360' endtask task jgfs_pgrb2_f372 + edit FHR '372' + edit HR '372' endtask task jgfs_pgrb2_f384 + edit FHR '384' + edit HR '384' endtask endfamily family gempak @@ -1444,6 +4238,10 @@ suite prod12 endfamily endfamily family gdas + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + edit PROJ '%PROJENVIR%' + edit MODEL_NAME 'gdas' task jgdas_verfrad trigger ./enkf == complete endtask @@ -1529,24 +4327,9 @@ suite prod12 endtask task jgdas_enkf_innovate_obs_grp8 endtask - task jgdas_enkf_innovate_obs_grp9 - endtask - task jgdas_enkf_innovate_obs_grp10 - endtask - task jgdas_enkf_innovate_obs_grp11 - endtask - task jgdas_enkf_innovate_obs_grp12 - endtask - task jgdas_enkf_innovate_obs_grp13 - endtask - task jgdas_enkf_innovate_obs_grp14 - endtask - task jgdas_enkf_innovate_obs_grp15 - endtask - task jgdas_enkf_innovate_obs_grp16 - endtask endfamily task jgdas_enkf_update + edit ECF_PASS 'FREE' trigger ./innovate == complete endtask task jgdas_enkf_inflate_recenter @@ -1570,22 +4353,6 @@ suite prod12 endtask task jgdas_enkf_fcst_grp8 endtask - task jgdas_enkf_fcst_grp9 - endtask - task jgdas_enkf_fcst_grp10 - endtask - task jgdas_enkf_fcst_grp11 - endtask - task jgdas_enkf_fcst_grp12 - endtask - task jgdas_enkf_fcst_grp13 - endtask - task jgdas_enkf_fcst_grp14 - endtask - task jgdas_enkf_fcst_grp15 - endtask - task jgdas_enkf_fcst_grp16 - endtask endfamily task jgdas_enkf_post trigger ./forecast == complete @@ -1593,5 +4360,7 @@ suite prod12 endfamily endfamily task cycle_end + edit ECF_JOB_CMD '%ECF_JOB% 1> %ECF_JOBOUT% 2>&1' + edit ECF_PASS 'FREE' endtask endsuite diff --git a/model/ecflow_fv3gfs/defs/prod18.def b/model/ecflow_fv3gfs/defs/prod18.def index 863644e..8d5bb08 100644 --- a/model/ecflow_fv3gfs/defs/prod18.def +++ b/model/ecflow_fv3gfs/defs/prod18.def @@ -9,11 +9,14 @@ suite prod18 #edit ECF_HOME '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3' edit CYC '18' edit ENVIR 'prod' + edit PROJ 'GFS-T2O' edit E 'jecffv3' #edit QUEUE 'dev' edit QUEUE 'debug' edit PROJENVIR 'GFS-T2O' + #edit EMCPEN 'ecfgfsfv3' edit EMCPEN 'ecfgfsfv3' + #edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' edit COM '/gpfs/hps2/ptmp/emc.glopara/ecfgfsfv3/com' edit QUEUESERV 'dev_transfer' edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/ecfgfsfv3' @@ -22,6 +25,10 @@ suite prod18 edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' edit MODEL_NAME 'gfs' family gfs + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' + edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' + edit PROJ '%PROJENVIR%' + edit MODEL_NAME 'gfs' family dump task jgfs_tropcy_qc_reloc trigger ./jgfs_dump == complete @@ -70,83 +77,109 @@ suite prod18 endtask family fax task jgfs_fax_f00 + edit FCSTHR '00' trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_anl == complete endtask task jgfs_fax_anl + edit FCSTHR 'anl' trigger ../../prdgen/jgfs_pgrb2_anl == complete endtask task jgfs_fax_wafs_f12 + edit FCSTHR '12' trigger ../../prdgen/jgfs_pgrb2_f12 == complete endtask task jgfs_fax_wafs_f24 + edit FCSTHR '24' trigger ../../prdgen/jgfs_pgrb2_f24 == complete endtask task jgfs_fax_wafs_f36 + edit FCSTHR '36' trigger ../../prdgen/jgfs_pgrb2_f36 == complete endtask endfamily family grib_wafs task jgfs_wafs_f00 + edit FCSTHR '00' trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f120 == complete and ../grib2_wafs/jgfs_wafs_grib2 == complete endtask task jgfs_wafs_f06 + edit FCSTHR '06' trigger ../../prdgen/jgfs_pgrb2_f06 == complete and ./jgfs_wafs_f00 == complete endtask task jgfs_wafs_f12 + edit FCSTHR '12' trigger ../../prdgen/jgfs_pgrb2_f12 == complete and ./jgfs_wafs_f06 == complete endtask task jgfs_wafs_f18 + edit FCSTHR '18' trigger ../../prdgen/jgfs_pgrb2_f18 == complete and ./jgfs_wafs_f12 == complete endtask task jgfs_wafs_f24 + edit FCSTHR '24' trigger ../../prdgen/jgfs_pgrb2_f24 == complete and ./jgfs_wafs_f18 == complete endtask task jgfs_wafs_f30 + edit FCSTHR '30' trigger ../../prdgen/jgfs_pgrb2_f30 == complete and ./jgfs_wafs_f24 == complete endtask task jgfs_wafs_f36 + edit FCSTHR '36' trigger ../../prdgen/jgfs_pgrb2_f36 == complete and ./jgfs_wafs_f30 == complete endtask task jgfs_wafs_f42 + edit FCSTHR '42' trigger ../../prdgen/jgfs_pgrb2_f42 == complete and ./jgfs_wafs_f36 == complete endtask task jgfs_wafs_f48 + edit FCSTHR '48' trigger ../../prdgen/jgfs_pgrb2_f48 == complete and ./jgfs_wafs_f42 == complete endtask task jgfs_wafs_f54 + edit FCSTHR '54' trigger ../../prdgen/jgfs_pgrb2_f54 == complete and ./jgfs_wafs_f48 == complete endtask task jgfs_wafs_f60 + edit FCSTHR '60' trigger ../../prdgen/jgfs_pgrb2_f60 == complete and ./jgfs_wafs_f54 == complete endtask task jgfs_wafs_f66 + edit FCSTHR '66' trigger ../../prdgen/jgfs_pgrb2_f66 == complete and ./jgfs_wafs_f60 == complete endtask task jgfs_wafs_f72 + edit FCSTHR '72' trigger ../../prdgen/jgfs_pgrb2_f72 == complete and ./jgfs_wafs_f66 == complete endtask task jgfs_wafs_f78 + edit FCSTHR '78' trigger ../../prdgen/jgfs_pgrb2_f78 == complete and ./jgfs_wafs_f72 == complete endtask task jgfs_wafs_f84 + edit FCSTHR '84' trigger ../../prdgen/jgfs_pgrb2_f84 == complete and ./jgfs_wafs_f78 == complete endtask task jgfs_wafs_f90 + edit FCSTHR '90' trigger ../../prdgen/jgfs_pgrb2_f90 == complete and ./jgfs_wafs_f84 == complete endtask task jgfs_wafs_f96 + edit FCSTHR '96' trigger ../../prdgen/jgfs_pgrb2_f96 == complete and ./jgfs_wafs_f90 == complete endtask task jgfs_wafs_f102 + edit FCSTHR '102' trigger ../../prdgen/jgfs_pgrb2_f102 == complete and ./jgfs_wafs_f96 == complete endtask task jgfs_wafs_f108 + edit FCSTHR '108' trigger ../../prdgen/jgfs_pgrb2_f108 == complete and ./jgfs_wafs_f102 == complete endtask task jgfs_wafs_f114 + edit FCSTHR '114' trigger ../../prdgen/jgfs_pgrb2_f114 == complete and ./jgfs_wafs_f108 == complete endtask task jgfs_wafs_f120 + edit FCSTHR '120' trigger ../../prdgen/jgfs_pgrb2_f120 == complete and ./jgfs_wafs_f114 == complete endtask endfamily @@ -160,7 +193,7 @@ suite prod18 trigger ../../post/jgfs_post_f06 == complete and ../../post/jgfs_post_f12 == complete and ../../post/jgfs_post_f24 == complete endtask task jgfs_cyclone_tracker - trigger ../../post/jgfs_post_f00 == complete and ../../post/jgfs_post_f06 == complete and ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f06 == complete + trigger ../../post/jgfs_post_f00 == complete and ../../post/jgfs_post_f06 == complete and ../../post/jgfs_post_f12 == complete and ../../post/jgfs_post_f18 == complete and ../../post/jgfs_post_f24 == complete and ../../post/jgfs_post_f30 == complete and ../../post/jgfs_post_f36 == complete and ../../post/jgfs_post_f42 == complete and ../../post/jgfs_post_f48 == complete and ../../post/jgfs_post_f54 == complete and ../../post/jgfs_post_f60 == complete and ../../post/jgfs_post_f66 == complete and ../../post/jgfs_post_f72 == complete and ../../post/jgfs_post_f78 == complete and ../../post/jgfs_post_f84 == complete and ../../post/jgfs_post_f90 == complete and ../../post/jgfs_post_f96 == complete and ../../post/jgfs_post_f102 == complete and ../../post/jgfs_post_f108 == complete and ../../post/jgfs_post_f114 == complete and ../../post/jgfs_post_f120 == complete and ../../post/jgfs_post_f126 == complete and ../../post/jgfs_post_f132 == complete and ../../post/jgfs_post_f138 == complete and ../../post/jgfs_post_f144 == complete and ../../post/jgfs_post_f150 == complete and ../../post/jgfs_post_f156 == complete and ../../post/jgfs_post_f162 == complete and ../../post/jgfs_post_f168 == complete and ../../post/jgfs_post_f174 == complete and ../../post/jgfs_post_f180 == complete and ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f06 == complete and ../../prdgen/jgfs_pgrb2_f12 == complete and ../../prdgen/jgfs_pgrb2_f18 == complete and ../../prdgen/jgfs_pgrb2_f24 == complete and ../../prdgen/jgfs_pgrb2_f30 == complete and ../../prdgen/jgfs_pgrb2_f36 == complete and ../../prdgen/jgfs_pgrb2_f42 == complete and ../../prdgen/jgfs_pgrb2_f48 == complete and ../../prdgen/jgfs_pgrb2_f54 == complete and ../../prdgen/jgfs_pgrb2_f60 == complete and ../../prdgen/jgfs_pgrb2_f66 == complete and ../../prdgen/jgfs_pgrb2_f72 == complete and ../../prdgen/jgfs_pgrb2_f78 == complete and ../../prdgen/jgfs_pgrb2_f84 == complete and ../../prdgen/jgfs_pgrb2_f90 == complete and ../../prdgen/jgfs_pgrb2_f96 == complete and ../../prdgen/jgfs_pgrb2_f102 == complete and ../../prdgen/jgfs_pgrb2_f108 == complete and ../../prdgen/jgfs_pgrb2_f114 == complete and ../../prdgen/jgfs_pgrb2_f120 == complete and ../../prdgen/jgfs_pgrb2_f126 == complete and ../../prdgen/jgfs_pgrb2_f132 == complete and ../../prdgen/jgfs_pgrb2_f138 == complete and ../../prdgen/jgfs_pgrb2_f144 == complete and ../../prdgen/jgfs_pgrb2_f150 == complete and ../../prdgen/jgfs_pgrb2_f156 == complete and ../../prdgen/jgfs_pgrb2_f162 == complete and ../../prdgen/jgfs_pgrb2_f168 == complete and ../../prdgen/jgfs_pgrb2_f174 == complete and ../../prdgen/jgfs_pgrb2_f180 == complete endtask endfamily family grib2_wafs @@ -173,37 +206,2105 @@ suite prod18 endfamily family grib_awips task jgfs_awips_f00 + edit FCSTHR '00' trigger ../../prdgen/jgfs_pgrb2_f00 == complete endtask + task jgfs_awips_f01 + edit FCSTHR '01' + trigger ../../prdgen/jgfs_pgrb2_f01 == complete + endtask + task jgfs_awips_f02 + edit FCSTHR '02' + trigger ../../prdgen/jgfs_pgrb2_f02 == complete + endtask + task jgfs_awips_f03 + edit FCSTHR '03' + trigger ../../prdgen/jgfs_pgrb2_f03 == complete + endtask + task jgfs_awips_f04 + edit FCSTHR '04' + trigger ../../prdgen/jgfs_pgrb2_f04 == complete + endtask + task jgfs_awips_f05 + edit FCSTHR '05' + trigger ../../prdgen/jgfs_pgrb2_f05 == complete + endtask task jgfs_awips_f06 + edit FCSTHR '06' trigger ../../prdgen/jgfs_pgrb2_f06 == complete endtask + task jgfs_awips_f07 + edit FCSTHR '07' + trigger ../../prdgen/jgfs_pgrb2_f07 == complete + endtask + task jgfs_awips_f08 + edit FCSTHR '08' + trigger ../../prdgen/jgfs_pgrb2_f08 == complete + endtask + task jgfs_awips_f09 + edit FCSTHR '09' + trigger ../../prdgen/jgfs_pgrb2_f09 == complete + endtask + task jgfs_awips_f10 + edit FCSTHR '10' + trigger ../../prdgen/jgfs_pgrb2_f10 == complete + endtask + task jgfs_awips_f11 + edit FCSTHR '11' + trigger ../../prdgen/jgfs_pgrb2_f11 == complete + endtask + task jgfs_awips_f12 + edit FCSTHR '12' + trigger ../../prdgen/jgfs_pgrb2_f12 == complete + endtask + task jgfs_awips_f13 + edit FCSTHR '13' + trigger ../../prdgen/jgfs_pgrb2_f13 == complete + endtask + task jgfs_awips_f14 + edit FCSTHR '14' + trigger ../../prdgen/jgfs_pgrb2_f14 == complete + endtask + task jgfs_awips_f15 + edit FCSTHR '15' + trigger ../../prdgen/jgfs_pgrb2_f15 == complete + endtask + task jgfs_awips_f16 + edit FCSTHR '16' + trigger ../../prdgen/jgfs_pgrb2_f16 == complete + endtask + task jgfs_awips_f17 + edit FCSTHR '17' + trigger ../../prdgen/jgfs_pgrb2_f17 == complete + endtask + task jgfs_awips_f18 + edit FCSTHR '18' + trigger ../../prdgen/jgfs_pgrb2_f18 == complete + endtask + task jgfs_awips_f19 + edit FCSTHR '19' + trigger ../../prdgen/jgfs_pgrb2_f19 == complete + endtask + task jgfs_awips_f20 + edit FCSTHR '20' + trigger ../../prdgen/jgfs_pgrb2_f20 == complete + endtask + task jgfs_awips_f21 + edit FCSTHR '21' + trigger ../../prdgen/jgfs_pgrb2_f21 == complete + endtask + task jgfs_awips_f22 + edit FCSTHR '22' + trigger ../../prdgen/jgfs_pgrb2_f22 == complete + endtask + task jgfs_awips_f23 + edit FCSTHR '23' + trigger ../../prdgen/jgfs_pgrb2_f23 == complete + endtask + task jgfs_awips_f24 + edit FCSTHR '24' + trigger ../../prdgen/jgfs_pgrb2_f24 == complete + endtask + task jgfs_awips_f25 + edit FCSTHR '25' + trigger ../../prdgen/jgfs_pgrb2_f25 == complete + endtask + task jgfs_awips_f26 + edit FCSTHR '26' + trigger ../../prdgen/jgfs_pgrb2_f26 == complete + endtask + task jgfs_awips_f27 + edit FCSTHR '27' + trigger ../../prdgen/jgfs_pgrb2_f27 == complete + endtask + task jgfs_awips_f28 + edit FCSTHR '28' + trigger ../../prdgen/jgfs_pgrb2_f28 == complete + endtask + task jgfs_awips_f29 + edit FCSTHR '29' + trigger ../../prdgen/jgfs_pgrb2_f29 == complete + endtask + task jgfs_awips_f30 + edit FCSTHR '30' + trigger ../../prdgen/jgfs_pgrb2_f30 == complete + endtask + task jgfs_awips_f31 + edit FCSTHR '31' + trigger ../../prdgen/jgfs_pgrb2_f31 == complete + endtask + task jgfs_awips_f32 + edit FCSTHR '32' + trigger ../../prdgen/jgfs_pgrb2_f32 == complete + endtask + task jgfs_awips_f33 + edit FCSTHR '33' + trigger ../../prdgen/jgfs_pgrb2_f33 == complete + endtask + task jgfs_awips_f34 + edit FCSTHR '34' + trigger ../../prdgen/jgfs_pgrb2_f34 == complete + endtask + task jgfs_awips_f35 + edit FCSTHR '35' + trigger ../../prdgen/jgfs_pgrb2_f35 == complete + endtask + task jgfs_awips_f36 + edit FCSTHR '36' + trigger ../../prdgen/jgfs_pgrb2_f36 == complete + endtask + task jgfs_awips_f37 + edit FCSTHR '37' + trigger ../../prdgen/jgfs_pgrb2_f37 == complete + endtask + task jgfs_awips_f38 + edit FCSTHR '38' + trigger ../../prdgen/jgfs_pgrb2_f38 == complete + endtask + task jgfs_awips_f39 + edit FCSTHR '39' + trigger ../../prdgen/jgfs_pgrb2_f39 == complete + endtask + task jgfs_awips_f40 + edit FCSTHR '40' + trigger ../../prdgen/jgfs_pgrb2_f40 == complete + endtask + task jgfs_awips_f41 + edit FCSTHR '41' + trigger ../../prdgen/jgfs_pgrb2_f41 == complete + endtask + task jgfs_awips_f42 + edit FCSTHR '42' + trigger ../../prdgen/jgfs_pgrb2_f42 == complete + endtask + task jgfs_awips_f43 + edit FCSTHR '43' + trigger ../../prdgen/jgfs_pgrb2_f43 == complete + endtask + task jgfs_awips_f44 + edit FCSTHR '44' + trigger ../../prdgen/jgfs_pgrb2_f44 == complete + endtask + task jgfs_awips_f45 + edit FCSTHR '45' + trigger ../../prdgen/jgfs_pgrb2_f45 == complete + endtask + task jgfs_awips_f46 + edit FCSTHR '46' + trigger ../../prdgen/jgfs_pgrb2_f46 == complete + endtask + task jgfs_awips_f47 + edit FCSTHR '47' + trigger ../../prdgen/jgfs_pgrb2_f47 == complete + endtask + task jgfs_awips_f48 + edit FCSTHR '48' + trigger ../../prdgen/jgfs_pgrb2_f48 == complete + endtask + task jgfs_awips_f49 + edit FCSTHR '49' + trigger ../../prdgen/jgfs_pgrb2_f49 == complete + endtask + task jgfs_awips_f50 + edit FCSTHR '50' + trigger ../../prdgen/jgfs_pgrb2_f50 == complete + endtask + task jgfs_awips_f51 + edit FCSTHR '51' + trigger ../../prdgen/jgfs_pgrb2_f51 == complete + endtask + task jgfs_awips_f52 + edit FCSTHR '52' + trigger ../../prdgen/jgfs_pgrb2_f52 == complete + endtask + task jgfs_awips_f53 + edit FCSTHR '53' + trigger ../../prdgen/jgfs_pgrb2_f53 == complete + endtask + task jgfs_awips_f54 + edit FCSTHR '54' + trigger ../../prdgen/jgfs_pgrb2_f54 == complete + endtask + task jgfs_awips_f55 + edit FCSTHR '55' + trigger ../../prdgen/jgfs_pgrb2_f55 == complete + endtask + task jgfs_awips_f56 + edit FCSTHR '56' + trigger ../../prdgen/jgfs_pgrb2_f56 == complete + endtask + task jgfs_awips_f57 + edit FCSTHR '57' + trigger ../../prdgen/jgfs_pgrb2_f57 == complete + endtask + task jgfs_awips_f58 + edit FCSTHR '58' + trigger ../../prdgen/jgfs_pgrb2_f58 == complete + endtask + task jgfs_awips_f59 + edit FCSTHR '59' + trigger ../../prdgen/jgfs_pgrb2_f59 == complete + endtask + task jgfs_awips_f60 + edit FCSTHR '60' + trigger ../../prdgen/jgfs_pgrb2_f60 == complete + endtask + task jgfs_awips_f61 + edit FCSTHR '61' + trigger ../../prdgen/jgfs_pgrb2_f61 == complete + endtask + task jgfs_awips_f62 + edit FCSTHR '62' + trigger ../../prdgen/jgfs_pgrb2_f62 == complete + endtask + task jgfs_awips_f63 + edit FCSTHR '63' + trigger ../../prdgen/jgfs_pgrb2_f63 == complete + endtask + task jgfs_awips_f64 + edit FCSTHR '64' + trigger ../../prdgen/jgfs_pgrb2_f64 == complete + endtask + task jgfs_awips_f65 + edit FCSTHR '65' + trigger ../../prdgen/jgfs_pgrb2_f65 == complete + endtask + task jgfs_awips_f66 + edit FCSTHR '66' + trigger ../../prdgen/jgfs_pgrb2_f66 == complete + endtask + task jgfs_awips_f67 + edit FCSTHR '67' + trigger ../../prdgen/jgfs_pgrb2_f67 == complete + endtask + task jgfs_awips_f68 + edit FCSTHR '68' + trigger ../../prdgen/jgfs_pgrb2_f68 == complete + endtask + task jgfs_awips_f69 + edit FCSTHR '69' + trigger ../../prdgen/jgfs_pgrb2_f69 == complete + endtask + task jgfs_awips_f70 + edit FCSTHR '70' + trigger ../../prdgen/jgfs_pgrb2_f70 == complete + endtask + task jgfs_awips_f71 + edit FCSTHR '71' + trigger ../../prdgen/jgfs_pgrb2_f71 == complete + endtask + task jgfs_awips_f72 + edit FCSTHR '72' + trigger ../../prdgen/jgfs_pgrb2_f72 == complete + endtask + task jgfs_awips_f73 + edit FCSTHR '73' + trigger ../../prdgen/jgfs_pgrb2_f73 == complete + endtask + task jgfs_awips_f74 + edit FCSTHR '74' + trigger ../../prdgen/jgfs_pgrb2_f74 == complete + endtask + task jgfs_awips_f75 + edit FCSTHR '75' + trigger ../../prdgen/jgfs_pgrb2_f75 == complete + endtask + task jgfs_awips_f76 + edit FCSTHR '76' + trigger ../../prdgen/jgfs_pgrb2_f76 == complete + endtask + task jgfs_awips_f77 + edit FCSTHR '77' + trigger ../../prdgen/jgfs_pgrb2_f77 == complete + endtask + task jgfs_awips_f78 + edit FCSTHR '78' + trigger ../../prdgen/jgfs_pgrb2_f78 == complete + endtask + task jgfs_awips_f79 + edit FCSTHR '79' + trigger ../../prdgen/jgfs_pgrb2_f79 == complete + endtask + task jgfs_awips_f80 + edit FCSTHR '80' + trigger ../../prdgen/jgfs_pgrb2_f80 == complete + endtask + task jgfs_awips_f81 + edit FCSTHR '81' + trigger ../../prdgen/jgfs_pgrb2_f81 == complete + endtask + task jgfs_awips_f82 + edit FCSTHR '82' + trigger ../../prdgen/jgfs_pgrb2_f82 == complete + endtask + task jgfs_awips_f83 + edit FCSTHR '83' + trigger ../../prdgen/jgfs_pgrb2_f83 == complete + endtask + task jgfs_awips_f84 + edit FCSTHR '84' + trigger ../../prdgen/jgfs_pgrb2_f84 == complete + endtask + task jgfs_awips_f85 + edit FCSTHR '85' + trigger ../../prdgen/jgfs_pgrb2_f85 == complete + endtask + task jgfs_awips_f86 + edit FCSTHR '86' + trigger ../../prdgen/jgfs_pgrb2_f86 == complete + endtask + task jgfs_awips_f87 + edit FCSTHR '87' + trigger ../../prdgen/jgfs_pgrb2_f87 == complete + endtask + task jgfs_awips_f88 + edit FCSTHR '88' + trigger ../../prdgen/jgfs_pgrb2_f88 == complete + endtask + task jgfs_awips_f89 + edit FCSTHR '89' + trigger ../../prdgen/jgfs_pgrb2_f89 == complete + endtask + task jgfs_awips_f90 + edit FCSTHR '90' + trigger ../../prdgen/jgfs_pgrb2_f90 == complete + endtask + task jgfs_awips_f91 + edit FCSTHR '91' + trigger ../../prdgen/jgfs_pgrb2_f91 == complete + endtask + task jgfs_awips_f92 + edit FCSTHR '92' + trigger ../../prdgen/jgfs_pgrb2_f92 == complete + endtask + task jgfs_awips_f93 + edit FCSTHR '93' + trigger ../../prdgen/jgfs_pgrb2_f93 == complete + endtask + task jgfs_awips_f94 + edit FCSTHR '94' + trigger ../../prdgen/jgfs_pgrb2_f94 == complete + endtask + task jgfs_awips_f95 + edit FCSTHR '95' + trigger ../../prdgen/jgfs_pgrb2_f95 == complete + endtask + task jgfs_awips_f96 + edit FCSTHR '96' + trigger ../../prdgen/jgfs_pgrb2_f96 == complete + endtask + task jgfs_awips_f97 + edit FCSTHR '97' + trigger ../../prdgen/jgfs_pgrb2_f97 == complete + endtask + task jgfs_awips_f98 + edit FCSTHR '98' + trigger ../../prdgen/jgfs_pgrb2_f98 == complete + endtask + task jgfs_awips_f99 + edit FCSTHR '99' + trigger ../../prdgen/jgfs_pgrb2_f99 == complete + endtask + task jgfs_awips_f100 + edit FCSTHR '100' + trigger ../../prdgen/jgfs_pgrb2_f100 == complete + endtask + task jgfs_awips_f101 + edit FCSTHR '101' + trigger ../../prdgen/jgfs_pgrb2_f101 == complete + endtask + task jgfs_awips_f102 + edit FCSTHR '102' + trigger ../../prdgen/jgfs_pgrb2_f102 == complete + endtask + task jgfs_awips_f103 + edit FCSTHR '103' + trigger ../../prdgen/jgfs_pgrb2_f103 == complete + endtask + task jgfs_awips_f104 + edit FCSTHR '104' + trigger ../../prdgen/jgfs_pgrb2_f104 == complete + endtask + task jgfs_awips_f105 + edit FCSTHR '105' + trigger ../../prdgen/jgfs_pgrb2_f105 == complete + endtask + task jgfs_awips_f106 + edit FCSTHR '106' + trigger ../../prdgen/jgfs_pgrb2_f106 == complete + endtask + task jgfs_awips_f107 + edit FCSTHR '107' + trigger ../../prdgen/jgfs_pgrb2_f107 == complete + endtask + task jgfs_awips_f108 + edit FCSTHR '108' + trigger ../../prdgen/jgfs_pgrb2_f108 == complete + endtask + task jgfs_awips_f109 + edit FCSTHR '109' + trigger ../../prdgen/jgfs_pgrb2_f109 == complete + endtask + task jgfs_awips_f110 + edit FCSTHR '110' + trigger ../../prdgen/jgfs_pgrb2_f110 == complete + endtask + task jgfs_awips_f111 + edit FCSTHR '111' + trigger ../../prdgen/jgfs_pgrb2_f111 == complete + endtask + task jgfs_awips_f112 + edit FCSTHR '112' + trigger ../../prdgen/jgfs_pgrb2_f112 == complete + endtask + task jgfs_awips_f113 + edit FCSTHR '113' + trigger ../../prdgen/jgfs_pgrb2_f113 == complete + endtask + task jgfs_awips_f114 + edit FCSTHR '114' + trigger ../../prdgen/jgfs_pgrb2_f114 == complete + endtask + task jgfs_awips_f115 + edit FCSTHR '115' + trigger ../../prdgen/jgfs_pgrb2_f115 == complete + endtask + task jgfs_awips_f116 + edit FCSTHR '116' + trigger ../../prdgen/jgfs_pgrb2_f116 == complete + endtask + task jgfs_awips_f117 + edit FCSTHR '117' + trigger ../../prdgen/jgfs_pgrb2_f117 == complete + endtask + task jgfs_awips_f118 + edit FCSTHR '118' + trigger ../../prdgen/jgfs_pgrb2_f118 == complete + endtask + task jgfs_awips_f119 + edit FCSTHR '119' + trigger ../../prdgen/jgfs_pgrb2_f119 == complete + endtask + task jgfs_awips_f120 + edit FCSTHR '120' + trigger ../../prdgen/jgfs_pgrb2_f120 == complete + endtask + task jgfs_awips_f123 + edit FCSTHR '123' + trigger ../../prdgen/jgfs_pgrb2_f123 == complete + endtask + task jgfs_awips_f126 + edit FCSTHR '126' + trigger ../../prdgen/jgfs_pgrb2_f126 == complete + endtask + task jgfs_awips_f129 + edit FCSTHR '129' + trigger ../../prdgen/jgfs_pgrb2_f129 == complete + endtask + task jgfs_awips_f132 + edit FCSTHR '132' + trigger ../../prdgen/jgfs_pgrb2_f132 == complete + endtask + task jgfs_awips_f135 + edit FCSTHR '135' + trigger ../../prdgen/jgfs_pgrb2_f135 == complete + endtask + task jgfs_awips_f138 + edit FCSTHR '138' + trigger ../../prdgen/jgfs_pgrb2_f138 == complete + endtask + task jgfs_awips_f141 + edit FCSTHR '141' + trigger ../../prdgen/jgfs_pgrb2_f141 == complete + endtask + task jgfs_awips_f144 + edit FCSTHR '144' + trigger ../../prdgen/jgfs_pgrb2_f144 == complete + endtask + task jgfs_awips_f147 + edit FCSTHR '147' + trigger ../../prdgen/jgfs_pgrb2_f147 == complete + endtask + task jgfs_awips_f150 + edit FCSTHR '150' + trigger ../../prdgen/jgfs_pgrb2_f150 == complete + endtask + task jgfs_awips_f153 + edit FCSTHR '153' + trigger ../../prdgen/jgfs_pgrb2_f153 == complete + endtask + task jgfs_awips_f156 + edit FCSTHR '156' + trigger ../../prdgen/jgfs_pgrb2_f156 == complete + endtask + task jgfs_awips_f159 + edit FCSTHR '159' + trigger ../../prdgen/jgfs_pgrb2_f159 == complete + endtask + task jgfs_awips_f162 + edit FCSTHR '162' + trigger ../../prdgen/jgfs_pgrb2_f162 == complete + endtask + task jgfs_awips_f165 + edit FCSTHR '165' + trigger ../../prdgen/jgfs_pgrb2_f165 == complete + endtask + task jgfs_awips_f168 + edit FCSTHR '168' + trigger ../../prdgen/jgfs_pgrb2_f168 == complete + endtask + task jgfs_awips_f171 + edit FCSTHR '171' + trigger ../../prdgen/jgfs_pgrb2_f171 == complete + endtask + task jgfs_awips_f174 + edit FCSTHR '174' + trigger ../../prdgen/jgfs_pgrb2_f174 == complete + endtask + task jgfs_awips_f177 + edit FCSTHR '177' + trigger ../../prdgen/jgfs_pgrb2_f177 == complete + endtask + task jgfs_awips_f180 + edit FCSTHR '180' + trigger ../../prdgen/jgfs_pgrb2_f180 == complete + endtask + task jgfs_awips_f183 + edit FCSTHR '183' + trigger ../../prdgen/jgfs_pgrb2_f183 == complete + endtask + task jgfs_awips_f186 + edit FCSTHR '186' + trigger ../../prdgen/jgfs_pgrb2_f186 == complete + endtask + task jgfs_awips_f189 + edit FCSTHR '189' + trigger ../../prdgen/jgfs_pgrb2_f189 == complete + endtask + task jgfs_awips_f192 + edit FCSTHR '192' + trigger ../../prdgen/jgfs_pgrb2_f192 == complete + endtask + task jgfs_awips_f195 + edit FCSTHR '195' + trigger ../../prdgen/jgfs_pgrb2_f195 == complete + endtask + task jgfs_awips_f198 + edit FCSTHR '198' + trigger ../../prdgen/jgfs_pgrb2_f198 == complete + endtask + task jgfs_awips_f201 + edit FCSTHR '201' + trigger ../../prdgen/jgfs_pgrb2_f201 == complete + endtask + task jgfs_awips_f204 + edit FCSTHR '204' + trigger ../../prdgen/jgfs_pgrb2_f204 == complete + endtask + task jgfs_awips_f207 + edit FCSTHR '207' + trigger ../../prdgen/jgfs_pgrb2_f207 == complete + endtask + task jgfs_awips_f210 + edit FCSTHR '210' + trigger ../../prdgen/jgfs_pgrb2_f210 == complete + endtask + task jgfs_awips_f213 + edit FCSTHR '213' + trigger ../../prdgen/jgfs_pgrb2_f213 == complete + endtask + task jgfs_awips_f216 + edit FCSTHR '216' + trigger ../../prdgen/jgfs_pgrb2_f216 == complete + endtask + task jgfs_awips_f219 + edit FCSTHR '219' + trigger ../../prdgen/jgfs_pgrb2_f219 == complete + endtask + task jgfs_awips_f222 + edit FCSTHR '222' + trigger ../../prdgen/jgfs_pgrb2_f222 == complete + endtask + task jgfs_awips_f225 + edit FCSTHR '225' + trigger ../../prdgen/jgfs_pgrb2_f225 == complete + endtask + task jgfs_awips_f228 + edit FCSTHR '228' + trigger ../../prdgen/jgfs_pgrb2_f228 == complete + endtask + task jgfs_awips_f231 + edit FCSTHR '231' + trigger ../../prdgen/jgfs_pgrb2_f231 == complete + endtask + task jgfs_awips_f234 + edit FCSTHR '234' + trigger ../../prdgen/jgfs_pgrb2_f234 == complete + endtask + task jgfs_awips_f237 + edit FCSTHR '237' + trigger ../../prdgen/jgfs_pgrb2_f237 == complete + endtask + task jgfs_awips_f240 + edit FCSTHR '240' + trigger ../../prdgen/jgfs_pgrb2_f240 == complete + endtask + task jgfs_awips_f252 + edit FCSTHR '252' + trigger ../../prdgen/jgfs_pgrb2_f252 == complete + endtask + task jgfs_awips_f264 + edit FCSTHR '264' + trigger ../../prdgen/jgfs_pgrb2_f264 == complete + endtask + task jgfs_awips_f276 + edit FCSTHR '276' + trigger ../../prdgen/jgfs_pgrb2_f276 == complete + endtask + task jgfs_awips_f288 + edit FCSTHR '288' + trigger ../../prdgen/jgfs_pgrb2_f288 == complete + endtask + task jgfs_awips_f300 + edit FCSTHR '300' + trigger ../../prdgen/jgfs_pgrb2_f300 == complete + endtask + task jgfs_awips_f312 + edit FCSTHR '312' + trigger ../../prdgen/jgfs_pgrb2_f312 == complete + endtask + task jgfs_awips_f324 + edit FCSTHR '324' + trigger ../../prdgen/jgfs_pgrb2_f324 == complete + endtask + task jgfs_awips_f336 + edit FCSTHR '336' + trigger ../../prdgen/jgfs_pgrb2_f336 == complete + endtask + task jgfs_awips_f348 + edit FCSTHR '348' + trigger ../../prdgen/jgfs_pgrb2_f348 == complete + endtask + task jgfs_awips_f360 + edit FCSTHR '360' + trigger ../../prdgen/jgfs_pgrb2_f360 == complete + endtask + task jgfs_awips_f372 + edit FCSTHR '372' + trigger ../../prdgen/jgfs_pgrb2_f372 == complete + endtask + task jgfs_awips_f384 + edit FCSTHR '384' + trigger ../../prdgen/jgfs_pgrb2_f384 == complete + endtask + endfamily + family awips_1p0deg + edit RES '1p0deg' + edit RESC '1P0DEG' + #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + task jgfs_awips_f000 + edit FCSTHR '000' + trigger ../../prdgen/jgfs_pgrb2_f00 == complete + endtask + task jgfs_awips_f001 + edit FCSTHR '001' + trigger ../../prdgen/jgfs_pgrb2_f01 == complete + endtask + task jgfs_awips_f002 + edit FCSTHR '002' + trigger ../../prdgen/jgfs_pgrb2_f02 == complete + endtask + task jgfs_awips_f003 + edit FCSTHR '003' + trigger ../../prdgen/jgfs_pgrb2_f03 == complete + endtask + task jgfs_awips_f004 + edit FCSTHR '004' + trigger ../../prdgen/jgfs_pgrb2_f04 == complete + endtask + task jgfs_awips_f005 + edit FCSTHR '005' + trigger ../../prdgen/jgfs_pgrb2_f05 == complete + endtask + task jgfs_awips_f006 + edit FCSTHR '006' + trigger ../../prdgen/jgfs_pgrb2_f06 == complete + endtask + task jgfs_awips_f007 + edit FCSTHR '007' + trigger ../../prdgen/jgfs_pgrb2_f07 == complete + endtask + task jgfs_awips_f008 + edit FCSTHR '008' + trigger ../../prdgen/jgfs_pgrb2_f08 == complete + endtask + task jgfs_awips_f009 + edit FCSTHR '009' + trigger ../../prdgen/jgfs_pgrb2_f09 == complete + endtask + task jgfs_awips_f010 + edit FCSTHR '010' + trigger ../../prdgen/jgfs_pgrb2_f10 == complete + endtask + task jgfs_awips_f011 + edit FCSTHR '011' + trigger ../../prdgen/jgfs_pgrb2_f11 == complete + endtask + task jgfs_awips_f012 + edit FCSTHR '012' + trigger ../../prdgen/jgfs_pgrb2_f12 == complete + endtask + task jgfs_awips_f013 + edit FCSTHR '013' + trigger ../../prdgen/jgfs_pgrb2_f13 == complete + endtask + task jgfs_awips_f014 + edit FCSTHR '014' + trigger ../../prdgen/jgfs_pgrb2_f14 == complete + endtask + task jgfs_awips_f015 + edit FCSTHR '015' + trigger ../../prdgen/jgfs_pgrb2_f15 == complete + endtask + task jgfs_awips_f016 + edit FCSTHR '016' + trigger ../../prdgen/jgfs_pgrb2_f16 == complete + endtask + task jgfs_awips_f017 + edit FCSTHR '017' + trigger ../../prdgen/jgfs_pgrb2_f17 == complete + endtask + task jgfs_awips_f018 + edit FCSTHR '018' + trigger ../../prdgen/jgfs_pgrb2_f18 == complete + endtask + task jgfs_awips_f019 + edit FCSTHR '019' + trigger ../../prdgen/jgfs_pgrb2_f19 == complete + endtask + task jgfs_awips_f020 + edit FCSTHR '020' + trigger ../../prdgen/jgfs_pgrb2_f20 == complete + endtask + task jgfs_awips_f021 + edit FCSTHR '021' + trigger ../../prdgen/jgfs_pgrb2_f21 == complete + endtask + task jgfs_awips_f022 + edit FCSTHR '022' + trigger ../../prdgen/jgfs_pgrb2_f22 == complete + endtask + task jgfs_awips_f023 + edit FCSTHR '023' + trigger ../../prdgen/jgfs_pgrb2_f23 == complete + endtask + task jgfs_awips_f024 + edit FCSTHR '024' + trigger ../../prdgen/jgfs_pgrb2_f24 == complete + endtask + task jgfs_awips_f025 + edit FCSTHR '025' + trigger ../../prdgen/jgfs_pgrb2_f25 == complete + endtask + task jgfs_awips_f026 + edit FCSTHR '026' + trigger ../../prdgen/jgfs_pgrb2_f26 == complete + endtask + task jgfs_awips_f027 + edit FCSTHR '027' + trigger ../../prdgen/jgfs_pgrb2_f27 == complete + endtask + task jgfs_awips_f028 + edit FCSTHR '028' + trigger ../../prdgen/jgfs_pgrb2_f28 == complete + endtask + task jgfs_awips_f029 + edit FCSTHR '029' + trigger ../../prdgen/jgfs_pgrb2_f29 == complete + endtask + task jgfs_awips_f030 + edit FCSTHR '030' + trigger ../../prdgen/jgfs_pgrb2_f30 == complete + endtask + task jgfs_awips_f031 + edit FCSTHR '031' + trigger ../../prdgen/jgfs_pgrb2_f31 == complete + endtask + task jgfs_awips_f032 + edit FCSTHR '032' + trigger ../../prdgen/jgfs_pgrb2_f32 == complete + endtask + task jgfs_awips_f033 + edit FCSTHR '033' + trigger ../../prdgen/jgfs_pgrb2_f33 == complete + endtask + task jgfs_awips_f034 + edit FCSTHR '034' + trigger ../../prdgen/jgfs_pgrb2_f34 == complete + endtask + task jgfs_awips_f035 + edit FCSTHR '035' + trigger ../../prdgen/jgfs_pgrb2_f35 == complete + endtask + task jgfs_awips_f036 + edit FCSTHR '036' + trigger ../../prdgen/jgfs_pgrb2_f36 == complete + endtask + task jgfs_awips_f037 + edit FCSTHR '037' + trigger ../../prdgen/jgfs_pgrb2_f37 == complete + endtask + task jgfs_awips_f038 + edit FCSTHR '038' + trigger ../../prdgen/jgfs_pgrb2_f38 == complete + endtask + task jgfs_awips_f039 + edit FCSTHR '039' + trigger ../../prdgen/jgfs_pgrb2_f39 == complete + endtask + task jgfs_awips_f040 + edit FCSTHR '040' + trigger ../../prdgen/jgfs_pgrb2_f40 == complete + endtask + task jgfs_awips_f041 + edit FCSTHR '041' + trigger ../../prdgen/jgfs_pgrb2_f41 == complete + endtask + task jgfs_awips_f042 + edit FCSTHR '042' + trigger ../../prdgen/jgfs_pgrb2_f42 == complete + endtask + task jgfs_awips_f043 + edit FCSTHR '043' + trigger ../../prdgen/jgfs_pgrb2_f43 == complete + endtask + task jgfs_awips_f044 + edit FCSTHR '044' + trigger ../../prdgen/jgfs_pgrb2_f44 == complete + endtask + task jgfs_awips_f045 + edit FCSTHR '045' + trigger ../../prdgen/jgfs_pgrb2_f45 == complete + endtask + task jgfs_awips_f046 + edit FCSTHR '046' + trigger ../../prdgen/jgfs_pgrb2_f46 == complete + endtask + task jgfs_awips_f047 + edit FCSTHR '047' + trigger ../../prdgen/jgfs_pgrb2_f47 == complete + endtask + task jgfs_awips_f048 + edit FCSTHR '048' + trigger ../../prdgen/jgfs_pgrb2_f48 == complete + endtask + task jgfs_awips_f049 + edit FCSTHR '049' + trigger ../../prdgen/jgfs_pgrb2_f49 == complete + endtask + task jgfs_awips_f050 + edit FCSTHR '050' + trigger ../../prdgen/jgfs_pgrb2_f50 == complete + endtask + task jgfs_awips_f051 + edit FCSTHR '051' + trigger ../../prdgen/jgfs_pgrb2_f51 == complete + endtask + task jgfs_awips_f052 + edit FCSTHR '052' + trigger ../../prdgen/jgfs_pgrb2_f52 == complete + endtask + task jgfs_awips_f053 + edit FCSTHR '053' + trigger ../../prdgen/jgfs_pgrb2_f53 == complete + endtask + task jgfs_awips_f054 + edit FCSTHR '054' + trigger ../../prdgen/jgfs_pgrb2_f54 == complete + endtask + task jgfs_awips_f055 + edit FCSTHR '055' + trigger ../../prdgen/jgfs_pgrb2_f55 == complete + endtask + task jgfs_awips_f056 + edit FCSTHR '056' + trigger ../../prdgen/jgfs_pgrb2_f56 == complete + endtask + task jgfs_awips_f057 + edit FCSTHR '057' + trigger ../../prdgen/jgfs_pgrb2_f57 == complete + endtask + task jgfs_awips_f058 + edit FCSTHR '058' + trigger ../../prdgen/jgfs_pgrb2_f58 == complete + endtask + task jgfs_awips_f059 + edit FCSTHR '059' + trigger ../../prdgen/jgfs_pgrb2_f59 == complete + endtask + task jgfs_awips_f060 + edit FCSTHR '060' + trigger ../../prdgen/jgfs_pgrb2_f60 == complete + endtask + task jgfs_awips_f061 + edit FCSTHR '061' + trigger ../../prdgen/jgfs_pgrb2_f61 == complete + endtask + task jgfs_awips_f062 + edit FCSTHR '062' + trigger ../../prdgen/jgfs_pgrb2_f62 == complete + endtask + task jgfs_awips_f063 + edit FCSTHR '063' + trigger ../../prdgen/jgfs_pgrb2_f63 == complete + endtask + task jgfs_awips_f064 + edit FCSTHR '064' + trigger ../../prdgen/jgfs_pgrb2_f64 == complete + endtask + task jgfs_awips_f065 + edit FCSTHR '065' + trigger ../../prdgen/jgfs_pgrb2_f65 == complete + endtask + task jgfs_awips_f066 + edit FCSTHR '066' + trigger ../../prdgen/jgfs_pgrb2_f66 == complete + endtask + task jgfs_awips_f067 + edit FCSTHR '067' + trigger ../../prdgen/jgfs_pgrb2_f67 == complete + endtask + task jgfs_awips_f068 + edit FCSTHR '068' + trigger ../../prdgen/jgfs_pgrb2_f68 == complete + endtask + task jgfs_awips_f069 + edit FCSTHR '069' + trigger ../../prdgen/jgfs_pgrb2_f69 == complete + endtask + task jgfs_awips_f070 + edit FCSTHR '070' + trigger ../../prdgen/jgfs_pgrb2_f70 == complete + endtask + task jgfs_awips_f071 + edit FCSTHR '071' + trigger ../../prdgen/jgfs_pgrb2_f71 == complete + endtask + task jgfs_awips_f072 + edit FCSTHR '072' + trigger ../../prdgen/jgfs_pgrb2_f72 == complete + endtask + task jgfs_awips_f073 + edit FCSTHR '073' + trigger ../../prdgen/jgfs_pgrb2_f73 == complete + endtask + task jgfs_awips_f074 + edit FCSTHR '074' + trigger ../../prdgen/jgfs_pgrb2_f74 == complete + endtask + task jgfs_awips_f075 + edit FCSTHR '075' + trigger ../../prdgen/jgfs_pgrb2_f75 == complete + endtask + task jgfs_awips_f076 + edit FCSTHR '076' + trigger ../../prdgen/jgfs_pgrb2_f76 == complete + endtask + task jgfs_awips_f077 + edit FCSTHR '077' + trigger ../../prdgen/jgfs_pgrb2_f77 == complete + endtask + task jgfs_awips_f078 + edit FCSTHR '078' + trigger ../../prdgen/jgfs_pgrb2_f78 == complete + endtask + task jgfs_awips_f079 + edit FCSTHR '079' + trigger ../../prdgen/jgfs_pgrb2_f79 == complete + endtask + task jgfs_awips_f080 + edit FCSTHR '080' + trigger ../../prdgen/jgfs_pgrb2_f80 == complete + endtask + task jgfs_awips_f081 + edit FCSTHR '081' + trigger ../../prdgen/jgfs_pgrb2_f81 == complete + endtask + task jgfs_awips_f082 + edit FCSTHR '082' + trigger ../../prdgen/jgfs_pgrb2_f82 == complete + endtask + task jgfs_awips_f083 + edit FCSTHR '083' + trigger ../../prdgen/jgfs_pgrb2_f83 == complete + endtask + task jgfs_awips_f084 + edit FCSTHR '084' + trigger ../../prdgen/jgfs_pgrb2_f84 == complete + endtask + task jgfs_awips_f085 + edit FCSTHR '085' + trigger ../../prdgen/jgfs_pgrb2_f85 == complete + endtask + task jgfs_awips_f086 + edit FCSTHR '086' + trigger ../../prdgen/jgfs_pgrb2_f86 == complete + endtask + task jgfs_awips_f087 + edit FCSTHR '087' + trigger ../../prdgen/jgfs_pgrb2_f87 == complete + endtask + task jgfs_awips_f088 + edit FCSTHR '088' + trigger ../../prdgen/jgfs_pgrb2_f88 == complete + endtask + task jgfs_awips_f089 + edit FCSTHR '089' + trigger ../../prdgen/jgfs_pgrb2_f89 == complete + endtask + task jgfs_awips_f090 + edit FCSTHR '090' + trigger ../../prdgen/jgfs_pgrb2_f90 == complete + endtask + task jgfs_awips_f091 + edit FCSTHR '091' + trigger ../../prdgen/jgfs_pgrb2_f91 == complete + endtask + task jgfs_awips_f092 + edit FCSTHR '092' + trigger ../../prdgen/jgfs_pgrb2_f92 == complete + endtask + task jgfs_awips_f093 + edit FCSTHR '093' + trigger ../../prdgen/jgfs_pgrb2_f93 == complete + endtask + task jgfs_awips_f094 + edit FCSTHR '094' + trigger ../../prdgen/jgfs_pgrb2_f94 == complete + endtask + task jgfs_awips_f095 + edit FCSTHR '095' + trigger ../../prdgen/jgfs_pgrb2_f95 == complete + endtask + task jgfs_awips_f096 + edit FCSTHR '096' + trigger ../../prdgen/jgfs_pgrb2_f96 == complete + endtask + task jgfs_awips_f097 + edit FCSTHR '097' + trigger ../../prdgen/jgfs_pgrb2_f97 == complete + endtask + task jgfs_awips_f098 + edit FCSTHR '098' + trigger ../../prdgen/jgfs_pgrb2_f98 == complete + endtask + task jgfs_awips_f099 + edit FCSTHR '099' + trigger ../../prdgen/jgfs_pgrb2_f99 == complete + endtask + task jgfs_awips_f100 + edit FCSTHR '100' + trigger ../../prdgen/jgfs_pgrb2_f100 == complete + endtask + task jgfs_awips_f101 + edit FCSTHR '101' + trigger ../../prdgen/jgfs_pgrb2_f101 == complete + endtask + task jgfs_awips_f102 + edit FCSTHR '102' + trigger ../../prdgen/jgfs_pgrb2_f102 == complete + endtask + task jgfs_awips_f103 + edit FCSTHR '103' + trigger ../../prdgen/jgfs_pgrb2_f103 == complete + endtask + task jgfs_awips_f104 + edit FCSTHR '104' + trigger ../../prdgen/jgfs_pgrb2_f104 == complete + endtask + task jgfs_awips_f105 + edit FCSTHR '105' + trigger ../../prdgen/jgfs_pgrb2_f105 == complete + endtask + task jgfs_awips_f106 + edit FCSTHR '106' + trigger ../../prdgen/jgfs_pgrb2_f106 == complete + endtask + task jgfs_awips_f107 + edit FCSTHR '107' + trigger ../../prdgen/jgfs_pgrb2_f107 == complete + endtask + task jgfs_awips_f108 + edit FCSTHR '108' + trigger ../../prdgen/jgfs_pgrb2_f108 == complete + endtask + task jgfs_awips_f109 + edit FCSTHR '109' + trigger ../../prdgen/jgfs_pgrb2_f109 == complete + endtask + task jgfs_awips_f110 + edit FCSTHR '110' + trigger ../../prdgen/jgfs_pgrb2_f110 == complete + endtask + task jgfs_awips_f111 + edit FCSTHR '111' + trigger ../../prdgen/jgfs_pgrb2_f111 == complete + endtask + task jgfs_awips_f112 + edit FCSTHR '112' + trigger ../../prdgen/jgfs_pgrb2_f112 == complete + endtask + task jgfs_awips_f113 + edit FCSTHR '113' + trigger ../../prdgen/jgfs_pgrb2_f113 == complete + endtask + task jgfs_awips_f114 + edit FCSTHR '114' + trigger ../../prdgen/jgfs_pgrb2_f114 == complete + endtask + task jgfs_awips_f115 + edit FCSTHR '115' + trigger ../../prdgen/jgfs_pgrb2_f115 == complete + endtask + task jgfs_awips_f116 + edit FCSTHR '116' + trigger ../../prdgen/jgfs_pgrb2_f116 == complete + endtask + task jgfs_awips_f117 + edit FCSTHR '117' + trigger ../../prdgen/jgfs_pgrb2_f117 == complete + endtask + task jgfs_awips_f118 + edit FCSTHR '118' + trigger ../../prdgen/jgfs_pgrb2_f118 == complete + endtask + task jgfs_awips_f119 + edit FCSTHR '119' + trigger ../../prdgen/jgfs_pgrb2_f119 == complete + endtask + task jgfs_awips_f120 + edit FCSTHR '120' + trigger ../../prdgen/jgfs_pgrb2_f120 == complete + endtask + task jgfs_awips_f123 + edit FCSTHR '123' + trigger ../../prdgen/jgfs_pgrb2_f123 == complete + endtask + task jgfs_awips_f126 + edit FCSTHR '126' + trigger ../../prdgen/jgfs_pgrb2_f126 == complete + endtask + task jgfs_awips_f129 + edit FCSTHR '129' + trigger ../../prdgen/jgfs_pgrb2_f129 == complete + endtask + task jgfs_awips_f132 + edit FCSTHR '132' + trigger ../../prdgen/jgfs_pgrb2_f132 == complete + endtask + task jgfs_awips_f135 + edit FCSTHR '135' + trigger ../../prdgen/jgfs_pgrb2_f135 == complete + endtask + task jgfs_awips_f138 + edit FCSTHR '138' + trigger ../../prdgen/jgfs_pgrb2_f138 == complete + endtask + task jgfs_awips_f141 + edit FCSTHR '141' + trigger ../../prdgen/jgfs_pgrb2_f141 == complete + endtask + task jgfs_awips_f144 + edit FCSTHR '144' + trigger ../../prdgen/jgfs_pgrb2_f144 == complete + endtask + task jgfs_awips_f147 + edit FCSTHR '147' + trigger ../../prdgen/jgfs_pgrb2_f147 == complete + endtask + task jgfs_awips_f150 + edit FCSTHR '150' + trigger ../../prdgen/jgfs_pgrb2_f150 == complete + endtask + task jgfs_awips_f153 + edit FCSTHR '153' + trigger ../../prdgen/jgfs_pgrb2_f153 == complete + endtask + task jgfs_awips_f156 + edit FCSTHR '156' + trigger ../../prdgen/jgfs_pgrb2_f156 == complete + endtask + task jgfs_awips_f159 + edit FCSTHR '159' + trigger ../../prdgen/jgfs_pgrb2_f159 == complete + endtask + task jgfs_awips_f162 + edit FCSTHR '162' + trigger ../../prdgen/jgfs_pgrb2_f162 == complete + endtask + task jgfs_awips_f165 + edit FCSTHR '165' + trigger ../../prdgen/jgfs_pgrb2_f165 == complete + endtask + task jgfs_awips_f168 + edit FCSTHR '168' + trigger ../../prdgen/jgfs_pgrb2_f168 == complete + endtask + task jgfs_awips_f171 + edit FCSTHR '171' + trigger ../../prdgen/jgfs_pgrb2_f171 == complete + endtask + task jgfs_awips_f174 + edit FCSTHR '174' + trigger ../../prdgen/jgfs_pgrb2_f174 == complete + endtask + task jgfs_awips_f177 + edit FCSTHR '177' + trigger ../../prdgen/jgfs_pgrb2_f177 == complete + endtask + task jgfs_awips_f180 + edit FCSTHR '180' + trigger ../../prdgen/jgfs_pgrb2_f180 == complete + endtask + task jgfs_awips_f183 + edit FCSTHR '183' + trigger ../../prdgen/jgfs_pgrb2_f183 == complete + endtask + task jgfs_awips_f186 + edit FCSTHR '186' + trigger ../../prdgen/jgfs_pgrb2_f186 == complete + endtask + task jgfs_awips_f189 + edit FCSTHR '189' + trigger ../../prdgen/jgfs_pgrb2_f189 == complete + endtask + task jgfs_awips_f192 + edit FCSTHR '192' + trigger ../../prdgen/jgfs_pgrb2_f192 == complete + endtask + task jgfs_awips_f195 + edit FCSTHR '195' + trigger ../../prdgen/jgfs_pgrb2_f195 == complete + endtask + task jgfs_awips_f198 + edit FCSTHR '198' + trigger ../../prdgen/jgfs_pgrb2_f198 == complete + endtask + task jgfs_awips_f201 + edit FCSTHR '201' + trigger ../../prdgen/jgfs_pgrb2_f201 == complete + endtask + task jgfs_awips_f204 + edit FCSTHR '204' + trigger ../../prdgen/jgfs_pgrb2_f204 == complete + endtask + task jgfs_awips_f207 + edit FCSTHR '207' + trigger ../../prdgen/jgfs_pgrb2_f207 == complete + endtask + task jgfs_awips_f210 + edit FCSTHR '210' + trigger ../../prdgen/jgfs_pgrb2_f210 == complete + endtask + task jgfs_awips_f213 + edit FCSTHR '213' + trigger ../../prdgen/jgfs_pgrb2_f213 == complete + endtask + task jgfs_awips_f216 + edit FCSTHR '216' + trigger ../../prdgen/jgfs_pgrb2_f216 == complete + endtask + task jgfs_awips_f219 + edit FCSTHR '219' + trigger ../../prdgen/jgfs_pgrb2_f219 == complete + endtask + task jgfs_awips_f222 + edit FCSTHR '222' + trigger ../../prdgen/jgfs_pgrb2_f222 == complete + endtask + task jgfs_awips_f225 + edit FCSTHR '225' + trigger ../../prdgen/jgfs_pgrb2_f225 == complete + endtask + task jgfs_awips_f228 + edit FCSTHR '228' + trigger ../../prdgen/jgfs_pgrb2_f228 == complete + endtask + task jgfs_awips_f231 + edit FCSTHR '231' + trigger ../../prdgen/jgfs_pgrb2_f231 == complete + endtask + task jgfs_awips_f234 + edit FCSTHR '234' + trigger ../../prdgen/jgfs_pgrb2_f234 == complete + endtask + task jgfs_awips_f237 + edit FCSTHR '237' + trigger ../../prdgen/jgfs_pgrb2_f237 == complete + endtask task jgfs_awips_f240 + edit FCSTHR '240' trigger ../../prdgen/jgfs_pgrb2_f240 == complete endtask + task jgfs_awips_f252 + edit FCSTHR '252' + trigger ../../prdgen/jgfs_pgrb2_f252 == complete + endtask + task jgfs_awips_f264 + edit FCSTHR '264' + trigger ../../prdgen/jgfs_pgrb2_f264 == complete + endtask + task jgfs_awips_f276 + edit FCSTHR '276' + trigger ../../prdgen/jgfs_pgrb2_f276 == complete + endtask + task jgfs_awips_f288 + edit FCSTHR '288' + trigger ../../prdgen/jgfs_pgrb2_f288 == complete + endtask + task jgfs_awips_f300 + edit FCSTHR '300' + trigger ../../prdgen/jgfs_pgrb2_f300 == complete + endtask + task jgfs_awips_f312 + edit FCSTHR '312' + trigger ../../prdgen/jgfs_pgrb2_f312 == complete + endtask + task jgfs_awips_f324 + edit FCSTHR '324' + trigger ../../prdgen/jgfs_pgrb2_f324 == complete + endtask + task jgfs_awips_f336 + edit FCSTHR '336' + trigger ../../prdgen/jgfs_pgrb2_f336 == complete + endtask + task jgfs_awips_f348 + edit FCSTHR '348' + trigger ../../prdgen/jgfs_pgrb2_f348 == complete + endtask + task jgfs_awips_f360 + edit FCSTHR '360' + trigger ../../prdgen/jgfs_pgrb2_f360 == complete + endtask + task jgfs_awips_f372 + edit FCSTHR '372' + trigger ../../prdgen/jgfs_pgrb2_f372 == complete + endtask + task jgfs_awips_f384 + edit FCSTHR '384' + trigger ../../prdgen/jgfs_pgrb2_f384 == complete + endtask endfamily - family awips_1p0deg + family awips_20km + edit RES '20km' + edit RESC '20KM' + #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' task jgfs_awips_f000 + edit FCSTHR '000' trigger ../../prdgen/jgfs_pgrb2_f00 == complete endtask + task jgfs_awips_f001 + edit FCSTHR '001' + trigger ../../prdgen/jgfs_pgrb2_f01 == complete + endtask + task jgfs_awips_f002 + edit FCSTHR '002' + trigger ../../prdgen/jgfs_pgrb2_f02 == complete + endtask + task jgfs_awips_f003 + edit FCSTHR '003' + trigger ../../prdgen/jgfs_pgrb2_f03 == complete + endtask + task jgfs_awips_f004 + edit FCSTHR '004' + trigger ../../prdgen/jgfs_pgrb2_f04 == complete + endtask + task jgfs_awips_f005 + edit FCSTHR '005' + trigger ../../prdgen/jgfs_pgrb2_f05 == complete + endtask task jgfs_awips_f006 + edit FCSTHR '006' trigger ../../prdgen/jgfs_pgrb2_f06 == complete endtask + task jgfs_awips_f007 + edit FCSTHR '007' + trigger ../../prdgen/jgfs_pgrb2_f07 == complete + endtask + task jgfs_awips_f008 + edit FCSTHR '008' + trigger ../../prdgen/jgfs_pgrb2_f08 == complete + endtask + task jgfs_awips_f009 + edit FCSTHR '009' + trigger ../../prdgen/jgfs_pgrb2_f09 == complete + endtask + task jgfs_awips_f010 + edit FCSTHR '010' + trigger ../../prdgen/jgfs_pgrb2_f10 == complete + endtask + task jgfs_awips_f011 + edit FCSTHR '011' + trigger ../../prdgen/jgfs_pgrb2_f11 == complete + endtask + task jgfs_awips_f012 + edit FCSTHR '012' + trigger ../../prdgen/jgfs_pgrb2_f12 == complete + endtask + task jgfs_awips_f013 + edit FCSTHR '013' + trigger ../../prdgen/jgfs_pgrb2_f13 == complete + endtask + task jgfs_awips_f014 + edit FCSTHR '014' + trigger ../../prdgen/jgfs_pgrb2_f14 == complete + endtask + task jgfs_awips_f015 + edit FCSTHR '015' + trigger ../../prdgen/jgfs_pgrb2_f15 == complete + endtask + task jgfs_awips_f016 + edit FCSTHR '016' + trigger ../../prdgen/jgfs_pgrb2_f16 == complete + endtask + task jgfs_awips_f017 + edit FCSTHR '017' + trigger ../../prdgen/jgfs_pgrb2_f17 == complete + endtask + task jgfs_awips_f018 + edit FCSTHR '018' + trigger ../../prdgen/jgfs_pgrb2_f18 == complete + endtask + task jgfs_awips_f019 + edit FCSTHR '019' + trigger ../../prdgen/jgfs_pgrb2_f19 == complete + endtask + task jgfs_awips_f020 + edit FCSTHR '020' + trigger ../../prdgen/jgfs_pgrb2_f20 == complete + endtask + task jgfs_awips_f021 + edit FCSTHR '021' + trigger ../../prdgen/jgfs_pgrb2_f21 == complete + endtask + task jgfs_awips_f022 + edit FCSTHR '022' + trigger ../../prdgen/jgfs_pgrb2_f22 == complete + endtask + task jgfs_awips_f023 + edit FCSTHR '023' + trigger ../../prdgen/jgfs_pgrb2_f23 == complete + endtask + task jgfs_awips_f024 + edit FCSTHR '024' + trigger ../../prdgen/jgfs_pgrb2_f24 == complete + endtask + task jgfs_awips_f025 + edit FCSTHR '025' + trigger ../../prdgen/jgfs_pgrb2_f25 == complete + endtask + task jgfs_awips_f026 + edit FCSTHR '026' + trigger ../../prdgen/jgfs_pgrb2_f26 == complete + endtask + task jgfs_awips_f027 + edit FCSTHR '027' + trigger ../../prdgen/jgfs_pgrb2_f27 == complete + endtask + task jgfs_awips_f028 + edit FCSTHR '028' + trigger ../../prdgen/jgfs_pgrb2_f28 == complete + endtask + task jgfs_awips_f029 + edit FCSTHR '029' + trigger ../../prdgen/jgfs_pgrb2_f29 == complete + endtask + task jgfs_awips_f030 + edit FCSTHR '030' + trigger ../../prdgen/jgfs_pgrb2_f30 == complete + endtask + task jgfs_awips_f031 + edit FCSTHR '031' + trigger ../../prdgen/jgfs_pgrb2_f31 == complete + endtask + task jgfs_awips_f032 + edit FCSTHR '032' + trigger ../../prdgen/jgfs_pgrb2_f32 == complete + endtask + task jgfs_awips_f033 + edit FCSTHR '033' + trigger ../../prdgen/jgfs_pgrb2_f33 == complete + endtask + task jgfs_awips_f034 + edit FCSTHR '034' + trigger ../../prdgen/jgfs_pgrb2_f34 == complete + endtask + task jgfs_awips_f035 + edit FCSTHR '035' + trigger ../../prdgen/jgfs_pgrb2_f35 == complete + endtask + task jgfs_awips_f036 + edit FCSTHR '036' + trigger ../../prdgen/jgfs_pgrb2_f36 == complete + endtask + task jgfs_awips_f037 + edit FCSTHR '037' + trigger ../../prdgen/jgfs_pgrb2_f37 == complete + endtask + task jgfs_awips_f038 + edit FCSTHR '038' + trigger ../../prdgen/jgfs_pgrb2_f38 == complete + endtask + task jgfs_awips_f039 + edit FCSTHR '039' + trigger ../../prdgen/jgfs_pgrb2_f39 == complete + endtask + task jgfs_awips_f040 + edit FCSTHR '040' + trigger ../../prdgen/jgfs_pgrb2_f40 == complete + endtask + task jgfs_awips_f041 + edit FCSTHR '041' + trigger ../../prdgen/jgfs_pgrb2_f41 == complete + endtask + task jgfs_awips_f042 + edit FCSTHR '042' + trigger ../../prdgen/jgfs_pgrb2_f42 == complete + endtask + task jgfs_awips_f043 + edit FCSTHR '043' + trigger ../../prdgen/jgfs_pgrb2_f43 == complete + endtask + task jgfs_awips_f044 + edit FCSTHR '044' + trigger ../../prdgen/jgfs_pgrb2_f44 == complete + endtask + task jgfs_awips_f045 + edit FCSTHR '045' + trigger ../../prdgen/jgfs_pgrb2_f45 == complete + endtask + task jgfs_awips_f046 + edit FCSTHR '046' + trigger ../../prdgen/jgfs_pgrb2_f46 == complete + endtask + task jgfs_awips_f047 + edit FCSTHR '047' + trigger ../../prdgen/jgfs_pgrb2_f47 == complete + endtask + task jgfs_awips_f048 + edit FCSTHR '048' + trigger ../../prdgen/jgfs_pgrb2_f48 == complete + endtask + task jgfs_awips_f049 + edit FCSTHR '049' + trigger ../../prdgen/jgfs_pgrb2_f49 == complete + endtask + task jgfs_awips_f050 + edit FCSTHR '050' + trigger ../../prdgen/jgfs_pgrb2_f50 == complete + endtask + task jgfs_awips_f051 + edit FCSTHR '051' + trigger ../../prdgen/jgfs_pgrb2_f51 == complete + endtask + task jgfs_awips_f052 + edit FCSTHR '052' + trigger ../../prdgen/jgfs_pgrb2_f52 == complete + endtask + task jgfs_awips_f053 + edit FCSTHR '053' + trigger ../../prdgen/jgfs_pgrb2_f53 == complete + endtask + task jgfs_awips_f054 + edit FCSTHR '054' + trigger ../../prdgen/jgfs_pgrb2_f54 == complete + endtask + task jgfs_awips_f055 + edit FCSTHR '055' + trigger ../../prdgen/jgfs_pgrb2_f55 == complete + endtask + task jgfs_awips_f056 + edit FCSTHR '056' + trigger ../../prdgen/jgfs_pgrb2_f56 == complete + endtask + task jgfs_awips_f057 + edit FCSTHR '057' + trigger ../../prdgen/jgfs_pgrb2_f57 == complete + endtask + task jgfs_awips_f058 + edit FCSTHR '058' + trigger ../../prdgen/jgfs_pgrb2_f58 == complete + endtask + task jgfs_awips_f059 + edit FCSTHR '059' + trigger ../../prdgen/jgfs_pgrb2_f59 == complete + endtask + task jgfs_awips_f060 + edit FCSTHR '060' + trigger ../../prdgen/jgfs_pgrb2_f60 == complete + endtask + task jgfs_awips_f061 + edit FCSTHR '061' + trigger ../../prdgen/jgfs_pgrb2_f61 == complete + endtask + task jgfs_awips_f062 + edit FCSTHR '062' + trigger ../../prdgen/jgfs_pgrb2_f62 == complete + endtask + task jgfs_awips_f063 + edit FCSTHR '063' + trigger ../../prdgen/jgfs_pgrb2_f63 == complete + endtask + task jgfs_awips_f064 + edit FCSTHR '064' + trigger ../../prdgen/jgfs_pgrb2_f64 == complete + endtask + task jgfs_awips_f065 + edit FCSTHR '065' + trigger ../../prdgen/jgfs_pgrb2_f65 == complete + endtask + task jgfs_awips_f066 + edit FCSTHR '066' + trigger ../../prdgen/jgfs_pgrb2_f66 == complete + endtask + task jgfs_awips_f067 + edit FCSTHR '067' + trigger ../../prdgen/jgfs_pgrb2_f67 == complete + endtask + task jgfs_awips_f068 + edit FCSTHR '068' + trigger ../../prdgen/jgfs_pgrb2_f68 == complete + endtask + task jgfs_awips_f069 + edit FCSTHR '069' + trigger ../../prdgen/jgfs_pgrb2_f69 == complete + endtask + task jgfs_awips_f070 + edit FCSTHR '070' + trigger ../../prdgen/jgfs_pgrb2_f70 == complete + endtask + task jgfs_awips_f071 + edit FCSTHR '071' + trigger ../../prdgen/jgfs_pgrb2_f71 == complete + endtask + task jgfs_awips_f072 + edit FCSTHR '072' + trigger ../../prdgen/jgfs_pgrb2_f72 == complete + endtask + task jgfs_awips_f073 + edit FCSTHR '073' + trigger ../../prdgen/jgfs_pgrb2_f73 == complete + endtask + task jgfs_awips_f074 + edit FCSTHR '074' + trigger ../../prdgen/jgfs_pgrb2_f74 == complete + endtask + task jgfs_awips_f075 + edit FCSTHR '075' + trigger ../../prdgen/jgfs_pgrb2_f75 == complete + endtask + task jgfs_awips_f076 + edit FCSTHR '076' + trigger ../../prdgen/jgfs_pgrb2_f76 == complete + endtask + task jgfs_awips_f077 + edit FCSTHR '077' + trigger ../../prdgen/jgfs_pgrb2_f77 == complete + endtask + task jgfs_awips_f078 + edit FCSTHR '078' + trigger ../../prdgen/jgfs_pgrb2_f78 == complete + endtask + task jgfs_awips_f079 + edit FCSTHR '079' + trigger ../../prdgen/jgfs_pgrb2_f79 == complete + endtask + task jgfs_awips_f080 + edit FCSTHR '080' + trigger ../../prdgen/jgfs_pgrb2_f80 == complete + endtask + task jgfs_awips_f081 + edit FCSTHR '081' + trigger ../../prdgen/jgfs_pgrb2_f81 == complete + endtask + task jgfs_awips_f082 + edit FCSTHR '082' + trigger ../../prdgen/jgfs_pgrb2_f82 == complete + endtask + task jgfs_awips_f083 + edit FCSTHR '083' + trigger ../../prdgen/jgfs_pgrb2_f83 == complete + endtask + task jgfs_awips_f084 + edit FCSTHR '084' + trigger ../../prdgen/jgfs_pgrb2_f84 == complete + endtask + task jgfs_awips_f085 + edit FCSTHR '085' + trigger ../../prdgen/jgfs_pgrb2_f85 == complete + endtask + task jgfs_awips_f086 + edit FCSTHR '086' + trigger ../../prdgen/jgfs_pgrb2_f86 == complete + endtask + task jgfs_awips_f087 + edit FCSTHR '087' + trigger ../../prdgen/jgfs_pgrb2_f87 == complete + endtask + task jgfs_awips_f088 + edit FCSTHR '088' + trigger ../../prdgen/jgfs_pgrb2_f88 == complete + endtask + task jgfs_awips_f089 + edit FCSTHR '089' + trigger ../../prdgen/jgfs_pgrb2_f89 == complete + endtask + task jgfs_awips_f090 + edit FCSTHR '090' + trigger ../../prdgen/jgfs_pgrb2_f90 == complete + endtask + task jgfs_awips_f091 + edit FCSTHR '091' + trigger ../../prdgen/jgfs_pgrb2_f91 == complete + endtask + task jgfs_awips_f092 + edit FCSTHR '092' + trigger ../../prdgen/jgfs_pgrb2_f92 == complete + endtask + task jgfs_awips_f093 + edit FCSTHR '093' + trigger ../../prdgen/jgfs_pgrb2_f93 == complete + endtask + task jgfs_awips_f094 + edit FCSTHR '094' + trigger ../../prdgen/jgfs_pgrb2_f94 == complete + endtask + task jgfs_awips_f095 + edit FCSTHR '095' + trigger ../../prdgen/jgfs_pgrb2_f95 == complete + endtask + task jgfs_awips_f096 + edit FCSTHR '096' + trigger ../../prdgen/jgfs_pgrb2_f96 == complete + endtask + task jgfs_awips_f097 + edit FCSTHR '097' + trigger ../../prdgen/jgfs_pgrb2_f97 == complete + endtask + task jgfs_awips_f098 + edit FCSTHR '098' + trigger ../../prdgen/jgfs_pgrb2_f98 == complete + endtask + task jgfs_awips_f099 + edit FCSTHR '099' + trigger ../../prdgen/jgfs_pgrb2_f99 == complete + endtask + task jgfs_awips_f100 + edit FCSTHR '100' + trigger ../../prdgen/jgfs_pgrb2_f100 == complete + endtask + task jgfs_awips_f101 + edit FCSTHR '101' + trigger ../../prdgen/jgfs_pgrb2_f101 == complete + endtask + task jgfs_awips_f102 + edit FCSTHR '102' + trigger ../../prdgen/jgfs_pgrb2_f102 == complete + endtask + task jgfs_awips_f103 + edit FCSTHR '103' + trigger ../../prdgen/jgfs_pgrb2_f103 == complete + endtask + task jgfs_awips_f104 + edit FCSTHR '104' + trigger ../../prdgen/jgfs_pgrb2_f104 == complete + endtask + task jgfs_awips_f105 + edit FCSTHR '105' + trigger ../../prdgen/jgfs_pgrb2_f105 == complete + endtask + task jgfs_awips_f106 + edit FCSTHR '106' + trigger ../../prdgen/jgfs_pgrb2_f106 == complete + endtask + task jgfs_awips_f107 + edit FCSTHR '107' + trigger ../../prdgen/jgfs_pgrb2_f107 == complete + endtask + task jgfs_awips_f108 + edit FCSTHR '108' + trigger ../../prdgen/jgfs_pgrb2_f108 == complete + endtask + task jgfs_awips_f109 + edit FCSTHR '109' + trigger ../../prdgen/jgfs_pgrb2_f109 == complete + endtask + task jgfs_awips_f110 + edit FCSTHR '110' + trigger ../../prdgen/jgfs_pgrb2_f110 == complete + endtask + task jgfs_awips_f111 + edit FCSTHR '111' + trigger ../../prdgen/jgfs_pgrb2_f111 == complete + endtask + task jgfs_awips_f112 + edit FCSTHR '112' + trigger ../../prdgen/jgfs_pgrb2_f112 == complete + endtask + task jgfs_awips_f113 + edit FCSTHR '113' + trigger ../../prdgen/jgfs_pgrb2_f113 == complete + endtask + task jgfs_awips_f114 + edit FCSTHR '114' + trigger ../../prdgen/jgfs_pgrb2_f114 == complete + endtask + task jgfs_awips_f115 + edit FCSTHR '115' + trigger ../../prdgen/jgfs_pgrb2_f115 == complete + endtask + task jgfs_awips_f116 + edit FCSTHR '116' + trigger ../../prdgen/jgfs_pgrb2_f116 == complete + endtask + task jgfs_awips_f117 + edit FCSTHR '117' + trigger ../../prdgen/jgfs_pgrb2_f117 == complete + endtask + task jgfs_awips_f118 + edit FCSTHR '118' + trigger ../../prdgen/jgfs_pgrb2_f118 == complete + endtask + task jgfs_awips_f119 + edit FCSTHR '119' + trigger ../../prdgen/jgfs_pgrb2_f119 == complete + endtask + task jgfs_awips_f120 + edit FCSTHR '120' + trigger ../../prdgen/jgfs_pgrb2_f120 == complete + endtask + task jgfs_awips_f123 + edit FCSTHR '123' + trigger ../../prdgen/jgfs_pgrb2_f123 == complete + endtask + task jgfs_awips_f126 + edit FCSTHR '126' + trigger ../../prdgen/jgfs_pgrb2_f126 == complete + endtask + task jgfs_awips_f129 + edit FCSTHR '129' + trigger ../../prdgen/jgfs_pgrb2_f129 == complete + endtask + task jgfs_awips_f132 + edit FCSTHR '132' + trigger ../../prdgen/jgfs_pgrb2_f132 == complete + endtask + task jgfs_awips_f135 + edit FCSTHR '135' + trigger ../../prdgen/jgfs_pgrb2_f135 == complete + endtask + task jgfs_awips_f138 + edit FCSTHR '138' + trigger ../../prdgen/jgfs_pgrb2_f138 == complete + endtask + task jgfs_awips_f141 + edit FCSTHR '141' + trigger ../../prdgen/jgfs_pgrb2_f141 == complete + endtask + task jgfs_awips_f144 + edit FCSTHR '144' + trigger ../../prdgen/jgfs_pgrb2_f144 == complete + endtask + task jgfs_awips_f147 + edit FCSTHR '147' + trigger ../../prdgen/jgfs_pgrb2_f147 == complete + endtask + task jgfs_awips_f150 + edit FCSTHR '150' + trigger ../../prdgen/jgfs_pgrb2_f150 == complete + endtask + task jgfs_awips_f153 + edit FCSTHR '153' + trigger ../../prdgen/jgfs_pgrb2_f153 == complete + endtask + task jgfs_awips_f156 + edit FCSTHR '156' + trigger ../../prdgen/jgfs_pgrb2_f156 == complete + endtask + task jgfs_awips_f159 + edit FCSTHR '159' + trigger ../../prdgen/jgfs_pgrb2_f159 == complete + endtask + task jgfs_awips_f162 + edit FCSTHR '162' + trigger ../../prdgen/jgfs_pgrb2_f162 == complete + endtask + task jgfs_awips_f165 + edit FCSTHR '165' + trigger ../../prdgen/jgfs_pgrb2_f165 == complete + endtask + task jgfs_awips_f168 + edit FCSTHR '168' + trigger ../../prdgen/jgfs_pgrb2_f168 == complete + endtask + task jgfs_awips_f171 + edit FCSTHR '171' + trigger ../../prdgen/jgfs_pgrb2_f171 == complete + endtask + task jgfs_awips_f174 + edit FCSTHR '174' + trigger ../../prdgen/jgfs_pgrb2_f174 == complete + endtask + task jgfs_awips_f177 + edit FCSTHR '177' + trigger ../../prdgen/jgfs_pgrb2_f177 == complete + endtask + task jgfs_awips_f180 + edit FCSTHR '180' + trigger ../../prdgen/jgfs_pgrb2_f180 == complete + endtask + task jgfs_awips_f183 + edit FCSTHR '183' + trigger ../../prdgen/jgfs_pgrb2_f183 == complete + endtask + task jgfs_awips_f186 + edit FCSTHR '186' + trigger ../../prdgen/jgfs_pgrb2_f186 == complete + endtask + task jgfs_awips_f189 + edit FCSTHR '189' + trigger ../../prdgen/jgfs_pgrb2_f189 == complete + endtask + task jgfs_awips_f192 + edit FCSTHR '192' + trigger ../../prdgen/jgfs_pgrb2_f192 == complete + endtask + task jgfs_awips_f195 + edit FCSTHR '195' + trigger ../../prdgen/jgfs_pgrb2_f195 == complete + endtask + task jgfs_awips_f198 + edit FCSTHR '198' + trigger ../../prdgen/jgfs_pgrb2_f198 == complete + endtask + task jgfs_awips_f201 + edit FCSTHR '201' + trigger ../../prdgen/jgfs_pgrb2_f201 == complete + endtask + task jgfs_awips_f204 + edit FCSTHR '204' + trigger ../../prdgen/jgfs_pgrb2_f204 == complete + endtask + task jgfs_awips_f207 + edit FCSTHR '207' + trigger ../../prdgen/jgfs_pgrb2_f207 == complete + endtask + task jgfs_awips_f210 + edit FCSTHR '210' + trigger ../../prdgen/jgfs_pgrb2_f210 == complete + endtask + task jgfs_awips_f213 + edit FCSTHR '213' + trigger ../../prdgen/jgfs_pgrb2_f213 == complete + endtask + task jgfs_awips_f216 + edit FCSTHR '216' + trigger ../../prdgen/jgfs_pgrb2_f216 == complete + endtask + task jgfs_awips_f219 + edit FCSTHR '219' + trigger ../../prdgen/jgfs_pgrb2_f219 == complete + endtask + task jgfs_awips_f222 + edit FCSTHR '222' + trigger ../../prdgen/jgfs_pgrb2_f222 == complete + endtask + task jgfs_awips_f225 + edit FCSTHR '225' + trigger ../../prdgen/jgfs_pgrb2_f225 == complete + endtask + task jgfs_awips_f228 + edit FCSTHR '228' + trigger ../../prdgen/jgfs_pgrb2_f228 == complete + endtask + task jgfs_awips_f231 + edit FCSTHR '231' + trigger ../../prdgen/jgfs_pgrb2_f231 == complete + endtask + task jgfs_awips_f234 + edit FCSTHR '234' + trigger ../../prdgen/jgfs_pgrb2_f234 == complete + endtask + task jgfs_awips_f237 + edit FCSTHR '237' + trigger ../../prdgen/jgfs_pgrb2_f237 == complete + endtask task jgfs_awips_f240 + edit FCSTHR '240' trigger ../../prdgen/jgfs_pgrb2_f240 == complete endtask - endfamily - family awips_20km - task jgfs_awips_f000 - trigger ../../prdgen/jgfs_pgrb2_f00 == complete + task jgfs_awips_f252 + edit FCSTHR '252' + trigger ../../prdgen/jgfs_pgrb2_f252 == complete + endtask + task jgfs_awips_f264 + edit FCSTHR '264' + trigger ../../prdgen/jgfs_pgrb2_f264 == complete + endtask + task jgfs_awips_f276 + edit FCSTHR '276' + trigger ../../prdgen/jgfs_pgrb2_f276 == complete + endtask + task jgfs_awips_f288 + edit FCSTHR '288' + trigger ../../prdgen/jgfs_pgrb2_f288 == complete + endtask + task jgfs_awips_f300 + edit FCSTHR '300' + trigger ../../prdgen/jgfs_pgrb2_f300 == complete + endtask + task jgfs_awips_f312 + edit FCSTHR '312' + trigger ../../prdgen/jgfs_pgrb2_f312 == complete + endtask + task jgfs_awips_f324 + edit FCSTHR '324' + trigger ../../prdgen/jgfs_pgrb2_f324 == complete + endtask + task jgfs_awips_f336 + edit FCSTHR '336' + trigger ../../prdgen/jgfs_pgrb2_f336 == complete + endtask + task jgfs_awips_f348 + edit FCSTHR '348' + trigger ../../prdgen/jgfs_pgrb2_f348 == complete + endtask + task jgfs_awips_f360 + edit FCSTHR '360' + trigger ../../prdgen/jgfs_pgrb2_f360 == complete + endtask + task jgfs_awips_f372 + edit FCSTHR '372' + trigger ../../prdgen/jgfs_pgrb2_f372 == complete + endtask + task jgfs_awips_f384 + edit FCSTHR '384' + trigger ../../prdgen/jgfs_pgrb2_f384 == complete endtask endfamily endfamily family post task jgfs_post_anl + edit FHR 'anl' + edit HR 'anl' trigger ./jgfs_post_manager:release_postanl event 1 release_pgrb2_anl endtask + task jgfs_pgrb2_spec_post + trigger ./jgfs_post_f336 == complete and ./jgfs_post_f348 == complete and ./jgfs_post_f360 == complete and ./jgfs_post_f372 == complete and ./jgfs_post_f384 == complete + endtask task jgfs_post_manager trigger ../jgfs_analysis == complete and ../forecast == complete event 1 release_postanl @@ -382,531 +2483,878 @@ suite prod18 event 174 release_post384 endtask task jgfs_post_f00 + edit FHR: 'f00' + edit HR: '00' trigger ./jgfs_post_manager:release_post00 endtask task jgfs_post_f01 + edit FHR: 'f01' + edit HR: '01' trigger ./jgfs_post_manager:release_post01 endtask task jgfs_post_f02 + edit FHR: 'f02' + edit HR: '02' trigger ./jgfs_post_manager:release_post02 endtask task jgfs_post_f03 + edit FHR: 'f03' + edit HR: '03' trigger ./jgfs_post_manager:release_post03 endtask task jgfs_post_f04 + edit FHR: 'f04' + edit HR: '04' trigger ./jgfs_post_manager:release_post04 endtask task jgfs_post_f05 + edit FHR: 'f05' + edit HR: '05' trigger ./jgfs_post_manager:release_post05 endtask task jgfs_post_f06 + edit FHR: 'f06' + edit HR: '06' trigger ./jgfs_post_manager:release_post06 endtask task jgfs_post_f07 + edit FHR: 'f07' + edit HR: '07' trigger ./jgfs_post_manager:release_post07 endtask task jgfs_post_f08 + edit FHR: 'f08' + edit HR: '08' trigger ./jgfs_post_manager:release_post08 endtask task jgfs_post_f09 + edit FHR: 'f09' + edit HR: '09' trigger ./jgfs_post_manager:release_post09 endtask task jgfs_post_f10 + edit FHR: 'f10' + edit HR: '10' trigger ./jgfs_post_manager:release_post10 endtask task jgfs_post_f11 + edit FHR: 'f11' + edit HR: '11' trigger ./jgfs_post_manager:release_post11 endtask task jgfs_post_f12 + edit FHR: 'f12' + edit HR: '12' trigger ./jgfs_post_manager:release_post12 endtask task jgfs_post_f13 + edit FHR: 'f13' + edit HR: '13' trigger ./jgfs_post_manager:release_post13 endtask task jgfs_post_f14 + edit FHR: 'f14' + edit HR: '14' trigger ./jgfs_post_manager:release_post14 endtask task jgfs_post_f15 + edit FHR: 'f15' + edit HR: '15' trigger ./jgfs_post_manager:release_post15 endtask task jgfs_post_f16 + edit FHR: 'f16' + edit HR: '16' trigger ./jgfs_post_manager:release_post16 endtask task jgfs_post_f17 + edit FHR: 'f17' + edit HR: '17' trigger ./jgfs_post_manager:release_post17 endtask task jgfs_post_f18 + edit FHR: 'f18' + edit HR: '18' trigger ./jgfs_post_manager:release_post18 endtask task jgfs_post_f19 + edit FHR: 'f19' + edit HR: '19' trigger ./jgfs_post_manager:release_post19 endtask task jgfs_post_f20 + edit FHR: 'f20' + edit HR: '20' trigger ./jgfs_post_manager:release_post20 endtask task jgfs_post_f21 + edit FHR: 'f21' + edit HR: '21' trigger ./jgfs_post_manager:release_post21 endtask task jgfs_post_f22 + edit FHR: 'f22' + edit HR: '22' trigger ./jgfs_post_manager:release_post22 endtask task jgfs_post_f23 + edit FHR: 'f23' + edit HR: '23' trigger ./jgfs_post_manager:release_post23 endtask task jgfs_post_f24 + edit FHR: 'f24' + edit HR: '24' trigger ./jgfs_post_manager:release_post24 endtask task jgfs_post_f25 + edit FHR: 'f25' + edit HR: '25' trigger ./jgfs_post_manager:release_post25 endtask task jgfs_post_f26 + edit FHR: 'f26' + edit HR: '26' trigger ./jgfs_post_manager:release_post26 endtask task jgfs_post_f27 + edit FHR: 'f27' + edit HR: '27' trigger ./jgfs_post_manager:release_post27 endtask task jgfs_post_f28 + edit FHR: 'f28' + edit HR: '28' trigger ./jgfs_post_manager:release_post28 endtask task jgfs_post_f29 + edit FHR: 'f29' + edit HR: '29' trigger ./jgfs_post_manager:release_post29 endtask task jgfs_post_f30 + edit FHR: 'f30' + edit HR: '30' trigger ./jgfs_post_manager:release_post30 endtask task jgfs_post_f31 + edit FHR: 'f31' + edit HR: '31' trigger ./jgfs_post_manager:release_post31 endtask task jgfs_post_f32 + edit FHR: 'f32' + edit HR: '32' trigger ./jgfs_post_manager:release_post32 endtask task jgfs_post_f33 + edit FHR: 'f33' + edit HR: '33' trigger ./jgfs_post_manager:release_post33 endtask task jgfs_post_f34 + edit FHR: 'f34' + edit HR: '34' trigger ./jgfs_post_manager:release_post34 endtask task jgfs_post_f35 + edit FHR: 'f35' + edit HR: '35' trigger ./jgfs_post_manager:release_post35 endtask task jgfs_post_f36 + edit FHR: 'f36' + edit HR: '36' trigger ./jgfs_post_manager:release_post36 endtask task jgfs_post_f37 + edit FHR: 'f37' + edit HR: '37' trigger ./jgfs_post_manager:release_post37 endtask task jgfs_post_f38 + edit FHR: 'f38' + edit HR: '38' trigger ./jgfs_post_manager:release_post38 endtask task jgfs_post_f39 + edit FHR: 'f39' + edit HR: '39' trigger ./jgfs_post_manager:release_post39 endtask task jgfs_post_f40 + edit FHR: 'f40' + edit HR: '40' trigger ./jgfs_post_manager:release_post40 endtask task jgfs_post_f41 + edit FHR: 'f41' + edit HR: '41' trigger ./jgfs_post_manager:release_post41 endtask task jgfs_post_f42 + edit FHR: 'f42' + edit HR: '42' trigger ./jgfs_post_manager:release_post42 endtask task jgfs_post_f43 + edit FHR: 'f43' + edit HR: '43' trigger ./jgfs_post_manager:release_post43 endtask task jgfs_post_f44 + edit FHR: 'f44' + edit HR: '44' trigger ./jgfs_post_manager:release_post44 endtask task jgfs_post_f45 + edit FHR: 'f45' + edit HR: '45' trigger ./jgfs_post_manager:release_post45 endtask task jgfs_post_f46 + edit FHR: 'f46' + edit HR: '46' trigger ./jgfs_post_manager:release_post46 endtask task jgfs_post_f47 + edit FHR: 'f47' + edit HR: '47' trigger ./jgfs_post_manager:release_post47 endtask task jgfs_post_f48 + edit FHR: 'f48' + edit HR: '48' trigger ./jgfs_post_manager:release_post48 endtask task jgfs_post_f49 + edit FHR: 'f49' + edit HR: '49' trigger ./jgfs_post_manager:release_post49 endtask task jgfs_post_f50 + edit FHR: 'f50' + edit HR: '50' trigger ./jgfs_post_manager:release_post50 endtask task jgfs_post_f51 + edit FHR: 'f51' + edit HR: '51' trigger ./jgfs_post_manager:release_post51 endtask task jgfs_post_f52 + edit FHR: 'f52' + edit HR: '52' trigger ./jgfs_post_manager:release_post52 endtask task jgfs_post_f53 + edit FHR: 'f53' + edit HR: '53' trigger ./jgfs_post_manager:release_post53 endtask task jgfs_post_f54 + edit FHR: 'f54' + edit HR: '54' trigger ./jgfs_post_manager:release_post54 endtask task jgfs_post_f55 + edit FHR: 'f55' + edit HR: '55' trigger ./jgfs_post_manager:release_post55 endtask task jgfs_post_f56 + edit FHR: 'f56' + edit HR: '56' trigger ./jgfs_post_manager:release_post56 endtask task jgfs_post_f57 + edit FHR: 'f57' + edit HR: '57' trigger ./jgfs_post_manager:release_post57 endtask task jgfs_post_f58 + edit FHR: 'f58' + edit HR: '58' trigger ./jgfs_post_manager:release_post58 endtask task jgfs_post_f59 + edit FHR: 'f59' + edit HR: '59' trigger ./jgfs_post_manager:release_post59 endtask task jgfs_post_f60 + edit FHR: 'f60' + edit HR: '60' trigger ./jgfs_post_manager:release_post60 endtask task jgfs_post_f61 + edit FHR: 'f61' + edit HR: '61' trigger ./jgfs_post_manager:release_post61 endtask task jgfs_post_f62 + edit FHR: 'f62' + edit HR: '62' trigger ./jgfs_post_manager:release_post62 endtask task jgfs_post_f63 + edit FHR: 'f63' + edit HR: '63' trigger ./jgfs_post_manager:release_post63 endtask task jgfs_post_f64 + edit FHR: 'f64' + edit HR: '64' trigger ./jgfs_post_manager:release_post64 endtask task jgfs_post_f65 + edit FHR: 'f65' + edit HR: '65' trigger ./jgfs_post_manager:release_post65 endtask task jgfs_post_f66 + edit FHR: 'f66' + edit HR: '66' trigger ./jgfs_post_manager:release_post66 endtask task jgfs_post_f67 + edit FHR: 'f67' + edit HR: '67' trigger ./jgfs_post_manager:release_post67 endtask task jgfs_post_f68 + edit FHR: 'f68' + edit HR: '68' trigger ./jgfs_post_manager:release_post68 endtask task jgfs_post_f69 + edit FHR: 'f69' + edit HR: '69' trigger ./jgfs_post_manager:release_post69 endtask task jgfs_post_f70 + edit FHR: 'f70' + edit HR: '70' trigger ./jgfs_post_manager:release_post70 endtask task jgfs_post_f71 + edit FHR: 'f71' + edit HR: '71' trigger ./jgfs_post_manager:release_post71 endtask task jgfs_post_f72 + edit FHR: 'f72' + edit HR: '72' trigger ./jgfs_post_manager:release_post72 endtask task jgfs_post_f73 + edit FHR: 'f73' + edit HR: '73' trigger ./jgfs_post_manager:release_post73 endtask task jgfs_post_f74 + edit FHR: 'f74' + edit HR: '74' trigger ./jgfs_post_manager:release_post74 endtask task jgfs_post_f75 + edit FHR: 'f75' + edit HR: '75' trigger ./jgfs_post_manager:release_post75 endtask task jgfs_post_f76 + edit FHR: 'f76' + edit HR: '76' trigger ./jgfs_post_manager:release_post76 endtask task jgfs_post_f77 + edit FHR: 'f77' + edit HR: '77' trigger ./jgfs_post_manager:release_post77 endtask task jgfs_post_f78 + edit FHR: 'f78' + edit HR: '78' trigger ./jgfs_post_manager:release_post78 endtask task jgfs_post_f79 + edit FHR: 'f79' + edit HR: '79' trigger ./jgfs_post_manager:release_post79 endtask task jgfs_post_f80 + edit FHR: 'f80' + edit HR: '80' trigger ./jgfs_post_manager:release_post80 endtask task jgfs_post_f81 + edit FHR: 'f81' + edit HR: '81' trigger ./jgfs_post_manager:release_post81 endtask task jgfs_post_f82 + edit FHR: 'f82' + edit HR: '82' trigger ./jgfs_post_manager:release_post82 endtask task jgfs_post_f83 + edit FHR: 'f83' + edit HR: '83' trigger ./jgfs_post_manager:release_post83 endtask task jgfs_post_f84 + edit FHR: 'f84' + edit HR: '84' trigger ./jgfs_post_manager:release_post84 endtask task jgfs_post_f85 + edit FHR: 'f85' + edit HR: '85' trigger ./jgfs_post_manager:release_post85 endtask task jgfs_post_f86 + edit FHR: 'f86' + edit HR: '86' trigger ./jgfs_post_manager:release_post86 endtask task jgfs_post_f87 + edit FHR: 'f87' + edit HR: '87' trigger ./jgfs_post_manager:release_post87 endtask task jgfs_post_f88 + edit FHR: 'f88' + edit HR: '88' trigger ./jgfs_post_manager:release_post88 endtask task jgfs_post_f89 + edit FHR: 'f89' + edit HR: '89' trigger ./jgfs_post_manager:release_post89 endtask task jgfs_post_f90 + edit FHR: 'f90' + edit HR: '90' trigger ./jgfs_post_manager:release_post90 endtask task jgfs_post_f91 + edit FHR: 'f91' + edit HR: '91' trigger ./jgfs_post_manager:release_post91 endtask task jgfs_post_f92 + edit FHR: 'f92' + edit HR: '92' trigger ./jgfs_post_manager:release_post92 endtask task jgfs_post_f93 + edit FHR: 'f93' + edit HR: '93' trigger ./jgfs_post_manager:release_post93 endtask task jgfs_post_f94 + edit FHR: 'f94' + edit HR: '94' trigger ./jgfs_post_manager:release_post94 endtask task jgfs_post_f95 + edit FHR: 'f95' + edit HR: '95' trigger ./jgfs_post_manager:release_post95 endtask task jgfs_post_f96 + edit FHR: 'f96' + edit HR: '96' trigger ./jgfs_post_manager:release_post96 endtask task jgfs_post_f97 + edit FHR: 'f97' + edit HR: '97' trigger ./jgfs_post_manager:release_post97 endtask task jgfs_post_f98 + edit FHR: 'f98' + edit HR: '98' trigger ./jgfs_post_manager:release_post98 endtask task jgfs_post_f99 + edit FHR: 'f99' + edit HR: '99' trigger ./jgfs_post_manager:release_post99 endtask task jgfs_post_f100 + edit FHR: 'f100' + edit HR: '100' trigger ./jgfs_post_manager:release_post100 endtask task jgfs_post_f101 + edit FHR: 'f101' + edit HR: '101' trigger ./jgfs_post_manager:release_post101 endtask task jgfs_post_f102 + edit FHR: 'f102' + edit HR: '102' trigger ./jgfs_post_manager:release_post102 endtask task jgfs_post_f103 + edit FHR: 'f103' + edit HR: '103' trigger ./jgfs_post_manager:release_post103 endtask task jgfs_post_f104 + edit FHR: 'f104' + edit HR: '104' trigger ./jgfs_post_manager:release_post104 endtask task jgfs_post_f105 + edit FHR: 'f105' + edit HR: '105' trigger ./jgfs_post_manager:release_post105 endtask task jgfs_post_f106 + edit FHR: 'f106' + edit HR: '106' trigger ./jgfs_post_manager:release_post106 endtask task jgfs_post_f107 + edit FHR: 'f107' + edit HR: '107' trigger ./jgfs_post_manager:release_post107 endtask task jgfs_post_f108 + edit FHR: 'f108' + edit HR: '108' trigger ./jgfs_post_manager:release_post108 endtask task jgfs_post_f109 + edit FHR: 'f109' + edit HR: '109' trigger ./jgfs_post_manager:release_post109 endtask task jgfs_post_f110 + edit FHR: 'f110' + edit HR: '110' trigger ./jgfs_post_manager:release_post110 endtask task jgfs_post_f111 + edit FHR: 'f111' + edit HR: '111' trigger ./jgfs_post_manager:release_post111 endtask task jgfs_post_f112 + edit FHR: 'f112' + edit HR: '112' trigger ./jgfs_post_manager:release_post112 endtask task jgfs_post_f113 + edit FHR: 'f113' + edit HR: '113' trigger ./jgfs_post_manager:release_post113 endtask task jgfs_post_f114 + edit FHR: 'f114' + edit HR: '114' trigger ./jgfs_post_manager:release_post114 endtask task jgfs_post_f115 + edit FHR: 'f115' + edit HR: '115' trigger ./jgfs_post_manager:release_post115 endtask task jgfs_post_f116 + edit FHR: 'f116' + edit HR: '116' trigger ./jgfs_post_manager:release_post116 endtask task jgfs_post_f117 + edit FHR: 'f117' + edit HR: '117' trigger ./jgfs_post_manager:release_post117 endtask task jgfs_post_f118 + edit FHR: 'f118' + edit HR: '118' trigger ./jgfs_post_manager:release_post118 endtask task jgfs_post_f119 + edit FHR: 'f119' + edit HR: '119' trigger ./jgfs_post_manager:release_post119 endtask task jgfs_post_f120 + edit FHR: 'f120' + edit HR: '120' trigger ./jgfs_post_manager:release_post120 endtask task jgfs_post_f123 + edit FHR: 'f123' + edit HR: '123' trigger ./jgfs_post_manager:release_post123 endtask task jgfs_post_f126 + edit FHR: 'f126' + edit HR: '126' trigger ./jgfs_post_manager:release_post126 endtask task jgfs_post_f129 + edit FHR: 'f129' + edit HR: '129' trigger ./jgfs_post_manager:release_post129 endtask task jgfs_post_f132 + edit FHR: 'f132' + edit HR: '132' trigger ./jgfs_post_manager:release_post132 endtask task jgfs_post_f135 + edit FHR: 'f135' + edit HR: '135' trigger ./jgfs_post_manager:release_post135 endtask task jgfs_post_f138 + edit FHR: 'f138' + edit HR: '138' trigger ./jgfs_post_manager:release_post138 endtask task jgfs_post_f141 + edit FHR: 'f141' + edit HR: '141' trigger ./jgfs_post_manager:release_post141 endtask task jgfs_post_f144 + edit FHR: 'f144' + edit HR: '144' trigger ./jgfs_post_manager:release_post144 endtask task jgfs_post_f147 + edit FHR: 'f147' + edit HR: '147' trigger ./jgfs_post_manager:release_post147 endtask task jgfs_post_f150 + edit FHR: 'f150' + edit HR: '150' trigger ./jgfs_post_manager:release_post150 endtask task jgfs_post_f153 + edit FHR: 'f153' + edit HR: '153' trigger ./jgfs_post_manager:release_post153 endtask task jgfs_post_f156 + edit FHR: 'f156' + edit HR: '156' trigger ./jgfs_post_manager:release_post156 endtask task jgfs_post_f159 + edit FHR: 'f159' + edit HR: '159' trigger ./jgfs_post_manager:release_post159 endtask task jgfs_post_f162 + edit FHR: 'f162' + edit HR: '162' trigger ./jgfs_post_manager:release_post162 endtask task jgfs_post_f165 + edit FHR: 'f165' + edit HR: '165' trigger ./jgfs_post_manager:release_post165 endtask task jgfs_post_f168 + edit FHR: 'f168' + edit HR: '168' trigger ./jgfs_post_manager:release_post168 endtask task jgfs_post_f171 + edit FHR: 'f171' + edit HR: '171' trigger ./jgfs_post_manager:release_post171 endtask task jgfs_post_f174 + edit FHR: 'f174' + edit HR: '174' trigger ./jgfs_post_manager:release_post174 endtask task jgfs_post_f177 + edit FHR: 'f177' + edit HR: '177' trigger ./jgfs_post_manager:release_post177 endtask task jgfs_post_f180 + edit FHR: 'f180' + edit HR: '180' trigger ./jgfs_post_manager:release_post180 endtask task jgfs_post_f183 + edit FHR: 'f183' + edit HR: '183' trigger ./jgfs_post_manager:release_post183 endtask task jgfs_post_f186 + edit FHR: 'f186' + edit HR: '186' trigger ./jgfs_post_manager:release_post186 endtask task jgfs_post_f189 + edit FHR: 'f189' + edit HR: '189' trigger ./jgfs_post_manager:release_post189 endtask task jgfs_post_f192 + edit FHR: 'f192' + edit HR: '192' trigger ./jgfs_post_manager:release_post192 endtask task jgfs_post_f195 + edit FHR: 'f195' + edit HR: '195' trigger ./jgfs_post_manager:release_post195 endtask task jgfs_post_f198 + edit FHR: 'f198' + edit HR: '198' trigger ./jgfs_post_manager:release_post198 endtask task jgfs_post_f201 + edit FHR: 'f201' + edit HR: '201' trigger ./jgfs_post_manager:release_post201 endtask task jgfs_post_f204 + edit FHR: 'f204' + edit HR: '204' trigger ./jgfs_post_manager:release_post204 endtask task jgfs_post_f207 + edit FHR: 'f207' + edit HR: '207' trigger ./jgfs_post_manager:release_post207 endtask task jgfs_post_f210 + edit FHR: 'f210' + edit HR: '210' trigger ./jgfs_post_manager:release_post210 endtask task jgfs_post_f213 + edit FHR: 'f213' + edit HR: '213' trigger ./jgfs_post_manager:release_post213 endtask task jgfs_post_f216 + edit FHR: 'f216' + edit HR: '216' trigger ./jgfs_post_manager:release_post216 endtask task jgfs_post_f219 + edit FHR: 'f219' + edit HR: '219' trigger ./jgfs_post_manager:release_post219 endtask task jgfs_post_f222 + edit FHR: 'f222' + edit HR: '222' trigger ./jgfs_post_manager:release_post222 endtask task jgfs_post_f225 + edit FHR: 'f225' + edit HR: '225' trigger ./jgfs_post_manager:release_post225 endtask task jgfs_post_f228 + edit FHR: 'f228' + edit HR: '228' trigger ./jgfs_post_manager:release_post228 endtask task jgfs_post_f231 + edit FHR: 'f231' + edit HR: '231' trigger ./jgfs_post_manager:release_post231 endtask task jgfs_post_f234 + edit FHR: 'f234' + edit HR: '234' trigger ./jgfs_post_manager:release_post234 endtask task jgfs_post_f237 + edit FHR: 'f237' + edit HR: '237' trigger ./jgfs_post_manager:release_post237 endtask task jgfs_post_f240 + edit FHR: 'f240' + edit HR: '240' trigger ./jgfs_post_manager:release_post240 endtask task jgfs_post_f252 + edit FHR: 'f252' + edit HR: '252' trigger ./jgfs_post_manager:release_post252 endtask task jgfs_post_f264 + edit FHR: 'f264' + edit HR: '264' trigger ./jgfs_post_manager:release_post264 endtask task jgfs_post_f276 + edit FHR: 'f276' + edit HR: '276' trigger ./jgfs_post_manager:release_post276 endtask task jgfs_post_f288 + edit FHR: 'f288' + edit HR: '288' trigger ./jgfs_post_manager:release_post288 endtask task jgfs_post_f300 + edit FHR: 'f300' + edit HR: '300' trigger ./jgfs_post_manager:release_post300 endtask task jgfs_post_f312 + edit FHR: 'f312' + edit HR: '312' trigger ./jgfs_post_manager:release_post312 endtask task jgfs_post_f324 + edit FHR: 'f324' + edit HR: '324' trigger ./jgfs_post_manager:release_post324 endtask task jgfs_post_f336 + edit FHR: 'f336' + edit HR: '336' trigger ./jgfs_post_manager:release_post336 endtask task jgfs_post_f348 + edit FHR: 'f348' + edit HR: '348' trigger ./jgfs_post_manager:release_post348 endtask task jgfs_post_f360 + edit FHR: 'f360' + edit HR: '360' trigger ./jgfs_post_manager:release_post360 endtask task jgfs_post_f372 + edit FHR: 'f372' + edit HR: '372' trigger ./jgfs_post_manager:release_post372 endtask task jgfs_post_f384 + edit FHR: 'f384' + edit HR: '384' trigger ./jgfs_post_manager:release_post384 endtask endfamily family prdgen task jgfs_pgrb2_anl + edit FHR 'anl' + edit HR 'anl' endtask task jgfs_pgrb2_manager trigger ../post == complete - event 1 release_postanl event 2 release_post00 event 3 release_post01 event 4 release_post02 @@ -1082,350 +3530,696 @@ suite prod18 event 174 release_post384 endtask task jgfs_pgrb2_f00 + edit FHR '00' + edit HR '00' endtask task jgfs_pgrb2_f01 + edit FHR '01' + edit HR '01' endtask task jgfs_pgrb2_f02 + edit FHR '02' + edit HR '02' endtask task jgfs_pgrb2_f03 + edit FHR '03' + edit HR '03' endtask task jgfs_pgrb2_f04 + edit FHR '04' + edit HR '04' endtask task jgfs_pgrb2_f05 + edit FHR '05' + edit HR '05' endtask task jgfs_pgrb2_f06 + edit FHR '06' + edit HR '06' endtask task jgfs_pgrb2_f07 + edit FHR '07' + edit HR '07' endtask task jgfs_pgrb2_f08 + edit FHR '08' + edit HR '08' endtask task jgfs_pgrb2_f09 + edit FHR '09' + edit HR '09' endtask task jgfs_pgrb2_f10 + edit FHR '10' + edit HR '10' endtask task jgfs_pgrb2_f11 + edit FHR '11' + edit HR '11' endtask task jgfs_pgrb2_f12 + edit FHR '12' + edit HR '12' endtask task jgfs_pgrb2_f13 + edit FHR '13' + edit HR '13' endtask task jgfs_pgrb2_f14 + edit FHR '14' + edit HR '14' endtask task jgfs_pgrb2_f15 + edit FHR '15' + edit HR '15' endtask task jgfs_pgrb2_f16 + edit FHR '16' + edit HR '16' endtask task jgfs_pgrb2_f17 + edit FHR '17' + edit HR '17' endtask task jgfs_pgrb2_f18 + edit FHR '18' + edit HR '18' endtask task jgfs_pgrb2_f19 + edit FHR '19' + edit HR '19' endtask task jgfs_pgrb2_f20 + edit FHR '20' + edit HR '20' endtask task jgfs_pgrb2_f21 + edit FHR '21' + edit HR '21' endtask task jgfs_pgrb2_f22 + edit FHR '22' + edit HR '22' endtask task jgfs_pgrb2_f23 + edit FHR '23' + edit HR '23' endtask task jgfs_pgrb2_f24 + edit FHR '24' + edit HR '24' endtask task jgfs_pgrb2_f25 + edit FHR '25' + edit HR '25' endtask task jgfs_pgrb2_f26 + edit FHR '26' + edit HR '26' endtask task jgfs_pgrb2_f27 + edit FHR '27' + edit HR '27' endtask task jgfs_pgrb2_f28 + edit FHR '28' + edit HR '28' endtask task jgfs_pgrb2_f29 + edit FHR '29' + edit HR '29' endtask task jgfs_pgrb2_f30 + edit FHR '30' + edit HR '30' endtask task jgfs_pgrb2_f31 + edit FHR '31' + edit HR '31' endtask task jgfs_pgrb2_f32 + edit FHR '32' + edit HR '32' endtask task jgfs_pgrb2_f33 + edit FHR '33' + edit HR '33' endtask task jgfs_pgrb2_f34 + edit FHR '34' + edit HR '34' endtask task jgfs_pgrb2_f35 + edit FHR '35' + edit HR '35' endtask task jgfs_pgrb2_f36 + edit FHR '36' + edit HR '36' endtask task jgfs_pgrb2_f37 + edit FHR '37' + edit HR '37' endtask task jgfs_pgrb2_f38 + edit FHR '38' + edit HR '38' endtask task jgfs_pgrb2_f39 + edit FHR '39' + edit HR '39' endtask task jgfs_pgrb2_f40 + edit FHR '40' + edit HR '40' endtask task jgfs_pgrb2_f41 + edit FHR '41' + edit HR '41' endtask task jgfs_pgrb2_f42 + edit FHR '42' + edit HR '42' endtask task jgfs_pgrb2_f43 + edit FHR '43' + edit HR '43' endtask task jgfs_pgrb2_f44 + edit FHR '44' + edit HR '44' endtask task jgfs_pgrb2_f45 + edit FHR '45' + edit HR '45' endtask task jgfs_pgrb2_f46 + edit FHR '46' + edit HR '46' endtask task jgfs_pgrb2_f47 + edit FHR '47' + edit HR '47' endtask task jgfs_pgrb2_f48 + edit FHR '48' + edit HR '48' endtask task jgfs_pgrb2_f49 + edit FHR '49' + edit HR '49' endtask task jgfs_pgrb2_f50 + edit FHR '50' + edit HR '50' endtask task jgfs_pgrb2_f51 + edit FHR '51' + edit HR '51' endtask task jgfs_pgrb2_f52 + edit FHR '52' + edit HR '52' endtask task jgfs_pgrb2_f53 + edit FHR '53' + edit HR '53' endtask task jgfs_pgrb2_f54 + edit FHR '54' + edit HR '54' endtask task jgfs_pgrb2_f55 + edit FHR '55' + edit HR '55' endtask task jgfs_pgrb2_f56 + edit FHR '56' + edit HR '56' endtask task jgfs_pgrb2_f57 + edit FHR '57' + edit HR '57' endtask task jgfs_pgrb2_f58 + edit FHR '58' + edit HR '58' endtask task jgfs_pgrb2_f59 + edit FHR '59' + edit HR '59' endtask task jgfs_pgrb2_f60 + edit FHR '60' + edit HR '60' endtask task jgfs_pgrb2_f61 + edit FHR '61' + edit HR '61' endtask task jgfs_pgrb2_f62 + edit FHR '62' + edit HR '62' endtask task jgfs_pgrb2_f63 + edit FHR '63' + edit HR '63' endtask task jgfs_pgrb2_f64 + edit FHR '64' + edit HR '64' endtask task jgfs_pgrb2_f65 + edit FHR '65' + edit HR '65' endtask task jgfs_pgrb2_f66 + edit FHR '66' + edit HR '66' endtask task jgfs_pgrb2_f67 + edit FHR '67' + edit HR '67' endtask task jgfs_pgrb2_f68 + edit FHR '68' + edit HR '68' endtask task jgfs_pgrb2_f69 + edit FHR '69' + edit HR '69' endtask task jgfs_pgrb2_f70 + edit FHR '70' + edit HR '70' endtask task jgfs_pgrb2_f71 + edit FHR '71' + edit HR '71' endtask task jgfs_pgrb2_f72 + edit FHR '72' + edit HR '72' endtask task jgfs_pgrb2_f73 + edit FHR '73' + edit HR '73' endtask task jgfs_pgrb2_f74 + edit FHR '74' + edit HR '74' endtask task jgfs_pgrb2_f75 + edit FHR '75' + edit HR '75' endtask task jgfs_pgrb2_f76 + edit FHR '76' + edit HR '76' endtask task jgfs_pgrb2_f77 + edit FHR '77' + edit HR '77' endtask task jgfs_pgrb2_f78 + edit FHR '78' + edit HR '78' endtask task jgfs_pgrb2_f79 + edit FHR '79' + edit HR '79' endtask task jgfs_pgrb2_f80 + edit FHR '80' + edit HR '80' endtask task jgfs_pgrb2_f81 + edit FHR '81' + edit HR '81' endtask task jgfs_pgrb2_f82 + edit FHR '82' + edit HR '82' endtask task jgfs_pgrb2_f83 + edit FHR '83' + edit HR '83' endtask task jgfs_pgrb2_f84 + edit FHR '84' + edit HR '84' endtask task jgfs_pgrb2_f85 + edit FHR '85' + edit HR '85' endtask task jgfs_pgrb2_f86 + edit FHR '86' + edit HR '86' endtask task jgfs_pgrb2_f87 + edit FHR '87' + edit HR '87' endtask task jgfs_pgrb2_f88 + edit FHR '88' + edit HR '88' endtask task jgfs_pgrb2_f89 + edit FHR '89' + edit HR '89' endtask task jgfs_pgrb2_f90 + edit FHR '90' + edit HR '90' endtask task jgfs_pgrb2_f91 + edit FHR '91' + edit HR '91' endtask task jgfs_pgrb2_f92 + edit FHR '92' + edit HR '92' endtask task jgfs_pgrb2_f93 + edit FHR '93' + edit HR '93' endtask task jgfs_pgrb2_f94 + edit FHR '94' + edit HR '94' endtask task jgfs_pgrb2_f95 + edit FHR '95' + edit HR '95' endtask task jgfs_pgrb2_f96 + edit FHR '96' + edit HR '96' endtask task jgfs_pgrb2_f97 + edit FHR '97' + edit HR '97' endtask task jgfs_pgrb2_f98 + edit FHR '98' + edit HR '98' endtask task jgfs_pgrb2_f99 + edit FHR '99' + edit HR '99' endtask task jgfs_pgrb2_f100 + edit FHR '100' + edit HR '100' endtask task jgfs_pgrb2_f101 + edit FHR '101' + edit HR '101' endtask task jgfs_pgrb2_f102 + edit FHR '102' + edit HR '102' endtask task jgfs_pgrb2_f103 + edit FHR '103' + edit HR '103' endtask task jgfs_pgrb2_f104 + edit FHR '104' + edit HR '104' endtask task jgfs_pgrb2_f105 + edit FHR '105' + edit HR '105' endtask task jgfs_pgrb2_f106 + edit FHR '106' + edit HR '106' endtask task jgfs_pgrb2_f107 + edit FHR '107' + edit HR '107' endtask task jgfs_pgrb2_f108 + edit FHR '108' + edit HR '108' endtask task jgfs_pgrb2_f109 + edit FHR '109' + edit HR '109' endtask task jgfs_pgrb2_f110 + edit FHR '110' + edit HR '110' endtask task jgfs_pgrb2_f111 + edit FHR '111' + edit HR '111' endtask task jgfs_pgrb2_f112 + edit FHR '112' + edit HR '112' endtask task jgfs_pgrb2_f113 + edit FHR '113' + edit HR '113' endtask task jgfs_pgrb2_f114 + edit FHR '114' + edit HR '114' endtask task jgfs_pgrb2_f115 + edit FHR '115' + edit HR '115' endtask task jgfs_pgrb2_f116 + edit FHR '116' + edit HR '116' endtask task jgfs_pgrb2_f117 + edit FHR '117' + edit HR '117' endtask task jgfs_pgrb2_f118 + edit FHR '118' + edit HR '118' endtask task jgfs_pgrb2_f119 + edit FHR '119' + edit HR '119' endtask task jgfs_pgrb2_f120 + edit FHR '120' + edit HR '120' endtask task jgfs_pgrb2_f123 + edit FHR '123' + edit HR '123' endtask task jgfs_pgrb2_f126 + edit FHR '126' + edit HR '126' endtask task jgfs_pgrb2_f129 + edit FHR '129' + edit HR '129' endtask task jgfs_pgrb2_f132 + edit FHR '132' + edit HR '132' endtask task jgfs_pgrb2_f135 + edit FHR '135' + edit HR '135' endtask task jgfs_pgrb2_f138 + edit FHR '138' + edit HR '138' endtask task jgfs_pgrb2_f141 + edit FHR '141' + edit HR '141' endtask task jgfs_pgrb2_f144 + edit FHR '144' + edit HR '144' endtask task jgfs_pgrb2_f147 + edit FHR '147' + edit HR '147' endtask task jgfs_pgrb2_f150 + edit FHR '150' + edit HR '150' endtask task jgfs_pgrb2_f153 + edit FHR '153' + edit HR '153' endtask task jgfs_pgrb2_f156 + edit FHR '156' + edit HR '156' endtask task jgfs_pgrb2_f159 + edit FHR '159' + edit HR '159' endtask task jgfs_pgrb2_f162 + edit FHR '162' + edit HR '162' endtask task jgfs_pgrb2_f165 + edit FHR '165' + edit HR '165' endtask task jgfs_pgrb2_f168 + edit FHR '168' + edit HR '168' endtask task jgfs_pgrb2_f171 + edit FHR '171' + edit HR '171' endtask task jgfs_pgrb2_f174 + edit FHR '174' + edit HR '174' endtask task jgfs_pgrb2_f177 + edit FHR '177' + edit HR '177' endtask task jgfs_pgrb2_f180 + edit FHR '180' + edit HR '180' endtask task jgfs_pgrb2_f183 + edit FHR '183' + edit HR '183' endtask task jgfs_pgrb2_f186 + edit FHR '186' + edit HR '186' endtask task jgfs_pgrb2_f189 + edit FHR '189' + edit HR '189' endtask task jgfs_pgrb2_f192 + edit FHR '192' + edit HR '192' endtask task jgfs_pgrb2_f195 + edit FHR '195' + edit HR '195' endtask task jgfs_pgrb2_f198 + edit FHR '198' + edit HR '198' endtask task jgfs_pgrb2_f201 + edit FHR '201' + edit HR '201' endtask task jgfs_pgrb2_f204 + edit FHR '204' + edit HR '204' endtask task jgfs_pgrb2_f207 + edit FHR '207' + edit HR '207' endtask task jgfs_pgrb2_f210 + edit FHR '210' + edit HR '210' endtask task jgfs_pgrb2_f213 + edit FHR '213' + edit HR '213' endtask task jgfs_pgrb2_f216 + edit FHR '216' + edit HR '216' endtask task jgfs_pgrb2_f219 + edit FHR '219' + edit HR '219' endtask task jgfs_pgrb2_f222 + edit FHR '222' + edit HR '222' endtask task jgfs_pgrb2_f225 + edit FHR '225' + edit HR '225' endtask task jgfs_pgrb2_f228 + edit FHR '228' + edit HR '228' endtask task jgfs_pgrb2_f231 + edit FHR '231' + edit HR '231' endtask task jgfs_pgrb2_f234 + edit FHR '234' + edit HR '234' endtask task jgfs_pgrb2_f237 + edit FHR '237' + edit HR '237' endtask task jgfs_pgrb2_f240 + edit FHR '240' + edit HR '240' endtask task jgfs_pgrb2_f252 + edit FHR '252' + edit HR '252' endtask task jgfs_pgrb2_f264 + edit FHR '264' + edit HR '264' endtask task jgfs_pgrb2_f276 + edit FHR '276' + edit HR '276' endtask task jgfs_pgrb2_f288 + edit FHR '288' + edit HR '288' endtask task jgfs_pgrb2_f300 + edit FHR '300' + edit HR '300' endtask task jgfs_pgrb2_f312 + edit FHR '312' + edit HR '312' endtask task jgfs_pgrb2_f324 + edit FHR '324' + edit HR '324' endtask task jgfs_pgrb2_f336 + edit FHR '336' + edit HR '336' endtask task jgfs_pgrb2_f348 + edit FHR '348' + edit HR '348' endtask task jgfs_pgrb2_f360 + edit FHR '360' + edit HR '360' endtask task jgfs_pgrb2_f372 + edit FHR '372' + edit HR '372' endtask task jgfs_pgrb2_f384 + edit FHR '384' + edit HR '384' endtask endfamily family gempak @@ -1444,6 +4238,10 @@ suite prod18 endfamily endfamily family gdas + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + edit PROJ '%PROJENVIR%' + edit MODEL_NAME 'gdas' task jgdas_verfrad trigger ./enkf == complete endtask @@ -1529,24 +4327,9 @@ suite prod18 endtask task jgdas_enkf_innovate_obs_grp8 endtask - task jgdas_enkf_innovate_obs_grp9 - endtask - task jgdas_enkf_innovate_obs_grp10 - endtask - task jgdas_enkf_innovate_obs_grp11 - endtask - task jgdas_enkf_innovate_obs_grp12 - endtask - task jgdas_enkf_innovate_obs_grp13 - endtask - task jgdas_enkf_innovate_obs_grp14 - endtask - task jgdas_enkf_innovate_obs_grp15 - endtask - task jgdas_enkf_innovate_obs_grp16 - endtask endfamily task jgdas_enkf_update + edit ECF_PASS 'FREE' trigger ./innovate == complete endtask task jgdas_enkf_inflate_recenter @@ -1570,22 +4353,6 @@ suite prod18 endtask task jgdas_enkf_fcst_grp8 endtask - task jgdas_enkf_fcst_grp9 - endtask - task jgdas_enkf_fcst_grp10 - endtask - task jgdas_enkf_fcst_grp11 - endtask - task jgdas_enkf_fcst_grp12 - endtask - task jgdas_enkf_fcst_grp13 - endtask - task jgdas_enkf_fcst_grp14 - endtask - task jgdas_enkf_fcst_grp15 - endtask - task jgdas_enkf_fcst_grp16 - endtask endfamily task jgdas_enkf_post trigger ./forecast == complete @@ -1593,5 +4360,7 @@ suite prod18 endfamily endfamily task cycle_end + edit ECF_JOB_CMD '%ECF_JOB% 1> %ECF_JOBOUT% 2>&1' + edit ECF_PASS 'FREE' endtask endsuite diff --git a/model/ecflow_fv3gfs/ecf_file.yaml b/model/ecflow_fv3gfs/ecf_file.yaml index aee2111..8dcfe23 100644 --- a/model/ecflow_fv3gfs/ecf_file.yaml +++ b/model/ecflow_fv3gfs/ecf_file.yaml @@ -33,7 +33,7 @@ ecf_file_logic: &ecf_file_logic export cyc=%CYC% # CALL executable job script here - ${{HOMEgfs}}/jobs/JGLOBAL_FORECAST + echo ${{HOMEgfs}}/jobs/{J_JOB} %include %manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/cycle_end.ecf b/model/ecflow_fv3gfs/scripts/prod00/cycle_end.ecf index c9cfff9..4e874f0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/cycle_end.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/cycle_end.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/CYCLE_END %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/analysis/jgdas_analysis_high.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/analysis/jgdas_analysis_high.ecf index 05da1e1..a200197 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/analysis/jgdas_analysis_high.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/analysis/jgdas_analysis_high.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_ANALYSIS_HIGH %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf index 56c4f2a..709273c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_DUMP %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf index 2b40958..ed05c2c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_ICS %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf index d5233d5..249de65 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_TROPCY_QC_RELOC %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf index 9b0d13d..6962c93 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf index 3f764d5..9d83c54 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf index de4e53e..3b71f69 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf index 6bc023c..20218b2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf index 23e5309..e6dcf10 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf index 2fa837f..02454db 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf index 91750df..39ec92b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf index 53954b4..205d7e0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf index 0160e4d..075bc1e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf index a3b9840..ee7440e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf index 1f0a322..220b2b9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf index 6864171..6d76be7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf index 187d773..562af3e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf index 002f57f..9ab23fd 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf index 09621bb..ac0ea33 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf index 95cfc73..1492e8b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf index 6bf675b..e4615f0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf index 729cdf0..cb30b45 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf index 724158b..fd29f5b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf index c2ce735..e2488d5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf index 6ebbb84..683c235 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf index 1cabbee..641c407 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf index 0127116..0df0a8c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf index e983650..3829b2f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf index 1db68ea..f3bf604 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf index f4cc80c..e6bc15e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf index e46ae93..73e7cb4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf index cbb7cb8..eb04b16 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf index 78e11e8..d6b056e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf index 5e7f8e6..a5b49b8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf index 33e4705..630d329 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf index 894d204..2b064b3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf index 523b024..b6d1c18 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_ENKF_INFLATE_RECENTER %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf index 91d0963..1abe592 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_ENKF_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf index 5511157..e0d4d1e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_ENKF_SELECT_OBS %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf index ca328f0..0843762 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_ENKF_UPDATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/forecast/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/forecast/jgdas_forecast.ecf index d7aaa39..eed96b0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/forecast/jgdas_forecast.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/forecast/jgdas_forecast.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak.ecf index 326ae5d..e611466 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_GEMPAK %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_meta.ecf index a465314..93dc751 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_meta.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_meta.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_GEMPAK_META %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_ncdc.ecf index 9fc1394..a10c959 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_ncdc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_ncdc.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_GEMPAK_NCDC %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf index f821cbe..e99e392 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_VERFRAD %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf index 172f26e..16e857c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_VMINMON %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/post/jgdas_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/post/jgdas_post.ecf index 70279e2..a83823e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/post/jgdas_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/post/jgdas_post.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/post %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf index a318919..e65c565 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_MKNAVYBULLS %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf index 64edefc..4d41618 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_EMCSFC_SFC_PREP %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf index 02bbbf4..d70e3a8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_PREP %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf index ca2a67c..e749457 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_PREP_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf index 3efddef..2695723 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_DUMP %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf index ec9a7c6..5cd044c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_TROPCY_QC_RELOC %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_high.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_high.ecf index e0c8d37..c3140db 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_high.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_high.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_low.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_low.ecf index 7d60768..37302ab 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_low.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_low.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak.ecf index e98d868..33942eb 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_GEMPAK %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_meta.ecf index 2064a33..27db5f1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_meta.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_meta.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_GEMPAK_META %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_ncdc.ecf index 28aef49..3188b7c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_ncdc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_ncdc.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_GEMPAK_NCDC %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_upapgif.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_upapgif.ecf index c376157..8a66333 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_upapgif.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_upapgif.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_GEMPAK_UPAPGIF %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf index 2e09f03..abcf04c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_ANALYSIS %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf index 12539f0..fa7eafe 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_VMINMON %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_pgrb2_spec_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_pgrb2_spec_post.ecf index 64ef876..0801f05 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_pgrb2_spec_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_pgrb2_spec_post.ecf @@ -1,33 +1,44 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_pgrb2_spec_post +#BSUB -o %ECF_OUT%/gfs.post.jgfs_pgrb2_spec_post_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_PGRB2_SPEC_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_anl.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_anl.ecf index 1592c9a..45a93c4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_anl.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_ANL %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f00.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f00.ecf index 174874a..02597de 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f00.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F00 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f01.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f01.ecf index 07b5e97..108bf63 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f01.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f01.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F01 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f02.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f02.ecf index 6bd5de2..4fdac2f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f02.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f02.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F02 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f03.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f03.ecf index 5512cc7..f9e67b3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f03.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f03.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F03 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f04.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f04.ecf index d44cabf..5cf2213 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f04.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f04.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F04 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f05.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f05.ecf index 933e206..4d07699 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f05.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f05.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F05 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f06.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f06.ecf index 4580f6f..61378cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f06.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F06 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f07.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f07.ecf index 6723ea8..358a358 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f07.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f07.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F07 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f08.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f08.ecf index a0d3fb0..54f90b9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f08.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f08.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F08 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f09.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f09.ecf index bd0a087..8b82c0e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f09.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f09.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F09 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f10.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f10.ecf index f7c6240..3cdac7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f10.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F10 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f100.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f100.ecf index 0c15761..1a86b5b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f100.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F100 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f101.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f101.ecf index 9cdfd50..e249fa7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f101.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F101 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f102.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f102.ecf index 0a69199..169b6f8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f102.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F102 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f103.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f103.ecf index 2eacdfc..9c80f50 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f103.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F103 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f104.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f104.ecf index 3f5a24c..0c91263 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f104.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F104 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f105.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f105.ecf index 81b8adc..8c838f1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f105.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F105 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f106.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f106.ecf index c43cc00..9227194 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f106.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F106 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f107.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f107.ecf index 97e8e47..e66cc39 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f107.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F107 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f108.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f108.ecf index cacd1f8..2404b80 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f108.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F108 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f109.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f109.ecf index 33d2681..568e47e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f109.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F109 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f11.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f11.ecf index 976f809..a8c31fe 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f11.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F11 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f110.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f110.ecf index 5b908ac..7f02883 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f110.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F110 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f111.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f111.ecf index 29f1f05..84edb70 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f111.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F111 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f112.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f112.ecf index e156772..2c3d749 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f112.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F112 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f113.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f113.ecf index 974a118..fcadb88 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f113.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F113 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f114.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f114.ecf index ad6263f..e7339b4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f114.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F114 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f115.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f115.ecf index 040c9cf..4028ca9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f115.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F115 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f116.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f116.ecf index 0650cdc..25c013b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f116.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F116 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f117.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f117.ecf index 2c1213e..40b2a29 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f117.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F117 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f118.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f118.ecf index 1f2e3e2..c4f7bad 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f118.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F118 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f119.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f119.ecf index a01c60e..57a736c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f119.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F119 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f12.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f12.ecf index a975052..791286e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f12.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F12 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f120.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f120.ecf index af5a95b..7efc829 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f120.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F120 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f123.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f123.ecf index 39be5f3..b7fed67 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f123.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F123 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f126.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f126.ecf index 0ba19e7..faa1ca2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f126.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F126 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f129.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f129.ecf index 11c9a8e..0bff7d7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f129.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F129 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f13.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f13.ecf index c96723b..9370750 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f13.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F13 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f132.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f132.ecf index 71a9a80..cd98649 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f132.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F132 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f135.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f135.ecf index 1a4495c..adf58ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f135.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F135 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f138.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f138.ecf index 3857157..3b4d3e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f138.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F138 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f14.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f14.ecf index 200d27a..c2eb6c2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f14.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F14 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f141.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f141.ecf index a77bd40..845199e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f141.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F141 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f144.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f144.ecf index a260c3f..b14d208 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f144.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F144 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f147.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f147.ecf index 24f0bfc..8559ed0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f147.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F147 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f15.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f15.ecf index 2fbda18..086115c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f15.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F15 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f150.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f150.ecf index fe846d4..309c34f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f150.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F150 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f153.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f153.ecf index 76926b3..430952c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f153.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F153 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f156.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f156.ecf index ba35661..064a627 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f156.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F156 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f159.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f159.ecf index a7610ed..1ce69e7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f159.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F159 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f16.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f16.ecf index ecf5680..fd7598f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f16.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F16 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f162.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f162.ecf index 0435b6b..854d633 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f162.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F162 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f165.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f165.ecf index 04a26a2..c2c60b9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f165.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F165 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f168.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f168.ecf index fc20fdf..2a9d6ba 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f168.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F168 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f17.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f17.ecf index 9a1f924..5d76cb5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f17.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f17.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F17 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f171.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f171.ecf index f1c88e7..8ea9fff 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f171.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F171 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f174.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f174.ecf index 0bfae2b..4402bce 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f174.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F174 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f177.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f177.ecf index f79499f..107d37a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f177.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F177 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f18.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f18.ecf index b08c39e..3b29c2f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f18.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F18 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f180.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f180.ecf index 70a8257..e9c8054 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f180.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F180 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f183.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f183.ecf index c3eba46..22d1912 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f183.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F183 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f186.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f186.ecf index 19b5b17..115a3f4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f186.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F186 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f189.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f189.ecf index 295f645..b106e6e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f189.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F189 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f19.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f19.ecf index 28cbff2..21956ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f19.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f19.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F19 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f192.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f192.ecf index 2a9adb0..4ae2aff 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f192.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F192 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f195.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f195.ecf index 029a6f1..0f24e2a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f195.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F195 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f198.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f198.ecf index 62abb4a..ef6396a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f198.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F198 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f20.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f20.ecf index 5557e78..7c47937 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f20.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f20.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F20 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f201.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f201.ecf index 071c2a4..2f9317a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f201.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F201 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f204.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f204.ecf index d3573ee..efa0ded 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f204.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F204 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f207.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f207.ecf index 8be09f7..ec1710e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f207.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F207 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f21.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f21.ecf index 7931e80..4f804b0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f21.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f21.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F21 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f210.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f210.ecf index ce82bd4..35362be 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f210.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F210 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f213.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f213.ecf index 87077a1..34c4bf6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f213.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F213 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f216.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f216.ecf index eaa75ad..b71785a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f216.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F216 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f219.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f219.ecf index 30bcbf5..e5532a7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f219.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F219 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f22.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f22.ecf index 5fcce72..14de8d7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f22.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f22.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F22 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f222.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f222.ecf index 9ff9ee5..1323cc2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f222.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F222 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f225.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f225.ecf index 8532891..455ead1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f225.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F225 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f228.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f228.ecf index 3c25670..cfac18f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f228.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F228 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f23.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f23.ecf index 8e580c6..55ae234 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f23.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f23.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F23 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f231.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f231.ecf index e473c18..056d407 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f231.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F231 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f234.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f234.ecf index f010694..23a4639 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f234.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F234 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f237.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f237.ecf index 642e7af..c0ce852 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f237.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F237 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f24.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f24.ecf index 71cfe7a..c8386e3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f24.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F24 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f240.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f240.ecf index a87516a..c81481b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f240.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F240 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f25.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f25.ecf index 164f8a7..c27b146 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f25.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f25.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F25 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f252.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f252.ecf index 9f244e6..de6a3e9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f252.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F252 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f26.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f26.ecf index 856e49f..19e658b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f26.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f26.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F26 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f264.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f264.ecf index 14cda4a..6062291 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f264.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F264 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f27.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f27.ecf index d416e60..49aefae 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f27.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f27.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F27 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f276.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f276.ecf index 0220bee..0acae9d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f276.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F276 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f28.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f28.ecf index 3ffae84..ed73eb8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f28.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f28.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F28 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f288.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f288.ecf index 454ca85..42d9617 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f288.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F288 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f29.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f29.ecf index c97840a..c84c6f3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f29.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f29.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F29 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f30.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f30.ecf index e1ea0e2..23927d7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f30.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F30 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f300.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f300.ecf index 1c0b12c..68473ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f300.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F300 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f31.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f31.ecf index 93f85eb..a7d4c7e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f31.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f31.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F31 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f312.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f312.ecf index 8a93302..2b32b78 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f312.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F312 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f32.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f32.ecf index 7c39cf4..7730300 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f32.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f32.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F32 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f324.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f324.ecf index 79a30c8..7a86340 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f324.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F324 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f33.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f33.ecf index 9af52bf..6b5bec0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f33.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f33.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F33 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f336.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f336.ecf index 8f1d98c..191765b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f336.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F336 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f34.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f34.ecf index 0d35e21..cd5061b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f34.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f34.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F34 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f348.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f348.ecf index a30e3ca..95b8f8b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f348.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F348 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f35.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f35.ecf index bc4bda1..cacaf52 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f35.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f35.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F35 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f36.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f36.ecf index 7de0e72..e33dde8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f36.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F36 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f360.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f360.ecf index 172fb0e..4fc0fe4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f360.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F360 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f37.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f37.ecf index df7cac0..5a92603 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f37.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f37.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F37 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f372.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f372.ecf index 7b05117..8b5f4b6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f372.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F372 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f38.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f38.ecf index 9a33c9f..2a26048 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f38.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f38.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F38 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f384.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f384.ecf index 3fc1ea6..938424a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f384.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F384 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f39.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f39.ecf index 83cdefb..1b8ec9d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f39.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f39.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F39 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f40.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f40.ecf index e1acb56..1eec893 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f40.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f40.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F40 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f41.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f41.ecf index 69a9cf5..c1c7542 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f41.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f41.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F41 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f42.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f42.ecf index a617d2b..2325836 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f42.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F42 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f43.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f43.ecf index 721bedb..ff41cfb 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f43.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f43.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F43 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f44.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f44.ecf index 5d3eea9..4ce3278 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f44.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f44.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F44 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f45.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f45.ecf index 429f3df..91e6446 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f45.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f45.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F45 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f46.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f46.ecf index c1c755d..8b0dc36 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f46.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f46.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F46 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f47.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f47.ecf index 65fb347..e67c97a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f47.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f47.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F47 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f48.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f48.ecf index 1e6a825..2b62b20 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f48.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F48 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f49.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f49.ecf index 70759d4..472ad4c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f49.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f49.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F49 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f50.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f50.ecf index eff73fd..7553af6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f50.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f50.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F50 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f51.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f51.ecf index cb06902..c912aaa 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f51.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f51.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F51 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f52.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f52.ecf index cd69559..550df2f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f52.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f52.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F52 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f53.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f53.ecf index 8b8626b..92dd73f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f53.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f53.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F53 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f54.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f54.ecf index 22d46c4..bea0edc 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f54.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F54 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f55.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f55.ecf index 410e778..837811c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f55.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f55.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F55 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f56.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f56.ecf index 4eab593..d89b869 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f56.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f56.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F56 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f57.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f57.ecf index 5436f4a..348ee4f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f57.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f57.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F57 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f58.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f58.ecf index 994d09b..18c4a48 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f58.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f58.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F58 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f59.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f59.ecf index 38aad79..911e47a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f59.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f59.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F59 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f60.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f60.ecf index 27d23a3..5146bd0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f60.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F60 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f61.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f61.ecf index d0b5ef6..ae3fea3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f61.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f61.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F61 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f62.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f62.ecf index cc231db..436b0bc 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f62.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f62.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F62 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f63.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f63.ecf index b93fc36..f0a1a8f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f63.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f63.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F63 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f64.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f64.ecf index dd7f9da..950507b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f64.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f64.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F64 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f65.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f65.ecf index f51aee7..d6c4e7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f65.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f65.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F65 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f66.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f66.ecf index 7c4e85d..5925426 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f66.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F66 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f67.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f67.ecf index f4b7b21..a30b66c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f67.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f67.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F67 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f68.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f68.ecf index 7515773..02c4962 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f68.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f68.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F68 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f69.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f69.ecf index dbd70aa..1a4852c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f69.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f69.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F69 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f70.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f70.ecf index ed8031d..ad1dca1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f70.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f70.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F70 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f71.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f71.ecf index 3bcebcc..b75158b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f71.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f71.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F71 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f72.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f72.ecf index f74154a..bfda8ea 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f72.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F72 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f73.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f73.ecf index 94f68c6..5703db6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f73.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f73.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F73 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f74.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f74.ecf index ad230fa..4d8a171 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f74.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f74.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F74 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f75.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f75.ecf index f9d56f8..df16a6d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f75.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f75.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F75 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f76.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f76.ecf index 9eb91f8..8e6af05 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f76.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f76.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F76 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f77.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f77.ecf index 1c2fff5..cfa16fc 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f77.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f77.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F77 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f78.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f78.ecf index ea8fbdf..96ab8cd 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f78.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F78 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f79.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f79.ecf index 6dfabea..d5bacf3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f79.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f79.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F79 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f80.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f80.ecf index d41bdc9..a43e62f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f80.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f80.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F80 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f81.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f81.ecf index f20268f..433bd18 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f81.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f81.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F81 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f82.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f82.ecf index 825ebce..a79f29b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f82.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f82.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F82 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f83.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f83.ecf index 3bc7460..c0a8717 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f83.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f83.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F83 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f84.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f84.ecf index 47f9fbb..fc497b7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f84.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F84 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f85.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f85.ecf index dc6312e..4b8f159 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f85.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f85.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F85 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f86.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f86.ecf index 692f3aa..d277132 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f86.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f86.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F86 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f87.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f87.ecf index 17fbbb3..ba24c5d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f87.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f87.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F87 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f88.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f88.ecf index 8b9d7a6..81a30a6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f88.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f88.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F88 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f89.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f89.ecf index f7c07e7..3514e27 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f89.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f89.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F89 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f90.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f90.ecf index 0004443..73a0585 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f90.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F90 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f91.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f91.ecf index c536f1b..3eceeee 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f91.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f91.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F91 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f92.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f92.ecf index 02ae73d..bc30db6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f92.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f92.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F92 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f93.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f93.ecf index 4e19cba..842596d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f93.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f93.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F93 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f94.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f94.ecf index 9973097..adc946c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f94.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f94.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F94 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f95.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f95.ecf index 176b452..961d45a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f95.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f95.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F95 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f96.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f96.ecf index b975020..e7c1809 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f96.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F96 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f97.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f97.ecf index 49bd8a2..5a7b7cc 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f97.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f97.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F97 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f98.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f98.ecf index b80ece2..6aba72f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f98.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f98.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F98 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f99.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f99.ecf index 8d67938..fd493c7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f99.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f99.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F99 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_manager.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_manager.ecf index 436fa1c..cce2e41 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_manager.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_manager.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_MANAGER %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf index 47c0ebc..d3ec7f0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F000 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf new file mode 100644 index 0000000..669ca3e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f001 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f001_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F001 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf new file mode 100644 index 0000000..bcc4ea7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f002 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f002_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F002 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf new file mode 100644 index 0000000..1389451 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f003 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f003_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F003 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf new file mode 100644 index 0000000..ff9a6db --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f004 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f004_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F004 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf new file mode 100644 index 0000000..fa0b9da --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f005 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f005_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F005 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf index 7397347..216de5b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F006 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf new file mode 100644 index 0000000..e848d86 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f007 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f007_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F007 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf new file mode 100644 index 0000000..8fd242a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f008 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f008_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F008 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf new file mode 100644 index 0000000..d27fae2 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f009 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f009_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F009 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf new file mode 100644 index 0000000..0484b7b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f010 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f010_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F010 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf new file mode 100644 index 0000000..3aa2ddb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f011 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f011_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F011 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf new file mode 100644 index 0000000..ceb7bcf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f012 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f012_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F012 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf new file mode 100644 index 0000000..ab42d41 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f013 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f013_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F013 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf new file mode 100644 index 0000000..59a4b64 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f014 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f014_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F014 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf new file mode 100644 index 0000000..53a8752 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f015 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f015_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F015 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf new file mode 100644 index 0000000..6a2c161 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f016 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f016_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F016 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf new file mode 100644 index 0000000..5643028 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f017 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f017_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F017 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf new file mode 100644 index 0000000..9017a9e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f018 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f018_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F018 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf new file mode 100644 index 0000000..e330aff --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f019 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f019_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F019 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf new file mode 100644 index 0000000..9ed1e5e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f020 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f020_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F020 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf new file mode 100644 index 0000000..1db01b8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f021 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f021_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F021 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf new file mode 100644 index 0000000..4d37614 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f022 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f022_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F022 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf new file mode 100644 index 0000000..7cce8c7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f023 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f023_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F023 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf new file mode 100644 index 0000000..d257cd9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f024 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f024_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F024 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf new file mode 100644 index 0000000..280cef8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f025 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f025_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F025 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf new file mode 100644 index 0000000..4988749 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f026 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f026_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F026 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf new file mode 100644 index 0000000..5d3a7a1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f027 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f027_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F027 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf new file mode 100644 index 0000000..de2fc15 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f028 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f028_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F028 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf new file mode 100644 index 0000000..fb40400 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f029 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f029_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F029 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf new file mode 100644 index 0000000..1b2d8c6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f030 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f030_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F030 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf new file mode 100644 index 0000000..fce31a7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f031 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f031_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F031 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf new file mode 100644 index 0000000..c657d14 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f032 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f032_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F032 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf new file mode 100644 index 0000000..4fc3a2c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f033 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f033_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F033 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf new file mode 100644 index 0000000..8f26afb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f034 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f034_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F034 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf new file mode 100644 index 0000000..ecabe41 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f035 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f035_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F035 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf new file mode 100644 index 0000000..5ee87b4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f036 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f036_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F036 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf new file mode 100644 index 0000000..4069a46 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f037 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f037_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F037 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf new file mode 100644 index 0000000..9a02dd7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f038 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f038_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F038 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf new file mode 100644 index 0000000..196511a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f039 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f039_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F039 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf new file mode 100644 index 0000000..8f90a29 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f040 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f040_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F040 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf new file mode 100644 index 0000000..22378cc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f041 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f041_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F041 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf new file mode 100644 index 0000000..5926ab9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f042 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f042_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F042 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf new file mode 100644 index 0000000..9722311 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f043 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f043_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F043 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf new file mode 100644 index 0000000..fe25953 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f044 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f044_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F044 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf new file mode 100644 index 0000000..5a97394 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f045 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f045_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F045 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf new file mode 100644 index 0000000..61ddb06 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f046 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f046_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F046 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf new file mode 100644 index 0000000..64cf3a6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f047 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f047_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F047 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf new file mode 100644 index 0000000..92a8d47 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f048 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f048_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F048 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf new file mode 100644 index 0000000..a14d268 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f049 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f049_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F049 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf new file mode 100644 index 0000000..fb8d1ca --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f050 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f050_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F050 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf new file mode 100644 index 0000000..6a2377c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f051 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f051_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F051 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf new file mode 100644 index 0000000..6161af9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f052 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f052_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F052 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf new file mode 100644 index 0000000..518272e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f053 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f053_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F053 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf new file mode 100644 index 0000000..2e1e4ab --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f054 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f054_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F054 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf new file mode 100644 index 0000000..7fe7456 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f055 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f055_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F055 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf new file mode 100644 index 0000000..895605b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f056 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f056_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F056 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf new file mode 100644 index 0000000..5a552bd --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f057 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f057_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F057 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf new file mode 100644 index 0000000..4a6e5fe --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f058 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f058_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F058 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf new file mode 100644 index 0000000..3fb2a82 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f059 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f059_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F059 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf new file mode 100644 index 0000000..cf13c9f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f060 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f060_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F060 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf new file mode 100644 index 0000000..b752ca2 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f061 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f061_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F061 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf new file mode 100644 index 0000000..45a961c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f062 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f062_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F062 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf new file mode 100644 index 0000000..18722a0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f063 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f063_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F063 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf new file mode 100644 index 0000000..1ed950b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f064 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f064_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F064 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf new file mode 100644 index 0000000..a3ee684 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f065 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f065_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F065 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf new file mode 100644 index 0000000..a30cdf1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f066 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f066_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F066 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf new file mode 100644 index 0000000..971abcc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f067 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f067_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F067 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf new file mode 100644 index 0000000..20aae5d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f068 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f068_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F068 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf new file mode 100644 index 0000000..23b3f35 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f069 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f069_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F069 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf new file mode 100644 index 0000000..0bca73e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f070 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f070_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F070 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf new file mode 100644 index 0000000..e18ed18 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f071 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f071_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F071 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf new file mode 100644 index 0000000..81b0dbd --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f072 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f072_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F072 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf new file mode 100644 index 0000000..0afd294 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f073 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f073_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F073 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf new file mode 100644 index 0000000..b35e294 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f074 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f074_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F074 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf new file mode 100644 index 0000000..cdd1c9a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f075 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f075_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F075 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf new file mode 100644 index 0000000..463d7fc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f076 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f076_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F076 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf new file mode 100644 index 0000000..9b6d244 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f077 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f077_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F077 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf new file mode 100644 index 0000000..6a8f2e0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f078 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f078_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F078 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf new file mode 100644 index 0000000..77b3012 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f079 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f079_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F079 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf new file mode 100644 index 0000000..603586f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f080 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f080_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F080 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf new file mode 100644 index 0000000..28338e3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f081 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f081_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F081 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf new file mode 100644 index 0000000..67d2c2a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f082 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f082_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F082 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf new file mode 100644 index 0000000..c7fa12e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f083 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f083_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F083 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf new file mode 100644 index 0000000..42f449d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f084 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f084_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F084 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf new file mode 100644 index 0000000..b1a1f45 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f085 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f085_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F085 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf new file mode 100644 index 0000000..eca4005 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f086 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f086_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F086 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf new file mode 100644 index 0000000..f0cf3d8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f087 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f087_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F087 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf new file mode 100644 index 0000000..acfad04 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f088 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f088_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F088 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf new file mode 100644 index 0000000..a640f6a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f089 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f089_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F089 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf new file mode 100644 index 0000000..e089a70 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f090 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f090_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F090 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf new file mode 100644 index 0000000..f5b80dc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f091 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f091_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F091 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf new file mode 100644 index 0000000..0a98218 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f092 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f092_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F092 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf new file mode 100644 index 0000000..5864377 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f093 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f093_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F093 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf new file mode 100644 index 0000000..e598259 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f094 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f094_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F094 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf new file mode 100644 index 0000000..bbcaefa --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f095 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f095_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F095 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf new file mode 100644 index 0000000..cacd7fa --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f096 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f096_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F096 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf new file mode 100644 index 0000000..26dc797 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f097 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f097_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F097 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf new file mode 100644 index 0000000..eb95fc9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f098 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f098_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F098 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf new file mode 100644 index 0000000..474a79a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f099 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f099_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F099 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf new file mode 100644 index 0000000..25443e0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f100 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f100_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf new file mode 100644 index 0000000..346a86d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f101 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f101_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf new file mode 100644 index 0000000..f49973c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f102 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f102_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf new file mode 100644 index 0000000..70ec792 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f103 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f103_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf new file mode 100644 index 0000000..732d5d0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f104 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f104_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf new file mode 100644 index 0000000..4efbb80 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f105 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f105_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf new file mode 100644 index 0000000..27e9e7e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f106 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f106_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf new file mode 100644 index 0000000..db13b96 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f107 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f107_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf new file mode 100644 index 0000000..61fef46 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f108 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f108_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf new file mode 100644 index 0000000..a7ad7c6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f109 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f109_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf new file mode 100644 index 0000000..b8e8353 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f110 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f110_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf new file mode 100644 index 0000000..8092265 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f111 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f111_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf new file mode 100644 index 0000000..79245a5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f112 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f112_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf new file mode 100644 index 0000000..c31419b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f113 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f113_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf new file mode 100644 index 0000000..57e845a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f114 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f114_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf new file mode 100644 index 0000000..7784fcc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f115 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f115_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf new file mode 100644 index 0000000..58c52d0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f116 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f116_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf new file mode 100644 index 0000000..d222027 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f117 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f117_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf new file mode 100644 index 0000000..40bd197 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f118 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f118_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf new file mode 100644 index 0000000..acc1d99 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f119 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f119_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf new file mode 100644 index 0000000..bc4057c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f120 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f120_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf new file mode 100644 index 0000000..06a8220 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f123 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f123_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf new file mode 100644 index 0000000..0971d65 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f126 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f126_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf new file mode 100644 index 0000000..98b8e26 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f129 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f129_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf new file mode 100644 index 0000000..f0149ce --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f132 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f132_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf new file mode 100644 index 0000000..b92409f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f135 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f135_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf new file mode 100644 index 0000000..65b9001 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f138 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f138_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf new file mode 100644 index 0000000..515a95f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f141 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f141_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf new file mode 100644 index 0000000..a83e1ee --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f144 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f144_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf new file mode 100644 index 0000000..513a955 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f147 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f147_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf new file mode 100644 index 0000000..7148c6f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f150 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f150_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf new file mode 100644 index 0000000..6f6c03a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f153 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f153_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf new file mode 100644 index 0000000..2124ce9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f156 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f156_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf new file mode 100644 index 0000000..845a1a9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f159 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f159_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf new file mode 100644 index 0000000..d562347 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f162 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f162_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf new file mode 100644 index 0000000..a7c2d69 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f165 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f165_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf new file mode 100644 index 0000000..9f40903 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f168 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f168_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf new file mode 100644 index 0000000..5e7e844 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f171 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f171_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf new file mode 100644 index 0000000..b1ddb61 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f174 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f174_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf new file mode 100644 index 0000000..0b2eda4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f177 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f177_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf new file mode 100644 index 0000000..37b5b22 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f180 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f180_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf new file mode 100644 index 0000000..836131c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f183 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f183_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf new file mode 100644 index 0000000..5bb10f4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f186 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f186_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf new file mode 100644 index 0000000..295fa2f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f189 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f189_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf new file mode 100644 index 0000000..e7df28f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f192 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f192_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf new file mode 100644 index 0000000..84e2e0a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f195 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f195_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf new file mode 100644 index 0000000..c4410ac --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f198 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f198_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf new file mode 100644 index 0000000..73c50b7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f201 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f201_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf new file mode 100644 index 0000000..a4c0108 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f204 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f204_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf new file mode 100644 index 0000000..fd59fac --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f207 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f207_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf new file mode 100644 index 0000000..8d1750d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f210 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f210_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf new file mode 100644 index 0000000..2c901e9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f213 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f213_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf new file mode 100644 index 0000000..dd202cc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f216 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f216_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf new file mode 100644 index 0000000..cf3b8af --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f219 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f219_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf new file mode 100644 index 0000000..8a2b14d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f222 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f222_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf new file mode 100644 index 0000000..72ba6d8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f225 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f225_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf new file mode 100644 index 0000000..e6d53d8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f228 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f228_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf new file mode 100644 index 0000000..674137f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f231 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f231_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf new file mode 100644 index 0000000..423eaea --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f234 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f234_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf new file mode 100644 index 0000000..d454c69 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f237 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f237_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf index 2849c59..2f09456 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf new file mode 100644 index 0000000..bf69c9c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f252 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f252_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf new file mode 100644 index 0000000..aaaad1a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f264 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f264_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf new file mode 100644 index 0000000..61fbc1d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f276 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f276_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf new file mode 100644 index 0000000..6189c31 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f288 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f288_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf new file mode 100644 index 0000000..f3645fe --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f300 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f300_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf new file mode 100644 index 0000000..676d412 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f312 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f312_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf new file mode 100644 index 0000000..5492342 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f324 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f324_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf new file mode 100644 index 0000000..7f558a7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f336 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f336_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf new file mode 100644 index 0000000..b6f52b5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f348 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f348_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf new file mode 100644 index 0000000..e747d70 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f360 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f360_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf new file mode 100644 index 0000000..4eb5880 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f372 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f372_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf new file mode 100644 index 0000000..83608a9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f384 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f384_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf index 62bdccc..427e646 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F000 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf new file mode 100644 index 0000000..ab2af43 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f001 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f001_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F001 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf new file mode 100644 index 0000000..9c3ce49 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f002 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f002_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F002 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf index 64ef876..91ecf3d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf @@ -1,33 +1,44 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f003 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f003_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F003 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf new file mode 100644 index 0000000..963ab8c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f004 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f004_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F004 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf new file mode 100644 index 0000000..5e1ff16 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f005 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f005_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F005 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf new file mode 100644 index 0000000..5f79c28 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f006 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f006_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F006 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf new file mode 100644 index 0000000..57a944e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f007 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f007_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F007 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf new file mode 100644 index 0000000..d2ebc78 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f008 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f008_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F008 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf new file mode 100644 index 0000000..e6195e8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f009 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f009_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F009 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf new file mode 100644 index 0000000..53301c3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f010 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f010_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F010 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf new file mode 100644 index 0000000..8827e9f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f011 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f011_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F011 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf new file mode 100644 index 0000000..a11f357 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f012 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f012_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F012 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf new file mode 100644 index 0000000..18e01f0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f013 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f013_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F013 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf new file mode 100644 index 0000000..e5e4f59 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f014 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f014_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F014 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf new file mode 100644 index 0000000..1b34c83 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f015 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f015_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F015 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf new file mode 100644 index 0000000..cf01459 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f016 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f016_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F016 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf new file mode 100644 index 0000000..bdca96c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f017 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f017_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F017 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf new file mode 100644 index 0000000..492457c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f018 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f018_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F018 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf new file mode 100644 index 0000000..b0bf180 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f019 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f019_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F019 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf new file mode 100644 index 0000000..3bf1ecc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f020 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f020_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F020 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf new file mode 100644 index 0000000..bc6d6e4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f021 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f021_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F021 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf new file mode 100644 index 0000000..7de3250 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f022 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f022_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F022 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf new file mode 100644 index 0000000..e28a3cb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f023 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f023_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F023 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf new file mode 100644 index 0000000..ff0e27c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f024 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f024_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F024 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf new file mode 100644 index 0000000..3e18e44 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f025 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f025_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F025 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf new file mode 100644 index 0000000..ac56176 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f026 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f026_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F026 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf new file mode 100644 index 0000000..80dab35 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f027 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f027_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F027 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf new file mode 100644 index 0000000..d79b344 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f028 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f028_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F028 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf new file mode 100644 index 0000000..20d63eb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f029 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f029_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F029 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf new file mode 100644 index 0000000..1e2b0c5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f030 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f030_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F030 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf new file mode 100644 index 0000000..c900671 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f031 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f031_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F031 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf new file mode 100644 index 0000000..87be72c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f032 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f032_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F032 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf new file mode 100644 index 0000000..e07b9d4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f033 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f033_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F033 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf new file mode 100644 index 0000000..ebd6b4d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f034 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f034_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F034 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf new file mode 100644 index 0000000..0bb38cb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f035 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f035_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F035 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf new file mode 100644 index 0000000..3943b5e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f036 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f036_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F036 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf new file mode 100644 index 0000000..6ca5114 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f037 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f037_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F037 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf new file mode 100644 index 0000000..cff0b72 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f038 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f038_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F038 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf new file mode 100644 index 0000000..d2dbbbe --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f039 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f039_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F039 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf new file mode 100644 index 0000000..e44ab23 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f040 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f040_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F040 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf new file mode 100644 index 0000000..aced496 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f041 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f041_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F041 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf new file mode 100644 index 0000000..dbd4901 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f042 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f042_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F042 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf new file mode 100644 index 0000000..b669e2a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f043 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f043_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F043 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf new file mode 100644 index 0000000..0d87eca --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f044 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f044_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F044 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf new file mode 100644 index 0000000..5fc043e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f045 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f045_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F045 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf new file mode 100644 index 0000000..1d44129 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f046 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f046_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F046 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf new file mode 100644 index 0000000..99e628a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f047 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f047_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F047 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf new file mode 100644 index 0000000..dc202cd --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f048 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f048_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F048 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf new file mode 100644 index 0000000..4badc2d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f049 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f049_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F049 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf new file mode 100644 index 0000000..c5eea93 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f050 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f050_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F050 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf new file mode 100644 index 0000000..847867e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f051 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f051_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F051 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf new file mode 100644 index 0000000..5c2e61c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f052 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f052_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F052 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf new file mode 100644 index 0000000..9f92201 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f053 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f053_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F053 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf new file mode 100644 index 0000000..fcbd8c0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f054 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f054_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F054 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf new file mode 100644 index 0000000..4b21b8e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f055 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f055_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F055 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf new file mode 100644 index 0000000..f69e31d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f056 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f056_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F056 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf new file mode 100644 index 0000000..0bc7a5a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f057 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f057_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F057 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf new file mode 100644 index 0000000..cca67b3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f058 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f058_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F058 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf new file mode 100644 index 0000000..82481d8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f059 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f059_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F059 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf new file mode 100644 index 0000000..767c16d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f060 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f060_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F060 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf new file mode 100644 index 0000000..c3accb6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f061 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f061_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F061 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf new file mode 100644 index 0000000..0f1e2b4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f062 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f062_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F062 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf new file mode 100644 index 0000000..26cd4fd --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f063 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f063_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F063 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf new file mode 100644 index 0000000..9e31579 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f064 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f064_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F064 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf new file mode 100644 index 0000000..904ad8e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f065 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f065_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F065 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf new file mode 100644 index 0000000..2ac1eef --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f066 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f066_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F066 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf new file mode 100644 index 0000000..e28f3e0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f067 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f067_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F067 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf new file mode 100644 index 0000000..47e532d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f068 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f068_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F068 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf new file mode 100644 index 0000000..f0795c7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f069 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f069_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F069 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf new file mode 100644 index 0000000..09f9386 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f070 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f070_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F070 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf new file mode 100644 index 0000000..7af6e71 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f071 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f071_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F071 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf new file mode 100644 index 0000000..163556e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f072 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f072_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F072 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf new file mode 100644 index 0000000..312d962 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f073 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f073_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F073 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf new file mode 100644 index 0000000..bc5854d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f074 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f074_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F074 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf new file mode 100644 index 0000000..995dd06 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f075 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f075_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F075 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf new file mode 100644 index 0000000..037d514 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f076 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f076_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F076 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf new file mode 100644 index 0000000..0975f98 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f077 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f077_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F077 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf new file mode 100644 index 0000000..4fbf9a2 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f078 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f078_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F078 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf new file mode 100644 index 0000000..f289400 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f079 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f079_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F079 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf new file mode 100644 index 0000000..de9d879 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f080 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f080_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F080 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf new file mode 100644 index 0000000..724b2c8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f081 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f081_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F081 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf new file mode 100644 index 0000000..26342f1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f082 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f082_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F082 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf new file mode 100644 index 0000000..edac663 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f083 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f083_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F083 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf new file mode 100644 index 0000000..23a6cc3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f084 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f084_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F084 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf new file mode 100644 index 0000000..1c4b186 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f085 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f085_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F085 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf new file mode 100644 index 0000000..d640e2f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f086 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f086_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F086 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf new file mode 100644 index 0000000..2b9a3b8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f087 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f087_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F087 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf new file mode 100644 index 0000000..a8d0f25 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f088 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f088_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F088 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf new file mode 100644 index 0000000..d86ef52 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f089 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f089_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F089 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf new file mode 100644 index 0000000..4165018 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f090 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f090_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F090 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf new file mode 100644 index 0000000..44f317d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f091 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f091_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F091 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf new file mode 100644 index 0000000..5d2b709 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f092 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f092_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F092 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf new file mode 100644 index 0000000..bf7bae7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f093 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f093_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F093 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf new file mode 100644 index 0000000..36cce97 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f094 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f094_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F094 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf new file mode 100644 index 0000000..5a253b1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f095 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f095_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F095 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf new file mode 100644 index 0000000..dc3b558 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f096 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f096_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F096 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf new file mode 100644 index 0000000..7def71f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f097 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f097_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F097 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf new file mode 100644 index 0000000..24e1f8b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f098 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f098_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F098 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf new file mode 100644 index 0000000..518dc00 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f099 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f099_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F099 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf new file mode 100644 index 0000000..0d5469e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f100 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f100_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf new file mode 100644 index 0000000..f78c4da --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f101 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f101_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf new file mode 100644 index 0000000..382154d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f102 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f102_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf new file mode 100644 index 0000000..bec4df5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f103 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f103_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf new file mode 100644 index 0000000..796ad73 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f104 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f104_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf new file mode 100644 index 0000000..457fec0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f105 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f105_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf new file mode 100644 index 0000000..a663fc9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f106 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f106_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf new file mode 100644 index 0000000..7187594 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f107 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f107_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf new file mode 100644 index 0000000..0384036 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f108 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f108_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf new file mode 100644 index 0000000..4d8d7be --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f109 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f109_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf new file mode 100644 index 0000000..4f72a90 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f110 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f110_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf new file mode 100644 index 0000000..a8d57e6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f111 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f111_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf new file mode 100644 index 0000000..978e151 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f112 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f112_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf new file mode 100644 index 0000000..2455a58 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f113 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f113_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf new file mode 100644 index 0000000..ab9fcd1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f114 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f114_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf new file mode 100644 index 0000000..92372a6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f115 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f115_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf new file mode 100644 index 0000000..565aa8b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f116 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f116_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf new file mode 100644 index 0000000..20dec08 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f117 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f117_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf new file mode 100644 index 0000000..d1d3f45 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f118 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f118_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf new file mode 100644 index 0000000..6b9017f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f119 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f119_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf new file mode 100644 index 0000000..9a00979 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f120 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f120_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf new file mode 100644 index 0000000..e828b3d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f123 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f123_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf new file mode 100644 index 0000000..36a4274 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f126 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f126_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf new file mode 100644 index 0000000..0c03f90 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f129 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f129_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf new file mode 100644 index 0000000..e8832d6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f132 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f132_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf new file mode 100644 index 0000000..82befd3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f135 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f135_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf new file mode 100644 index 0000000..62f28da --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f138 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f138_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf new file mode 100644 index 0000000..89909f5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f141 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f141_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf new file mode 100644 index 0000000..bc6533e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f144 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f144_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf new file mode 100644 index 0000000..bbf018d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f147 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f147_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf new file mode 100644 index 0000000..55ec8d3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f150 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f150_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf new file mode 100644 index 0000000..030ee2f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f153 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f153_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf new file mode 100644 index 0000000..fdea60e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f156 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f156_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf new file mode 100644 index 0000000..8e38112 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f159 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f159_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf new file mode 100644 index 0000000..e7a1186 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f162 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f162_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf new file mode 100644 index 0000000..fe86e22 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f165 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f165_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf new file mode 100644 index 0000000..b79211c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f168 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f168_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf new file mode 100644 index 0000000..15a2d3e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f171 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f171_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf new file mode 100644 index 0000000..94ecc78 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f174 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f174_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf new file mode 100644 index 0000000..34d4366 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f177 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f177_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf new file mode 100644 index 0000000..a0d8ab1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f180 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f180_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf new file mode 100644 index 0000000..a8b777a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f183 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f183_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf new file mode 100644 index 0000000..02e3a56 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f186 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f186_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf new file mode 100644 index 0000000..87341cf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f189 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f189_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf new file mode 100644 index 0000000..b8d0c76 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f192 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f192_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf new file mode 100644 index 0000000..8bfbb73 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f195 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f195_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf new file mode 100644 index 0000000..0363451 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f198 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f198_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf new file mode 100644 index 0000000..e94a022 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f201 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f201_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf new file mode 100644 index 0000000..d0c377b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f204 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f204_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf new file mode 100644 index 0000000..d181b4f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f207 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f207_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf new file mode 100644 index 0000000..009dda4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f210 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f210_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf new file mode 100644 index 0000000..6dc4b69 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f213 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f213_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf new file mode 100644 index 0000000..0e4db87 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f216 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f216_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf new file mode 100644 index 0000000..abdfef5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f219 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f219_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf new file mode 100644 index 0000000..f52e413 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f222 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f222_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf new file mode 100644 index 0000000..a07a1a9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f225 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f225_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf new file mode 100644 index 0000000..1273cc5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f228 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f228_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf new file mode 100644 index 0000000..81645c8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f231 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f231_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf new file mode 100644 index 0000000..7c4a116 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f234 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f234_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf new file mode 100644 index 0000000..bc34767 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f237 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f237_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf index 64ef876..47beb8d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf @@ -1,33 +1,44 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f240 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f240_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf new file mode 100644 index 0000000..9b60402 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f252 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f252_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf new file mode 100644 index 0000000..dafeb58 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f264 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f264_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf new file mode 100644 index 0000000..c806814 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f276 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f276_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf new file mode 100644 index 0000000..f74a5d0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f288 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f288_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf new file mode 100644 index 0000000..e605dfe --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f300 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f300_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf new file mode 100644 index 0000000..bf416a0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f312 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f312_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf new file mode 100644 index 0000000..469902f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f324 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f324_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf new file mode 100644 index 0000000..b966479 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f336 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f336_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf new file mode 100644 index 0000000..a84c97c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f348 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f348_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf new file mode 100644 index 0000000..5d84aa8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f360 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f360_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf new file mode 100644 index 0000000..e87d5f6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f372 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f372_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf new file mode 100644 index 0000000..1d40fbc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f384 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f384_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf index 6d576f5..2d5ad7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POSTSND %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf index 91f1484..96a3c1d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_CYCLONE_TRACKER %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_fbwind.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_fbwind.ecf index 648bcb8..4c847e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_fbwind.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_fbwind.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_FBWIND %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/dummy.ecf index e6b6a32..1923883 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/dummy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/dummy.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/DUMMY %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_anl.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_anl.ecf index cc1d460..b8e4792 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_anl.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_FAX_ANL %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_f00.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_f00.ecf index e43d8b8..d1e4dad 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_f00.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_FAX_F00 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf index 9ebf54a..4b1f0e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F12 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf index f692b2d..a395384 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F24 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf index 7b10530..5aea03d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F36 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf index 00d156c..b577f28 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_BLENDING %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf index ed01829..c59e3db 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_GRIB2 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf index a699abc..adb1ad4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F00 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf new file mode 100644 index 0000000..a46b72b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f01 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f01_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F01 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf new file mode 100644 index 0000000..d33beaf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f02 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f02_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F02 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf new file mode 100644 index 0000000..d88139a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f03 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f03_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F03 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf new file mode 100644 index 0000000..fb4e900 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f04 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f04_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F04 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf new file mode 100644 index 0000000..d486a2f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f05 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f05_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F05 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf index 2b388ee..cfa2bf2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F06 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf new file mode 100644 index 0000000..dd3dbc9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f07 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f07_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F07 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf new file mode 100644 index 0000000..ce5c372 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f08 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f08_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F08 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf new file mode 100644 index 0000000..cb1674c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f09 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f09_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F09 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf new file mode 100644 index 0000000..aaef77c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f10 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f10_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F10 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf new file mode 100644 index 0000000..d62b985 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f100 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f100_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf new file mode 100644 index 0000000..5725afc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f101 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f101_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf new file mode 100644 index 0000000..354fea8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f102 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f102_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf new file mode 100644 index 0000000..66af597 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f103 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f103_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf new file mode 100644 index 0000000..48a4f64 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f104 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f104_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf new file mode 100644 index 0000000..95a9d3d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f105 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f105_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf new file mode 100644 index 0000000..031774a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f106 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f106_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf new file mode 100644 index 0000000..4f2cd69 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f107 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f107_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf new file mode 100644 index 0000000..94a862f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f108 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f108_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf new file mode 100644 index 0000000..eea4505 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f109 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f109_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf new file mode 100644 index 0000000..5beac26 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f11 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f11_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F11 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf new file mode 100644 index 0000000..22e93df --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f110 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f110_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf new file mode 100644 index 0000000..9306d51 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f111 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f111_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf new file mode 100644 index 0000000..7cdcd3a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f112 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f112_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf new file mode 100644 index 0000000..539e8a1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f113 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f113_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf new file mode 100644 index 0000000..1c93e8a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f114 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f114_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf new file mode 100644 index 0000000..1190c24 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f115 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f115_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf new file mode 100644 index 0000000..7d389ea --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f116 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f116_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf new file mode 100644 index 0000000..0230c3c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f117 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f117_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf new file mode 100644 index 0000000..d32e76f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f118 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f118_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf new file mode 100644 index 0000000..d0fafc1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f119 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f119_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf new file mode 100644 index 0000000..374e644 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f12 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f12_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F12 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf new file mode 100644 index 0000000..03503a0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f120 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f120_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf new file mode 100644 index 0000000..f31eb34 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f123 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f123_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf new file mode 100644 index 0000000..35cfc97 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f126 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f126_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf new file mode 100644 index 0000000..bff0e91 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f129 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f129_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf new file mode 100644 index 0000000..4899125 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f13 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f13_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F13 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf new file mode 100644 index 0000000..371f9ed --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f132 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f132_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf new file mode 100644 index 0000000..151bab5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f135 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f135_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf new file mode 100644 index 0000000..1aa187d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f138 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f138_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf new file mode 100644 index 0000000..3fa0e14 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f14 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f14_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F14 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf new file mode 100644 index 0000000..94cd747 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f141 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f141_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf new file mode 100644 index 0000000..adcc1ea --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f144 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f144_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf new file mode 100644 index 0000000..22d8ff0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f147 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f147_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf new file mode 100644 index 0000000..81367d6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f15 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f15_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F15 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf new file mode 100644 index 0000000..ed71266 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f150 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f150_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf new file mode 100644 index 0000000..247b1a6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f153 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f153_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf new file mode 100644 index 0000000..d0ea37b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f156 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f156_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf new file mode 100644 index 0000000..bb4cf49 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f159 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f159_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf new file mode 100644 index 0000000..87e22d7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f16 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f16_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F16 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf new file mode 100644 index 0000000..f4cc0fc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f162 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f162_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf new file mode 100644 index 0000000..497e7f1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f165 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f165_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf new file mode 100644 index 0000000..dd3cb7d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f168 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f168_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf new file mode 100644 index 0000000..436e380 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f17 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f17_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F17 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf new file mode 100644 index 0000000..f9cd5cf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f171 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f171_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf new file mode 100644 index 0000000..5ef8f08 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f174 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f174_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf new file mode 100644 index 0000000..84ef9f6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f177 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f177_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf new file mode 100644 index 0000000..c3e3657 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f18 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f18_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F18 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf new file mode 100644 index 0000000..28b4cfb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f180 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f180_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf new file mode 100644 index 0000000..1ce8970 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f183 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f183_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf new file mode 100644 index 0000000..7530c7d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f186 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f186_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf new file mode 100644 index 0000000..1b90f28 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f189 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f189_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf new file mode 100644 index 0000000..6462b1a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f19 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f19_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F19 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf new file mode 100644 index 0000000..804cec4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f192 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f192_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf new file mode 100644 index 0000000..f4ddb7b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f195 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f195_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf new file mode 100644 index 0000000..444b633 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f198 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f198_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf new file mode 100644 index 0000000..6705e9e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f20 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f20_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F20 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf new file mode 100644 index 0000000..2a28866 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f201 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f201_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf new file mode 100644 index 0000000..e98d72f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f204 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f204_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf new file mode 100644 index 0000000..bb5e694 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f207 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f207_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf new file mode 100644 index 0000000..a20f7ef --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f21 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f21_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F21 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf new file mode 100644 index 0000000..f8d307a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f210 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f210_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf new file mode 100644 index 0000000..2a7ee67 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f213 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f213_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf new file mode 100644 index 0000000..9bc5ed8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f216 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f216_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf new file mode 100644 index 0000000..cc6320a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f219 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f219_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf new file mode 100644 index 0000000..ede0601 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f22 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f22_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F22 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf new file mode 100644 index 0000000..18125ce --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f222 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f222_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf new file mode 100644 index 0000000..16c8377 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f225 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f225_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf new file mode 100644 index 0000000..57a6604 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f228 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f228_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf new file mode 100644 index 0000000..ecf30b3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f23 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f23_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F23 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf new file mode 100644 index 0000000..6986c20 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f231 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f231_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf new file mode 100644 index 0000000..0b07b10 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f234 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f234_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf new file mode 100644 index 0000000..67f6965 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f237 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f237_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf new file mode 100644 index 0000000..4b7aae4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f24 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f24_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F24 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf index f218bed..52c48ac 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf new file mode 100644 index 0000000..06cc2c4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f25 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f25_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F25 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf new file mode 100644 index 0000000..3537e3c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f252 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f252_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf new file mode 100644 index 0000000..f11863a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f26 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f26_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F26 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf new file mode 100644 index 0000000..da38a1a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f264 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f264_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf new file mode 100644 index 0000000..421c406 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f27 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f27_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F27 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf new file mode 100644 index 0000000..fa03e2d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f276 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f276_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf new file mode 100644 index 0000000..ca48731 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f28 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f28_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F28 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf new file mode 100644 index 0000000..87df796 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f288 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f288_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf new file mode 100644 index 0000000..794de15 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f29 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f29_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F29 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf new file mode 100644 index 0000000..348d3ed --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f30 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f30_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F30 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf new file mode 100644 index 0000000..a164f11 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f300 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f300_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf new file mode 100644 index 0000000..1339cbd --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f31 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f31_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F31 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf new file mode 100644 index 0000000..bc5f248 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f312 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f312_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf new file mode 100644 index 0000000..7ef94b8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f32 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f32_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F32 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf new file mode 100644 index 0000000..fa88006 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f324 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f324_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf new file mode 100644 index 0000000..83634a1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f33 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f33_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F33 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf new file mode 100644 index 0000000..c726e99 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f336 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f336_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf new file mode 100644 index 0000000..844e348 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f34 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f34_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F34 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf new file mode 100644 index 0000000..13fe822 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f348 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f348_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf new file mode 100644 index 0000000..1c3b581 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f35 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f35_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F35 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf new file mode 100644 index 0000000..051227c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f36 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f36_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F36 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf new file mode 100644 index 0000000..0154ac9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f360 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f360_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf new file mode 100644 index 0000000..cdc9dbc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f37 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f37_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F37 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf new file mode 100644 index 0000000..afc7ba1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f372 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f372_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf new file mode 100644 index 0000000..4b9de22 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f38 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f38_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F38 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf new file mode 100644 index 0000000..2020efc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f384 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f384_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf new file mode 100644 index 0000000..64a9a97 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f39 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f39_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F39 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf new file mode 100644 index 0000000..4a87b0c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f40 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f40_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F40 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf new file mode 100644 index 0000000..a5b92bb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f41 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f41_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F41 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf new file mode 100644 index 0000000..3df6e13 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f42 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f42_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F42 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf new file mode 100644 index 0000000..a43d18a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f43 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f43_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F43 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf new file mode 100644 index 0000000..d0cb547 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f44 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f44_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F44 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf new file mode 100644 index 0000000..652f27a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f45 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f45_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F45 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf new file mode 100644 index 0000000..f94e41f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f46 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f46_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F46 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf new file mode 100644 index 0000000..47226dd --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f47 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f47_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F47 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf new file mode 100644 index 0000000..227e882 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f48 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f48_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F48 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf new file mode 100644 index 0000000..abd01b3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f49 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f49_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F49 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf new file mode 100644 index 0000000..5feab26 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f50 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f50_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F50 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf new file mode 100644 index 0000000..56667e4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f51 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f51_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F51 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf new file mode 100644 index 0000000..53fd0c7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f52 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f52_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F52 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf new file mode 100644 index 0000000..daaec08 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f53 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f53_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F53 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf new file mode 100644 index 0000000..4a3b6c8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f54 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f54_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F54 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf new file mode 100644 index 0000000..a559b9c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f55 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f55_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F55 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf new file mode 100644 index 0000000..0ba8653 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f56 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f56_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F56 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf new file mode 100644 index 0000000..83e5c03 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f57 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f57_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F57 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf new file mode 100644 index 0000000..8f56133 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f58 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f58_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F58 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf new file mode 100644 index 0000000..a0d96d9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f59 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f59_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F59 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf new file mode 100644 index 0000000..e0b6774 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f60 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f60_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F60 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf new file mode 100644 index 0000000..17df620 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f61 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f61_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F61 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf new file mode 100644 index 0000000..52e4521 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f62 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f62_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F62 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf new file mode 100644 index 0000000..364bc9f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f63 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f63_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F63 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf new file mode 100644 index 0000000..da86908 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f64 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f64_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F64 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf new file mode 100644 index 0000000..e823346 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f65 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f65_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F65 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf new file mode 100644 index 0000000..323118b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f66 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f66_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F66 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf new file mode 100644 index 0000000..3d82494 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f67 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f67_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F67 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf new file mode 100644 index 0000000..e6448b4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f68 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f68_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F68 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf new file mode 100644 index 0000000..4935ad1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f69 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f69_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F69 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf new file mode 100644 index 0000000..06948f6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f70 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f70_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F70 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf new file mode 100644 index 0000000..b728e42 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f71 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f71_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F71 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf new file mode 100644 index 0000000..f715fa8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f72 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f72_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F72 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf new file mode 100644 index 0000000..f12b549 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f73 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f73_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F73 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf new file mode 100644 index 0000000..ba3198c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f74 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f74_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F74 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf new file mode 100644 index 0000000..f77d4dc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f75 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f75_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F75 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf new file mode 100644 index 0000000..1b4c82e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f76 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f76_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F76 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf new file mode 100644 index 0000000..61efcda --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f77 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f77_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F77 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf new file mode 100644 index 0000000..31be811 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f78 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f78_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F78 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf new file mode 100644 index 0000000..1137557 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f79 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f79_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F79 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf new file mode 100644 index 0000000..1b00c60 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f80 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f80_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F80 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf new file mode 100644 index 0000000..92f08a2 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f81 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f81_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F81 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf new file mode 100644 index 0000000..0d739e1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f82 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f82_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F82 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf new file mode 100644 index 0000000..d4eb2bb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f83 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f83_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F83 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf new file mode 100644 index 0000000..b855201 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f84 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f84_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F84 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf new file mode 100644 index 0000000..1f91e3d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f85 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f85_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F85 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf new file mode 100644 index 0000000..b47aaed --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f86 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f86_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F86 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf new file mode 100644 index 0000000..e0500e1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f87 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f87_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F87 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf new file mode 100644 index 0000000..6fee43b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f88 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f88_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F88 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf new file mode 100644 index 0000000..538fdce --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f89 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f89_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F89 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf new file mode 100644 index 0000000..746f928 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f90 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f90_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F90 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf new file mode 100644 index 0000000..24b9e57 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f91 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f91_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F91 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf new file mode 100644 index 0000000..92fce21 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f92 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f92_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F92 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf new file mode 100644 index 0000000..5520bc9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f93 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f93_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F93 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf new file mode 100644 index 0000000..de0b7ba --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f94 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f94_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F94 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf new file mode 100644 index 0000000..ce1262b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f95 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f95_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F95 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf new file mode 100644 index 0000000..846a043 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f96 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f96_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F96 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf new file mode 100644 index 0000000..5703b66 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f97 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f97_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F97 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf new file mode 100644 index 0000000..43bd691 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f98 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f98_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F98 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf new file mode 100644 index 0000000..5c83443 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f99 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f99_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F99 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf index 72ca961..e7a7bf7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F00 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf index 1415813..0de0d83 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F06 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf index 780906b..f2ac7e5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F102 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf index 2b671ee..7637047 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F108 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf index 1a5fcf1..6215b68 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F114 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf index f1a5c6b..e93d788 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F12 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf index 33cedb1..bde20f6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F120 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf index b7f3bfc..69cb691 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F18 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf index 85b25b4..2c136f7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F24 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf index 8202552..174fbec 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F30 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf index 3461a3d..38c22f1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F36 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf index 6d80056..adb49a3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F42 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf index 7e35a4f..90c146d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F48 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf index 0272a48..2887e6b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F54 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf index 03e2a12..703de29 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F60 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf index 02b4f9e..cc498f7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F66 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf index 9c4d760..c341d80 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F72 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf index 83a5818..bb6c883 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F78 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf index 98ce48d..733898d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F84 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf index c77c75d..e5bea3a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F90 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf index 46064b5..df35c2c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F96 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_anl.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_anl.ecf index 0217286..5ea7647 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_anl.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f00.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f00.ecf index f274e8f..4dd568b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f00.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f01.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f01.ecf index 89e5612..bb71ff1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f01.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f01.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f02.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f02.ecf index cee01fd..a733d33 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f02.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f02.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f03.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f03.ecf index 8497273..f7ec346 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f03.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f03.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f04.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f04.ecf index 27e3185..f6b154d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f04.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f04.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f05.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f05.ecf index 0b787af..1eb124e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f05.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f05.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f06.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f06.ecf index d9deb0b..3bf03bb 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f06.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f07.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f07.ecf index 2d4b772..844064d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f07.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f07.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f08.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f08.ecf index 38552b0..e98aef7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f08.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f08.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f09.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f09.ecf index 5533bc3..397555a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f09.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f09.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f10.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f10.ecf index 2828893..c1497c9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f10.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f100.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f100.ecf index 750dec4..22657e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f100.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f101.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f101.ecf index f4601b9..6920c34 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f101.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f102.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f102.ecf index 984f7e4..113cdd1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f102.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f103.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f103.ecf index 840d44f..e16ecbb 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f103.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f104.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f104.ecf index b9d199f..985d92f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f104.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f105.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f105.ecf index 334b916..0309914 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f105.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f106.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f106.ecf index c6d8d13..ece1eb4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f106.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f107.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f107.ecf index 63b4514..ee459c1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f107.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f108.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f108.ecf index 87dffb6..60db5be 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f108.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f109.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f109.ecf index 5927af3..1e422d7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f109.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f11.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f11.ecf index 09ba320..02ca246 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f11.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f110.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f110.ecf index 861c032..6d03a9a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f110.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f111.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f111.ecf index 0a80654..4ecff8d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f111.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f112.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f112.ecf index 4be6407..7b17c4d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f112.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f113.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f113.ecf index 5a54cfb..3451cd9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f113.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f114.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f114.ecf index cab1a4d..dc4af08 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f114.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f115.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f115.ecf index da62181..73af0c0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f115.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f116.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f116.ecf index 419fee8..690a8ba 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f116.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f117.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f117.ecf index 2134fe8..ca26c01 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f117.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f118.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f118.ecf index cfadbf0..eea5f87 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f118.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f119.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f119.ecf index 9b178fa..e55f892 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f119.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f12.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f12.ecf index 34b833c..9130c57 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f12.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f120.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f120.ecf index d2192cc..9ce30da 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f120.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f123.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f123.ecf index e6c218e..9b71f34 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f123.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f126.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f126.ecf index 322453c..6603043 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f126.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f129.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f129.ecf index eba257b..629a2d7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f129.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f13.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f13.ecf index 087d7d9..5543812 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f13.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f132.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f132.ecf index 8c97ab8..b096da3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f132.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f135.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f135.ecf index f87518e..30e0880 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f135.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f138.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f138.ecf index 9b37feb..9555d9e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f138.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f14.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f14.ecf index 543f8d1..72b8add 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f14.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f141.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f141.ecf index bf9d057..1731252 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f141.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f144.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f144.ecf index a3a3330..9969354 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f144.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f147.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f147.ecf index 175930a..657915a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f147.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f15.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f15.ecf index 827259c..214015e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f15.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f150.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f150.ecf index ab2d64b..c599570 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f150.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f153.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f153.ecf index e96a680..0ba529a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f153.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f156.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f156.ecf index 0e7014a..5580fe2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f156.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f159.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f159.ecf index 04fe9e9..043496b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f159.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f16.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f16.ecf index f5bbd5b..cab490b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f16.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f162.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f162.ecf index 1df889f..13d610e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f162.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f165.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f165.ecf index 6af5608..b9da11b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f165.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f168.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f168.ecf index dc4195b..df472e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f168.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f17.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f17.ecf index 86bdd4a..01c1346 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f17.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f17.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f171.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f171.ecf index 0cd577d..96f0047 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f171.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f174.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f174.ecf index 3e0dd2d..bcc3189 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f174.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f177.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f177.ecf index 4ef1fe3..a113eb2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f177.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f18.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f18.ecf index 059d265..6411a5a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f18.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f180.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f180.ecf index 78da428..9129c7a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f180.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f183.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f183.ecf index 485f07a..8ff4dd8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f183.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f186.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f186.ecf index 8fb2e59..ced809f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f186.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f189.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f189.ecf index b2f7eed..c20d3ad 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f189.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f19.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f19.ecf index 159db26..d8f387a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f19.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f19.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f192.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f192.ecf index f8a044b..b4cfabf 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f192.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f195.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f195.ecf index 5de8f08..c564d67 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f195.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f198.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f198.ecf index bce8025..7c028f7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f198.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f20.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f20.ecf index df0ace6..d53c2be 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f20.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f20.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f201.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f201.ecf index 431e818..347b37e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f201.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f204.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f204.ecf index 116f24c..360208c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f204.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f207.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f207.ecf index dd3c798..a5ea60a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f207.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f21.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f21.ecf index 715e786..fea4ef4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f21.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f21.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f210.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f210.ecf index 5ef8135..936ff65 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f210.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f213.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f213.ecf index c7cb988..6ebd196 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f213.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f216.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f216.ecf index fb093a7..06e0c52 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f216.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f219.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f219.ecf index 2556a1f..828bc4c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f219.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f22.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f22.ecf index dbf26c3..421e8a9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f22.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f22.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f222.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f222.ecf index 342b2db..8fd024a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f222.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f225.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f225.ecf index 4bdec7d..4165b5f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f225.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f228.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f228.ecf index fbad4ec..8733118 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f228.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f23.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f23.ecf index c6e084b..09e2bfd 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f23.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f23.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f231.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f231.ecf index 91b6f03..c917dfe 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f231.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f234.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f234.ecf index 5d2d154..a10d688 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f234.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f237.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f237.ecf index c7d1771..21e126c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f237.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f24.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f24.ecf index 8413b03..35d22fe 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f24.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f240.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f240.ecf index 7002a9c..b53eaa4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f240.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f25.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f25.ecf index 98be9e8..d2e559a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f25.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f25.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f252.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f252.ecf index 453404b..35f517b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f252.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f26.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f26.ecf index 9054699..cb28cb7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f26.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f26.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f264.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f264.ecf index 43b1464..d1d73f1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f264.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f27.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f27.ecf index 60d8758..ec7ae01 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f27.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f27.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f276.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f276.ecf index 5abe1ba..8432565 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f276.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f28.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f28.ecf index 87da152..f5a6cc6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f28.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f28.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f288.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f288.ecf index da23ce9..b837780 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f288.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f29.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f29.ecf index f9d8bf3..65f1ab8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f29.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f29.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f30.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f30.ecf index 7c13679..5422b60 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f30.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f300.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f300.ecf index 30aead1..ba8b162 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f300.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f31.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f31.ecf index 9a1e5e1..82275e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f31.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f31.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f312.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f312.ecf index 70200c1..d0cfc7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f312.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f32.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f32.ecf index 41077a0..090e91f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f32.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f32.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f324.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f324.ecf index dccc89f..f5ed851 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f324.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f33.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f33.ecf index 0cdc68a..ff2eee3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f33.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f33.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f336.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f336.ecf index 4b67adf..f852789 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f336.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f34.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f34.ecf index 3a234e1..c38de68 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f34.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f34.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f348.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f348.ecf index 67f6540..f182069 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f348.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f35.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f35.ecf index 2de4def..ac55e7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f35.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f35.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f36.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f36.ecf index 7e62a6a..9270303 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f36.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f360.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f360.ecf index 701bbae..ff5c6f9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f360.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f37.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f37.ecf index ef0423c..9dc6e64 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f37.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f37.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f372.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f372.ecf index ac7cabb..9c1014a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f372.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f38.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f38.ecf index 6376158..ba8cb3f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f38.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f38.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f384.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f384.ecf index d476e7f..7f6d6a6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f384.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f39.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f39.ecf index 1781875..b02051d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f39.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f39.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f40.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f40.ecf index 02a0458..a154c0b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f40.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f40.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f41.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f41.ecf index a64d3d9..1dcd244 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f41.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f41.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f42.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f42.ecf index 612fac8..73b2014 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f42.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f43.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f43.ecf index 9a2dc64..08dd949 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f43.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f43.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f44.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f44.ecf index 6bda6c1..52580cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f44.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f44.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f45.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f45.ecf index 966429e..8644ae7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f45.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f45.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f46.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f46.ecf index 7b0e0ee..7f320ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f46.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f46.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f47.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f47.ecf index 69c5f1c..5a4ea16 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f47.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f47.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f48.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f48.ecf index 4cad6f8..c806f0a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f48.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f49.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f49.ecf index 4eb2156..df9584e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f49.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f49.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f50.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f50.ecf index 8bd6b8e..46dcf81 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f50.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f50.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f51.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f51.ecf index 579dace..be69fcf 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f51.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f51.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f52.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f52.ecf index 3964a08..be0a82d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f52.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f52.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f53.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f53.ecf index c7a1fd9..cd5a589 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f53.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f53.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f54.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f54.ecf index fb4118e..3cc8da6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f54.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f55.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f55.ecf index 287120e..5480e05 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f55.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f55.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f56.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f56.ecf index 7548d29..e61e6de 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f56.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f56.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f57.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f57.ecf index 7d1f63b..68834e5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f57.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f57.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f58.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f58.ecf index 57ea54c..cb103f6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f58.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f58.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f59.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f59.ecf index eeca85d..621ceec 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f59.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f59.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f60.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f60.ecf index 6d96107..8c26227 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f60.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f61.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f61.ecf index ad3936d..e462bba 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f61.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f61.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f62.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f62.ecf index 7a13e45..ebb9d19 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f62.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f62.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f63.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f63.ecf index 7a9e449..ceb1631 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f63.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f63.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f64.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f64.ecf index ebaa4cf..da621df 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f64.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f64.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f65.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f65.ecf index 0be9a42..98de665 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f65.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f65.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f66.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f66.ecf index c968b44..7fc5680 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f66.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f67.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f67.ecf index b323a0f..c0eb12f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f67.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f67.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f68.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f68.ecf index ecc2d32..1f61252 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f68.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f68.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f69.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f69.ecf index b72fe63..3088334 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f69.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f69.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f70.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f70.ecf index c7eb55c..9c2b0c9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f70.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f70.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f71.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f71.ecf index a8b386f..0b93e1d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f71.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f71.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f72.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f72.ecf index a9d253f..6808514 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f72.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f73.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f73.ecf index e9222c1..e5618d5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f73.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f73.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f74.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f74.ecf index 5b22439..afa66b8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f74.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f74.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f75.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f75.ecf index 0acb3a9..6a16332 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f75.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f75.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f76.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f76.ecf index 91ec20d..c455ab6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f76.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f76.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f77.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f77.ecf index 0b1f2e3..e0cd2ac 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f77.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f77.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f78.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f78.ecf index a4233b9..413f968 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f78.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f79.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f79.ecf index 8b349f3..0d8b25b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f79.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f79.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f80.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f80.ecf index da35659..b30321e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f80.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f80.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f81.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f81.ecf index 51d59a0..df968cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f81.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f81.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f82.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f82.ecf index e66b58d..93c875f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f82.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f82.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f83.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f83.ecf index bf0037d..0358e18 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f83.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f83.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f84.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f84.ecf index 5a9b7a9..2c2331c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f84.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f85.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f85.ecf index 7eea41f..a031e30 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f85.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f85.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f86.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f86.ecf index 144eb09..e267494 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f86.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f86.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f87.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f87.ecf index 8ad6749..2ff1289 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f87.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f87.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f88.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f88.ecf index 695cc2b..bd4dc74 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f88.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f88.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f89.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f89.ecf index 48b69dd..779707a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f89.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f89.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f90.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f90.ecf index 996594c..540ebb0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f90.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f91.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f91.ecf index b45e4ad..8e9157d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f91.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f91.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f92.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f92.ecf index 1535dc5..584d5b0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f92.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f92.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f93.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f93.ecf index faf0e22..64bd6fa 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f93.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f93.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f94.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f94.ecf index 1fb99ec..657475d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f94.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f94.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f95.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f95.ecf index e45f015..199e447 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f95.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f95.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f96.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f96.ecf index 2c1e006..b814bf6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f96.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f97.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f97.ecf index 76273aa..1c9d436 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f97.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f97.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f98.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f98.ecf index e84114b..193a1e0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f98.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f98.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f99.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f99.ecf index 4735a70..d12fbcc 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f99.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f99.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_manager.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_manager.ecf index a9a6ea3..96411cd 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_manager.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_manager.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_PGRB2_MANAGER %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf index 3a53c88..84962fb 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_EMCSFC_SFC_PREP %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf index 2060458..2fa9acd 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_PREP %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf index bd21eff..3e34d93 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_PREP_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_even.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_even.ecf index 17b33f4..061851c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_even.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_even.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_SMINIT_GUAM_EVEN %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf index fad116a..2b73593 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_SMINIT_GUAM_ODD %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/cycle_end.ecf b/model/ecflow_fv3gfs/scripts/prod06/cycle_end.ecf index c9cfff9..4e874f0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/cycle_end.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/cycle_end.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/CYCLE_END %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/analysis/jgdas_analysis_high.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/analysis/jgdas_analysis_high.ecf index 05da1e1..a200197 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/analysis/jgdas_analysis_high.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/analysis/jgdas_analysis_high.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_ANALYSIS_HIGH %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf index 56c4f2a..709273c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_DUMP %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf index 2b40958..ed05c2c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_ICS %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf index d5233d5..249de65 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_TROPCY_QC_RELOC %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf index 9b0d13d..6962c93 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf index 3f764d5..9d83c54 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf index de4e53e..3b71f69 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf index 6bc023c..20218b2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf index 23e5309..e6dcf10 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf index 2fa837f..02454db 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf index 91750df..39ec92b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf index 53954b4..205d7e0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf index 0160e4d..075bc1e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf index a3b9840..ee7440e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf index 1f0a322..220b2b9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf index 6864171..6d76be7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf index 187d773..562af3e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf index 002f57f..9ab23fd 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf index 09621bb..ac0ea33 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf index 95cfc73..1492e8b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf index 6bf675b..e4615f0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf index 729cdf0..cb30b45 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf index 724158b..fd29f5b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf index c2ce735..e2488d5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf index 6ebbb84..683c235 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf index 1cabbee..641c407 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf index 0127116..0df0a8c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf index e983650..3829b2f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf index 1db68ea..f3bf604 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf index f4cc80c..e6bc15e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf index e46ae93..73e7cb4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf index cbb7cb8..eb04b16 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf index 78e11e8..d6b056e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf index 5e7f8e6..a5b49b8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf index 33e4705..630d329 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf index 894d204..2b064b3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf index 523b024..b6d1c18 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_ENKF_INFLATE_RECENTER %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf index 91d0963..1abe592 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_ENKF_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf index 5511157..e0d4d1e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_ENKF_SELECT_OBS %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf index ca328f0..0843762 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_ENKF_UPDATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/forecast/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/forecast/jgdas_forecast.ecf index d7aaa39..eed96b0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/forecast/jgdas_forecast.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/forecast/jgdas_forecast.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak.ecf index 326ae5d..e611466 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_GEMPAK %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_meta.ecf index a465314..93dc751 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_meta.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_meta.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_GEMPAK_META %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_ncdc.ecf index 9fc1394..a10c959 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_ncdc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_ncdc.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_GEMPAK_NCDC %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf index f821cbe..e99e392 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_VERFRAD %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf index 172f26e..16e857c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_VMINMON %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/post/jgdas_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/post/jgdas_post.ecf index 70279e2..a83823e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/post/jgdas_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/post/jgdas_post.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/post %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf index a318919..e65c565 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_MKNAVYBULLS %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf index 64edefc..4d41618 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_EMCSFC_SFC_PREP %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf index 02bbbf4..d70e3a8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_PREP %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf index ca2a67c..e749457 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_PREP_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf index 3efddef..2695723 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_DUMP %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf index ec9a7c6..5cd044c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_TROPCY_QC_RELOC %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_high.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_high.ecf index e0c8d37..c3140db 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_high.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_high.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_low.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_low.ecf index 7d60768..37302ab 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_low.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_low.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak.ecf index e98d868..33942eb 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_GEMPAK %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_meta.ecf index 2064a33..27db5f1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_meta.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_meta.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_GEMPAK_META %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_ncdc.ecf index 28aef49..3188b7c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_ncdc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_ncdc.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_GEMPAK_NCDC %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_upapgif.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_upapgif.ecf index c376157..8a66333 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_upapgif.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_upapgif.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_GEMPAK_UPAPGIF %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf index 2e09f03..abcf04c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_ANALYSIS %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf index 12539f0..fa7eafe 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_VMINMON %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_pgrb2_spec_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_pgrb2_spec_post.ecf index 64ef876..0801f05 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_pgrb2_spec_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_pgrb2_spec_post.ecf @@ -1,33 +1,44 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_pgrb2_spec_post +#BSUB -o %ECF_OUT%/gfs.post.jgfs_pgrb2_spec_post_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_PGRB2_SPEC_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_anl.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_anl.ecf index 1592c9a..45a93c4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_anl.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_ANL %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f00.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f00.ecf index 174874a..02597de 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f00.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F00 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f01.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f01.ecf index 07b5e97..108bf63 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f01.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f01.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F01 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f02.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f02.ecf index 6bd5de2..4fdac2f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f02.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f02.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F02 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f03.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f03.ecf index 5512cc7..f9e67b3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f03.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f03.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F03 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f04.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f04.ecf index d44cabf..5cf2213 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f04.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f04.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F04 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f05.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f05.ecf index 933e206..4d07699 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f05.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f05.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F05 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f06.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f06.ecf index 4580f6f..61378cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f06.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F06 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f07.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f07.ecf index 6723ea8..358a358 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f07.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f07.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F07 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f08.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f08.ecf index a0d3fb0..54f90b9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f08.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f08.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F08 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f09.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f09.ecf index bd0a087..8b82c0e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f09.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f09.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F09 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f10.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f10.ecf index f7c6240..3cdac7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f10.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F10 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f100.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f100.ecf index 0c15761..1a86b5b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f100.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F100 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f101.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f101.ecf index 9cdfd50..e249fa7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f101.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F101 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f102.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f102.ecf index 0a69199..169b6f8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f102.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F102 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f103.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f103.ecf index 2eacdfc..9c80f50 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f103.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F103 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f104.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f104.ecf index 3f5a24c..0c91263 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f104.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F104 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f105.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f105.ecf index 81b8adc..8c838f1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f105.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F105 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f106.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f106.ecf index c43cc00..9227194 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f106.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F106 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f107.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f107.ecf index 97e8e47..e66cc39 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f107.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F107 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f108.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f108.ecf index cacd1f8..2404b80 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f108.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F108 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f109.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f109.ecf index 33d2681..568e47e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f109.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F109 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f11.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f11.ecf index 976f809..a8c31fe 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f11.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F11 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f110.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f110.ecf index 5b908ac..7f02883 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f110.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F110 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f111.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f111.ecf index 29f1f05..84edb70 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f111.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F111 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f112.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f112.ecf index e156772..2c3d749 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f112.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F112 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f113.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f113.ecf index 974a118..fcadb88 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f113.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F113 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f114.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f114.ecf index ad6263f..e7339b4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f114.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F114 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f115.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f115.ecf index 040c9cf..4028ca9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f115.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F115 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f116.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f116.ecf index 0650cdc..25c013b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f116.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F116 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f117.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f117.ecf index 2c1213e..40b2a29 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f117.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F117 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f118.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f118.ecf index 1f2e3e2..c4f7bad 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f118.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F118 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f119.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f119.ecf index a01c60e..57a736c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f119.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F119 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f12.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f12.ecf index a975052..791286e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f12.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F12 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f120.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f120.ecf index af5a95b..7efc829 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f120.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F120 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f123.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f123.ecf index 39be5f3..b7fed67 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f123.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F123 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f126.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f126.ecf index 0ba19e7..faa1ca2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f126.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F126 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f129.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f129.ecf index 11c9a8e..0bff7d7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f129.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F129 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f13.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f13.ecf index c96723b..9370750 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f13.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F13 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f132.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f132.ecf index 71a9a80..cd98649 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f132.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F132 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f135.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f135.ecf index 1a4495c..adf58ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f135.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F135 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f138.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f138.ecf index 3857157..3b4d3e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f138.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F138 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f14.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f14.ecf index 200d27a..c2eb6c2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f14.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F14 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f141.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f141.ecf index a77bd40..845199e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f141.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F141 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f144.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f144.ecf index a260c3f..b14d208 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f144.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F144 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f147.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f147.ecf index 24f0bfc..8559ed0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f147.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F147 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f15.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f15.ecf index 2fbda18..086115c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f15.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F15 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f150.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f150.ecf index fe846d4..309c34f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f150.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F150 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f153.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f153.ecf index 76926b3..430952c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f153.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F153 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f156.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f156.ecf index ba35661..064a627 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f156.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F156 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f159.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f159.ecf index a7610ed..1ce69e7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f159.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F159 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f16.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f16.ecf index ecf5680..fd7598f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f16.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F16 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f162.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f162.ecf index 0435b6b..854d633 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f162.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F162 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f165.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f165.ecf index 04a26a2..c2c60b9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f165.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F165 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f168.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f168.ecf index fc20fdf..2a9d6ba 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f168.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F168 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f17.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f17.ecf index 9a1f924..5d76cb5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f17.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f17.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F17 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f171.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f171.ecf index f1c88e7..8ea9fff 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f171.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F171 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f174.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f174.ecf index 0bfae2b..4402bce 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f174.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F174 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f177.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f177.ecf index f79499f..107d37a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f177.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F177 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f18.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f18.ecf index b08c39e..3b29c2f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f18.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F18 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f180.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f180.ecf index 70a8257..e9c8054 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f180.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F180 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f183.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f183.ecf index c3eba46..22d1912 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f183.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F183 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f186.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f186.ecf index 19b5b17..115a3f4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f186.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F186 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f189.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f189.ecf index 295f645..b106e6e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f189.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F189 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f19.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f19.ecf index 28cbff2..21956ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f19.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f19.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F19 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f192.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f192.ecf index 2a9adb0..4ae2aff 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f192.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F192 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f195.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f195.ecf index 029a6f1..0f24e2a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f195.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F195 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f198.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f198.ecf index 62abb4a..ef6396a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f198.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F198 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f20.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f20.ecf index 5557e78..7c47937 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f20.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f20.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F20 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f201.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f201.ecf index 071c2a4..2f9317a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f201.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F201 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f204.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f204.ecf index d3573ee..efa0ded 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f204.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F204 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f207.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f207.ecf index 8be09f7..ec1710e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f207.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F207 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f21.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f21.ecf index 7931e80..4f804b0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f21.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f21.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F21 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f210.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f210.ecf index ce82bd4..35362be 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f210.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F210 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f213.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f213.ecf index 87077a1..34c4bf6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f213.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F213 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f216.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f216.ecf index eaa75ad..b71785a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f216.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F216 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f219.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f219.ecf index 30bcbf5..e5532a7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f219.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F219 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f22.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f22.ecf index 5fcce72..14de8d7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f22.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f22.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F22 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f222.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f222.ecf index 9ff9ee5..1323cc2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f222.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F222 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f225.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f225.ecf index 8532891..455ead1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f225.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F225 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f228.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f228.ecf index 3c25670..cfac18f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f228.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F228 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f23.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f23.ecf index 8e580c6..55ae234 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f23.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f23.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F23 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f231.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f231.ecf index e473c18..056d407 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f231.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F231 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f234.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f234.ecf index f010694..23a4639 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f234.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F234 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f237.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f237.ecf index 642e7af..c0ce852 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f237.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F237 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f24.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f24.ecf index 71cfe7a..c8386e3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f24.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F24 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f240.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f240.ecf index a87516a..c81481b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f240.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F240 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f25.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f25.ecf index 164f8a7..c27b146 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f25.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f25.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F25 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f252.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f252.ecf index 9f244e6..de6a3e9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f252.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F252 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f26.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f26.ecf index 856e49f..19e658b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f26.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f26.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F26 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f264.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f264.ecf index 14cda4a..6062291 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f264.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F264 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f27.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f27.ecf index d416e60..49aefae 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f27.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f27.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F27 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f276.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f276.ecf index 0220bee..0acae9d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f276.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F276 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f28.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f28.ecf index 3ffae84..ed73eb8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f28.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f28.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F28 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f288.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f288.ecf index 454ca85..42d9617 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f288.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F288 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f29.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f29.ecf index c97840a..c84c6f3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f29.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f29.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F29 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f30.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f30.ecf index e1ea0e2..23927d7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f30.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F30 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f300.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f300.ecf index 1c0b12c..68473ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f300.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F300 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f31.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f31.ecf index 93f85eb..a7d4c7e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f31.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f31.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F31 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f312.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f312.ecf index 8a93302..2b32b78 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f312.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F312 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f32.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f32.ecf index 7c39cf4..7730300 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f32.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f32.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F32 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f324.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f324.ecf index 79a30c8..7a86340 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f324.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F324 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f33.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f33.ecf index 9af52bf..6b5bec0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f33.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f33.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F33 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f336.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f336.ecf index 8f1d98c..191765b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f336.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F336 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f34.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f34.ecf index 0d35e21..cd5061b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f34.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f34.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F34 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f348.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f348.ecf index a30e3ca..95b8f8b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f348.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F348 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f35.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f35.ecf index bc4bda1..cacaf52 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f35.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f35.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F35 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f36.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f36.ecf index 7de0e72..e33dde8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f36.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F36 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f360.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f360.ecf index 172fb0e..4fc0fe4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f360.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F360 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f37.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f37.ecf index df7cac0..5a92603 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f37.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f37.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F37 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f372.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f372.ecf index 7b05117..8b5f4b6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f372.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F372 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f38.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f38.ecf index 9a33c9f..2a26048 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f38.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f38.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F38 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f384.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f384.ecf index 3fc1ea6..938424a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f384.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F384 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f39.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f39.ecf index 83cdefb..1b8ec9d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f39.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f39.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F39 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f40.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f40.ecf index e1acb56..1eec893 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f40.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f40.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F40 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f41.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f41.ecf index 69a9cf5..c1c7542 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f41.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f41.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F41 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f42.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f42.ecf index a617d2b..2325836 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f42.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F42 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f43.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f43.ecf index 721bedb..ff41cfb 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f43.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f43.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F43 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f44.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f44.ecf index 5d3eea9..4ce3278 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f44.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f44.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F44 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f45.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f45.ecf index 429f3df..91e6446 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f45.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f45.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F45 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f46.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f46.ecf index c1c755d..8b0dc36 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f46.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f46.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F46 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f47.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f47.ecf index 65fb347..e67c97a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f47.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f47.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F47 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f48.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f48.ecf index 1e6a825..2b62b20 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f48.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F48 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f49.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f49.ecf index 70759d4..472ad4c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f49.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f49.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F49 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f50.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f50.ecf index eff73fd..7553af6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f50.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f50.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F50 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f51.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f51.ecf index cb06902..c912aaa 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f51.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f51.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F51 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f52.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f52.ecf index cd69559..550df2f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f52.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f52.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F52 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f53.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f53.ecf index 8b8626b..92dd73f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f53.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f53.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F53 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f54.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f54.ecf index 22d46c4..bea0edc 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f54.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F54 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f55.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f55.ecf index 410e778..837811c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f55.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f55.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F55 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f56.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f56.ecf index 4eab593..d89b869 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f56.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f56.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F56 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f57.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f57.ecf index 5436f4a..348ee4f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f57.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f57.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F57 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f58.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f58.ecf index 994d09b..18c4a48 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f58.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f58.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F58 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f59.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f59.ecf index 38aad79..911e47a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f59.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f59.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F59 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f60.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f60.ecf index 27d23a3..5146bd0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f60.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F60 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f61.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f61.ecf index d0b5ef6..ae3fea3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f61.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f61.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F61 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f62.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f62.ecf index cc231db..436b0bc 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f62.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f62.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F62 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f63.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f63.ecf index b93fc36..f0a1a8f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f63.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f63.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F63 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f64.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f64.ecf index dd7f9da..950507b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f64.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f64.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F64 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f65.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f65.ecf index f51aee7..d6c4e7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f65.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f65.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F65 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f66.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f66.ecf index 7c4e85d..5925426 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f66.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F66 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f67.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f67.ecf index f4b7b21..a30b66c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f67.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f67.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F67 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f68.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f68.ecf index 7515773..02c4962 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f68.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f68.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F68 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f69.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f69.ecf index dbd70aa..1a4852c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f69.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f69.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F69 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f70.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f70.ecf index ed8031d..ad1dca1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f70.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f70.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F70 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f71.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f71.ecf index 3bcebcc..b75158b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f71.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f71.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F71 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f72.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f72.ecf index f74154a..bfda8ea 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f72.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F72 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f73.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f73.ecf index 94f68c6..5703db6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f73.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f73.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F73 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f74.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f74.ecf index ad230fa..4d8a171 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f74.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f74.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F74 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f75.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f75.ecf index f9d56f8..df16a6d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f75.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f75.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F75 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f76.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f76.ecf index 9eb91f8..8e6af05 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f76.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f76.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F76 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f77.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f77.ecf index 1c2fff5..cfa16fc 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f77.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f77.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F77 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f78.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f78.ecf index ea8fbdf..96ab8cd 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f78.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F78 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f79.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f79.ecf index 6dfabea..d5bacf3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f79.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f79.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F79 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f80.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f80.ecf index d41bdc9..a43e62f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f80.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f80.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F80 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f81.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f81.ecf index f20268f..433bd18 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f81.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f81.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F81 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f82.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f82.ecf index 825ebce..a79f29b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f82.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f82.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F82 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f83.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f83.ecf index 3bc7460..c0a8717 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f83.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f83.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F83 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f84.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f84.ecf index 47f9fbb..fc497b7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f84.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F84 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f85.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f85.ecf index dc6312e..4b8f159 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f85.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f85.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F85 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f86.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f86.ecf index 692f3aa..d277132 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f86.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f86.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F86 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f87.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f87.ecf index 17fbbb3..ba24c5d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f87.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f87.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F87 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f88.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f88.ecf index 8b9d7a6..81a30a6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f88.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f88.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F88 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f89.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f89.ecf index f7c07e7..3514e27 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f89.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f89.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F89 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f90.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f90.ecf index 0004443..73a0585 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f90.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F90 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f91.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f91.ecf index c536f1b..3eceeee 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f91.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f91.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F91 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f92.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f92.ecf index 02ae73d..bc30db6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f92.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f92.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F92 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f93.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f93.ecf index 4e19cba..842596d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f93.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f93.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F93 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f94.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f94.ecf index 9973097..adc946c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f94.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f94.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F94 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f95.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f95.ecf index 176b452..961d45a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f95.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f95.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F95 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f96.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f96.ecf index b975020..e7c1809 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f96.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F96 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f97.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f97.ecf index 49bd8a2..5a7b7cc 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f97.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f97.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F97 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f98.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f98.ecf index b80ece2..6aba72f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f98.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f98.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F98 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f99.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f99.ecf index 8d67938..fd493c7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f99.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f99.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F99 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_manager.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_manager.ecf index 436fa1c..cce2e41 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_manager.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_manager.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_MANAGER %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf index 47c0ebc..d3ec7f0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F000 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf new file mode 100644 index 0000000..669ca3e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f001 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f001_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F001 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf new file mode 100644 index 0000000..bcc4ea7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f002 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f002_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F002 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf new file mode 100644 index 0000000..1389451 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f003 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f003_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F003 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf new file mode 100644 index 0000000..ff9a6db --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f004 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f004_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F004 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf new file mode 100644 index 0000000..fa0b9da --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f005 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f005_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F005 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf index 7397347..216de5b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F006 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf new file mode 100644 index 0000000..e848d86 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f007 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f007_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F007 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf new file mode 100644 index 0000000..8fd242a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f008 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f008_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F008 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf new file mode 100644 index 0000000..d27fae2 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f009 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f009_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F009 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf new file mode 100644 index 0000000..0484b7b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f010 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f010_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F010 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf new file mode 100644 index 0000000..3aa2ddb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f011 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f011_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F011 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf new file mode 100644 index 0000000..ceb7bcf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f012 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f012_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F012 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf new file mode 100644 index 0000000..ab42d41 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f013 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f013_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F013 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf new file mode 100644 index 0000000..59a4b64 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f014 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f014_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F014 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf new file mode 100644 index 0000000..53a8752 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f015 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f015_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F015 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf new file mode 100644 index 0000000..6a2c161 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f016 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f016_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F016 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf new file mode 100644 index 0000000..5643028 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f017 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f017_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F017 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf new file mode 100644 index 0000000..9017a9e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f018 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f018_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F018 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf new file mode 100644 index 0000000..e330aff --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f019 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f019_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F019 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf new file mode 100644 index 0000000..9ed1e5e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f020 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f020_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F020 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf new file mode 100644 index 0000000..1db01b8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f021 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f021_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F021 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf new file mode 100644 index 0000000..4d37614 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f022 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f022_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F022 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf new file mode 100644 index 0000000..7cce8c7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f023 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f023_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F023 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf new file mode 100644 index 0000000..d257cd9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f024 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f024_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F024 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf new file mode 100644 index 0000000..280cef8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f025 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f025_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F025 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf new file mode 100644 index 0000000..4988749 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f026 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f026_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F026 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf new file mode 100644 index 0000000..5d3a7a1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f027 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f027_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F027 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf new file mode 100644 index 0000000..de2fc15 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f028 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f028_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F028 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf new file mode 100644 index 0000000..fb40400 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f029 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f029_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F029 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf new file mode 100644 index 0000000..1b2d8c6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f030 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f030_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F030 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf new file mode 100644 index 0000000..fce31a7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f031 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f031_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F031 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf new file mode 100644 index 0000000..c657d14 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f032 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f032_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F032 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf new file mode 100644 index 0000000..4fc3a2c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f033 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f033_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F033 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf new file mode 100644 index 0000000..8f26afb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f034 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f034_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F034 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf new file mode 100644 index 0000000..ecabe41 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f035 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f035_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F035 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf new file mode 100644 index 0000000..5ee87b4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f036 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f036_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F036 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf new file mode 100644 index 0000000..4069a46 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f037 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f037_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F037 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf new file mode 100644 index 0000000..9a02dd7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f038 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f038_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F038 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf new file mode 100644 index 0000000..196511a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f039 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f039_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F039 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf new file mode 100644 index 0000000..8f90a29 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f040 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f040_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F040 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf new file mode 100644 index 0000000..22378cc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f041 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f041_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F041 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf new file mode 100644 index 0000000..5926ab9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f042 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f042_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F042 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf new file mode 100644 index 0000000..9722311 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f043 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f043_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F043 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf new file mode 100644 index 0000000..fe25953 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f044 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f044_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F044 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf new file mode 100644 index 0000000..5a97394 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f045 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f045_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F045 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf new file mode 100644 index 0000000..61ddb06 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f046 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f046_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F046 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf new file mode 100644 index 0000000..64cf3a6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f047 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f047_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F047 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf new file mode 100644 index 0000000..92a8d47 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f048 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f048_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F048 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf new file mode 100644 index 0000000..a14d268 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f049 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f049_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F049 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf new file mode 100644 index 0000000..fb8d1ca --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f050 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f050_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F050 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf new file mode 100644 index 0000000..6a2377c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f051 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f051_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F051 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf new file mode 100644 index 0000000..6161af9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f052 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f052_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F052 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf new file mode 100644 index 0000000..518272e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f053 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f053_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F053 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf new file mode 100644 index 0000000..2e1e4ab --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f054 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f054_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F054 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf new file mode 100644 index 0000000..7fe7456 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f055 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f055_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F055 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf new file mode 100644 index 0000000..895605b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f056 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f056_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F056 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf new file mode 100644 index 0000000..5a552bd --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f057 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f057_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F057 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf new file mode 100644 index 0000000..4a6e5fe --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f058 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f058_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F058 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf new file mode 100644 index 0000000..3fb2a82 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f059 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f059_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F059 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf new file mode 100644 index 0000000..cf13c9f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f060 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f060_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F060 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf new file mode 100644 index 0000000..b752ca2 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f061 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f061_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F061 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf new file mode 100644 index 0000000..45a961c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f062 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f062_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F062 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf new file mode 100644 index 0000000..18722a0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f063 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f063_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F063 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf new file mode 100644 index 0000000..1ed950b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f064 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f064_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F064 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf new file mode 100644 index 0000000..a3ee684 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f065 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f065_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F065 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf new file mode 100644 index 0000000..a30cdf1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f066 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f066_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F066 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf new file mode 100644 index 0000000..971abcc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f067 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f067_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F067 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf new file mode 100644 index 0000000..20aae5d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f068 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f068_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F068 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf new file mode 100644 index 0000000..23b3f35 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f069 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f069_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F069 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf new file mode 100644 index 0000000..0bca73e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f070 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f070_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F070 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf new file mode 100644 index 0000000..e18ed18 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f071 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f071_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F071 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf new file mode 100644 index 0000000..81b0dbd --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f072 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f072_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F072 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf new file mode 100644 index 0000000..0afd294 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f073 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f073_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F073 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf new file mode 100644 index 0000000..b35e294 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f074 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f074_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F074 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf new file mode 100644 index 0000000..cdd1c9a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f075 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f075_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F075 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf new file mode 100644 index 0000000..463d7fc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f076 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f076_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F076 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf new file mode 100644 index 0000000..9b6d244 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f077 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f077_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F077 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf new file mode 100644 index 0000000..6a8f2e0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f078 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f078_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F078 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf new file mode 100644 index 0000000..77b3012 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f079 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f079_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F079 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf new file mode 100644 index 0000000..603586f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f080 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f080_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F080 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf new file mode 100644 index 0000000..28338e3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f081 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f081_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F081 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf new file mode 100644 index 0000000..67d2c2a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f082 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f082_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F082 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf new file mode 100644 index 0000000..c7fa12e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f083 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f083_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F083 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf new file mode 100644 index 0000000..42f449d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f084 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f084_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F084 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf new file mode 100644 index 0000000..b1a1f45 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f085 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f085_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F085 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf new file mode 100644 index 0000000..eca4005 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f086 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f086_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F086 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf new file mode 100644 index 0000000..f0cf3d8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f087 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f087_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F087 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf new file mode 100644 index 0000000..acfad04 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f088 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f088_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F088 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf new file mode 100644 index 0000000..a640f6a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f089 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f089_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F089 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf new file mode 100644 index 0000000..e089a70 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f090 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f090_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F090 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf new file mode 100644 index 0000000..f5b80dc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f091 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f091_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F091 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf new file mode 100644 index 0000000..0a98218 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f092 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f092_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F092 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf new file mode 100644 index 0000000..5864377 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f093 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f093_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F093 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf new file mode 100644 index 0000000..e598259 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f094 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f094_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F094 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf new file mode 100644 index 0000000..bbcaefa --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f095 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f095_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F095 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf new file mode 100644 index 0000000..cacd7fa --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f096 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f096_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F096 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf new file mode 100644 index 0000000..26dc797 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f097 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f097_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F097 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf new file mode 100644 index 0000000..eb95fc9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f098 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f098_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F098 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf new file mode 100644 index 0000000..474a79a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f099 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f099_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F099 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf new file mode 100644 index 0000000..25443e0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f100 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f100_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf new file mode 100644 index 0000000..346a86d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f101 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f101_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf new file mode 100644 index 0000000..f49973c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f102 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f102_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf new file mode 100644 index 0000000..70ec792 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f103 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f103_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf new file mode 100644 index 0000000..732d5d0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f104 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f104_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf new file mode 100644 index 0000000..4efbb80 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f105 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f105_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf new file mode 100644 index 0000000..27e9e7e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f106 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f106_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf new file mode 100644 index 0000000..db13b96 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f107 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f107_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf new file mode 100644 index 0000000..61fef46 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f108 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f108_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf new file mode 100644 index 0000000..a7ad7c6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f109 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f109_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf new file mode 100644 index 0000000..b8e8353 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f110 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f110_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf new file mode 100644 index 0000000..8092265 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f111 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f111_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf new file mode 100644 index 0000000..79245a5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f112 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f112_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf new file mode 100644 index 0000000..c31419b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f113 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f113_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf new file mode 100644 index 0000000..57e845a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f114 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f114_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf new file mode 100644 index 0000000..7784fcc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f115 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f115_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf new file mode 100644 index 0000000..58c52d0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f116 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f116_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf new file mode 100644 index 0000000..d222027 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f117 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f117_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf new file mode 100644 index 0000000..40bd197 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f118 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f118_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf new file mode 100644 index 0000000..acc1d99 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f119 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f119_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf new file mode 100644 index 0000000..bc4057c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f120 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f120_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf new file mode 100644 index 0000000..06a8220 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f123 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f123_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf new file mode 100644 index 0000000..0971d65 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f126 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f126_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf new file mode 100644 index 0000000..98b8e26 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f129 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f129_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf new file mode 100644 index 0000000..f0149ce --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f132 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f132_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf new file mode 100644 index 0000000..b92409f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f135 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f135_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf new file mode 100644 index 0000000..65b9001 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f138 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f138_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf new file mode 100644 index 0000000..515a95f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f141 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f141_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf new file mode 100644 index 0000000..a83e1ee --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f144 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f144_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf new file mode 100644 index 0000000..513a955 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f147 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f147_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf new file mode 100644 index 0000000..7148c6f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f150 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f150_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf new file mode 100644 index 0000000..6f6c03a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f153 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f153_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf new file mode 100644 index 0000000..2124ce9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f156 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f156_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf new file mode 100644 index 0000000..845a1a9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f159 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f159_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf new file mode 100644 index 0000000..d562347 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f162 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f162_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf new file mode 100644 index 0000000..a7c2d69 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f165 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f165_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf new file mode 100644 index 0000000..9f40903 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f168 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f168_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf new file mode 100644 index 0000000..5e7e844 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f171 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f171_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf new file mode 100644 index 0000000..b1ddb61 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f174 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f174_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf new file mode 100644 index 0000000..0b2eda4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f177 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f177_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf new file mode 100644 index 0000000..37b5b22 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f180 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f180_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf new file mode 100644 index 0000000..836131c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f183 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f183_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf new file mode 100644 index 0000000..5bb10f4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f186 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f186_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf new file mode 100644 index 0000000..295fa2f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f189 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f189_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf new file mode 100644 index 0000000..e7df28f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f192 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f192_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf new file mode 100644 index 0000000..84e2e0a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f195 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f195_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf new file mode 100644 index 0000000..c4410ac --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f198 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f198_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf new file mode 100644 index 0000000..73c50b7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f201 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f201_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf new file mode 100644 index 0000000..a4c0108 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f204 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f204_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf new file mode 100644 index 0000000..fd59fac --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f207 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f207_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf new file mode 100644 index 0000000..8d1750d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f210 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f210_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf new file mode 100644 index 0000000..2c901e9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f213 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f213_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf new file mode 100644 index 0000000..dd202cc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f216 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f216_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf new file mode 100644 index 0000000..cf3b8af --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f219 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f219_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf new file mode 100644 index 0000000..8a2b14d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f222 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f222_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf new file mode 100644 index 0000000..72ba6d8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f225 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f225_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf new file mode 100644 index 0000000..e6d53d8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f228 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f228_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf new file mode 100644 index 0000000..674137f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f231 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f231_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf new file mode 100644 index 0000000..423eaea --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f234 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f234_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf new file mode 100644 index 0000000..d454c69 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f237 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f237_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf index 2849c59..2f09456 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf new file mode 100644 index 0000000..bf69c9c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f252 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f252_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf new file mode 100644 index 0000000..aaaad1a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f264 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f264_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf new file mode 100644 index 0000000..61fbc1d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f276 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f276_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf new file mode 100644 index 0000000..6189c31 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f288 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f288_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf new file mode 100644 index 0000000..f3645fe --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f300 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f300_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf new file mode 100644 index 0000000..676d412 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f312 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f312_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf new file mode 100644 index 0000000..5492342 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f324 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f324_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf new file mode 100644 index 0000000..7f558a7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f336 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f336_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf new file mode 100644 index 0000000..b6f52b5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f348 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f348_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf new file mode 100644 index 0000000..e747d70 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f360 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f360_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf new file mode 100644 index 0000000..4eb5880 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f372 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f372_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf new file mode 100644 index 0000000..83608a9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f384 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f384_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf index 62bdccc..427e646 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F000 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf new file mode 100644 index 0000000..ab2af43 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f001 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f001_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F001 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf new file mode 100644 index 0000000..9c3ce49 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f002 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f002_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F002 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf index 64ef876..91ecf3d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf @@ -1,33 +1,44 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f003 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f003_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F003 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf new file mode 100644 index 0000000..963ab8c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f004 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f004_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F004 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf new file mode 100644 index 0000000..5e1ff16 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f005 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f005_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F005 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf new file mode 100644 index 0000000..5f79c28 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f006 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f006_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F006 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf new file mode 100644 index 0000000..57a944e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f007 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f007_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F007 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf new file mode 100644 index 0000000..d2ebc78 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f008 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f008_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F008 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf new file mode 100644 index 0000000..e6195e8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f009 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f009_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F009 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf new file mode 100644 index 0000000..53301c3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f010 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f010_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F010 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf new file mode 100644 index 0000000..8827e9f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f011 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f011_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F011 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf new file mode 100644 index 0000000..a11f357 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f012 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f012_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F012 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf new file mode 100644 index 0000000..18e01f0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f013 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f013_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F013 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf new file mode 100644 index 0000000..e5e4f59 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f014 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f014_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F014 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf new file mode 100644 index 0000000..1b34c83 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f015 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f015_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F015 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf new file mode 100644 index 0000000..cf01459 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f016 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f016_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F016 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf new file mode 100644 index 0000000..bdca96c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f017 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f017_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F017 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf new file mode 100644 index 0000000..492457c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f018 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f018_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F018 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf new file mode 100644 index 0000000..b0bf180 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f019 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f019_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F019 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf new file mode 100644 index 0000000..3bf1ecc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f020 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f020_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F020 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf new file mode 100644 index 0000000..bc6d6e4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f021 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f021_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F021 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf new file mode 100644 index 0000000..7de3250 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f022 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f022_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F022 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf new file mode 100644 index 0000000..e28a3cb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f023 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f023_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F023 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf new file mode 100644 index 0000000..ff0e27c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f024 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f024_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F024 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf new file mode 100644 index 0000000..3e18e44 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f025 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f025_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F025 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf new file mode 100644 index 0000000..ac56176 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f026 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f026_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F026 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf new file mode 100644 index 0000000..80dab35 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f027 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f027_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F027 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf new file mode 100644 index 0000000..d79b344 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f028 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f028_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F028 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf new file mode 100644 index 0000000..20d63eb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f029 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f029_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F029 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf new file mode 100644 index 0000000..1e2b0c5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f030 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f030_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F030 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf new file mode 100644 index 0000000..c900671 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f031 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f031_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F031 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf new file mode 100644 index 0000000..87be72c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f032 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f032_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F032 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf new file mode 100644 index 0000000..e07b9d4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f033 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f033_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F033 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf new file mode 100644 index 0000000..ebd6b4d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f034 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f034_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F034 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf new file mode 100644 index 0000000..0bb38cb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f035 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f035_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F035 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf new file mode 100644 index 0000000..3943b5e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f036 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f036_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F036 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf new file mode 100644 index 0000000..6ca5114 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f037 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f037_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F037 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf new file mode 100644 index 0000000..cff0b72 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f038 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f038_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F038 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf new file mode 100644 index 0000000..d2dbbbe --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f039 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f039_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F039 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf new file mode 100644 index 0000000..e44ab23 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f040 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f040_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F040 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf new file mode 100644 index 0000000..aced496 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f041 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f041_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F041 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf new file mode 100644 index 0000000..dbd4901 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f042 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f042_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F042 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf new file mode 100644 index 0000000..b669e2a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f043 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f043_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F043 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf new file mode 100644 index 0000000..0d87eca --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f044 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f044_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F044 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf new file mode 100644 index 0000000..5fc043e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f045 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f045_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F045 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf new file mode 100644 index 0000000..1d44129 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f046 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f046_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F046 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf new file mode 100644 index 0000000..99e628a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f047 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f047_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F047 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf new file mode 100644 index 0000000..dc202cd --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f048 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f048_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F048 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf new file mode 100644 index 0000000..4badc2d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f049 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f049_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F049 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf new file mode 100644 index 0000000..c5eea93 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f050 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f050_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F050 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf new file mode 100644 index 0000000..847867e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f051 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f051_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F051 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf new file mode 100644 index 0000000..5c2e61c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f052 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f052_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F052 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf new file mode 100644 index 0000000..9f92201 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f053 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f053_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F053 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf new file mode 100644 index 0000000..fcbd8c0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f054 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f054_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F054 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf new file mode 100644 index 0000000..4b21b8e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f055 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f055_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F055 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf new file mode 100644 index 0000000..f69e31d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f056 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f056_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F056 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf new file mode 100644 index 0000000..0bc7a5a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f057 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f057_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F057 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf new file mode 100644 index 0000000..cca67b3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f058 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f058_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F058 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf new file mode 100644 index 0000000..82481d8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f059 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f059_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F059 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf new file mode 100644 index 0000000..767c16d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f060 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f060_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F060 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf new file mode 100644 index 0000000..c3accb6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f061 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f061_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F061 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf new file mode 100644 index 0000000..0f1e2b4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f062 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f062_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F062 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf new file mode 100644 index 0000000..26cd4fd --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f063 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f063_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F063 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf new file mode 100644 index 0000000..9e31579 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f064 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f064_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F064 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf new file mode 100644 index 0000000..904ad8e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f065 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f065_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F065 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf new file mode 100644 index 0000000..2ac1eef --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f066 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f066_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F066 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf new file mode 100644 index 0000000..e28f3e0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f067 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f067_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F067 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf new file mode 100644 index 0000000..47e532d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f068 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f068_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F068 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf new file mode 100644 index 0000000..f0795c7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f069 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f069_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F069 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf new file mode 100644 index 0000000..09f9386 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f070 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f070_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F070 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf new file mode 100644 index 0000000..7af6e71 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f071 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f071_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F071 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf new file mode 100644 index 0000000..163556e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f072 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f072_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F072 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf new file mode 100644 index 0000000..312d962 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f073 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f073_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F073 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf new file mode 100644 index 0000000..bc5854d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f074 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f074_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F074 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf new file mode 100644 index 0000000..995dd06 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f075 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f075_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F075 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf new file mode 100644 index 0000000..037d514 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f076 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f076_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F076 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf new file mode 100644 index 0000000..0975f98 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f077 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f077_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F077 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf new file mode 100644 index 0000000..4fbf9a2 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f078 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f078_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F078 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf new file mode 100644 index 0000000..f289400 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f079 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f079_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F079 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf new file mode 100644 index 0000000..de9d879 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f080 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f080_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F080 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf new file mode 100644 index 0000000..724b2c8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f081 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f081_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F081 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf new file mode 100644 index 0000000..26342f1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f082 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f082_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F082 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf new file mode 100644 index 0000000..edac663 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f083 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f083_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F083 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf new file mode 100644 index 0000000..23a6cc3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f084 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f084_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F084 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf new file mode 100644 index 0000000..1c4b186 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f085 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f085_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F085 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf new file mode 100644 index 0000000..d640e2f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f086 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f086_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F086 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf new file mode 100644 index 0000000..2b9a3b8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f087 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f087_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F087 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf new file mode 100644 index 0000000..a8d0f25 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f088 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f088_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F088 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf new file mode 100644 index 0000000..d86ef52 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f089 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f089_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F089 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf new file mode 100644 index 0000000..4165018 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f090 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f090_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F090 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf new file mode 100644 index 0000000..44f317d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f091 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f091_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F091 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf new file mode 100644 index 0000000..5d2b709 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f092 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f092_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F092 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf new file mode 100644 index 0000000..bf7bae7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f093 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f093_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F093 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf new file mode 100644 index 0000000..36cce97 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f094 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f094_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F094 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf new file mode 100644 index 0000000..5a253b1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f095 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f095_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F095 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf new file mode 100644 index 0000000..dc3b558 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f096 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f096_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F096 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf new file mode 100644 index 0000000..7def71f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f097 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f097_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F097 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf new file mode 100644 index 0000000..24e1f8b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f098 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f098_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F098 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf new file mode 100644 index 0000000..518dc00 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f099 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f099_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F099 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf new file mode 100644 index 0000000..0d5469e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f100 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f100_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf new file mode 100644 index 0000000..f78c4da --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f101 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f101_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf new file mode 100644 index 0000000..382154d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f102 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f102_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf new file mode 100644 index 0000000..bec4df5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f103 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f103_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf new file mode 100644 index 0000000..796ad73 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f104 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f104_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf new file mode 100644 index 0000000..457fec0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f105 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f105_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf new file mode 100644 index 0000000..a663fc9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f106 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f106_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf new file mode 100644 index 0000000..7187594 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f107 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f107_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf new file mode 100644 index 0000000..0384036 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f108 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f108_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf new file mode 100644 index 0000000..4d8d7be --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f109 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f109_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf new file mode 100644 index 0000000..4f72a90 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f110 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f110_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf new file mode 100644 index 0000000..a8d57e6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f111 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f111_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf new file mode 100644 index 0000000..978e151 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f112 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f112_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf new file mode 100644 index 0000000..2455a58 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f113 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f113_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf new file mode 100644 index 0000000..ab9fcd1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f114 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f114_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf new file mode 100644 index 0000000..92372a6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f115 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f115_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf new file mode 100644 index 0000000..565aa8b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f116 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f116_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf new file mode 100644 index 0000000..20dec08 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f117 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f117_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf new file mode 100644 index 0000000..d1d3f45 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f118 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f118_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf new file mode 100644 index 0000000..6b9017f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f119 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f119_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf new file mode 100644 index 0000000..9a00979 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f120 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f120_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf new file mode 100644 index 0000000..e828b3d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f123 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f123_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf new file mode 100644 index 0000000..36a4274 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f126 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f126_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf new file mode 100644 index 0000000..0c03f90 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f129 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f129_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf new file mode 100644 index 0000000..e8832d6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f132 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f132_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf new file mode 100644 index 0000000..82befd3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f135 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f135_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf new file mode 100644 index 0000000..62f28da --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f138 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f138_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf new file mode 100644 index 0000000..89909f5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f141 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f141_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf new file mode 100644 index 0000000..bc6533e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f144 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f144_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf new file mode 100644 index 0000000..bbf018d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f147 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f147_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf new file mode 100644 index 0000000..55ec8d3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f150 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f150_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf new file mode 100644 index 0000000..030ee2f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f153 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f153_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf new file mode 100644 index 0000000..fdea60e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f156 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f156_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf new file mode 100644 index 0000000..8e38112 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f159 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f159_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf new file mode 100644 index 0000000..e7a1186 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f162 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f162_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf new file mode 100644 index 0000000..fe86e22 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f165 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f165_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf new file mode 100644 index 0000000..b79211c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f168 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f168_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf new file mode 100644 index 0000000..15a2d3e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f171 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f171_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf new file mode 100644 index 0000000..94ecc78 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f174 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f174_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf new file mode 100644 index 0000000..34d4366 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f177 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f177_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf new file mode 100644 index 0000000..a0d8ab1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f180 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f180_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf new file mode 100644 index 0000000..a8b777a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f183 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f183_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf new file mode 100644 index 0000000..02e3a56 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f186 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f186_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf new file mode 100644 index 0000000..87341cf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f189 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f189_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf new file mode 100644 index 0000000..b8d0c76 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f192 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f192_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf new file mode 100644 index 0000000..8bfbb73 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f195 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f195_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf new file mode 100644 index 0000000..0363451 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f198 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f198_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf new file mode 100644 index 0000000..e94a022 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f201 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f201_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf new file mode 100644 index 0000000..d0c377b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f204 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f204_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf new file mode 100644 index 0000000..d181b4f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f207 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f207_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf new file mode 100644 index 0000000..009dda4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f210 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f210_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf new file mode 100644 index 0000000..6dc4b69 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f213 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f213_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf new file mode 100644 index 0000000..0e4db87 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f216 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f216_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf new file mode 100644 index 0000000..abdfef5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f219 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f219_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf new file mode 100644 index 0000000..f52e413 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f222 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f222_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf new file mode 100644 index 0000000..a07a1a9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f225 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f225_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf new file mode 100644 index 0000000..1273cc5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f228 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f228_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf new file mode 100644 index 0000000..81645c8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f231 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f231_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf new file mode 100644 index 0000000..7c4a116 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f234 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f234_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf new file mode 100644 index 0000000..bc34767 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f237 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f237_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf index 64ef876..47beb8d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf @@ -1,33 +1,44 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f240 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f240_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf new file mode 100644 index 0000000..9b60402 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f252 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f252_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf new file mode 100644 index 0000000..dafeb58 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f264 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f264_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf new file mode 100644 index 0000000..c806814 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f276 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f276_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf new file mode 100644 index 0000000..f74a5d0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f288 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f288_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf new file mode 100644 index 0000000..e605dfe --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f300 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f300_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf new file mode 100644 index 0000000..bf416a0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f312 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f312_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf new file mode 100644 index 0000000..469902f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f324 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f324_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf new file mode 100644 index 0000000..b966479 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f336 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f336_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf new file mode 100644 index 0000000..a84c97c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f348 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f348_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf new file mode 100644 index 0000000..5d84aa8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f360 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f360_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf new file mode 100644 index 0000000..e87d5f6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f372 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f372_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf new file mode 100644 index 0000000..1d40fbc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f384 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f384_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf index 6d576f5..2d5ad7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POSTSND %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf index 91f1484..96a3c1d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_CYCLONE_TRACKER %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_fbwind.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_fbwind.ecf index 648bcb8..4c847e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_fbwind.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_fbwind.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_FBWIND %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/dummy.ecf index e6b6a32..1923883 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/dummy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/dummy.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/DUMMY %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_anl.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_anl.ecf index cc1d460..b8e4792 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_anl.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_FAX_ANL %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_f00.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_f00.ecf index e43d8b8..d1e4dad 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_f00.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_FAX_F00 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf index 9ebf54a..4b1f0e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F12 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf index f692b2d..a395384 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F24 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf index 7b10530..5aea03d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F36 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf index 00d156c..b577f28 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_BLENDING %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf index ed01829..c59e3db 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_GRIB2 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf index a699abc..adb1ad4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F00 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf new file mode 100644 index 0000000..a46b72b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f01 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f01_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F01 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf new file mode 100644 index 0000000..d33beaf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f02 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f02_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F02 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf new file mode 100644 index 0000000..d88139a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f03 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f03_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F03 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf new file mode 100644 index 0000000..fb4e900 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f04 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f04_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F04 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf new file mode 100644 index 0000000..d486a2f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f05 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f05_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F05 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf index 2b388ee..cfa2bf2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F06 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf new file mode 100644 index 0000000..dd3dbc9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f07 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f07_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F07 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf new file mode 100644 index 0000000..ce5c372 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f08 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f08_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F08 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf new file mode 100644 index 0000000..cb1674c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f09 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f09_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F09 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf new file mode 100644 index 0000000..aaef77c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f10 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f10_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F10 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf new file mode 100644 index 0000000..d62b985 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f100 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f100_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf new file mode 100644 index 0000000..5725afc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f101 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f101_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf new file mode 100644 index 0000000..354fea8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f102 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f102_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf new file mode 100644 index 0000000..66af597 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f103 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f103_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf new file mode 100644 index 0000000..48a4f64 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f104 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f104_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf new file mode 100644 index 0000000..95a9d3d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f105 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f105_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf new file mode 100644 index 0000000..031774a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f106 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f106_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf new file mode 100644 index 0000000..4f2cd69 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f107 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f107_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf new file mode 100644 index 0000000..94a862f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f108 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f108_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf new file mode 100644 index 0000000..eea4505 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f109 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f109_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf new file mode 100644 index 0000000..5beac26 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f11 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f11_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F11 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf new file mode 100644 index 0000000..22e93df --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f110 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f110_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf new file mode 100644 index 0000000..9306d51 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f111 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f111_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf new file mode 100644 index 0000000..7cdcd3a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f112 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f112_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf new file mode 100644 index 0000000..539e8a1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f113 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f113_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf new file mode 100644 index 0000000..1c93e8a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f114 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f114_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf new file mode 100644 index 0000000..1190c24 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f115 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f115_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf new file mode 100644 index 0000000..7d389ea --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f116 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f116_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf new file mode 100644 index 0000000..0230c3c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f117 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f117_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf new file mode 100644 index 0000000..d32e76f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f118 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f118_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf new file mode 100644 index 0000000..d0fafc1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f119 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f119_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf new file mode 100644 index 0000000..374e644 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f12 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f12_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F12 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf new file mode 100644 index 0000000..03503a0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f120 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f120_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf new file mode 100644 index 0000000..f31eb34 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f123 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f123_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf new file mode 100644 index 0000000..35cfc97 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f126 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f126_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf new file mode 100644 index 0000000..bff0e91 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f129 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f129_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf new file mode 100644 index 0000000..4899125 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f13 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f13_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F13 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf new file mode 100644 index 0000000..371f9ed --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f132 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f132_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf new file mode 100644 index 0000000..151bab5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f135 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f135_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf new file mode 100644 index 0000000..1aa187d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f138 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f138_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf new file mode 100644 index 0000000..3fa0e14 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f14 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f14_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F14 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf new file mode 100644 index 0000000..94cd747 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f141 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f141_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf new file mode 100644 index 0000000..adcc1ea --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f144 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f144_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf new file mode 100644 index 0000000..22d8ff0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f147 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f147_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf new file mode 100644 index 0000000..81367d6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f15 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f15_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F15 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf new file mode 100644 index 0000000..ed71266 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f150 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f150_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf new file mode 100644 index 0000000..247b1a6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f153 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f153_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf new file mode 100644 index 0000000..d0ea37b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f156 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f156_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf new file mode 100644 index 0000000..bb4cf49 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f159 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f159_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf new file mode 100644 index 0000000..87e22d7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f16 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f16_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F16 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf new file mode 100644 index 0000000..f4cc0fc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f162 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f162_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf new file mode 100644 index 0000000..497e7f1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f165 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f165_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf new file mode 100644 index 0000000..dd3cb7d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f168 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f168_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf new file mode 100644 index 0000000..436e380 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f17 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f17_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F17 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf new file mode 100644 index 0000000..f9cd5cf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f171 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f171_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf new file mode 100644 index 0000000..5ef8f08 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f174 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f174_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf new file mode 100644 index 0000000..84ef9f6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f177 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f177_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf new file mode 100644 index 0000000..c3e3657 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f18 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f18_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F18 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf new file mode 100644 index 0000000..28b4cfb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f180 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f180_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf new file mode 100644 index 0000000..1ce8970 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f183 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f183_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf new file mode 100644 index 0000000..7530c7d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f186 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f186_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf new file mode 100644 index 0000000..1b90f28 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f189 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f189_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf new file mode 100644 index 0000000..6462b1a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f19 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f19_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F19 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf new file mode 100644 index 0000000..804cec4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f192 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f192_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf new file mode 100644 index 0000000..f4ddb7b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f195 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f195_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf new file mode 100644 index 0000000..444b633 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f198 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f198_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf new file mode 100644 index 0000000..6705e9e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f20 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f20_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F20 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf new file mode 100644 index 0000000..2a28866 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f201 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f201_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf new file mode 100644 index 0000000..e98d72f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f204 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f204_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf new file mode 100644 index 0000000..bb5e694 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f207 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f207_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf new file mode 100644 index 0000000..a20f7ef --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f21 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f21_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F21 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf new file mode 100644 index 0000000..f8d307a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f210 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f210_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf new file mode 100644 index 0000000..2a7ee67 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f213 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f213_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf new file mode 100644 index 0000000..9bc5ed8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f216 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f216_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf new file mode 100644 index 0000000..cc6320a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f219 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f219_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf new file mode 100644 index 0000000..ede0601 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f22 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f22_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F22 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf new file mode 100644 index 0000000..18125ce --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f222 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f222_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf new file mode 100644 index 0000000..16c8377 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f225 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f225_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf new file mode 100644 index 0000000..57a6604 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f228 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f228_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf new file mode 100644 index 0000000..ecf30b3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f23 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f23_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F23 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf new file mode 100644 index 0000000..6986c20 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f231 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f231_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf new file mode 100644 index 0000000..0b07b10 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f234 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f234_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf new file mode 100644 index 0000000..67f6965 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f237 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f237_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf new file mode 100644 index 0000000..4b7aae4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f24 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f24_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F24 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf index f218bed..52c48ac 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf new file mode 100644 index 0000000..06cc2c4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f25 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f25_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F25 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf new file mode 100644 index 0000000..3537e3c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f252 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f252_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf new file mode 100644 index 0000000..f11863a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f26 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f26_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F26 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf new file mode 100644 index 0000000..da38a1a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f264 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f264_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf new file mode 100644 index 0000000..421c406 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f27 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f27_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F27 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf new file mode 100644 index 0000000..fa03e2d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f276 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f276_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf new file mode 100644 index 0000000..ca48731 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f28 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f28_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F28 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf new file mode 100644 index 0000000..87df796 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f288 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f288_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf new file mode 100644 index 0000000..794de15 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f29 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f29_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F29 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf new file mode 100644 index 0000000..348d3ed --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f30 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f30_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F30 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf new file mode 100644 index 0000000..a164f11 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f300 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f300_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf new file mode 100644 index 0000000..1339cbd --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f31 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f31_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F31 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf new file mode 100644 index 0000000..bc5f248 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f312 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f312_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf new file mode 100644 index 0000000..7ef94b8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f32 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f32_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F32 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf new file mode 100644 index 0000000..fa88006 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f324 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f324_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf new file mode 100644 index 0000000..83634a1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f33 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f33_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F33 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf new file mode 100644 index 0000000..c726e99 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f336 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f336_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf new file mode 100644 index 0000000..844e348 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f34 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f34_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F34 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf new file mode 100644 index 0000000..13fe822 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f348 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f348_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf new file mode 100644 index 0000000..1c3b581 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f35 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f35_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F35 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf new file mode 100644 index 0000000..051227c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f36 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f36_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F36 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf new file mode 100644 index 0000000..0154ac9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f360 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f360_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf new file mode 100644 index 0000000..cdc9dbc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f37 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f37_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F37 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf new file mode 100644 index 0000000..afc7ba1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f372 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f372_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf new file mode 100644 index 0000000..4b9de22 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f38 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f38_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F38 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf new file mode 100644 index 0000000..2020efc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f384 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f384_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf new file mode 100644 index 0000000..64a9a97 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f39 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f39_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F39 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf new file mode 100644 index 0000000..4a87b0c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f40 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f40_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F40 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf new file mode 100644 index 0000000..a5b92bb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f41 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f41_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F41 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf new file mode 100644 index 0000000..3df6e13 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f42 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f42_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F42 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf new file mode 100644 index 0000000..a43d18a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f43 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f43_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F43 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf new file mode 100644 index 0000000..d0cb547 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f44 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f44_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F44 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf new file mode 100644 index 0000000..652f27a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f45 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f45_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F45 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf new file mode 100644 index 0000000..f94e41f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f46 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f46_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F46 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf new file mode 100644 index 0000000..47226dd --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f47 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f47_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F47 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf new file mode 100644 index 0000000..227e882 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f48 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f48_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F48 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf new file mode 100644 index 0000000..abd01b3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f49 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f49_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F49 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf new file mode 100644 index 0000000..5feab26 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f50 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f50_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F50 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf new file mode 100644 index 0000000..56667e4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f51 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f51_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F51 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf new file mode 100644 index 0000000..53fd0c7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f52 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f52_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F52 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf new file mode 100644 index 0000000..daaec08 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f53 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f53_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F53 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf new file mode 100644 index 0000000..4a3b6c8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f54 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f54_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F54 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf new file mode 100644 index 0000000..a559b9c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f55 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f55_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F55 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf new file mode 100644 index 0000000..0ba8653 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f56 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f56_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F56 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf new file mode 100644 index 0000000..83e5c03 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f57 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f57_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F57 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf new file mode 100644 index 0000000..8f56133 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f58 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f58_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F58 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf new file mode 100644 index 0000000..a0d96d9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f59 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f59_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F59 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf new file mode 100644 index 0000000..e0b6774 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f60 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f60_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F60 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf new file mode 100644 index 0000000..17df620 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f61 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f61_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F61 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf new file mode 100644 index 0000000..52e4521 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f62 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f62_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F62 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf new file mode 100644 index 0000000..364bc9f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f63 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f63_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F63 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf new file mode 100644 index 0000000..da86908 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f64 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f64_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F64 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf new file mode 100644 index 0000000..e823346 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f65 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f65_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F65 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf new file mode 100644 index 0000000..323118b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f66 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f66_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F66 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf new file mode 100644 index 0000000..3d82494 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f67 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f67_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F67 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf new file mode 100644 index 0000000..e6448b4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f68 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f68_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F68 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf new file mode 100644 index 0000000..4935ad1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f69 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f69_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F69 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf new file mode 100644 index 0000000..06948f6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f70 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f70_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F70 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf new file mode 100644 index 0000000..b728e42 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f71 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f71_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F71 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf new file mode 100644 index 0000000..f715fa8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f72 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f72_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F72 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf new file mode 100644 index 0000000..f12b549 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f73 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f73_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F73 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf new file mode 100644 index 0000000..ba3198c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f74 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f74_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F74 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf new file mode 100644 index 0000000..f77d4dc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f75 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f75_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F75 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf new file mode 100644 index 0000000..1b4c82e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f76 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f76_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F76 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf new file mode 100644 index 0000000..61efcda --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f77 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f77_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F77 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf new file mode 100644 index 0000000..31be811 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f78 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f78_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F78 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf new file mode 100644 index 0000000..1137557 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f79 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f79_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F79 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf new file mode 100644 index 0000000..1b00c60 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f80 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f80_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F80 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf new file mode 100644 index 0000000..92f08a2 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f81 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f81_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F81 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf new file mode 100644 index 0000000..0d739e1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f82 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f82_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F82 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf new file mode 100644 index 0000000..d4eb2bb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f83 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f83_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F83 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf new file mode 100644 index 0000000..b855201 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f84 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f84_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F84 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf new file mode 100644 index 0000000..1f91e3d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f85 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f85_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F85 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf new file mode 100644 index 0000000..b47aaed --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f86 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f86_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F86 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf new file mode 100644 index 0000000..e0500e1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f87 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f87_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F87 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf new file mode 100644 index 0000000..6fee43b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f88 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f88_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F88 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf new file mode 100644 index 0000000..538fdce --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f89 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f89_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F89 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf new file mode 100644 index 0000000..746f928 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f90 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f90_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F90 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf new file mode 100644 index 0000000..24b9e57 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f91 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f91_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F91 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf new file mode 100644 index 0000000..92fce21 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f92 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f92_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F92 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf new file mode 100644 index 0000000..5520bc9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f93 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f93_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F93 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf new file mode 100644 index 0000000..de0b7ba --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f94 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f94_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F94 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf new file mode 100644 index 0000000..ce1262b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f95 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f95_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F95 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf new file mode 100644 index 0000000..846a043 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f96 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f96_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F96 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf new file mode 100644 index 0000000..5703b66 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f97 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f97_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F97 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf new file mode 100644 index 0000000..43bd691 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f98 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f98_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F98 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf new file mode 100644 index 0000000..5c83443 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f99 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f99_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F99 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf index 72ca961..e7a7bf7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F00 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf index 1415813..0de0d83 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F06 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf index 780906b..f2ac7e5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F102 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf index 2b671ee..7637047 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F108 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf index 1a5fcf1..6215b68 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F114 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf index f1a5c6b..e93d788 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F12 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf index 33cedb1..bde20f6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F120 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf index b7f3bfc..69cb691 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F18 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf index 85b25b4..2c136f7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F24 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf index 8202552..174fbec 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F30 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf index 3461a3d..38c22f1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F36 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf index 6d80056..adb49a3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F42 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf index 7e35a4f..90c146d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F48 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf index 0272a48..2887e6b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F54 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf index 03e2a12..703de29 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F60 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf index 02b4f9e..cc498f7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F66 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf index 9c4d760..c341d80 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F72 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf index 83a5818..bb6c883 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F78 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf index 98ce48d..733898d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F84 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf index c77c75d..e5bea3a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F90 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf index 46064b5..df35c2c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F96 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_anl.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_anl.ecf index 0217286..5ea7647 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_anl.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f00.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f00.ecf index f274e8f..4dd568b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f00.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f01.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f01.ecf index 89e5612..bb71ff1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f01.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f01.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f02.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f02.ecf index cee01fd..a733d33 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f02.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f02.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f03.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f03.ecf index 8497273..f7ec346 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f03.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f03.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f04.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f04.ecf index 27e3185..f6b154d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f04.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f04.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f05.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f05.ecf index 0b787af..1eb124e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f05.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f05.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f06.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f06.ecf index d9deb0b..3bf03bb 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f06.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f07.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f07.ecf index 2d4b772..844064d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f07.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f07.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f08.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f08.ecf index 38552b0..e98aef7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f08.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f08.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f09.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f09.ecf index 5533bc3..397555a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f09.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f09.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f10.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f10.ecf index 2828893..c1497c9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f10.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f100.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f100.ecf index 750dec4..22657e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f100.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f101.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f101.ecf index f4601b9..6920c34 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f101.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f102.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f102.ecf index 984f7e4..113cdd1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f102.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f103.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f103.ecf index 840d44f..e16ecbb 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f103.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f104.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f104.ecf index b9d199f..985d92f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f104.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f105.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f105.ecf index 334b916..0309914 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f105.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f106.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f106.ecf index c6d8d13..ece1eb4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f106.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f107.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f107.ecf index 63b4514..ee459c1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f107.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f108.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f108.ecf index 87dffb6..60db5be 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f108.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f109.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f109.ecf index 5927af3..1e422d7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f109.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f11.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f11.ecf index 09ba320..02ca246 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f11.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f110.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f110.ecf index 861c032..6d03a9a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f110.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f111.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f111.ecf index 0a80654..4ecff8d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f111.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f112.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f112.ecf index 4be6407..7b17c4d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f112.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f113.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f113.ecf index 5a54cfb..3451cd9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f113.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f114.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f114.ecf index cab1a4d..dc4af08 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f114.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f115.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f115.ecf index da62181..73af0c0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f115.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f116.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f116.ecf index 419fee8..690a8ba 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f116.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f117.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f117.ecf index 2134fe8..ca26c01 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f117.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f118.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f118.ecf index cfadbf0..eea5f87 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f118.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f119.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f119.ecf index 9b178fa..e55f892 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f119.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f12.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f12.ecf index 34b833c..9130c57 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f12.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f120.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f120.ecf index d2192cc..9ce30da 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f120.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f123.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f123.ecf index e6c218e..9b71f34 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f123.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f126.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f126.ecf index 322453c..6603043 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f126.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f129.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f129.ecf index eba257b..629a2d7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f129.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f13.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f13.ecf index 087d7d9..5543812 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f13.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f132.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f132.ecf index 8c97ab8..b096da3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f132.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f135.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f135.ecf index f87518e..30e0880 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f135.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f138.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f138.ecf index 9b37feb..9555d9e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f138.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f14.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f14.ecf index 543f8d1..72b8add 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f14.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f141.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f141.ecf index bf9d057..1731252 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f141.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f144.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f144.ecf index a3a3330..9969354 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f144.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f147.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f147.ecf index 175930a..657915a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f147.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f15.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f15.ecf index 827259c..214015e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f15.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f150.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f150.ecf index ab2d64b..c599570 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f150.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f153.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f153.ecf index e96a680..0ba529a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f153.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f156.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f156.ecf index 0e7014a..5580fe2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f156.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f159.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f159.ecf index 04fe9e9..043496b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f159.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f16.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f16.ecf index f5bbd5b..cab490b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f16.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f162.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f162.ecf index 1df889f..13d610e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f162.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f165.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f165.ecf index 6af5608..b9da11b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f165.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f168.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f168.ecf index dc4195b..df472e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f168.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f17.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f17.ecf index 86bdd4a..01c1346 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f17.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f17.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f171.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f171.ecf index 0cd577d..96f0047 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f171.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f174.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f174.ecf index 3e0dd2d..bcc3189 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f174.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f177.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f177.ecf index 4ef1fe3..a113eb2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f177.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f18.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f18.ecf index 059d265..6411a5a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f18.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f180.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f180.ecf index 78da428..9129c7a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f180.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f183.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f183.ecf index 485f07a..8ff4dd8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f183.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f186.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f186.ecf index 8fb2e59..ced809f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f186.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f189.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f189.ecf index b2f7eed..c20d3ad 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f189.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f19.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f19.ecf index 159db26..d8f387a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f19.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f19.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f192.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f192.ecf index f8a044b..b4cfabf 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f192.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f195.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f195.ecf index 5de8f08..c564d67 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f195.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f198.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f198.ecf index bce8025..7c028f7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f198.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f20.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f20.ecf index df0ace6..d53c2be 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f20.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f20.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f201.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f201.ecf index 431e818..347b37e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f201.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f204.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f204.ecf index 116f24c..360208c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f204.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f207.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f207.ecf index dd3c798..a5ea60a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f207.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f21.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f21.ecf index 715e786..fea4ef4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f21.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f21.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f210.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f210.ecf index 5ef8135..936ff65 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f210.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f213.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f213.ecf index c7cb988..6ebd196 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f213.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f216.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f216.ecf index fb093a7..06e0c52 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f216.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f219.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f219.ecf index 2556a1f..828bc4c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f219.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f22.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f22.ecf index dbf26c3..421e8a9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f22.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f22.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f222.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f222.ecf index 342b2db..8fd024a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f222.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f225.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f225.ecf index 4bdec7d..4165b5f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f225.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f228.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f228.ecf index fbad4ec..8733118 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f228.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f23.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f23.ecf index c6e084b..09e2bfd 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f23.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f23.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f231.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f231.ecf index 91b6f03..c917dfe 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f231.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f234.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f234.ecf index 5d2d154..a10d688 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f234.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f237.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f237.ecf index c7d1771..21e126c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f237.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f24.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f24.ecf index 8413b03..35d22fe 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f24.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f240.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f240.ecf index 7002a9c..b53eaa4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f240.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f25.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f25.ecf index 98be9e8..d2e559a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f25.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f25.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f252.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f252.ecf index 453404b..35f517b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f252.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f26.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f26.ecf index 9054699..cb28cb7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f26.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f26.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f264.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f264.ecf index 43b1464..d1d73f1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f264.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f27.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f27.ecf index 60d8758..ec7ae01 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f27.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f27.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f276.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f276.ecf index 5abe1ba..8432565 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f276.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f28.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f28.ecf index 87da152..f5a6cc6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f28.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f28.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f288.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f288.ecf index da23ce9..b837780 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f288.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f29.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f29.ecf index f9d8bf3..65f1ab8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f29.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f29.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f30.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f30.ecf index 7c13679..5422b60 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f30.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f300.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f300.ecf index 30aead1..ba8b162 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f300.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f31.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f31.ecf index 9a1e5e1..82275e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f31.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f31.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f312.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f312.ecf index 70200c1..d0cfc7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f312.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f32.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f32.ecf index 41077a0..090e91f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f32.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f32.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f324.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f324.ecf index dccc89f..f5ed851 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f324.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f33.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f33.ecf index 0cdc68a..ff2eee3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f33.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f33.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f336.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f336.ecf index 4b67adf..f852789 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f336.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f34.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f34.ecf index 3a234e1..c38de68 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f34.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f34.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f348.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f348.ecf index 67f6540..f182069 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f348.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f35.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f35.ecf index 2de4def..ac55e7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f35.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f35.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f36.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f36.ecf index 7e62a6a..9270303 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f36.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f360.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f360.ecf index 701bbae..ff5c6f9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f360.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f37.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f37.ecf index ef0423c..9dc6e64 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f37.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f37.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f372.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f372.ecf index ac7cabb..9c1014a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f372.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f38.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f38.ecf index 6376158..ba8cb3f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f38.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f38.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f384.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f384.ecf index d476e7f..7f6d6a6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f384.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f39.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f39.ecf index 1781875..b02051d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f39.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f39.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f40.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f40.ecf index 02a0458..a154c0b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f40.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f40.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f41.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f41.ecf index a64d3d9..1dcd244 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f41.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f41.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f42.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f42.ecf index 612fac8..73b2014 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f42.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f43.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f43.ecf index 9a2dc64..08dd949 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f43.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f43.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f44.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f44.ecf index 6bda6c1..52580cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f44.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f44.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f45.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f45.ecf index 966429e..8644ae7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f45.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f45.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f46.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f46.ecf index 7b0e0ee..7f320ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f46.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f46.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f47.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f47.ecf index 69c5f1c..5a4ea16 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f47.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f47.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f48.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f48.ecf index 4cad6f8..c806f0a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f48.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f49.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f49.ecf index 4eb2156..df9584e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f49.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f49.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f50.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f50.ecf index 8bd6b8e..46dcf81 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f50.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f50.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f51.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f51.ecf index 579dace..be69fcf 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f51.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f51.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f52.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f52.ecf index 3964a08..be0a82d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f52.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f52.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f53.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f53.ecf index c7a1fd9..cd5a589 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f53.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f53.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f54.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f54.ecf index fb4118e..3cc8da6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f54.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f55.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f55.ecf index 287120e..5480e05 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f55.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f55.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f56.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f56.ecf index 7548d29..e61e6de 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f56.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f56.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f57.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f57.ecf index 7d1f63b..68834e5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f57.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f57.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f58.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f58.ecf index 57ea54c..cb103f6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f58.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f58.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f59.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f59.ecf index eeca85d..621ceec 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f59.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f59.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f60.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f60.ecf index 6d96107..8c26227 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f60.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f61.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f61.ecf index ad3936d..e462bba 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f61.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f61.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f62.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f62.ecf index 7a13e45..ebb9d19 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f62.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f62.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f63.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f63.ecf index 7a9e449..ceb1631 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f63.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f63.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f64.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f64.ecf index ebaa4cf..da621df 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f64.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f64.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f65.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f65.ecf index 0be9a42..98de665 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f65.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f65.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f66.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f66.ecf index c968b44..7fc5680 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f66.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f67.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f67.ecf index b323a0f..c0eb12f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f67.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f67.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f68.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f68.ecf index ecc2d32..1f61252 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f68.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f68.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f69.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f69.ecf index b72fe63..3088334 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f69.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f69.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f70.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f70.ecf index c7eb55c..9c2b0c9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f70.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f70.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f71.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f71.ecf index a8b386f..0b93e1d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f71.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f71.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f72.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f72.ecf index a9d253f..6808514 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f72.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f73.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f73.ecf index e9222c1..e5618d5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f73.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f73.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f74.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f74.ecf index 5b22439..afa66b8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f74.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f74.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f75.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f75.ecf index 0acb3a9..6a16332 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f75.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f75.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f76.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f76.ecf index 91ec20d..c455ab6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f76.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f76.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f77.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f77.ecf index 0b1f2e3..e0cd2ac 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f77.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f77.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f78.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f78.ecf index a4233b9..413f968 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f78.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f79.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f79.ecf index 8b349f3..0d8b25b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f79.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f79.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f80.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f80.ecf index da35659..b30321e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f80.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f80.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f81.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f81.ecf index 51d59a0..df968cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f81.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f81.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f82.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f82.ecf index e66b58d..93c875f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f82.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f82.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f83.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f83.ecf index bf0037d..0358e18 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f83.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f83.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f84.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f84.ecf index 5a9b7a9..2c2331c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f84.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f85.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f85.ecf index 7eea41f..a031e30 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f85.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f85.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f86.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f86.ecf index 144eb09..e267494 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f86.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f86.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f87.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f87.ecf index 8ad6749..2ff1289 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f87.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f87.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f88.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f88.ecf index 695cc2b..bd4dc74 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f88.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f88.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f89.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f89.ecf index 48b69dd..779707a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f89.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f89.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f90.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f90.ecf index 996594c..540ebb0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f90.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f91.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f91.ecf index b45e4ad..8e9157d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f91.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f91.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f92.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f92.ecf index 1535dc5..584d5b0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f92.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f92.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f93.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f93.ecf index faf0e22..64bd6fa 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f93.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f93.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f94.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f94.ecf index 1fb99ec..657475d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f94.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f94.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f95.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f95.ecf index e45f015..199e447 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f95.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f95.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f96.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f96.ecf index 2c1e006..b814bf6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f96.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f97.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f97.ecf index 76273aa..1c9d436 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f97.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f97.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f98.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f98.ecf index e84114b..193a1e0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f98.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f98.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f99.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f99.ecf index 4735a70..d12fbcc 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f99.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f99.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_manager.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_manager.ecf index a9a6ea3..96411cd 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_manager.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_manager.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_PGRB2_MANAGER %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf index 3a53c88..84962fb 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_EMCSFC_SFC_PREP %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf index 2060458..2fa9acd 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_PREP %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf index bd21eff..3e34d93 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_PREP_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_even.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_even.ecf index 17b33f4..061851c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_even.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_even.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_SMINIT_GUAM_EVEN %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf index fad116a..2b73593 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_SMINIT_GUAM_ODD %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/cycle_end.ecf b/model/ecflow_fv3gfs/scripts/prod12/cycle_end.ecf index c9cfff9..4e874f0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/cycle_end.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/cycle_end.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/CYCLE_END %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/analysis/jgdas_analysis_high.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/analysis/jgdas_analysis_high.ecf index 05da1e1..a200197 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/analysis/jgdas_analysis_high.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/analysis/jgdas_analysis_high.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_ANALYSIS_HIGH %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf index 56c4f2a..709273c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_DUMP %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf index 2b40958..ed05c2c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_ICS %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf index d5233d5..249de65 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_TROPCY_QC_RELOC %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf index 9b0d13d..6962c93 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf index 3f764d5..9d83c54 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf index de4e53e..3b71f69 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf index 6bc023c..20218b2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf index 23e5309..e6dcf10 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf index 2fa837f..02454db 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf index 91750df..39ec92b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf index 53954b4..205d7e0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf index 0160e4d..075bc1e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf index a3b9840..ee7440e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf index 1f0a322..220b2b9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf index 6864171..6d76be7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf index 187d773..562af3e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf index 002f57f..9ab23fd 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf index 09621bb..ac0ea33 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf index 95cfc73..1492e8b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf index 6bf675b..e4615f0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf index 729cdf0..cb30b45 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf index 724158b..fd29f5b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf index c2ce735..e2488d5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf index 6ebbb84..683c235 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf index 1cabbee..641c407 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf index 0127116..0df0a8c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf index e983650..3829b2f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf index 1db68ea..f3bf604 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf index f4cc80c..e6bc15e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf index e46ae93..73e7cb4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf index cbb7cb8..eb04b16 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf index 78e11e8..d6b056e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf index 5e7f8e6..a5b49b8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf index 33e4705..630d329 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf index 894d204..2b064b3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf index 523b024..b6d1c18 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_ENKF_INFLATE_RECENTER %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf index 91d0963..1abe592 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_ENKF_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf index 5511157..e0d4d1e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_ENKF_SELECT_OBS %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf index ca328f0..0843762 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_ENKF_UPDATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/forecast/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/forecast/jgdas_forecast.ecf index d7aaa39..eed96b0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/forecast/jgdas_forecast.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/forecast/jgdas_forecast.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak.ecf index 326ae5d..e611466 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_GEMPAK %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_meta.ecf index a465314..93dc751 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_meta.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_meta.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_GEMPAK_META %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_ncdc.ecf index 9fc1394..a10c959 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_ncdc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_ncdc.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_GEMPAK_NCDC %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf index f821cbe..e99e392 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_VERFRAD %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf index 172f26e..16e857c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_VMINMON %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/post/jgdas_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/post/jgdas_post.ecf index 70279e2..a83823e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/post/jgdas_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/post/jgdas_post.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/post %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf index a318919..e65c565 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_MKNAVYBULLS %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf index 64edefc..4d41618 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_EMCSFC_SFC_PREP %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf index 02bbbf4..d70e3a8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_PREP %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf index ca2a67c..e749457 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_PREP_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf index 3efddef..2695723 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_DUMP %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf index ec9a7c6..5cd044c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_TROPCY_QC_RELOC %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_high.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_high.ecf index e0c8d37..c3140db 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_high.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_high.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_low.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_low.ecf index 7d60768..37302ab 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_low.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_low.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak.ecf index e98d868..33942eb 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_GEMPAK %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_meta.ecf index 2064a33..27db5f1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_meta.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_meta.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_GEMPAK_META %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_ncdc.ecf index 28aef49..3188b7c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_ncdc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_ncdc.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_GEMPAK_NCDC %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_upapgif.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_upapgif.ecf index c376157..8a66333 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_upapgif.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_upapgif.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_GEMPAK_UPAPGIF %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf index 2e09f03..abcf04c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_ANALYSIS %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf index 12539f0..fa7eafe 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_VMINMON %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_pgrb2_spec_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_pgrb2_spec_post.ecf index 64ef876..0801f05 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_pgrb2_spec_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_pgrb2_spec_post.ecf @@ -1,33 +1,44 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_pgrb2_spec_post +#BSUB -o %ECF_OUT%/gfs.post.jgfs_pgrb2_spec_post_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_PGRB2_SPEC_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_anl.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_anl.ecf index 1592c9a..45a93c4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_anl.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_ANL %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f00.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f00.ecf index 174874a..02597de 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f00.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F00 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f01.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f01.ecf index 07b5e97..108bf63 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f01.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f01.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F01 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f02.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f02.ecf index 6bd5de2..4fdac2f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f02.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f02.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F02 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f03.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f03.ecf index 5512cc7..f9e67b3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f03.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f03.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F03 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f04.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f04.ecf index d44cabf..5cf2213 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f04.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f04.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F04 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f05.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f05.ecf index 933e206..4d07699 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f05.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f05.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F05 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f06.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f06.ecf index 4580f6f..61378cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f06.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F06 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f07.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f07.ecf index 6723ea8..358a358 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f07.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f07.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F07 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f08.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f08.ecf index a0d3fb0..54f90b9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f08.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f08.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F08 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f09.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f09.ecf index bd0a087..8b82c0e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f09.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f09.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F09 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f10.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f10.ecf index f7c6240..3cdac7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f10.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F10 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f100.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f100.ecf index 0c15761..1a86b5b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f100.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F100 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f101.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f101.ecf index 9cdfd50..e249fa7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f101.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F101 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f102.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f102.ecf index 0a69199..169b6f8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f102.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F102 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f103.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f103.ecf index 2eacdfc..9c80f50 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f103.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F103 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f104.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f104.ecf index 3f5a24c..0c91263 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f104.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F104 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f105.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f105.ecf index 81b8adc..8c838f1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f105.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F105 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f106.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f106.ecf index c43cc00..9227194 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f106.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F106 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f107.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f107.ecf index 97e8e47..e66cc39 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f107.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F107 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f108.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f108.ecf index cacd1f8..2404b80 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f108.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F108 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f109.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f109.ecf index 33d2681..568e47e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f109.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F109 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f11.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f11.ecf index 976f809..a8c31fe 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f11.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F11 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f110.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f110.ecf index 5b908ac..7f02883 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f110.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F110 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f111.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f111.ecf index 29f1f05..84edb70 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f111.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F111 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f112.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f112.ecf index e156772..2c3d749 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f112.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F112 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f113.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f113.ecf index 974a118..fcadb88 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f113.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F113 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f114.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f114.ecf index ad6263f..e7339b4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f114.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F114 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f115.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f115.ecf index 040c9cf..4028ca9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f115.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F115 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f116.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f116.ecf index 0650cdc..25c013b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f116.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F116 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f117.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f117.ecf index 2c1213e..40b2a29 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f117.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F117 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f118.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f118.ecf index 1f2e3e2..c4f7bad 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f118.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F118 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f119.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f119.ecf index a01c60e..57a736c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f119.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F119 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f12.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f12.ecf index a975052..791286e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f12.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F12 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f120.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f120.ecf index af5a95b..7efc829 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f120.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F120 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f123.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f123.ecf index 39be5f3..b7fed67 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f123.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F123 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f126.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f126.ecf index 0ba19e7..faa1ca2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f126.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F126 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f129.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f129.ecf index 11c9a8e..0bff7d7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f129.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F129 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f13.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f13.ecf index c96723b..9370750 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f13.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F13 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f132.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f132.ecf index 71a9a80..cd98649 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f132.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F132 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f135.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f135.ecf index 1a4495c..adf58ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f135.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F135 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f138.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f138.ecf index 3857157..3b4d3e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f138.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F138 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f14.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f14.ecf index 200d27a..c2eb6c2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f14.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F14 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f141.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f141.ecf index a77bd40..845199e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f141.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F141 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f144.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f144.ecf index a260c3f..b14d208 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f144.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F144 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f147.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f147.ecf index 24f0bfc..8559ed0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f147.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F147 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f15.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f15.ecf index 2fbda18..086115c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f15.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F15 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f150.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f150.ecf index fe846d4..309c34f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f150.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F150 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f153.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f153.ecf index 76926b3..430952c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f153.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F153 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f156.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f156.ecf index ba35661..064a627 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f156.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F156 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f159.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f159.ecf index a7610ed..1ce69e7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f159.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F159 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f16.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f16.ecf index ecf5680..fd7598f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f16.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F16 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f162.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f162.ecf index 0435b6b..854d633 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f162.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F162 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f165.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f165.ecf index 04a26a2..c2c60b9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f165.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F165 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f168.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f168.ecf index fc20fdf..2a9d6ba 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f168.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F168 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f17.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f17.ecf index 9a1f924..5d76cb5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f17.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f17.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F17 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f171.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f171.ecf index f1c88e7..8ea9fff 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f171.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F171 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f174.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f174.ecf index 0bfae2b..4402bce 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f174.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F174 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f177.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f177.ecf index f79499f..107d37a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f177.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F177 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f18.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f18.ecf index b08c39e..3b29c2f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f18.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F18 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f180.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f180.ecf index 70a8257..e9c8054 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f180.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F180 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f183.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f183.ecf index c3eba46..22d1912 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f183.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F183 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f186.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f186.ecf index 19b5b17..115a3f4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f186.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F186 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f189.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f189.ecf index 295f645..b106e6e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f189.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F189 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f19.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f19.ecf index 28cbff2..21956ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f19.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f19.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F19 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f192.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f192.ecf index 2a9adb0..4ae2aff 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f192.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F192 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f195.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f195.ecf index 029a6f1..0f24e2a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f195.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F195 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f198.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f198.ecf index 62abb4a..ef6396a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f198.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F198 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f20.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f20.ecf index 5557e78..7c47937 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f20.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f20.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F20 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f201.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f201.ecf index 071c2a4..2f9317a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f201.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F201 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f204.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f204.ecf index d3573ee..efa0ded 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f204.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F204 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f207.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f207.ecf index 8be09f7..ec1710e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f207.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F207 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f21.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f21.ecf index 7931e80..4f804b0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f21.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f21.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F21 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f210.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f210.ecf index ce82bd4..35362be 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f210.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F210 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f213.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f213.ecf index 87077a1..34c4bf6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f213.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F213 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f216.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f216.ecf index eaa75ad..b71785a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f216.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F216 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f219.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f219.ecf index 30bcbf5..e5532a7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f219.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F219 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f22.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f22.ecf index 5fcce72..14de8d7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f22.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f22.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F22 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f222.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f222.ecf index 9ff9ee5..1323cc2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f222.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F222 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f225.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f225.ecf index 8532891..455ead1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f225.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F225 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f228.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f228.ecf index 3c25670..cfac18f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f228.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F228 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f23.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f23.ecf index 8e580c6..55ae234 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f23.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f23.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F23 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f231.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f231.ecf index e473c18..056d407 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f231.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F231 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f234.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f234.ecf index f010694..23a4639 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f234.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F234 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f237.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f237.ecf index 642e7af..c0ce852 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f237.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F237 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f24.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f24.ecf index 71cfe7a..c8386e3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f24.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F24 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f240.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f240.ecf index a87516a..c81481b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f240.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F240 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f25.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f25.ecf index 164f8a7..c27b146 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f25.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f25.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F25 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f252.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f252.ecf index 9f244e6..de6a3e9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f252.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F252 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f26.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f26.ecf index 856e49f..19e658b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f26.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f26.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F26 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f264.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f264.ecf index 14cda4a..6062291 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f264.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F264 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f27.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f27.ecf index d416e60..49aefae 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f27.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f27.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F27 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f276.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f276.ecf index 0220bee..0acae9d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f276.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F276 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f28.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f28.ecf index 3ffae84..ed73eb8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f28.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f28.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F28 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f288.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f288.ecf index 454ca85..42d9617 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f288.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F288 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f29.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f29.ecf index c97840a..c84c6f3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f29.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f29.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F29 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f30.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f30.ecf index e1ea0e2..23927d7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f30.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F30 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f300.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f300.ecf index 1c0b12c..68473ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f300.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F300 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f31.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f31.ecf index 93f85eb..a7d4c7e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f31.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f31.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F31 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f312.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f312.ecf index 8a93302..2b32b78 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f312.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F312 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f32.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f32.ecf index 7c39cf4..7730300 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f32.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f32.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F32 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f324.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f324.ecf index 79a30c8..7a86340 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f324.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F324 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f33.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f33.ecf index 9af52bf..6b5bec0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f33.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f33.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F33 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f336.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f336.ecf index 8f1d98c..191765b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f336.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F336 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f34.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f34.ecf index 0d35e21..cd5061b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f34.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f34.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F34 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f348.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f348.ecf index a30e3ca..95b8f8b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f348.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F348 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f35.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f35.ecf index bc4bda1..cacaf52 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f35.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f35.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F35 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f36.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f36.ecf index 7de0e72..e33dde8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f36.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F36 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f360.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f360.ecf index 172fb0e..4fc0fe4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f360.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F360 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f37.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f37.ecf index df7cac0..5a92603 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f37.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f37.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F37 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f372.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f372.ecf index 7b05117..8b5f4b6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f372.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F372 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f38.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f38.ecf index 9a33c9f..2a26048 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f38.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f38.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F38 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f384.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f384.ecf index 3fc1ea6..938424a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f384.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F384 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f39.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f39.ecf index 83cdefb..1b8ec9d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f39.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f39.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F39 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f40.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f40.ecf index e1acb56..1eec893 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f40.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f40.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F40 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f41.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f41.ecf index 69a9cf5..c1c7542 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f41.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f41.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F41 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f42.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f42.ecf index a617d2b..2325836 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f42.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F42 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f43.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f43.ecf index 721bedb..ff41cfb 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f43.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f43.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F43 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f44.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f44.ecf index 5d3eea9..4ce3278 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f44.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f44.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F44 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f45.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f45.ecf index 429f3df..91e6446 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f45.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f45.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F45 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f46.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f46.ecf index c1c755d..8b0dc36 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f46.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f46.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F46 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f47.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f47.ecf index 65fb347..e67c97a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f47.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f47.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F47 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f48.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f48.ecf index 1e6a825..2b62b20 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f48.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F48 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f49.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f49.ecf index 70759d4..472ad4c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f49.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f49.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F49 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f50.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f50.ecf index eff73fd..7553af6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f50.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f50.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F50 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f51.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f51.ecf index cb06902..c912aaa 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f51.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f51.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F51 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f52.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f52.ecf index cd69559..550df2f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f52.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f52.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F52 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f53.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f53.ecf index 8b8626b..92dd73f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f53.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f53.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F53 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f54.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f54.ecf index 22d46c4..bea0edc 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f54.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F54 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f55.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f55.ecf index 410e778..837811c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f55.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f55.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F55 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f56.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f56.ecf index 4eab593..d89b869 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f56.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f56.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F56 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f57.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f57.ecf index 5436f4a..348ee4f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f57.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f57.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F57 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f58.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f58.ecf index 994d09b..18c4a48 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f58.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f58.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F58 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f59.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f59.ecf index 38aad79..911e47a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f59.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f59.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F59 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f60.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f60.ecf index 27d23a3..5146bd0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f60.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F60 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f61.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f61.ecf index d0b5ef6..ae3fea3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f61.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f61.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F61 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f62.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f62.ecf index cc231db..436b0bc 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f62.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f62.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F62 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f63.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f63.ecf index b93fc36..f0a1a8f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f63.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f63.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F63 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f64.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f64.ecf index dd7f9da..950507b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f64.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f64.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F64 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f65.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f65.ecf index f51aee7..d6c4e7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f65.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f65.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F65 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f66.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f66.ecf index 7c4e85d..5925426 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f66.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F66 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f67.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f67.ecf index f4b7b21..a30b66c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f67.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f67.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F67 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f68.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f68.ecf index 7515773..02c4962 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f68.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f68.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F68 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f69.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f69.ecf index dbd70aa..1a4852c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f69.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f69.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F69 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f70.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f70.ecf index ed8031d..ad1dca1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f70.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f70.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F70 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f71.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f71.ecf index 3bcebcc..b75158b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f71.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f71.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F71 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f72.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f72.ecf index f74154a..bfda8ea 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f72.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F72 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f73.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f73.ecf index 94f68c6..5703db6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f73.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f73.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F73 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f74.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f74.ecf index ad230fa..4d8a171 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f74.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f74.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F74 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f75.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f75.ecf index f9d56f8..df16a6d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f75.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f75.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F75 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f76.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f76.ecf index 9eb91f8..8e6af05 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f76.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f76.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F76 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f77.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f77.ecf index 1c2fff5..cfa16fc 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f77.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f77.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F77 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f78.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f78.ecf index ea8fbdf..96ab8cd 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f78.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F78 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f79.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f79.ecf index 6dfabea..d5bacf3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f79.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f79.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F79 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f80.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f80.ecf index d41bdc9..a43e62f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f80.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f80.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F80 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f81.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f81.ecf index f20268f..433bd18 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f81.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f81.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F81 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f82.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f82.ecf index 825ebce..a79f29b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f82.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f82.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F82 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f83.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f83.ecf index 3bc7460..c0a8717 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f83.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f83.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F83 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f84.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f84.ecf index 47f9fbb..fc497b7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f84.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F84 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f85.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f85.ecf index dc6312e..4b8f159 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f85.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f85.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F85 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f86.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f86.ecf index 692f3aa..d277132 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f86.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f86.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F86 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f87.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f87.ecf index 17fbbb3..ba24c5d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f87.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f87.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F87 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f88.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f88.ecf index 8b9d7a6..81a30a6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f88.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f88.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F88 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f89.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f89.ecf index f7c07e7..3514e27 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f89.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f89.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F89 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f90.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f90.ecf index 0004443..73a0585 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f90.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F90 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f91.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f91.ecf index c536f1b..3eceeee 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f91.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f91.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F91 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f92.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f92.ecf index 02ae73d..bc30db6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f92.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f92.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F92 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f93.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f93.ecf index 4e19cba..842596d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f93.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f93.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F93 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f94.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f94.ecf index 9973097..adc946c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f94.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f94.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F94 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f95.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f95.ecf index 176b452..961d45a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f95.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f95.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F95 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f96.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f96.ecf index b975020..e7c1809 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f96.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F96 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f97.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f97.ecf index 49bd8a2..5a7b7cc 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f97.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f97.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F97 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f98.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f98.ecf index b80ece2..6aba72f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f98.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f98.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F98 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f99.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f99.ecf index 8d67938..fd493c7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f99.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f99.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F99 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_manager.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_manager.ecf index 436fa1c..cce2e41 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_manager.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_manager.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_MANAGER %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf index 47c0ebc..d3ec7f0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F000 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf new file mode 100644 index 0000000..669ca3e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f001 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f001_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F001 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf new file mode 100644 index 0000000..bcc4ea7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f002 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f002_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F002 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf new file mode 100644 index 0000000..1389451 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f003 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f003_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F003 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf new file mode 100644 index 0000000..ff9a6db --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f004 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f004_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F004 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf new file mode 100644 index 0000000..fa0b9da --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f005 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f005_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F005 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf index 7397347..216de5b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F006 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf new file mode 100644 index 0000000..e848d86 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f007 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f007_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F007 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf new file mode 100644 index 0000000..8fd242a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f008 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f008_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F008 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf new file mode 100644 index 0000000..d27fae2 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f009 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f009_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F009 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf new file mode 100644 index 0000000..0484b7b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f010 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f010_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F010 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf new file mode 100644 index 0000000..3aa2ddb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f011 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f011_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F011 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf new file mode 100644 index 0000000..ceb7bcf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f012 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f012_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F012 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf new file mode 100644 index 0000000..ab42d41 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f013 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f013_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F013 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf new file mode 100644 index 0000000..59a4b64 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f014 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f014_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F014 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf new file mode 100644 index 0000000..53a8752 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f015 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f015_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F015 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf new file mode 100644 index 0000000..6a2c161 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f016 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f016_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F016 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf new file mode 100644 index 0000000..5643028 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f017 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f017_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F017 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf new file mode 100644 index 0000000..9017a9e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f018 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f018_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F018 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf new file mode 100644 index 0000000..e330aff --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f019 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f019_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F019 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf new file mode 100644 index 0000000..9ed1e5e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f020 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f020_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F020 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf new file mode 100644 index 0000000..1db01b8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f021 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f021_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F021 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf new file mode 100644 index 0000000..4d37614 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f022 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f022_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F022 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf new file mode 100644 index 0000000..7cce8c7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f023 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f023_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F023 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf new file mode 100644 index 0000000..d257cd9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f024 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f024_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F024 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf new file mode 100644 index 0000000..280cef8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f025 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f025_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F025 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf new file mode 100644 index 0000000..4988749 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f026 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f026_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F026 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf new file mode 100644 index 0000000..5d3a7a1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f027 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f027_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F027 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf new file mode 100644 index 0000000..de2fc15 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f028 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f028_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F028 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf new file mode 100644 index 0000000..fb40400 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f029 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f029_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F029 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf new file mode 100644 index 0000000..1b2d8c6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f030 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f030_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F030 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf new file mode 100644 index 0000000..fce31a7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f031 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f031_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F031 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf new file mode 100644 index 0000000..c657d14 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f032 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f032_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F032 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf new file mode 100644 index 0000000..4fc3a2c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f033 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f033_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F033 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf new file mode 100644 index 0000000..8f26afb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f034 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f034_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F034 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf new file mode 100644 index 0000000..ecabe41 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f035 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f035_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F035 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf new file mode 100644 index 0000000..5ee87b4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f036 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f036_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F036 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf new file mode 100644 index 0000000..4069a46 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f037 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f037_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F037 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf new file mode 100644 index 0000000..9a02dd7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f038 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f038_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F038 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf new file mode 100644 index 0000000..196511a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f039 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f039_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F039 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf new file mode 100644 index 0000000..8f90a29 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f040 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f040_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F040 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf new file mode 100644 index 0000000..22378cc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f041 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f041_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F041 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf new file mode 100644 index 0000000..5926ab9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f042 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f042_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F042 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf new file mode 100644 index 0000000..9722311 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f043 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f043_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F043 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf new file mode 100644 index 0000000..fe25953 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f044 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f044_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F044 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf new file mode 100644 index 0000000..5a97394 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f045 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f045_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F045 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf new file mode 100644 index 0000000..61ddb06 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f046 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f046_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F046 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf new file mode 100644 index 0000000..64cf3a6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f047 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f047_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F047 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf new file mode 100644 index 0000000..92a8d47 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f048 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f048_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F048 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf new file mode 100644 index 0000000..a14d268 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f049 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f049_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F049 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf new file mode 100644 index 0000000..fb8d1ca --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f050 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f050_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F050 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf new file mode 100644 index 0000000..6a2377c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f051 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f051_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F051 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf new file mode 100644 index 0000000..6161af9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f052 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f052_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F052 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf new file mode 100644 index 0000000..518272e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f053 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f053_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F053 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf new file mode 100644 index 0000000..2e1e4ab --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f054 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f054_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F054 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf new file mode 100644 index 0000000..7fe7456 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f055 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f055_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F055 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf new file mode 100644 index 0000000..895605b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f056 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f056_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F056 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf new file mode 100644 index 0000000..5a552bd --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f057 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f057_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F057 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf new file mode 100644 index 0000000..4a6e5fe --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f058 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f058_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F058 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf new file mode 100644 index 0000000..3fb2a82 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f059 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f059_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F059 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf new file mode 100644 index 0000000..cf13c9f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f060 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f060_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F060 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf new file mode 100644 index 0000000..b752ca2 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f061 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f061_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F061 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf new file mode 100644 index 0000000..45a961c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f062 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f062_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F062 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf new file mode 100644 index 0000000..18722a0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f063 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f063_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F063 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf new file mode 100644 index 0000000..1ed950b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f064 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f064_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F064 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf new file mode 100644 index 0000000..a3ee684 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f065 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f065_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F065 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf new file mode 100644 index 0000000..a30cdf1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f066 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f066_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F066 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf new file mode 100644 index 0000000..971abcc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f067 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f067_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F067 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf new file mode 100644 index 0000000..20aae5d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f068 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f068_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F068 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf new file mode 100644 index 0000000..23b3f35 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f069 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f069_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F069 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf new file mode 100644 index 0000000..0bca73e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f070 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f070_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F070 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf new file mode 100644 index 0000000..e18ed18 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f071 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f071_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F071 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf new file mode 100644 index 0000000..81b0dbd --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f072 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f072_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F072 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf new file mode 100644 index 0000000..0afd294 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f073 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f073_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F073 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf new file mode 100644 index 0000000..b35e294 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f074 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f074_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F074 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf new file mode 100644 index 0000000..cdd1c9a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f075 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f075_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F075 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf new file mode 100644 index 0000000..463d7fc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f076 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f076_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F076 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf new file mode 100644 index 0000000..9b6d244 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f077 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f077_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F077 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf new file mode 100644 index 0000000..6a8f2e0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f078 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f078_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F078 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf new file mode 100644 index 0000000..77b3012 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f079 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f079_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F079 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf new file mode 100644 index 0000000..603586f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f080 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f080_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F080 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf new file mode 100644 index 0000000..28338e3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f081 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f081_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F081 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf new file mode 100644 index 0000000..67d2c2a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f082 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f082_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F082 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf new file mode 100644 index 0000000..c7fa12e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f083 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f083_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F083 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf new file mode 100644 index 0000000..42f449d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f084 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f084_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F084 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf new file mode 100644 index 0000000..b1a1f45 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f085 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f085_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F085 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf new file mode 100644 index 0000000..eca4005 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f086 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f086_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F086 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf new file mode 100644 index 0000000..f0cf3d8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f087 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f087_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F087 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf new file mode 100644 index 0000000..acfad04 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f088 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f088_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F088 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf new file mode 100644 index 0000000..a640f6a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f089 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f089_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F089 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf new file mode 100644 index 0000000..e089a70 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f090 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f090_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F090 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf new file mode 100644 index 0000000..f5b80dc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f091 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f091_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F091 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf new file mode 100644 index 0000000..0a98218 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f092 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f092_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F092 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf new file mode 100644 index 0000000..5864377 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f093 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f093_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F093 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf new file mode 100644 index 0000000..e598259 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f094 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f094_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F094 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf new file mode 100644 index 0000000..bbcaefa --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f095 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f095_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F095 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf new file mode 100644 index 0000000..cacd7fa --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f096 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f096_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F096 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf new file mode 100644 index 0000000..26dc797 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f097 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f097_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F097 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf new file mode 100644 index 0000000..eb95fc9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f098 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f098_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F098 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf new file mode 100644 index 0000000..474a79a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f099 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f099_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F099 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf new file mode 100644 index 0000000..25443e0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f100 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f100_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf new file mode 100644 index 0000000..346a86d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f101 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f101_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf new file mode 100644 index 0000000..f49973c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f102 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f102_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf new file mode 100644 index 0000000..70ec792 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f103 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f103_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf new file mode 100644 index 0000000..732d5d0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f104 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f104_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf new file mode 100644 index 0000000..4efbb80 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f105 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f105_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf new file mode 100644 index 0000000..27e9e7e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f106 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f106_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf new file mode 100644 index 0000000..db13b96 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f107 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f107_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf new file mode 100644 index 0000000..61fef46 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f108 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f108_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf new file mode 100644 index 0000000..a7ad7c6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f109 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f109_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf new file mode 100644 index 0000000..b8e8353 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f110 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f110_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf new file mode 100644 index 0000000..8092265 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f111 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f111_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf new file mode 100644 index 0000000..79245a5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f112 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f112_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf new file mode 100644 index 0000000..c31419b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f113 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f113_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf new file mode 100644 index 0000000..57e845a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f114 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f114_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf new file mode 100644 index 0000000..7784fcc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f115 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f115_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf new file mode 100644 index 0000000..58c52d0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f116 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f116_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf new file mode 100644 index 0000000..d222027 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f117 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f117_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf new file mode 100644 index 0000000..40bd197 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f118 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f118_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf new file mode 100644 index 0000000..acc1d99 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f119 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f119_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf new file mode 100644 index 0000000..bc4057c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f120 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f120_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf new file mode 100644 index 0000000..06a8220 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f123 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f123_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf new file mode 100644 index 0000000..0971d65 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f126 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f126_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf new file mode 100644 index 0000000..98b8e26 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f129 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f129_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf new file mode 100644 index 0000000..f0149ce --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f132 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f132_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf new file mode 100644 index 0000000..b92409f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f135 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f135_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf new file mode 100644 index 0000000..65b9001 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f138 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f138_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf new file mode 100644 index 0000000..515a95f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f141 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f141_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf new file mode 100644 index 0000000..a83e1ee --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f144 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f144_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf new file mode 100644 index 0000000..513a955 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f147 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f147_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf new file mode 100644 index 0000000..7148c6f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f150 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f150_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf new file mode 100644 index 0000000..6f6c03a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f153 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f153_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf new file mode 100644 index 0000000..2124ce9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f156 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f156_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf new file mode 100644 index 0000000..845a1a9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f159 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f159_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf new file mode 100644 index 0000000..d562347 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f162 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f162_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf new file mode 100644 index 0000000..a7c2d69 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f165 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f165_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf new file mode 100644 index 0000000..9f40903 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f168 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f168_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf new file mode 100644 index 0000000..5e7e844 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f171 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f171_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf new file mode 100644 index 0000000..b1ddb61 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f174 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f174_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf new file mode 100644 index 0000000..0b2eda4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f177 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f177_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf new file mode 100644 index 0000000..37b5b22 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f180 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f180_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf new file mode 100644 index 0000000..836131c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f183 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f183_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf new file mode 100644 index 0000000..5bb10f4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f186 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f186_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf new file mode 100644 index 0000000..295fa2f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f189 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f189_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf new file mode 100644 index 0000000..e7df28f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f192 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f192_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf new file mode 100644 index 0000000..84e2e0a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f195 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f195_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf new file mode 100644 index 0000000..c4410ac --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f198 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f198_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf new file mode 100644 index 0000000..73c50b7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f201 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f201_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf new file mode 100644 index 0000000..a4c0108 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f204 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f204_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf new file mode 100644 index 0000000..fd59fac --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f207 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f207_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf new file mode 100644 index 0000000..8d1750d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f210 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f210_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf new file mode 100644 index 0000000..2c901e9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f213 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f213_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf new file mode 100644 index 0000000..dd202cc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f216 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f216_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf new file mode 100644 index 0000000..cf3b8af --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f219 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f219_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf new file mode 100644 index 0000000..8a2b14d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f222 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f222_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf new file mode 100644 index 0000000..72ba6d8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f225 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f225_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf new file mode 100644 index 0000000..e6d53d8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f228 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f228_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf new file mode 100644 index 0000000..674137f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f231 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f231_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf new file mode 100644 index 0000000..423eaea --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f234 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f234_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf new file mode 100644 index 0000000..d454c69 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f237 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f237_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf index 2849c59..2f09456 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf new file mode 100644 index 0000000..bf69c9c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f252 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f252_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf new file mode 100644 index 0000000..aaaad1a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f264 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f264_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf new file mode 100644 index 0000000..61fbc1d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f276 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f276_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf new file mode 100644 index 0000000..6189c31 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f288 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f288_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf new file mode 100644 index 0000000..f3645fe --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f300 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f300_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf new file mode 100644 index 0000000..676d412 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f312 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f312_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf new file mode 100644 index 0000000..5492342 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f324 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f324_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf new file mode 100644 index 0000000..7f558a7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f336 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f336_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf new file mode 100644 index 0000000..b6f52b5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f348 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f348_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf new file mode 100644 index 0000000..e747d70 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f360 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f360_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf new file mode 100644 index 0000000..4eb5880 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f372 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f372_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf new file mode 100644 index 0000000..83608a9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f384 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f384_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf index 62bdccc..427e646 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F000 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf new file mode 100644 index 0000000..ab2af43 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f001 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f001_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F001 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf new file mode 100644 index 0000000..9c3ce49 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f002 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f002_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F002 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf index 64ef876..91ecf3d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf @@ -1,33 +1,44 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f003 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f003_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F003 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf new file mode 100644 index 0000000..963ab8c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f004 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f004_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F004 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf new file mode 100644 index 0000000..5e1ff16 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f005 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f005_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F005 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf new file mode 100644 index 0000000..5f79c28 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f006 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f006_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F006 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf new file mode 100644 index 0000000..57a944e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f007 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f007_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F007 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf new file mode 100644 index 0000000..d2ebc78 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f008 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f008_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F008 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf new file mode 100644 index 0000000..e6195e8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f009 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f009_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F009 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf new file mode 100644 index 0000000..53301c3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f010 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f010_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F010 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf new file mode 100644 index 0000000..8827e9f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f011 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f011_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F011 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf new file mode 100644 index 0000000..a11f357 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f012 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f012_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F012 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf new file mode 100644 index 0000000..18e01f0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f013 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f013_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F013 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf new file mode 100644 index 0000000..e5e4f59 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f014 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f014_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F014 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf new file mode 100644 index 0000000..1b34c83 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f015 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f015_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F015 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf new file mode 100644 index 0000000..cf01459 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f016 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f016_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F016 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf new file mode 100644 index 0000000..bdca96c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f017 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f017_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F017 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf new file mode 100644 index 0000000..492457c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f018 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f018_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F018 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf new file mode 100644 index 0000000..b0bf180 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f019 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f019_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F019 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf new file mode 100644 index 0000000..3bf1ecc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f020 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f020_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F020 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf new file mode 100644 index 0000000..bc6d6e4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f021 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f021_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F021 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf new file mode 100644 index 0000000..7de3250 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f022 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f022_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F022 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf new file mode 100644 index 0000000..e28a3cb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f023 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f023_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F023 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf new file mode 100644 index 0000000..ff0e27c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f024 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f024_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F024 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf new file mode 100644 index 0000000..3e18e44 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f025 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f025_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F025 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf new file mode 100644 index 0000000..ac56176 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f026 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f026_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F026 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf new file mode 100644 index 0000000..80dab35 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f027 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f027_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F027 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf new file mode 100644 index 0000000..d79b344 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f028 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f028_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F028 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf new file mode 100644 index 0000000..20d63eb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f029 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f029_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F029 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf new file mode 100644 index 0000000..1e2b0c5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f030 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f030_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F030 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf new file mode 100644 index 0000000..c900671 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f031 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f031_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F031 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf new file mode 100644 index 0000000..87be72c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f032 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f032_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F032 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf new file mode 100644 index 0000000..e07b9d4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f033 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f033_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F033 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf new file mode 100644 index 0000000..ebd6b4d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f034 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f034_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F034 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf new file mode 100644 index 0000000..0bb38cb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f035 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f035_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F035 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf new file mode 100644 index 0000000..3943b5e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f036 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f036_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F036 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf new file mode 100644 index 0000000..6ca5114 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f037 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f037_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F037 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf new file mode 100644 index 0000000..cff0b72 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f038 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f038_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F038 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf new file mode 100644 index 0000000..d2dbbbe --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f039 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f039_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F039 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf new file mode 100644 index 0000000..e44ab23 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f040 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f040_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F040 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf new file mode 100644 index 0000000..aced496 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f041 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f041_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F041 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf new file mode 100644 index 0000000..dbd4901 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f042 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f042_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F042 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf new file mode 100644 index 0000000..b669e2a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f043 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f043_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F043 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf new file mode 100644 index 0000000..0d87eca --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f044 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f044_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F044 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf new file mode 100644 index 0000000..5fc043e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f045 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f045_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F045 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf new file mode 100644 index 0000000..1d44129 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f046 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f046_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F046 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf new file mode 100644 index 0000000..99e628a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f047 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f047_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F047 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf new file mode 100644 index 0000000..dc202cd --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f048 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f048_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F048 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf new file mode 100644 index 0000000..4badc2d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f049 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f049_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F049 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf new file mode 100644 index 0000000..c5eea93 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f050 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f050_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F050 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf new file mode 100644 index 0000000..847867e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f051 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f051_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F051 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf new file mode 100644 index 0000000..5c2e61c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f052 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f052_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F052 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf new file mode 100644 index 0000000..9f92201 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f053 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f053_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F053 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf new file mode 100644 index 0000000..fcbd8c0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f054 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f054_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F054 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf new file mode 100644 index 0000000..4b21b8e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f055 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f055_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F055 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf new file mode 100644 index 0000000..f69e31d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f056 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f056_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F056 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf new file mode 100644 index 0000000..0bc7a5a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f057 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f057_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F057 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf new file mode 100644 index 0000000..cca67b3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f058 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f058_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F058 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf new file mode 100644 index 0000000..82481d8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f059 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f059_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F059 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf new file mode 100644 index 0000000..767c16d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f060 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f060_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F060 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf new file mode 100644 index 0000000..c3accb6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f061 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f061_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F061 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf new file mode 100644 index 0000000..0f1e2b4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f062 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f062_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F062 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf new file mode 100644 index 0000000..26cd4fd --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f063 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f063_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F063 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf new file mode 100644 index 0000000..9e31579 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f064 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f064_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F064 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf new file mode 100644 index 0000000..904ad8e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f065 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f065_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F065 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf new file mode 100644 index 0000000..2ac1eef --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f066 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f066_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F066 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf new file mode 100644 index 0000000..e28f3e0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f067 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f067_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F067 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf new file mode 100644 index 0000000..47e532d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f068 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f068_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F068 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf new file mode 100644 index 0000000..f0795c7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f069 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f069_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F069 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf new file mode 100644 index 0000000..09f9386 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f070 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f070_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F070 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf new file mode 100644 index 0000000..7af6e71 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f071 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f071_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F071 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf new file mode 100644 index 0000000..163556e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f072 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f072_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F072 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf new file mode 100644 index 0000000..312d962 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f073 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f073_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F073 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf new file mode 100644 index 0000000..bc5854d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f074 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f074_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F074 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf new file mode 100644 index 0000000..995dd06 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f075 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f075_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F075 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf new file mode 100644 index 0000000..037d514 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f076 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f076_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F076 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf new file mode 100644 index 0000000..0975f98 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f077 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f077_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F077 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf new file mode 100644 index 0000000..4fbf9a2 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f078 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f078_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F078 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf new file mode 100644 index 0000000..f289400 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f079 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f079_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F079 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf new file mode 100644 index 0000000..de9d879 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f080 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f080_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F080 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf new file mode 100644 index 0000000..724b2c8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f081 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f081_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F081 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf new file mode 100644 index 0000000..26342f1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f082 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f082_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F082 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf new file mode 100644 index 0000000..edac663 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f083 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f083_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F083 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf new file mode 100644 index 0000000..23a6cc3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f084 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f084_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F084 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf new file mode 100644 index 0000000..1c4b186 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f085 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f085_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F085 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf new file mode 100644 index 0000000..d640e2f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f086 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f086_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F086 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf new file mode 100644 index 0000000..2b9a3b8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f087 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f087_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F087 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf new file mode 100644 index 0000000..a8d0f25 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f088 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f088_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F088 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf new file mode 100644 index 0000000..d86ef52 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f089 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f089_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F089 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf new file mode 100644 index 0000000..4165018 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f090 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f090_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F090 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf new file mode 100644 index 0000000..44f317d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f091 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f091_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F091 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf new file mode 100644 index 0000000..5d2b709 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f092 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f092_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F092 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf new file mode 100644 index 0000000..bf7bae7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f093 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f093_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F093 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf new file mode 100644 index 0000000..36cce97 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f094 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f094_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F094 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf new file mode 100644 index 0000000..5a253b1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f095 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f095_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F095 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf new file mode 100644 index 0000000..dc3b558 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f096 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f096_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F096 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf new file mode 100644 index 0000000..7def71f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f097 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f097_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F097 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf new file mode 100644 index 0000000..24e1f8b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f098 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f098_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F098 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf new file mode 100644 index 0000000..518dc00 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f099 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f099_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F099 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf new file mode 100644 index 0000000..0d5469e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f100 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f100_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf new file mode 100644 index 0000000..f78c4da --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f101 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f101_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf new file mode 100644 index 0000000..382154d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f102 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f102_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf new file mode 100644 index 0000000..bec4df5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f103 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f103_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf new file mode 100644 index 0000000..796ad73 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f104 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f104_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf new file mode 100644 index 0000000..457fec0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f105 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f105_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf new file mode 100644 index 0000000..a663fc9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f106 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f106_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf new file mode 100644 index 0000000..7187594 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f107 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f107_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf new file mode 100644 index 0000000..0384036 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f108 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f108_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf new file mode 100644 index 0000000..4d8d7be --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f109 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f109_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf new file mode 100644 index 0000000..4f72a90 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f110 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f110_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf new file mode 100644 index 0000000..a8d57e6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f111 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f111_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf new file mode 100644 index 0000000..978e151 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f112 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f112_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf new file mode 100644 index 0000000..2455a58 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f113 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f113_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf new file mode 100644 index 0000000..ab9fcd1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f114 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f114_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf new file mode 100644 index 0000000..92372a6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f115 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f115_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf new file mode 100644 index 0000000..565aa8b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f116 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f116_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf new file mode 100644 index 0000000..20dec08 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f117 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f117_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf new file mode 100644 index 0000000..d1d3f45 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f118 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f118_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf new file mode 100644 index 0000000..6b9017f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f119 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f119_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf new file mode 100644 index 0000000..9a00979 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f120 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f120_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf new file mode 100644 index 0000000..e828b3d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f123 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f123_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf new file mode 100644 index 0000000..36a4274 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f126 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f126_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf new file mode 100644 index 0000000..0c03f90 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f129 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f129_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf new file mode 100644 index 0000000..e8832d6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f132 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f132_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf new file mode 100644 index 0000000..82befd3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f135 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f135_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf new file mode 100644 index 0000000..62f28da --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f138 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f138_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf new file mode 100644 index 0000000..89909f5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f141 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f141_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf new file mode 100644 index 0000000..bc6533e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f144 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f144_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf new file mode 100644 index 0000000..bbf018d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f147 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f147_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf new file mode 100644 index 0000000..55ec8d3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f150 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f150_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf new file mode 100644 index 0000000..030ee2f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f153 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f153_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf new file mode 100644 index 0000000..fdea60e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f156 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f156_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf new file mode 100644 index 0000000..8e38112 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f159 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f159_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf new file mode 100644 index 0000000..e7a1186 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f162 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f162_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf new file mode 100644 index 0000000..fe86e22 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f165 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f165_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf new file mode 100644 index 0000000..b79211c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f168 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f168_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf new file mode 100644 index 0000000..15a2d3e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f171 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f171_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf new file mode 100644 index 0000000..94ecc78 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f174 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f174_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf new file mode 100644 index 0000000..34d4366 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f177 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f177_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf new file mode 100644 index 0000000..a0d8ab1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f180 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f180_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf new file mode 100644 index 0000000..a8b777a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f183 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f183_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf new file mode 100644 index 0000000..02e3a56 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f186 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f186_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf new file mode 100644 index 0000000..87341cf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f189 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f189_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf new file mode 100644 index 0000000..b8d0c76 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f192 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f192_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf new file mode 100644 index 0000000..8bfbb73 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f195 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f195_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf new file mode 100644 index 0000000..0363451 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f198 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f198_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf new file mode 100644 index 0000000..e94a022 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f201 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f201_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf new file mode 100644 index 0000000..d0c377b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f204 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f204_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf new file mode 100644 index 0000000..d181b4f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f207 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f207_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf new file mode 100644 index 0000000..009dda4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f210 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f210_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf new file mode 100644 index 0000000..6dc4b69 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f213 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f213_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf new file mode 100644 index 0000000..0e4db87 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f216 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f216_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf new file mode 100644 index 0000000..abdfef5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f219 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f219_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf new file mode 100644 index 0000000..f52e413 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f222 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f222_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf new file mode 100644 index 0000000..a07a1a9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f225 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f225_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf new file mode 100644 index 0000000..1273cc5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f228 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f228_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf new file mode 100644 index 0000000..81645c8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f231 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f231_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf new file mode 100644 index 0000000..7c4a116 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f234 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f234_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf new file mode 100644 index 0000000..bc34767 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f237 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f237_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf index 64ef876..47beb8d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf @@ -1,33 +1,44 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f240 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f240_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf new file mode 100644 index 0000000..9b60402 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f252 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f252_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf new file mode 100644 index 0000000..dafeb58 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f264 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f264_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf new file mode 100644 index 0000000..c806814 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f276 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f276_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf new file mode 100644 index 0000000..f74a5d0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f288 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f288_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf new file mode 100644 index 0000000..e605dfe --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f300 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f300_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf new file mode 100644 index 0000000..bf416a0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f312 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f312_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf new file mode 100644 index 0000000..469902f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f324 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f324_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf new file mode 100644 index 0000000..b966479 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f336 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f336_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf new file mode 100644 index 0000000..a84c97c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f348 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f348_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf new file mode 100644 index 0000000..5d84aa8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f360 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f360_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf new file mode 100644 index 0000000..e87d5f6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f372 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f372_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf new file mode 100644 index 0000000..1d40fbc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f384 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f384_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf index 6d576f5..2d5ad7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POSTSND %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf index 91f1484..96a3c1d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_CYCLONE_TRACKER %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_fbwind.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_fbwind.ecf index 648bcb8..4c847e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_fbwind.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_fbwind.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_FBWIND %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/dummy.ecf index e6b6a32..1923883 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/dummy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/dummy.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/DUMMY %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_anl.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_anl.ecf index cc1d460..b8e4792 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_anl.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_FAX_ANL %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_f00.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_f00.ecf index e43d8b8..d1e4dad 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_f00.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_FAX_F00 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf index 9ebf54a..4b1f0e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F12 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf index f692b2d..a395384 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F24 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf index 7b10530..5aea03d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F36 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf index 00d156c..b577f28 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_BLENDING %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf index ed01829..c59e3db 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_GRIB2 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf index a699abc..adb1ad4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F00 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf new file mode 100644 index 0000000..a46b72b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f01 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f01_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F01 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf new file mode 100644 index 0000000..d33beaf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f02 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f02_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F02 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf new file mode 100644 index 0000000..d88139a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f03 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f03_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F03 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf new file mode 100644 index 0000000..fb4e900 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f04 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f04_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F04 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf new file mode 100644 index 0000000..d486a2f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f05 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f05_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F05 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf index 2b388ee..cfa2bf2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F06 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf new file mode 100644 index 0000000..dd3dbc9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f07 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f07_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F07 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf new file mode 100644 index 0000000..ce5c372 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f08 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f08_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F08 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf new file mode 100644 index 0000000..cb1674c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f09 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f09_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F09 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf new file mode 100644 index 0000000..aaef77c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f10 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f10_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F10 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf new file mode 100644 index 0000000..d62b985 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f100 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f100_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf new file mode 100644 index 0000000..5725afc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f101 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f101_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf new file mode 100644 index 0000000..354fea8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f102 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f102_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf new file mode 100644 index 0000000..66af597 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f103 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f103_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf new file mode 100644 index 0000000..48a4f64 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f104 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f104_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf new file mode 100644 index 0000000..95a9d3d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f105 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f105_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf new file mode 100644 index 0000000..031774a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f106 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f106_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf new file mode 100644 index 0000000..4f2cd69 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f107 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f107_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf new file mode 100644 index 0000000..94a862f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f108 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f108_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf new file mode 100644 index 0000000..eea4505 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f109 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f109_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf new file mode 100644 index 0000000..5beac26 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f11 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f11_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F11 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf new file mode 100644 index 0000000..22e93df --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f110 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f110_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf new file mode 100644 index 0000000..9306d51 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f111 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f111_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf new file mode 100644 index 0000000..7cdcd3a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f112 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f112_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf new file mode 100644 index 0000000..539e8a1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f113 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f113_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf new file mode 100644 index 0000000..1c93e8a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f114 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f114_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf new file mode 100644 index 0000000..1190c24 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f115 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f115_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf new file mode 100644 index 0000000..7d389ea --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f116 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f116_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf new file mode 100644 index 0000000..0230c3c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f117 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f117_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf new file mode 100644 index 0000000..d32e76f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f118 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f118_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf new file mode 100644 index 0000000..d0fafc1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f119 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f119_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf new file mode 100644 index 0000000..374e644 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f12 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f12_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F12 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf new file mode 100644 index 0000000..03503a0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f120 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f120_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf new file mode 100644 index 0000000..f31eb34 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f123 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f123_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf new file mode 100644 index 0000000..35cfc97 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f126 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f126_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf new file mode 100644 index 0000000..bff0e91 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f129 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f129_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf new file mode 100644 index 0000000..4899125 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f13 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f13_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F13 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf new file mode 100644 index 0000000..371f9ed --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f132 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f132_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf new file mode 100644 index 0000000..151bab5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f135 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f135_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf new file mode 100644 index 0000000..1aa187d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f138 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f138_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf new file mode 100644 index 0000000..3fa0e14 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f14 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f14_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F14 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf new file mode 100644 index 0000000..94cd747 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f141 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f141_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf new file mode 100644 index 0000000..adcc1ea --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f144 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f144_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf new file mode 100644 index 0000000..22d8ff0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f147 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f147_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf new file mode 100644 index 0000000..81367d6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f15 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f15_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F15 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf new file mode 100644 index 0000000..ed71266 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f150 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f150_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf new file mode 100644 index 0000000..247b1a6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f153 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f153_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf new file mode 100644 index 0000000..d0ea37b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f156 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f156_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf new file mode 100644 index 0000000..bb4cf49 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f159 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f159_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf new file mode 100644 index 0000000..87e22d7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f16 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f16_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F16 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf new file mode 100644 index 0000000..f4cc0fc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f162 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f162_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf new file mode 100644 index 0000000..497e7f1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f165 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f165_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf new file mode 100644 index 0000000..dd3cb7d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f168 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f168_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf new file mode 100644 index 0000000..436e380 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f17 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f17_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F17 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf new file mode 100644 index 0000000..f9cd5cf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f171 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f171_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf new file mode 100644 index 0000000..5ef8f08 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f174 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f174_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf new file mode 100644 index 0000000..84ef9f6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f177 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f177_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf new file mode 100644 index 0000000..c3e3657 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f18 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f18_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F18 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf new file mode 100644 index 0000000..28b4cfb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f180 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f180_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf new file mode 100644 index 0000000..1ce8970 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f183 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f183_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf new file mode 100644 index 0000000..7530c7d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f186 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f186_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf new file mode 100644 index 0000000..1b90f28 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f189 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f189_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf new file mode 100644 index 0000000..6462b1a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f19 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f19_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F19 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf new file mode 100644 index 0000000..804cec4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f192 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f192_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf new file mode 100644 index 0000000..f4ddb7b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f195 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f195_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf new file mode 100644 index 0000000..444b633 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f198 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f198_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf new file mode 100644 index 0000000..6705e9e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f20 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f20_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F20 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf new file mode 100644 index 0000000..2a28866 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f201 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f201_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf new file mode 100644 index 0000000..e98d72f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f204 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f204_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf new file mode 100644 index 0000000..bb5e694 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f207 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f207_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf new file mode 100644 index 0000000..a20f7ef --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f21 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f21_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F21 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf new file mode 100644 index 0000000..f8d307a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f210 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f210_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf new file mode 100644 index 0000000..2a7ee67 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f213 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f213_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf new file mode 100644 index 0000000..9bc5ed8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f216 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f216_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf new file mode 100644 index 0000000..cc6320a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f219 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f219_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf new file mode 100644 index 0000000..ede0601 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f22 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f22_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F22 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf new file mode 100644 index 0000000..18125ce --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f222 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f222_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf new file mode 100644 index 0000000..16c8377 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f225 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f225_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf new file mode 100644 index 0000000..57a6604 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f228 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f228_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf new file mode 100644 index 0000000..ecf30b3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f23 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f23_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F23 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf new file mode 100644 index 0000000..6986c20 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f231 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f231_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf new file mode 100644 index 0000000..0b07b10 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f234 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f234_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf new file mode 100644 index 0000000..67f6965 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f237 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f237_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf new file mode 100644 index 0000000..4b7aae4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f24 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f24_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F24 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf index f218bed..52c48ac 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf new file mode 100644 index 0000000..06cc2c4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f25 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f25_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F25 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf new file mode 100644 index 0000000..3537e3c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f252 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f252_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf new file mode 100644 index 0000000..f11863a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f26 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f26_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F26 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf new file mode 100644 index 0000000..da38a1a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f264 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f264_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf new file mode 100644 index 0000000..421c406 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f27 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f27_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F27 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf new file mode 100644 index 0000000..fa03e2d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f276 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f276_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf new file mode 100644 index 0000000..ca48731 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f28 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f28_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F28 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf new file mode 100644 index 0000000..87df796 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f288 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f288_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf new file mode 100644 index 0000000..794de15 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f29 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f29_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F29 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf new file mode 100644 index 0000000..348d3ed --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f30 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f30_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F30 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf new file mode 100644 index 0000000..a164f11 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f300 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f300_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf new file mode 100644 index 0000000..1339cbd --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f31 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f31_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F31 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf new file mode 100644 index 0000000..bc5f248 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f312 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f312_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf new file mode 100644 index 0000000..7ef94b8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f32 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f32_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F32 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf new file mode 100644 index 0000000..fa88006 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f324 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f324_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf new file mode 100644 index 0000000..83634a1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f33 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f33_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F33 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf new file mode 100644 index 0000000..c726e99 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f336 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f336_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf new file mode 100644 index 0000000..844e348 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f34 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f34_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F34 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf new file mode 100644 index 0000000..13fe822 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f348 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f348_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf new file mode 100644 index 0000000..1c3b581 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f35 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f35_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F35 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf new file mode 100644 index 0000000..051227c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f36 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f36_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F36 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf new file mode 100644 index 0000000..0154ac9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f360 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f360_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf new file mode 100644 index 0000000..cdc9dbc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f37 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f37_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F37 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf new file mode 100644 index 0000000..afc7ba1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f372 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f372_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf new file mode 100644 index 0000000..4b9de22 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f38 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f38_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F38 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf new file mode 100644 index 0000000..2020efc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f384 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f384_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf new file mode 100644 index 0000000..64a9a97 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f39 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f39_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F39 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf new file mode 100644 index 0000000..4a87b0c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f40 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f40_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F40 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf new file mode 100644 index 0000000..a5b92bb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f41 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f41_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F41 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf new file mode 100644 index 0000000..3df6e13 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f42 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f42_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F42 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf new file mode 100644 index 0000000..a43d18a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f43 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f43_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F43 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf new file mode 100644 index 0000000..d0cb547 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f44 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f44_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F44 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf new file mode 100644 index 0000000..652f27a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f45 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f45_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F45 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf new file mode 100644 index 0000000..f94e41f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f46 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f46_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F46 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf new file mode 100644 index 0000000..47226dd --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f47 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f47_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F47 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf new file mode 100644 index 0000000..227e882 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f48 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f48_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F48 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf new file mode 100644 index 0000000..abd01b3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f49 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f49_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F49 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf new file mode 100644 index 0000000..5feab26 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f50 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f50_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F50 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf new file mode 100644 index 0000000..56667e4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f51 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f51_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F51 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf new file mode 100644 index 0000000..53fd0c7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f52 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f52_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F52 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf new file mode 100644 index 0000000..daaec08 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f53 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f53_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F53 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf new file mode 100644 index 0000000..4a3b6c8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f54 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f54_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F54 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf new file mode 100644 index 0000000..a559b9c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f55 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f55_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F55 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf new file mode 100644 index 0000000..0ba8653 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f56 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f56_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F56 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf new file mode 100644 index 0000000..83e5c03 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f57 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f57_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F57 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf new file mode 100644 index 0000000..8f56133 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f58 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f58_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F58 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf new file mode 100644 index 0000000..a0d96d9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f59 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f59_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F59 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf new file mode 100644 index 0000000..e0b6774 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f60 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f60_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F60 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf new file mode 100644 index 0000000..17df620 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f61 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f61_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F61 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf new file mode 100644 index 0000000..52e4521 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f62 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f62_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F62 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf new file mode 100644 index 0000000..364bc9f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f63 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f63_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F63 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf new file mode 100644 index 0000000..da86908 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f64 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f64_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F64 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf new file mode 100644 index 0000000..e823346 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f65 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f65_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F65 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf new file mode 100644 index 0000000..323118b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f66 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f66_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F66 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf new file mode 100644 index 0000000..3d82494 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f67 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f67_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F67 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf new file mode 100644 index 0000000..e6448b4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f68 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f68_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F68 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf new file mode 100644 index 0000000..4935ad1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f69 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f69_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F69 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf new file mode 100644 index 0000000..06948f6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f70 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f70_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F70 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf new file mode 100644 index 0000000..b728e42 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f71 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f71_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F71 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf new file mode 100644 index 0000000..f715fa8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f72 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f72_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F72 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf new file mode 100644 index 0000000..f12b549 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f73 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f73_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F73 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf new file mode 100644 index 0000000..ba3198c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f74 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f74_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F74 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf new file mode 100644 index 0000000..f77d4dc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f75 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f75_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F75 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf new file mode 100644 index 0000000..1b4c82e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f76 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f76_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F76 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf new file mode 100644 index 0000000..61efcda --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f77 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f77_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F77 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf new file mode 100644 index 0000000..31be811 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f78 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f78_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F78 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf new file mode 100644 index 0000000..1137557 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f79 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f79_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F79 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf new file mode 100644 index 0000000..1b00c60 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f80 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f80_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F80 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf new file mode 100644 index 0000000..92f08a2 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f81 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f81_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F81 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf new file mode 100644 index 0000000..0d739e1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f82 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f82_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F82 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf new file mode 100644 index 0000000..d4eb2bb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f83 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f83_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F83 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf new file mode 100644 index 0000000..b855201 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f84 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f84_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F84 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf new file mode 100644 index 0000000..1f91e3d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f85 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f85_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F85 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf new file mode 100644 index 0000000..b47aaed --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f86 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f86_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F86 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf new file mode 100644 index 0000000..e0500e1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f87 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f87_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F87 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf new file mode 100644 index 0000000..6fee43b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f88 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f88_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F88 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf new file mode 100644 index 0000000..538fdce --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f89 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f89_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F89 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf new file mode 100644 index 0000000..746f928 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f90 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f90_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F90 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf new file mode 100644 index 0000000..24b9e57 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f91 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f91_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F91 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf new file mode 100644 index 0000000..92fce21 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f92 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f92_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F92 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf new file mode 100644 index 0000000..5520bc9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f93 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f93_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F93 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf new file mode 100644 index 0000000..de0b7ba --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f94 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f94_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F94 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf new file mode 100644 index 0000000..ce1262b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f95 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f95_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F95 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf new file mode 100644 index 0000000..846a043 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f96 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f96_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F96 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf new file mode 100644 index 0000000..5703b66 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f97 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f97_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F97 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf new file mode 100644 index 0000000..43bd691 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f98 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f98_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F98 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf new file mode 100644 index 0000000..5c83443 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f99 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f99_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F99 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf index 72ca961..e7a7bf7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F00 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf index 1415813..0de0d83 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F06 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf index 780906b..f2ac7e5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F102 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf index 2b671ee..7637047 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F108 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf index 1a5fcf1..6215b68 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F114 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf index f1a5c6b..e93d788 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F12 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf index 33cedb1..bde20f6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F120 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf index b7f3bfc..69cb691 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F18 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf index 85b25b4..2c136f7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F24 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf index 8202552..174fbec 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F30 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf index 3461a3d..38c22f1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F36 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf index 6d80056..adb49a3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F42 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf index 7e35a4f..90c146d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F48 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf index 0272a48..2887e6b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F54 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf index 03e2a12..703de29 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F60 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf index 02b4f9e..cc498f7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F66 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf index 9c4d760..c341d80 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F72 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf index 83a5818..bb6c883 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F78 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf index 98ce48d..733898d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F84 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf index c77c75d..e5bea3a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F90 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf index 46064b5..df35c2c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F96 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_anl.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_anl.ecf index 0217286..5ea7647 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_anl.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f00.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f00.ecf index f274e8f..4dd568b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f00.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f01.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f01.ecf index 89e5612..bb71ff1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f01.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f01.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f02.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f02.ecf index cee01fd..a733d33 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f02.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f02.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f03.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f03.ecf index 8497273..f7ec346 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f03.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f03.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f04.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f04.ecf index 27e3185..f6b154d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f04.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f04.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f05.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f05.ecf index 0b787af..1eb124e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f05.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f05.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f06.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f06.ecf index d9deb0b..3bf03bb 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f06.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f07.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f07.ecf index 2d4b772..844064d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f07.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f07.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f08.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f08.ecf index 38552b0..e98aef7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f08.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f08.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f09.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f09.ecf index 5533bc3..397555a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f09.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f09.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f10.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f10.ecf index 2828893..c1497c9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f10.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f100.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f100.ecf index 750dec4..22657e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f100.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f101.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f101.ecf index f4601b9..6920c34 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f101.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f102.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f102.ecf index 984f7e4..113cdd1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f102.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f103.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f103.ecf index 840d44f..e16ecbb 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f103.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f104.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f104.ecf index b9d199f..985d92f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f104.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f105.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f105.ecf index 334b916..0309914 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f105.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f106.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f106.ecf index c6d8d13..ece1eb4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f106.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f107.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f107.ecf index 63b4514..ee459c1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f107.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f108.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f108.ecf index 87dffb6..60db5be 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f108.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f109.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f109.ecf index 5927af3..1e422d7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f109.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f11.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f11.ecf index 09ba320..02ca246 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f11.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f110.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f110.ecf index 861c032..6d03a9a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f110.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f111.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f111.ecf index 0a80654..4ecff8d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f111.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f112.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f112.ecf index 4be6407..7b17c4d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f112.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f113.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f113.ecf index 5a54cfb..3451cd9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f113.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f114.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f114.ecf index cab1a4d..dc4af08 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f114.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f115.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f115.ecf index da62181..73af0c0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f115.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f116.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f116.ecf index 419fee8..690a8ba 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f116.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f117.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f117.ecf index 2134fe8..ca26c01 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f117.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f118.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f118.ecf index cfadbf0..eea5f87 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f118.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f119.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f119.ecf index 9b178fa..e55f892 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f119.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f12.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f12.ecf index 34b833c..9130c57 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f12.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f120.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f120.ecf index d2192cc..9ce30da 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f120.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f123.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f123.ecf index e6c218e..9b71f34 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f123.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f126.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f126.ecf index 322453c..6603043 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f126.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f129.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f129.ecf index eba257b..629a2d7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f129.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f13.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f13.ecf index 087d7d9..5543812 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f13.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f132.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f132.ecf index 8c97ab8..b096da3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f132.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f135.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f135.ecf index f87518e..30e0880 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f135.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f138.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f138.ecf index 9b37feb..9555d9e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f138.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f14.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f14.ecf index 543f8d1..72b8add 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f14.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f141.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f141.ecf index bf9d057..1731252 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f141.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f144.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f144.ecf index a3a3330..9969354 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f144.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f147.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f147.ecf index 175930a..657915a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f147.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f15.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f15.ecf index 827259c..214015e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f15.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f150.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f150.ecf index ab2d64b..c599570 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f150.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f153.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f153.ecf index e96a680..0ba529a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f153.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f156.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f156.ecf index 0e7014a..5580fe2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f156.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f159.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f159.ecf index 04fe9e9..043496b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f159.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f16.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f16.ecf index f5bbd5b..cab490b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f16.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f162.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f162.ecf index 1df889f..13d610e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f162.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f165.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f165.ecf index 6af5608..b9da11b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f165.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f168.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f168.ecf index dc4195b..df472e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f168.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f17.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f17.ecf index 86bdd4a..01c1346 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f17.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f17.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f171.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f171.ecf index 0cd577d..96f0047 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f171.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f174.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f174.ecf index 3e0dd2d..bcc3189 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f174.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f177.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f177.ecf index 4ef1fe3..a113eb2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f177.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f18.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f18.ecf index 059d265..6411a5a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f18.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f180.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f180.ecf index 78da428..9129c7a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f180.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f183.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f183.ecf index 485f07a..8ff4dd8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f183.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f186.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f186.ecf index 8fb2e59..ced809f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f186.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f189.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f189.ecf index b2f7eed..c20d3ad 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f189.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f19.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f19.ecf index 159db26..d8f387a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f19.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f19.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f192.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f192.ecf index f8a044b..b4cfabf 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f192.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f195.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f195.ecf index 5de8f08..c564d67 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f195.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f198.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f198.ecf index bce8025..7c028f7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f198.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f20.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f20.ecf index df0ace6..d53c2be 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f20.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f20.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f201.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f201.ecf index 431e818..347b37e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f201.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f204.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f204.ecf index 116f24c..360208c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f204.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f207.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f207.ecf index dd3c798..a5ea60a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f207.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f21.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f21.ecf index 715e786..fea4ef4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f21.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f21.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f210.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f210.ecf index 5ef8135..936ff65 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f210.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f213.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f213.ecf index c7cb988..6ebd196 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f213.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f216.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f216.ecf index fb093a7..06e0c52 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f216.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f219.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f219.ecf index 2556a1f..828bc4c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f219.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f22.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f22.ecf index dbf26c3..421e8a9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f22.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f22.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f222.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f222.ecf index 342b2db..8fd024a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f222.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f225.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f225.ecf index 4bdec7d..4165b5f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f225.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f228.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f228.ecf index fbad4ec..8733118 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f228.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f23.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f23.ecf index c6e084b..09e2bfd 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f23.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f23.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f231.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f231.ecf index 91b6f03..c917dfe 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f231.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f234.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f234.ecf index 5d2d154..a10d688 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f234.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f237.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f237.ecf index c7d1771..21e126c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f237.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f24.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f24.ecf index 8413b03..35d22fe 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f24.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f240.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f240.ecf index 7002a9c..b53eaa4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f240.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f25.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f25.ecf index 98be9e8..d2e559a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f25.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f25.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f252.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f252.ecf index 453404b..35f517b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f252.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f26.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f26.ecf index 9054699..cb28cb7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f26.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f26.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f264.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f264.ecf index 43b1464..d1d73f1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f264.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f27.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f27.ecf index 60d8758..ec7ae01 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f27.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f27.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f276.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f276.ecf index 5abe1ba..8432565 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f276.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f28.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f28.ecf index 87da152..f5a6cc6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f28.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f28.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f288.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f288.ecf index da23ce9..b837780 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f288.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f29.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f29.ecf index f9d8bf3..65f1ab8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f29.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f29.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f30.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f30.ecf index 7c13679..5422b60 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f30.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f300.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f300.ecf index 30aead1..ba8b162 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f300.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f31.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f31.ecf index 9a1e5e1..82275e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f31.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f31.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f312.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f312.ecf index 70200c1..d0cfc7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f312.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f32.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f32.ecf index 41077a0..090e91f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f32.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f32.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f324.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f324.ecf index dccc89f..f5ed851 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f324.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f33.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f33.ecf index 0cdc68a..ff2eee3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f33.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f33.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f336.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f336.ecf index 4b67adf..f852789 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f336.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f34.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f34.ecf index 3a234e1..c38de68 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f34.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f34.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f348.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f348.ecf index 67f6540..f182069 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f348.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f35.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f35.ecf index 2de4def..ac55e7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f35.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f35.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f36.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f36.ecf index 7e62a6a..9270303 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f36.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f360.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f360.ecf index 701bbae..ff5c6f9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f360.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f37.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f37.ecf index ef0423c..9dc6e64 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f37.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f37.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f372.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f372.ecf index ac7cabb..9c1014a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f372.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f38.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f38.ecf index 6376158..ba8cb3f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f38.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f38.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f384.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f384.ecf index d476e7f..7f6d6a6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f384.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f39.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f39.ecf index 1781875..b02051d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f39.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f39.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f40.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f40.ecf index 02a0458..a154c0b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f40.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f40.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f41.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f41.ecf index a64d3d9..1dcd244 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f41.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f41.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f42.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f42.ecf index 612fac8..73b2014 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f42.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f43.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f43.ecf index 9a2dc64..08dd949 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f43.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f43.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f44.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f44.ecf index 6bda6c1..52580cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f44.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f44.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f45.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f45.ecf index 966429e..8644ae7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f45.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f45.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f46.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f46.ecf index 7b0e0ee..7f320ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f46.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f46.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f47.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f47.ecf index 69c5f1c..5a4ea16 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f47.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f47.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f48.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f48.ecf index 4cad6f8..c806f0a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f48.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f49.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f49.ecf index 4eb2156..df9584e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f49.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f49.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f50.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f50.ecf index 8bd6b8e..46dcf81 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f50.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f50.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f51.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f51.ecf index 579dace..be69fcf 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f51.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f51.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f52.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f52.ecf index 3964a08..be0a82d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f52.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f52.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f53.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f53.ecf index c7a1fd9..cd5a589 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f53.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f53.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f54.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f54.ecf index fb4118e..3cc8da6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f54.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f55.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f55.ecf index 287120e..5480e05 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f55.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f55.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f56.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f56.ecf index 7548d29..e61e6de 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f56.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f56.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f57.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f57.ecf index 7d1f63b..68834e5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f57.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f57.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f58.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f58.ecf index 57ea54c..cb103f6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f58.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f58.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f59.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f59.ecf index eeca85d..621ceec 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f59.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f59.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f60.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f60.ecf index 6d96107..8c26227 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f60.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f61.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f61.ecf index ad3936d..e462bba 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f61.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f61.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f62.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f62.ecf index 7a13e45..ebb9d19 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f62.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f62.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f63.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f63.ecf index 7a9e449..ceb1631 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f63.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f63.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f64.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f64.ecf index ebaa4cf..da621df 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f64.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f64.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f65.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f65.ecf index 0be9a42..98de665 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f65.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f65.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f66.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f66.ecf index c968b44..7fc5680 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f66.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f67.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f67.ecf index b323a0f..c0eb12f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f67.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f67.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f68.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f68.ecf index ecc2d32..1f61252 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f68.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f68.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f69.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f69.ecf index b72fe63..3088334 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f69.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f69.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f70.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f70.ecf index c7eb55c..9c2b0c9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f70.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f70.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f71.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f71.ecf index a8b386f..0b93e1d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f71.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f71.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f72.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f72.ecf index a9d253f..6808514 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f72.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f73.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f73.ecf index e9222c1..e5618d5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f73.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f73.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f74.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f74.ecf index 5b22439..afa66b8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f74.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f74.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f75.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f75.ecf index 0acb3a9..6a16332 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f75.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f75.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f76.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f76.ecf index 91ec20d..c455ab6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f76.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f76.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f77.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f77.ecf index 0b1f2e3..e0cd2ac 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f77.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f77.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f78.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f78.ecf index a4233b9..413f968 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f78.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f79.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f79.ecf index 8b349f3..0d8b25b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f79.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f79.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f80.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f80.ecf index da35659..b30321e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f80.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f80.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f81.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f81.ecf index 51d59a0..df968cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f81.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f81.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f82.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f82.ecf index e66b58d..93c875f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f82.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f82.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f83.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f83.ecf index bf0037d..0358e18 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f83.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f83.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f84.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f84.ecf index 5a9b7a9..2c2331c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f84.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f85.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f85.ecf index 7eea41f..a031e30 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f85.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f85.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f86.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f86.ecf index 144eb09..e267494 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f86.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f86.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f87.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f87.ecf index 8ad6749..2ff1289 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f87.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f87.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f88.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f88.ecf index 695cc2b..bd4dc74 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f88.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f88.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f89.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f89.ecf index 48b69dd..779707a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f89.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f89.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f90.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f90.ecf index 996594c..540ebb0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f90.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f91.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f91.ecf index b45e4ad..8e9157d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f91.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f91.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f92.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f92.ecf index 1535dc5..584d5b0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f92.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f92.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f93.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f93.ecf index faf0e22..64bd6fa 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f93.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f93.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f94.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f94.ecf index 1fb99ec..657475d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f94.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f94.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f95.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f95.ecf index e45f015..199e447 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f95.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f95.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f96.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f96.ecf index 2c1e006..b814bf6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f96.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f97.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f97.ecf index 76273aa..1c9d436 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f97.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f97.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f98.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f98.ecf index e84114b..193a1e0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f98.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f98.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f99.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f99.ecf index 4735a70..d12fbcc 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f99.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f99.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_manager.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_manager.ecf index a9a6ea3..96411cd 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_manager.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_manager.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_PGRB2_MANAGER %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf index 3a53c88..84962fb 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_EMCSFC_SFC_PREP %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf index 2060458..2fa9acd 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_PREP %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf index bd21eff..3e34d93 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_PREP_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_even.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_even.ecf index 17b33f4..061851c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_even.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_even.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_SMINIT_GUAM_EVEN %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf index fad116a..2b73593 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_SMINIT_GUAM_ODD %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/cycle_end.ecf b/model/ecflow_fv3gfs/scripts/prod18/cycle_end.ecf index c9cfff9..4e874f0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/cycle_end.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/cycle_end.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/CYCLE_END %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/analysis/jgdas_analysis_high.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/analysis/jgdas_analysis_high.ecf index 05da1e1..a200197 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/analysis/jgdas_analysis_high.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/analysis/jgdas_analysis_high.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_ANALYSIS_HIGH %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf index 56c4f2a..709273c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_DUMP %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf index 2b40958..ed05c2c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_ICS %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf index d5233d5..249de65 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_TROPCY_QC_RELOC %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf index 9b0d13d..6962c93 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf index 3f764d5..9d83c54 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf index de4e53e..3b71f69 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf index 6bc023c..20218b2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf index 23e5309..e6dcf10 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf index 2fa837f..02454db 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf index 91750df..39ec92b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf index 53954b4..205d7e0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf index 0160e4d..075bc1e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf index a3b9840..ee7440e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf index 1f0a322..220b2b9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf index 6864171..6d76be7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf index 187d773..562af3e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf index 002f57f..9ab23fd 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf index 09621bb..ac0ea33 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf index 95cfc73..1492e8b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf index 6bf675b..e4615f0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf index 729cdf0..cb30b45 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf index 724158b..fd29f5b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf index c2ce735..e2488d5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf index 6ebbb84..683c235 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf index 1cabbee..641c407 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf index 0127116..0df0a8c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf index e983650..3829b2f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf index 1db68ea..f3bf604 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf index f4cc80c..e6bc15e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf index e46ae93..73e7cb4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf index cbb7cb8..eb04b16 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf index 78e11e8..d6b056e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf index 5e7f8e6..a5b49b8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf index 33e4705..630d329 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf index 894d204..2b064b3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf @@ -41,3 +41,5 @@ ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf index 523b024..b6d1c18 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_ENKF_INFLATE_RECENTER %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf index 91d0963..1abe592 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_ENKF_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf index 5511157..e0d4d1e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_ENKF_SELECT_OBS %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf index ca328f0..0843762 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_ENKF_UPDATE %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/forecast/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/forecast/jgdas_forecast.ecf index d7aaa39..eed96b0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/forecast/jgdas_forecast.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/forecast/jgdas_forecast.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak.ecf index 326ae5d..e611466 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_GEMPAK %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_meta.ecf index a465314..93dc751 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_meta.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_meta.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_GEMPAK_META %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_ncdc.ecf index 9fc1394..a10c959 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_ncdc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_ncdc.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_GEMPAK_NCDC %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf index f821cbe..e99e392 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_VERFRAD %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf index 172f26e..16e857c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_VMINMON %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/post/jgdas_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/post/jgdas_post.ecf index 70279e2..a83823e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/post/jgdas_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/post/jgdas_post.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/post %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf index a318919..e65c565 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_MKNAVYBULLS %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf index 64edefc..4d41618 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_EMCSFC_SFC_PREP %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf index 02bbbf4..d70e3a8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_PREP %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf index ca2a67c..e749457 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGDAS_PREP_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf index 3efddef..2695723 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_DUMP %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf index ec9a7c6..5cd044c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_TROPCY_QC_RELOC %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_high.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_high.ecf index e0c8d37..c3140db 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_high.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_high.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_low.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_low.ecf index 7d60768..37302ab 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_low.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_low.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak.ecf index e98d868..33942eb 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_GEMPAK %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_meta.ecf index 2064a33..27db5f1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_meta.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_meta.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_GEMPAK_META %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_ncdc.ecf index 28aef49..3188b7c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_ncdc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_ncdc.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_GEMPAK_NCDC %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_upapgif.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_upapgif.ecf index c376157..8a66333 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_upapgif.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_upapgif.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_GEMPAK_UPAPGIF %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf index 2e09f03..abcf04c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_ANALYSIS %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf index 12539f0..fa7eafe 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_VMINMON %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_pgrb2_spec_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_pgrb2_spec_post.ecf index 64ef876..0801f05 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_pgrb2_spec_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_pgrb2_spec_post.ecf @@ -1,33 +1,44 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.jgfs_pgrb2_spec_post +#BSUB -o %ECF_OUT%/gfs.post.jgfs_pgrb2_spec_post_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_PGRB2_SPEC_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_anl.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_anl.ecf index 1592c9a..45a93c4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_anl.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_ANL %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f00.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f00.ecf index 174874a..02597de 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f00.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F00 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f01.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f01.ecf index 07b5e97..108bf63 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f01.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f01.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F01 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f02.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f02.ecf index 6bd5de2..4fdac2f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f02.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f02.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F02 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f03.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f03.ecf index 5512cc7..f9e67b3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f03.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f03.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F03 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f04.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f04.ecf index d44cabf..5cf2213 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f04.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f04.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F04 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f05.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f05.ecf index 933e206..4d07699 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f05.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f05.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F05 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f06.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f06.ecf index 4580f6f..61378cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f06.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F06 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f07.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f07.ecf index 6723ea8..358a358 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f07.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f07.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F07 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f08.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f08.ecf index a0d3fb0..54f90b9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f08.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f08.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F08 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f09.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f09.ecf index bd0a087..8b82c0e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f09.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f09.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F09 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f10.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f10.ecf index f7c6240..3cdac7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f10.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F10 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f100.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f100.ecf index 0c15761..1a86b5b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f100.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F100 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f101.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f101.ecf index 9cdfd50..e249fa7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f101.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F101 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f102.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f102.ecf index 0a69199..169b6f8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f102.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F102 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f103.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f103.ecf index 2eacdfc..9c80f50 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f103.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F103 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f104.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f104.ecf index 3f5a24c..0c91263 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f104.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F104 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f105.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f105.ecf index 81b8adc..8c838f1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f105.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F105 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f106.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f106.ecf index c43cc00..9227194 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f106.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F106 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f107.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f107.ecf index 97e8e47..e66cc39 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f107.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F107 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f108.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f108.ecf index cacd1f8..2404b80 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f108.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F108 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f109.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f109.ecf index 33d2681..568e47e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f109.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F109 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f11.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f11.ecf index 976f809..a8c31fe 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f11.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F11 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f110.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f110.ecf index 5b908ac..7f02883 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f110.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F110 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f111.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f111.ecf index 29f1f05..84edb70 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f111.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F111 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f112.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f112.ecf index e156772..2c3d749 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f112.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F112 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f113.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f113.ecf index 974a118..fcadb88 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f113.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F113 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f114.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f114.ecf index ad6263f..e7339b4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f114.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F114 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f115.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f115.ecf index 040c9cf..4028ca9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f115.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F115 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f116.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f116.ecf index 0650cdc..25c013b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f116.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F116 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f117.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f117.ecf index 2c1213e..40b2a29 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f117.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F117 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f118.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f118.ecf index 1f2e3e2..c4f7bad 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f118.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F118 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f119.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f119.ecf index a01c60e..57a736c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f119.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F119 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f12.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f12.ecf index a975052..791286e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f12.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F12 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f120.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f120.ecf index af5a95b..7efc829 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f120.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F120 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f123.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f123.ecf index 39be5f3..b7fed67 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f123.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F123 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f126.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f126.ecf index 0ba19e7..faa1ca2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f126.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F126 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f129.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f129.ecf index 11c9a8e..0bff7d7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f129.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F129 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f13.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f13.ecf index c96723b..9370750 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f13.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F13 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f132.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f132.ecf index 71a9a80..cd98649 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f132.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F132 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f135.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f135.ecf index 1a4495c..adf58ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f135.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F135 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f138.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f138.ecf index 3857157..3b4d3e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f138.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F138 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f14.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f14.ecf index 200d27a..c2eb6c2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f14.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F14 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f141.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f141.ecf index a77bd40..845199e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f141.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F141 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f144.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f144.ecf index a260c3f..b14d208 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f144.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F144 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f147.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f147.ecf index 24f0bfc..8559ed0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f147.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F147 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f15.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f15.ecf index 2fbda18..086115c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f15.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F15 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f150.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f150.ecf index fe846d4..309c34f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f150.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F150 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f153.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f153.ecf index 76926b3..430952c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f153.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F153 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f156.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f156.ecf index ba35661..064a627 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f156.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F156 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f159.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f159.ecf index a7610ed..1ce69e7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f159.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F159 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f16.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f16.ecf index ecf5680..fd7598f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f16.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F16 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f162.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f162.ecf index 0435b6b..854d633 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f162.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F162 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f165.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f165.ecf index 04a26a2..c2c60b9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f165.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F165 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f168.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f168.ecf index fc20fdf..2a9d6ba 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f168.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F168 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f17.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f17.ecf index 9a1f924..5d76cb5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f17.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f17.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F17 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f171.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f171.ecf index f1c88e7..8ea9fff 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f171.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F171 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f174.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f174.ecf index 0bfae2b..4402bce 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f174.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F174 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f177.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f177.ecf index f79499f..107d37a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f177.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F177 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f18.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f18.ecf index b08c39e..3b29c2f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f18.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F18 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f180.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f180.ecf index 70a8257..e9c8054 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f180.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F180 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f183.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f183.ecf index c3eba46..22d1912 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f183.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F183 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f186.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f186.ecf index 19b5b17..115a3f4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f186.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F186 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f189.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f189.ecf index 295f645..b106e6e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f189.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F189 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f19.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f19.ecf index 28cbff2..21956ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f19.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f19.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F19 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f192.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f192.ecf index 2a9adb0..4ae2aff 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f192.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F192 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f195.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f195.ecf index 029a6f1..0f24e2a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f195.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F195 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f198.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f198.ecf index 62abb4a..ef6396a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f198.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F198 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f20.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f20.ecf index 5557e78..7c47937 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f20.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f20.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F20 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f201.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f201.ecf index 071c2a4..2f9317a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f201.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F201 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f204.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f204.ecf index d3573ee..efa0ded 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f204.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F204 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f207.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f207.ecf index 8be09f7..ec1710e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f207.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F207 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f21.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f21.ecf index 7931e80..4f804b0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f21.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f21.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F21 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f210.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f210.ecf index ce82bd4..35362be 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f210.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F210 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f213.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f213.ecf index 87077a1..34c4bf6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f213.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F213 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f216.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f216.ecf index eaa75ad..b71785a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f216.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F216 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f219.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f219.ecf index 30bcbf5..e5532a7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f219.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F219 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f22.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f22.ecf index 5fcce72..14de8d7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f22.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f22.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F22 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f222.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f222.ecf index 9ff9ee5..1323cc2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f222.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F222 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f225.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f225.ecf index 8532891..455ead1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f225.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F225 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f228.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f228.ecf index 3c25670..cfac18f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f228.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F228 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f23.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f23.ecf index 8e580c6..55ae234 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f23.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f23.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F23 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f231.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f231.ecf index e473c18..056d407 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f231.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F231 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f234.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f234.ecf index f010694..23a4639 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f234.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F234 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f237.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f237.ecf index 642e7af..c0ce852 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f237.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F237 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f24.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f24.ecf index 71cfe7a..c8386e3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f24.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F24 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f240.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f240.ecf index a87516a..c81481b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f240.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F240 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f25.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f25.ecf index 164f8a7..c27b146 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f25.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f25.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F25 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f252.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f252.ecf index 9f244e6..de6a3e9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f252.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F252 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f26.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f26.ecf index 856e49f..19e658b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f26.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f26.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F26 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f264.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f264.ecf index 14cda4a..6062291 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f264.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F264 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f27.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f27.ecf index d416e60..49aefae 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f27.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f27.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F27 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f276.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f276.ecf index 0220bee..0acae9d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f276.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F276 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f28.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f28.ecf index 3ffae84..ed73eb8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f28.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f28.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F28 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f288.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f288.ecf index 454ca85..42d9617 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f288.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F288 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f29.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f29.ecf index c97840a..c84c6f3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f29.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f29.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F29 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f30.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f30.ecf index e1ea0e2..23927d7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f30.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F30 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f300.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f300.ecf index 1c0b12c..68473ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f300.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F300 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f31.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f31.ecf index 93f85eb..a7d4c7e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f31.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f31.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F31 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f312.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f312.ecf index 8a93302..2b32b78 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f312.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F312 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f32.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f32.ecf index 7c39cf4..7730300 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f32.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f32.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F32 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f324.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f324.ecf index 79a30c8..7a86340 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f324.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F324 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f33.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f33.ecf index 9af52bf..6b5bec0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f33.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f33.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F33 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f336.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f336.ecf index 8f1d98c..191765b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f336.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F336 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f34.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f34.ecf index 0d35e21..cd5061b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f34.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f34.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F34 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f348.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f348.ecf index a30e3ca..95b8f8b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f348.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F348 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f35.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f35.ecf index bc4bda1..cacaf52 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f35.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f35.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F35 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f36.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f36.ecf index 7de0e72..e33dde8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f36.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F36 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f360.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f360.ecf index 172fb0e..4fc0fe4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f360.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F360 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f37.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f37.ecf index df7cac0..5a92603 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f37.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f37.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F37 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f372.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f372.ecf index 7b05117..8b5f4b6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f372.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F372 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f38.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f38.ecf index 9a33c9f..2a26048 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f38.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f38.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F38 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f384.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f384.ecf index 3fc1ea6..938424a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f384.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F384 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f39.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f39.ecf index 83cdefb..1b8ec9d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f39.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f39.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F39 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f40.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f40.ecf index e1acb56..1eec893 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f40.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f40.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F40 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f41.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f41.ecf index 69a9cf5..c1c7542 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f41.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f41.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F41 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f42.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f42.ecf index a617d2b..2325836 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f42.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F42 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f43.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f43.ecf index 721bedb..ff41cfb 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f43.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f43.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F43 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f44.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f44.ecf index 5d3eea9..4ce3278 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f44.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f44.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F44 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f45.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f45.ecf index 429f3df..91e6446 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f45.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f45.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F45 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f46.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f46.ecf index c1c755d..8b0dc36 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f46.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f46.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F46 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f47.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f47.ecf index 65fb347..e67c97a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f47.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f47.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F47 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f48.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f48.ecf index 1e6a825..2b62b20 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f48.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F48 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f49.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f49.ecf index 70759d4..472ad4c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f49.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f49.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F49 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f50.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f50.ecf index eff73fd..7553af6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f50.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f50.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F50 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f51.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f51.ecf index cb06902..c912aaa 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f51.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f51.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F51 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f52.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f52.ecf index cd69559..550df2f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f52.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f52.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F52 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f53.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f53.ecf index 8b8626b..92dd73f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f53.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f53.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F53 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f54.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f54.ecf index 22d46c4..bea0edc 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f54.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F54 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f55.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f55.ecf index 410e778..837811c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f55.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f55.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F55 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f56.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f56.ecf index 4eab593..d89b869 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f56.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f56.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F56 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f57.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f57.ecf index 5436f4a..348ee4f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f57.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f57.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F57 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f58.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f58.ecf index 994d09b..18c4a48 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f58.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f58.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F58 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f59.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f59.ecf index 38aad79..911e47a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f59.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f59.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F59 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f60.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f60.ecf index 27d23a3..5146bd0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f60.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F60 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f61.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f61.ecf index d0b5ef6..ae3fea3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f61.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f61.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F61 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f62.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f62.ecf index cc231db..436b0bc 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f62.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f62.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F62 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f63.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f63.ecf index b93fc36..f0a1a8f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f63.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f63.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F63 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f64.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f64.ecf index dd7f9da..950507b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f64.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f64.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F64 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f65.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f65.ecf index f51aee7..d6c4e7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f65.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f65.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F65 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f66.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f66.ecf index 7c4e85d..5925426 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f66.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F66 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f67.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f67.ecf index f4b7b21..a30b66c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f67.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f67.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F67 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f68.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f68.ecf index 7515773..02c4962 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f68.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f68.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F68 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f69.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f69.ecf index dbd70aa..1a4852c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f69.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f69.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F69 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f70.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f70.ecf index ed8031d..ad1dca1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f70.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f70.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F70 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f71.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f71.ecf index 3bcebcc..b75158b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f71.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f71.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F71 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f72.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f72.ecf index f74154a..bfda8ea 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f72.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F72 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f73.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f73.ecf index 94f68c6..5703db6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f73.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f73.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F73 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f74.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f74.ecf index ad230fa..4d8a171 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f74.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f74.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F74 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f75.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f75.ecf index f9d56f8..df16a6d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f75.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f75.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F75 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f76.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f76.ecf index 9eb91f8..8e6af05 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f76.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f76.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F76 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f77.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f77.ecf index 1c2fff5..cfa16fc 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f77.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f77.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F77 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f78.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f78.ecf index ea8fbdf..96ab8cd 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f78.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F78 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f79.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f79.ecf index 6dfabea..d5bacf3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f79.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f79.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F79 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f80.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f80.ecf index d41bdc9..a43e62f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f80.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f80.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F80 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f81.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f81.ecf index f20268f..433bd18 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f81.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f81.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F81 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f82.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f82.ecf index 825ebce..a79f29b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f82.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f82.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F82 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f83.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f83.ecf index 3bc7460..c0a8717 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f83.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f83.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F83 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f84.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f84.ecf index 47f9fbb..fc497b7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f84.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F84 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f85.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f85.ecf index dc6312e..4b8f159 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f85.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f85.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F85 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f86.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f86.ecf index 692f3aa..d277132 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f86.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f86.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F86 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f87.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f87.ecf index 17fbbb3..ba24c5d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f87.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f87.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F87 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f88.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f88.ecf index 8b9d7a6..81a30a6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f88.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f88.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F88 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f89.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f89.ecf index f7c07e7..3514e27 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f89.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f89.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F89 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f90.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f90.ecf index 0004443..73a0585 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f90.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F90 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f91.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f91.ecf index c536f1b..3eceeee 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f91.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f91.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F91 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f92.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f92.ecf index 02ae73d..bc30db6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f92.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f92.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F92 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f93.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f93.ecf index 4e19cba..842596d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f93.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f93.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F93 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f94.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f94.ecf index 9973097..adc946c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f94.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f94.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F94 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f95.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f95.ecf index 176b452..961d45a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f95.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f95.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F95 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f96.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f96.ecf index b975020..e7c1809 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f96.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F96 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f97.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f97.ecf index 49bd8a2..5a7b7cc 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f97.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f97.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F97 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f98.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f98.ecf index b80ece2..6aba72f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f98.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f98.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F98 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f99.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f99.ecf index 8d67938..fd493c7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f99.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f99.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_F99 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_manager.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_manager.ecf index 436fa1c..cce2e41 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_manager.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_manager.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POST_MANAGER %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf index 47c0ebc..d3ec7f0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F000 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf new file mode 100644 index 0000000..669ca3e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f001 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f001_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F001 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf new file mode 100644 index 0000000..bcc4ea7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f002 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f002_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F002 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf new file mode 100644 index 0000000..1389451 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f003 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f003_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F003 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf new file mode 100644 index 0000000..ff9a6db --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f004 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f004_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F004 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf new file mode 100644 index 0000000..fa0b9da --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f005 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f005_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F005 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf index 7397347..216de5b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F006 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf new file mode 100644 index 0000000..e848d86 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f007 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f007_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F007 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf new file mode 100644 index 0000000..8fd242a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f008 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f008_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F008 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf new file mode 100644 index 0000000..d27fae2 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f009 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f009_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F009 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf new file mode 100644 index 0000000..0484b7b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f010 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f010_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F010 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf new file mode 100644 index 0000000..3aa2ddb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f011 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f011_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F011 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf new file mode 100644 index 0000000..ceb7bcf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f012 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f012_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F012 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf new file mode 100644 index 0000000..ab42d41 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f013 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f013_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F013 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf new file mode 100644 index 0000000..59a4b64 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f014 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f014_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F014 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf new file mode 100644 index 0000000..53a8752 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f015 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f015_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F015 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf new file mode 100644 index 0000000..6a2c161 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f016 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f016_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F016 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf new file mode 100644 index 0000000..5643028 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f017 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f017_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F017 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf new file mode 100644 index 0000000..9017a9e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f018 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f018_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F018 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf new file mode 100644 index 0000000..e330aff --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f019 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f019_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F019 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf new file mode 100644 index 0000000..9ed1e5e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f020 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f020_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F020 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf new file mode 100644 index 0000000..1db01b8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f021 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f021_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F021 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf new file mode 100644 index 0000000..4d37614 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f022 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f022_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F022 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf new file mode 100644 index 0000000..7cce8c7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f023 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f023_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F023 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf new file mode 100644 index 0000000..d257cd9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f024 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f024_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F024 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf new file mode 100644 index 0000000..280cef8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f025 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f025_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F025 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf new file mode 100644 index 0000000..4988749 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f026 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f026_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F026 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf new file mode 100644 index 0000000..5d3a7a1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f027 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f027_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F027 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf new file mode 100644 index 0000000..de2fc15 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f028 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f028_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F028 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf new file mode 100644 index 0000000..fb40400 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f029 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f029_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F029 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf new file mode 100644 index 0000000..1b2d8c6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f030 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f030_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F030 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf new file mode 100644 index 0000000..fce31a7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f031 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f031_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F031 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf new file mode 100644 index 0000000..c657d14 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f032 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f032_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F032 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf new file mode 100644 index 0000000..4fc3a2c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f033 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f033_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F033 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf new file mode 100644 index 0000000..8f26afb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f034 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f034_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F034 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf new file mode 100644 index 0000000..ecabe41 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f035 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f035_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F035 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf new file mode 100644 index 0000000..5ee87b4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f036 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f036_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F036 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf new file mode 100644 index 0000000..4069a46 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f037 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f037_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F037 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf new file mode 100644 index 0000000..9a02dd7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f038 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f038_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F038 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf new file mode 100644 index 0000000..196511a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f039 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f039_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F039 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf new file mode 100644 index 0000000..8f90a29 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f040 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f040_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F040 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf new file mode 100644 index 0000000..22378cc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f041 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f041_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F041 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf new file mode 100644 index 0000000..5926ab9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f042 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f042_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F042 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf new file mode 100644 index 0000000..9722311 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f043 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f043_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F043 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf new file mode 100644 index 0000000..fe25953 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f044 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f044_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F044 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf new file mode 100644 index 0000000..5a97394 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f045 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f045_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F045 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf new file mode 100644 index 0000000..61ddb06 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f046 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f046_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F046 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf new file mode 100644 index 0000000..64cf3a6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f047 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f047_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F047 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf new file mode 100644 index 0000000..92a8d47 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f048 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f048_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F048 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf new file mode 100644 index 0000000..a14d268 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f049 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f049_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F049 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf new file mode 100644 index 0000000..fb8d1ca --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f050 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f050_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F050 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf new file mode 100644 index 0000000..6a2377c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f051 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f051_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F051 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf new file mode 100644 index 0000000..6161af9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f052 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f052_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F052 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf new file mode 100644 index 0000000..518272e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f053 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f053_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F053 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf new file mode 100644 index 0000000..2e1e4ab --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f054 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f054_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F054 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf new file mode 100644 index 0000000..7fe7456 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f055 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f055_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F055 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf new file mode 100644 index 0000000..895605b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f056 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f056_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F056 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf new file mode 100644 index 0000000..5a552bd --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f057 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f057_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F057 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf new file mode 100644 index 0000000..4a6e5fe --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f058 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f058_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F058 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf new file mode 100644 index 0000000..3fb2a82 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f059 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f059_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F059 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf new file mode 100644 index 0000000..cf13c9f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f060 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f060_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F060 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf new file mode 100644 index 0000000..b752ca2 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f061 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f061_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F061 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf new file mode 100644 index 0000000..45a961c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f062 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f062_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F062 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf new file mode 100644 index 0000000..18722a0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f063 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f063_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F063 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf new file mode 100644 index 0000000..1ed950b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f064 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f064_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F064 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf new file mode 100644 index 0000000..a3ee684 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f065 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f065_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F065 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf new file mode 100644 index 0000000..a30cdf1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f066 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f066_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F066 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf new file mode 100644 index 0000000..971abcc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f067 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f067_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F067 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf new file mode 100644 index 0000000..20aae5d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f068 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f068_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F068 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf new file mode 100644 index 0000000..23b3f35 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f069 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f069_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F069 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf new file mode 100644 index 0000000..0bca73e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f070 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f070_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F070 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf new file mode 100644 index 0000000..e18ed18 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f071 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f071_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F071 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf new file mode 100644 index 0000000..81b0dbd --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f072 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f072_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F072 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf new file mode 100644 index 0000000..0afd294 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f073 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f073_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F073 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf new file mode 100644 index 0000000..b35e294 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f074 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f074_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F074 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf new file mode 100644 index 0000000..cdd1c9a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f075 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f075_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F075 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf new file mode 100644 index 0000000..463d7fc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f076 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f076_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F076 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf new file mode 100644 index 0000000..9b6d244 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f077 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f077_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F077 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf new file mode 100644 index 0000000..6a8f2e0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f078 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f078_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F078 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf new file mode 100644 index 0000000..77b3012 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f079 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f079_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F079 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf new file mode 100644 index 0000000..603586f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f080 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f080_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F080 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf new file mode 100644 index 0000000..28338e3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f081 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f081_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F081 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf new file mode 100644 index 0000000..67d2c2a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f082 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f082_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F082 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf new file mode 100644 index 0000000..c7fa12e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f083 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f083_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F083 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf new file mode 100644 index 0000000..42f449d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f084 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f084_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F084 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf new file mode 100644 index 0000000..b1a1f45 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f085 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f085_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F085 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf new file mode 100644 index 0000000..eca4005 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f086 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f086_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F086 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf new file mode 100644 index 0000000..f0cf3d8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f087 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f087_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F087 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf new file mode 100644 index 0000000..acfad04 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f088 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f088_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F088 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf new file mode 100644 index 0000000..a640f6a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f089 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f089_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F089 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf new file mode 100644 index 0000000..e089a70 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f090 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f090_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F090 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf new file mode 100644 index 0000000..f5b80dc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f091 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f091_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F091 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf new file mode 100644 index 0000000..0a98218 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f092 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f092_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F092 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf new file mode 100644 index 0000000..5864377 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f093 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f093_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F093 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf new file mode 100644 index 0000000..e598259 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f094 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f094_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F094 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf new file mode 100644 index 0000000..bbcaefa --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f095 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f095_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F095 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf new file mode 100644 index 0000000..cacd7fa --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f096 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f096_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F096 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf new file mode 100644 index 0000000..26dc797 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f097 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f097_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F097 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf new file mode 100644 index 0000000..eb95fc9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f098 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f098_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F098 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf new file mode 100644 index 0000000..474a79a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f099 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f099_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F099 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf new file mode 100644 index 0000000..25443e0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f100 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f100_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf new file mode 100644 index 0000000..346a86d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f101 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f101_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf new file mode 100644 index 0000000..f49973c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f102 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f102_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf new file mode 100644 index 0000000..70ec792 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f103 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f103_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf new file mode 100644 index 0000000..732d5d0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f104 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f104_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf new file mode 100644 index 0000000..4efbb80 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f105 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f105_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf new file mode 100644 index 0000000..27e9e7e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f106 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f106_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf new file mode 100644 index 0000000..db13b96 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f107 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f107_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf new file mode 100644 index 0000000..61fef46 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f108 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f108_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf new file mode 100644 index 0000000..a7ad7c6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f109 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f109_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf new file mode 100644 index 0000000..b8e8353 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f110 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f110_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf new file mode 100644 index 0000000..8092265 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f111 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f111_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf new file mode 100644 index 0000000..79245a5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f112 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f112_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf new file mode 100644 index 0000000..c31419b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f113 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f113_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf new file mode 100644 index 0000000..57e845a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f114 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f114_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf new file mode 100644 index 0000000..7784fcc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f115 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f115_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf new file mode 100644 index 0000000..58c52d0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f116 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f116_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf new file mode 100644 index 0000000..d222027 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f117 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f117_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf new file mode 100644 index 0000000..40bd197 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f118 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f118_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf new file mode 100644 index 0000000..acc1d99 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f119 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f119_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf new file mode 100644 index 0000000..bc4057c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f120 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f120_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf new file mode 100644 index 0000000..06a8220 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f123 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f123_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf new file mode 100644 index 0000000..0971d65 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f126 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f126_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf new file mode 100644 index 0000000..98b8e26 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f129 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f129_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf new file mode 100644 index 0000000..f0149ce --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f132 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f132_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf new file mode 100644 index 0000000..b92409f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f135 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f135_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf new file mode 100644 index 0000000..65b9001 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f138 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f138_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf new file mode 100644 index 0000000..515a95f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f141 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f141_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf new file mode 100644 index 0000000..a83e1ee --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f144 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f144_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf new file mode 100644 index 0000000..513a955 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f147 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f147_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf new file mode 100644 index 0000000..7148c6f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f150 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f150_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf new file mode 100644 index 0000000..6f6c03a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f153 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f153_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf new file mode 100644 index 0000000..2124ce9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f156 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f156_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf new file mode 100644 index 0000000..845a1a9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f159 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f159_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf new file mode 100644 index 0000000..d562347 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f162 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f162_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf new file mode 100644 index 0000000..a7c2d69 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f165 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f165_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf new file mode 100644 index 0000000..9f40903 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f168 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f168_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf new file mode 100644 index 0000000..5e7e844 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f171 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f171_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf new file mode 100644 index 0000000..b1ddb61 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f174 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f174_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf new file mode 100644 index 0000000..0b2eda4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f177 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f177_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf new file mode 100644 index 0000000..37b5b22 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f180 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f180_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf new file mode 100644 index 0000000..836131c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f183 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f183_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf new file mode 100644 index 0000000..5bb10f4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f186 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f186_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf new file mode 100644 index 0000000..295fa2f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f189 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f189_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf new file mode 100644 index 0000000..e7df28f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f192 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f192_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf new file mode 100644 index 0000000..84e2e0a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f195 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f195_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf new file mode 100644 index 0000000..c4410ac --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f198 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f198_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf new file mode 100644 index 0000000..73c50b7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f201 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f201_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf new file mode 100644 index 0000000..a4c0108 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f204 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f204_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf new file mode 100644 index 0000000..fd59fac --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f207 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f207_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf new file mode 100644 index 0000000..8d1750d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f210 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f210_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf new file mode 100644 index 0000000..2c901e9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f213 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f213_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf new file mode 100644 index 0000000..dd202cc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f216 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f216_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf new file mode 100644 index 0000000..cf3b8af --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f219 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f219_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf new file mode 100644 index 0000000..8a2b14d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f222 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f222_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf new file mode 100644 index 0000000..72ba6d8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f225 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f225_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf new file mode 100644 index 0000000..e6d53d8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f228 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f228_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf new file mode 100644 index 0000000..674137f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f231 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f231_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf new file mode 100644 index 0000000..423eaea --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f234 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f234_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf new file mode 100644 index 0000000..d454c69 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f237 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f237_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf index 2849c59..2f09456 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf new file mode 100644 index 0000000..bf69c9c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f252 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f252_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf new file mode 100644 index 0000000..aaaad1a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f264 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f264_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf new file mode 100644 index 0000000..61fbc1d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f276 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f276_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf new file mode 100644 index 0000000..6189c31 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f288 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f288_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf new file mode 100644 index 0000000..f3645fe --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f300 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f300_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf new file mode 100644 index 0000000..676d412 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f312 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f312_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf new file mode 100644 index 0000000..5492342 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f324 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f324_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf new file mode 100644 index 0000000..7f558a7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f336 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f336_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf new file mode 100644 index 0000000..b6f52b5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f348 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f348_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf new file mode 100644 index 0000000..e747d70 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f360 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f360_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf new file mode 100644 index 0000000..4eb5880 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f372 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f372_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf new file mode 100644 index 0000000..83608a9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f384 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f384_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf index 62bdccc..427e646 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F000 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf new file mode 100644 index 0000000..ab2af43 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f001 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f001_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F001 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf new file mode 100644 index 0000000..9c3ce49 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f002 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f002_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F002 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf index 64ef876..91ecf3d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf @@ -1,33 +1,44 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f003 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f003_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F003 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf new file mode 100644 index 0000000..963ab8c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f004 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f004_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F004 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf new file mode 100644 index 0000000..5e1ff16 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f005 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f005_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F005 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf new file mode 100644 index 0000000..5f79c28 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f006 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f006_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F006 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf new file mode 100644 index 0000000..57a944e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f007 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f007_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F007 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf new file mode 100644 index 0000000..d2ebc78 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f008 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f008_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F008 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf new file mode 100644 index 0000000..e6195e8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f009 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f009_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F009 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf new file mode 100644 index 0000000..53301c3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f010 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f010_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F010 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf new file mode 100644 index 0000000..8827e9f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f011 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f011_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F011 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf new file mode 100644 index 0000000..a11f357 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f012 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f012_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F012 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf new file mode 100644 index 0000000..18e01f0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f013 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f013_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F013 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf new file mode 100644 index 0000000..e5e4f59 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f014 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f014_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F014 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf new file mode 100644 index 0000000..1b34c83 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f015 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f015_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F015 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf new file mode 100644 index 0000000..cf01459 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f016 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f016_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F016 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf new file mode 100644 index 0000000..bdca96c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f017 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f017_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F017 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf new file mode 100644 index 0000000..492457c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f018 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f018_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F018 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf new file mode 100644 index 0000000..b0bf180 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f019 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f019_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F019 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf new file mode 100644 index 0000000..3bf1ecc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f020 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f020_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F020 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf new file mode 100644 index 0000000..bc6d6e4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f021 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f021_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F021 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf new file mode 100644 index 0000000..7de3250 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f022 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f022_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F022 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf new file mode 100644 index 0000000..e28a3cb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f023 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f023_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F023 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf new file mode 100644 index 0000000..ff0e27c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f024 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f024_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F024 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf new file mode 100644 index 0000000..3e18e44 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f025 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f025_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F025 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf new file mode 100644 index 0000000..ac56176 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f026 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f026_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F026 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf new file mode 100644 index 0000000..80dab35 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f027 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f027_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F027 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf new file mode 100644 index 0000000..d79b344 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f028 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f028_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F028 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf new file mode 100644 index 0000000..20d63eb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f029 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f029_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F029 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf new file mode 100644 index 0000000..1e2b0c5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f030 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f030_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F030 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf new file mode 100644 index 0000000..c900671 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f031 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f031_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F031 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf new file mode 100644 index 0000000..87be72c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f032 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f032_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F032 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf new file mode 100644 index 0000000..e07b9d4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f033 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f033_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F033 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf new file mode 100644 index 0000000..ebd6b4d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f034 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f034_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F034 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf new file mode 100644 index 0000000..0bb38cb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f035 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f035_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F035 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf new file mode 100644 index 0000000..3943b5e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f036 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f036_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F036 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf new file mode 100644 index 0000000..6ca5114 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f037 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f037_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F037 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf new file mode 100644 index 0000000..cff0b72 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f038 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f038_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F038 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf new file mode 100644 index 0000000..d2dbbbe --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f039 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f039_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F039 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf new file mode 100644 index 0000000..e44ab23 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f040 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f040_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F040 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf new file mode 100644 index 0000000..aced496 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f041 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f041_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F041 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf new file mode 100644 index 0000000..dbd4901 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f042 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f042_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F042 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf new file mode 100644 index 0000000..b669e2a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f043 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f043_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F043 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf new file mode 100644 index 0000000..0d87eca --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f044 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f044_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F044 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf new file mode 100644 index 0000000..5fc043e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f045 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f045_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F045 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf new file mode 100644 index 0000000..1d44129 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f046 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f046_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F046 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf new file mode 100644 index 0000000..99e628a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f047 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f047_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F047 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf new file mode 100644 index 0000000..dc202cd --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f048 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f048_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F048 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf new file mode 100644 index 0000000..4badc2d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f049 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f049_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F049 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf new file mode 100644 index 0000000..c5eea93 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f050 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f050_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F050 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf new file mode 100644 index 0000000..847867e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f051 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f051_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F051 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf new file mode 100644 index 0000000..5c2e61c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f052 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f052_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F052 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf new file mode 100644 index 0000000..9f92201 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f053 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f053_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F053 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf new file mode 100644 index 0000000..fcbd8c0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f054 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f054_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F054 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf new file mode 100644 index 0000000..4b21b8e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f055 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f055_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F055 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf new file mode 100644 index 0000000..f69e31d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f056 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f056_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F056 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf new file mode 100644 index 0000000..0bc7a5a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f057 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f057_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F057 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf new file mode 100644 index 0000000..cca67b3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f058 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f058_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F058 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf new file mode 100644 index 0000000..82481d8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f059 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f059_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F059 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf new file mode 100644 index 0000000..767c16d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f060 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f060_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F060 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf new file mode 100644 index 0000000..c3accb6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f061 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f061_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F061 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf new file mode 100644 index 0000000..0f1e2b4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f062 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f062_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F062 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf new file mode 100644 index 0000000..26cd4fd --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f063 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f063_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F063 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf new file mode 100644 index 0000000..9e31579 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f064 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f064_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F064 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf new file mode 100644 index 0000000..904ad8e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f065 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f065_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F065 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf new file mode 100644 index 0000000..2ac1eef --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f066 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f066_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F066 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf new file mode 100644 index 0000000..e28f3e0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f067 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f067_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F067 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf new file mode 100644 index 0000000..47e532d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f068 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f068_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F068 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf new file mode 100644 index 0000000..f0795c7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f069 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f069_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F069 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf new file mode 100644 index 0000000..09f9386 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f070 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f070_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F070 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf new file mode 100644 index 0000000..7af6e71 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f071 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f071_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F071 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf new file mode 100644 index 0000000..163556e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f072 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f072_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F072 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf new file mode 100644 index 0000000..312d962 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f073 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f073_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F073 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf new file mode 100644 index 0000000..bc5854d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f074 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f074_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F074 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf new file mode 100644 index 0000000..995dd06 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f075 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f075_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F075 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf new file mode 100644 index 0000000..037d514 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f076 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f076_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F076 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf new file mode 100644 index 0000000..0975f98 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f077 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f077_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F077 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf new file mode 100644 index 0000000..4fbf9a2 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f078 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f078_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F078 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf new file mode 100644 index 0000000..f289400 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f079 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f079_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F079 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf new file mode 100644 index 0000000..de9d879 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f080 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f080_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F080 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf new file mode 100644 index 0000000..724b2c8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f081 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f081_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F081 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf new file mode 100644 index 0000000..26342f1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f082 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f082_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F082 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf new file mode 100644 index 0000000..edac663 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f083 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f083_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F083 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf new file mode 100644 index 0000000..23a6cc3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f084 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f084_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F084 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf new file mode 100644 index 0000000..1c4b186 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f085 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f085_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F085 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf new file mode 100644 index 0000000..d640e2f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f086 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f086_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F086 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf new file mode 100644 index 0000000..2b9a3b8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f087 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f087_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F087 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf new file mode 100644 index 0000000..a8d0f25 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f088 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f088_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F088 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf new file mode 100644 index 0000000..d86ef52 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f089 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f089_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F089 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf new file mode 100644 index 0000000..4165018 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f090 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f090_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F090 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf new file mode 100644 index 0000000..44f317d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f091 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f091_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F091 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf new file mode 100644 index 0000000..5d2b709 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f092 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f092_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F092 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf new file mode 100644 index 0000000..bf7bae7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f093 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f093_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F093 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf new file mode 100644 index 0000000..36cce97 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f094 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f094_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F094 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf new file mode 100644 index 0000000..5a253b1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f095 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f095_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F095 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf new file mode 100644 index 0000000..dc3b558 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f096 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f096_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F096 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf new file mode 100644 index 0000000..7def71f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f097 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f097_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F097 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf new file mode 100644 index 0000000..24e1f8b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f098 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f098_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F098 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf new file mode 100644 index 0000000..518dc00 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f099 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f099_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F099 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf new file mode 100644 index 0000000..0d5469e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f100 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f100_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf new file mode 100644 index 0000000..f78c4da --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f101 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f101_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf new file mode 100644 index 0000000..382154d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f102 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f102_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf new file mode 100644 index 0000000..bec4df5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f103 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f103_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf new file mode 100644 index 0000000..796ad73 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f104 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f104_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf new file mode 100644 index 0000000..457fec0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f105 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f105_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf new file mode 100644 index 0000000..a663fc9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f106 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f106_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf new file mode 100644 index 0000000..7187594 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f107 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f107_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf new file mode 100644 index 0000000..0384036 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f108 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f108_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf new file mode 100644 index 0000000..4d8d7be --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f109 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f109_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf new file mode 100644 index 0000000..4f72a90 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f110 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f110_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf new file mode 100644 index 0000000..a8d57e6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f111 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f111_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf new file mode 100644 index 0000000..978e151 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f112 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f112_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf new file mode 100644 index 0000000..2455a58 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f113 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f113_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf new file mode 100644 index 0000000..ab9fcd1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f114 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f114_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf new file mode 100644 index 0000000..92372a6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f115 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f115_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf new file mode 100644 index 0000000..565aa8b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f116 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f116_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf new file mode 100644 index 0000000..20dec08 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f117 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f117_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf new file mode 100644 index 0000000..d1d3f45 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f118 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f118_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf new file mode 100644 index 0000000..6b9017f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f119 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f119_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf new file mode 100644 index 0000000..9a00979 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f120 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f120_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf new file mode 100644 index 0000000..e828b3d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f123 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f123_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf new file mode 100644 index 0000000..36a4274 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f126 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f126_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf new file mode 100644 index 0000000..0c03f90 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f129 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f129_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf new file mode 100644 index 0000000..e8832d6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f132 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f132_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf new file mode 100644 index 0000000..82befd3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f135 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f135_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf new file mode 100644 index 0000000..62f28da --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f138 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f138_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf new file mode 100644 index 0000000..89909f5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f141 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f141_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf new file mode 100644 index 0000000..bc6533e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f144 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f144_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf new file mode 100644 index 0000000..bbf018d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f147 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f147_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf new file mode 100644 index 0000000..55ec8d3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f150 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f150_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf new file mode 100644 index 0000000..030ee2f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f153 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f153_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf new file mode 100644 index 0000000..fdea60e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f156 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f156_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf new file mode 100644 index 0000000..8e38112 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f159 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f159_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf new file mode 100644 index 0000000..e7a1186 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f162 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f162_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf new file mode 100644 index 0000000..fe86e22 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f165 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f165_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf new file mode 100644 index 0000000..b79211c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f168 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f168_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf new file mode 100644 index 0000000..15a2d3e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f171 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f171_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf new file mode 100644 index 0000000..94ecc78 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f174 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f174_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf new file mode 100644 index 0000000..34d4366 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f177 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f177_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf new file mode 100644 index 0000000..a0d8ab1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f180 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f180_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf new file mode 100644 index 0000000..a8b777a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f183 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f183_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf new file mode 100644 index 0000000..02e3a56 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f186 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f186_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf new file mode 100644 index 0000000..87341cf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f189 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f189_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf new file mode 100644 index 0000000..b8d0c76 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f192 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f192_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf new file mode 100644 index 0000000..8bfbb73 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f195 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f195_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf new file mode 100644 index 0000000..0363451 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f198 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f198_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf new file mode 100644 index 0000000..e94a022 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f201 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f201_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf new file mode 100644 index 0000000..d0c377b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f204 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f204_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf new file mode 100644 index 0000000..d181b4f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f207 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f207_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf new file mode 100644 index 0000000..009dda4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f210 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f210_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf new file mode 100644 index 0000000..6dc4b69 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f213 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f213_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf new file mode 100644 index 0000000..0e4db87 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f216 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f216_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf new file mode 100644 index 0000000..abdfef5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f219 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f219_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf new file mode 100644 index 0000000..f52e413 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f222 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f222_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf new file mode 100644 index 0000000..a07a1a9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f225 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f225_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf new file mode 100644 index 0000000..1273cc5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f228 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f228_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf new file mode 100644 index 0000000..81645c8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f231 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f231_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf new file mode 100644 index 0000000..7c4a116 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f234 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f234_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf new file mode 100644 index 0000000..bc34767 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f237 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f237_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf index 64ef876..47beb8d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf @@ -1,33 +1,44 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f240 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f240_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf new file mode 100644 index 0000000..9b60402 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f252 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f252_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf new file mode 100644 index 0000000..dafeb58 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f264 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f264_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf new file mode 100644 index 0000000..c806814 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f276 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f276_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf new file mode 100644 index 0000000..f74a5d0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f288 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f288_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf new file mode 100644 index 0000000..e605dfe --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f300 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f300_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf new file mode 100644 index 0000000..bf416a0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f312 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f312_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf new file mode 100644 index 0000000..469902f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f324 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f324_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf new file mode 100644 index 0000000..b966479 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f336 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f336_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf new file mode 100644 index 0000000..a84c97c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f348 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f348_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf new file mode 100644 index 0000000..5d84aa8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f360 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f360_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf new file mode 100644 index 0000000..e87d5f6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f372 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f372_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf new file mode 100644 index 0000000..1d40fbc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f384 +#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f384_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf index 6d576f5..2d5ad7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_POSTSND %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf index 91f1484..96a3c1d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_CYCLONE_TRACKER %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_fbwind.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_fbwind.ecf index 648bcb8..4c847e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_fbwind.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_fbwind.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_FBWIND %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/dummy.ecf index e6b6a32..1923883 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/dummy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/dummy.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/DUMMY %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_anl.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_anl.ecf index cc1d460..b8e4792 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_anl.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_FAX_ANL %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_f00.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_f00.ecf index e43d8b8..d1e4dad 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_f00.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_FAX_F00 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf index 9ebf54a..4b1f0e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F12 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf index f692b2d..a395384 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F24 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf index 7b10530..5aea03d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F36 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf index 00d156c..b577f28 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_BLENDING %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf index ed01829..c59e3db 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_GRIB2 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf index a699abc..adb1ad4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F00 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf new file mode 100644 index 0000000..a46b72b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f01 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f01_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F01 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf new file mode 100644 index 0000000..d33beaf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f02 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f02_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F02 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf new file mode 100644 index 0000000..d88139a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f03 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f03_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F03 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf new file mode 100644 index 0000000..fb4e900 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f04 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f04_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F04 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf new file mode 100644 index 0000000..d486a2f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f05 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f05_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F05 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf index 2b388ee..cfa2bf2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F06 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf new file mode 100644 index 0000000..dd3dbc9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f07 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f07_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F07 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf new file mode 100644 index 0000000..ce5c372 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f08 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f08_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F08 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf new file mode 100644 index 0000000..cb1674c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f09 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f09_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F09 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf new file mode 100644 index 0000000..aaef77c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f10 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f10_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F10 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf new file mode 100644 index 0000000..d62b985 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f100 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f100_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf new file mode 100644 index 0000000..5725afc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f101 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f101_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf new file mode 100644 index 0000000..354fea8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f102 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f102_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf new file mode 100644 index 0000000..66af597 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f103 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f103_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf new file mode 100644 index 0000000..48a4f64 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f104 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f104_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf new file mode 100644 index 0000000..95a9d3d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f105 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f105_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf new file mode 100644 index 0000000..031774a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f106 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f106_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf new file mode 100644 index 0000000..4f2cd69 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f107 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f107_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf new file mode 100644 index 0000000..94a862f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f108 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f108_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf new file mode 100644 index 0000000..eea4505 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f109 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f109_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf new file mode 100644 index 0000000..5beac26 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f11 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f11_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F11 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf new file mode 100644 index 0000000..22e93df --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f110 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f110_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf new file mode 100644 index 0000000..9306d51 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f111 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f111_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf new file mode 100644 index 0000000..7cdcd3a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f112 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f112_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf new file mode 100644 index 0000000..539e8a1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f113 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f113_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf new file mode 100644 index 0000000..1c93e8a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f114 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f114_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf new file mode 100644 index 0000000..1190c24 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f115 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f115_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf new file mode 100644 index 0000000..7d389ea --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f116 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f116_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf new file mode 100644 index 0000000..0230c3c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f117 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f117_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf new file mode 100644 index 0000000..d32e76f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f118 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f118_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf new file mode 100644 index 0000000..d0fafc1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f119 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f119_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf new file mode 100644 index 0000000..374e644 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f12 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f12_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F12 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf new file mode 100644 index 0000000..03503a0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f120 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f120_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf new file mode 100644 index 0000000..f31eb34 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f123 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f123_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf new file mode 100644 index 0000000..35cfc97 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f126 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f126_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf new file mode 100644 index 0000000..bff0e91 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f129 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f129_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf new file mode 100644 index 0000000..4899125 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f13 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f13_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F13 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf new file mode 100644 index 0000000..371f9ed --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f132 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f132_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf new file mode 100644 index 0000000..151bab5 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f135 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f135_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf new file mode 100644 index 0000000..1aa187d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f138 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f138_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf new file mode 100644 index 0000000..3fa0e14 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f14 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f14_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F14 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf new file mode 100644 index 0000000..94cd747 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f141 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f141_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf new file mode 100644 index 0000000..adcc1ea --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f144 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f144_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf new file mode 100644 index 0000000..22d8ff0 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f147 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f147_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf new file mode 100644 index 0000000..81367d6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f15 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f15_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F15 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf new file mode 100644 index 0000000..ed71266 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f150 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f150_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf new file mode 100644 index 0000000..247b1a6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f153 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f153_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf new file mode 100644 index 0000000..d0ea37b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f156 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f156_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf new file mode 100644 index 0000000..bb4cf49 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f159 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f159_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf new file mode 100644 index 0000000..87e22d7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f16 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f16_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F16 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf new file mode 100644 index 0000000..f4cc0fc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f162 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f162_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf new file mode 100644 index 0000000..497e7f1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f165 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f165_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf new file mode 100644 index 0000000..dd3cb7d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f168 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f168_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf new file mode 100644 index 0000000..436e380 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f17 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f17_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F17 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf new file mode 100644 index 0000000..f9cd5cf --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f171 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f171_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf new file mode 100644 index 0000000..5ef8f08 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f174 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f174_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf new file mode 100644 index 0000000..84ef9f6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f177 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f177_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf new file mode 100644 index 0000000..c3e3657 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f18 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f18_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F18 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf new file mode 100644 index 0000000..28b4cfb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f180 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f180_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf new file mode 100644 index 0000000..1ce8970 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f183 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f183_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf new file mode 100644 index 0000000..7530c7d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f186 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f186_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf new file mode 100644 index 0000000..1b90f28 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f189 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f189_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf new file mode 100644 index 0000000..6462b1a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f19 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f19_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F19 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf new file mode 100644 index 0000000..804cec4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f192 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f192_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf new file mode 100644 index 0000000..f4ddb7b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f195 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f195_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf new file mode 100644 index 0000000..444b633 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f198 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f198_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf new file mode 100644 index 0000000..6705e9e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f20 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f20_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F20 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf new file mode 100644 index 0000000..2a28866 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f201 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f201_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf new file mode 100644 index 0000000..e98d72f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f204 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f204_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf new file mode 100644 index 0000000..bb5e694 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f207 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f207_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf new file mode 100644 index 0000000..a20f7ef --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f21 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f21_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F21 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf new file mode 100644 index 0000000..f8d307a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f210 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f210_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf new file mode 100644 index 0000000..2a7ee67 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f213 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f213_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf new file mode 100644 index 0000000..9bc5ed8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f216 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f216_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf new file mode 100644 index 0000000..cc6320a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f219 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f219_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf new file mode 100644 index 0000000..ede0601 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f22 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f22_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F22 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf new file mode 100644 index 0000000..18125ce --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f222 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f222_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf new file mode 100644 index 0000000..16c8377 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f225 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f225_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf new file mode 100644 index 0000000..57a6604 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f228 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f228_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf new file mode 100644 index 0000000..ecf30b3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f23 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f23_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F23 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf new file mode 100644 index 0000000..6986c20 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f231 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f231_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf new file mode 100644 index 0000000..0b07b10 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f234 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f234_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf new file mode 100644 index 0000000..67f6965 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f237 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f237_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf new file mode 100644 index 0000000..4b7aae4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f24 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f24_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F24 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf index f218bed..52c48ac 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf new file mode 100644 index 0000000..06cc2c4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f25 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f25_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F25 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf new file mode 100644 index 0000000..3537e3c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f252 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f252_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf new file mode 100644 index 0000000..f11863a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f26 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f26_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F26 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf new file mode 100644 index 0000000..da38a1a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f264 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f264_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf new file mode 100644 index 0000000..421c406 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f27 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f27_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F27 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf new file mode 100644 index 0000000..fa03e2d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f276 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f276_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf new file mode 100644 index 0000000..ca48731 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f28 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f28_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F28 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf new file mode 100644 index 0000000..87df796 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f288 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f288_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf new file mode 100644 index 0000000..794de15 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f29 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f29_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F29 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf new file mode 100644 index 0000000..348d3ed --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f30 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f30_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F30 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf new file mode 100644 index 0000000..a164f11 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f300 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f300_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf new file mode 100644 index 0000000..1339cbd --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f31 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f31_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F31 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf new file mode 100644 index 0000000..bc5f248 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f312 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f312_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf new file mode 100644 index 0000000..7ef94b8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f32 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f32_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F32 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf new file mode 100644 index 0000000..fa88006 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f324 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f324_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf new file mode 100644 index 0000000..83634a1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f33 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f33_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F33 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf new file mode 100644 index 0000000..c726e99 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f336 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f336_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf new file mode 100644 index 0000000..844e348 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f34 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f34_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F34 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf new file mode 100644 index 0000000..13fe822 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f348 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f348_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf new file mode 100644 index 0000000..1c3b581 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f35 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f35_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F35 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf new file mode 100644 index 0000000..051227c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f36 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f36_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F36 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf new file mode 100644 index 0000000..0154ac9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f360 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f360_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf new file mode 100644 index 0000000..cdc9dbc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f37 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f37_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F37 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf new file mode 100644 index 0000000..afc7ba1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f372 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f372_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf new file mode 100644 index 0000000..4b9de22 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f38 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f38_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F38 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf new file mode 100644 index 0000000..2020efc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f384 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f384_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf new file mode 100644 index 0000000..64a9a97 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f39 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f39_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F39 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf new file mode 100644 index 0000000..4a87b0c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f40 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f40_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F40 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf new file mode 100644 index 0000000..a5b92bb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f41 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f41_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F41 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf new file mode 100644 index 0000000..3df6e13 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f42 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f42_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F42 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf new file mode 100644 index 0000000..a43d18a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f43 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f43_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F43 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf new file mode 100644 index 0000000..d0cb547 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f44 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f44_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F44 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf new file mode 100644 index 0000000..652f27a --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f45 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f45_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F45 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf new file mode 100644 index 0000000..f94e41f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f46 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f46_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F46 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf new file mode 100644 index 0000000..47226dd --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f47 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f47_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F47 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf new file mode 100644 index 0000000..227e882 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f48 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f48_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F48 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf new file mode 100644 index 0000000..abd01b3 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f49 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f49_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F49 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf new file mode 100644 index 0000000..5feab26 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f50 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f50_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F50 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf new file mode 100644 index 0000000..56667e4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f51 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f51_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F51 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf new file mode 100644 index 0000000..53fd0c7 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f52 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f52_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F52 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf new file mode 100644 index 0000000..daaec08 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f53 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f53_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F53 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf new file mode 100644 index 0000000..4a3b6c8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f54 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f54_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F54 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf new file mode 100644 index 0000000..a559b9c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f55 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f55_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F55 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf new file mode 100644 index 0000000..0ba8653 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f56 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f56_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F56 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf new file mode 100644 index 0000000..83e5c03 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f57 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f57_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F57 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf new file mode 100644 index 0000000..8f56133 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f58 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f58_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F58 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf new file mode 100644 index 0000000..a0d96d9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f59 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f59_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F59 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf new file mode 100644 index 0000000..e0b6774 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f60 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f60_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F60 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf new file mode 100644 index 0000000..17df620 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f61 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f61_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F61 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf new file mode 100644 index 0000000..52e4521 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f62 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f62_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F62 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf new file mode 100644 index 0000000..364bc9f --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f63 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f63_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F63 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf new file mode 100644 index 0000000..da86908 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f64 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f64_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F64 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf new file mode 100644 index 0000000..e823346 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f65 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f65_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F65 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf new file mode 100644 index 0000000..323118b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f66 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f66_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F66 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf new file mode 100644 index 0000000..3d82494 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f67 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f67_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F67 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf new file mode 100644 index 0000000..e6448b4 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f68 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f68_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F68 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf new file mode 100644 index 0000000..4935ad1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f69 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f69_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F69 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf new file mode 100644 index 0000000..06948f6 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f70 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f70_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F70 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf new file mode 100644 index 0000000..b728e42 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f71 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f71_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F71 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf new file mode 100644 index 0000000..f715fa8 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f72 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f72_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F72 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf new file mode 100644 index 0000000..f12b549 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f73 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f73_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F73 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf new file mode 100644 index 0000000..ba3198c --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f74 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f74_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F74 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf new file mode 100644 index 0000000..f77d4dc --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f75 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f75_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F75 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf new file mode 100644 index 0000000..1b4c82e --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f76 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f76_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F76 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf new file mode 100644 index 0000000..61efcda --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f77 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f77_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F77 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf new file mode 100644 index 0000000..31be811 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f78 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f78_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F78 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf new file mode 100644 index 0000000..1137557 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f79 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f79_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F79 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf new file mode 100644 index 0000000..1b00c60 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f80 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f80_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F80 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf new file mode 100644 index 0000000..92f08a2 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f81 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f81_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F81 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf new file mode 100644 index 0000000..0d739e1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f82 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f82_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F82 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf new file mode 100644 index 0000000..d4eb2bb --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f83 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f83_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F83 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf new file mode 100644 index 0000000..b855201 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f84 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f84_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F84 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf new file mode 100644 index 0000000..1f91e3d --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f85 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f85_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F85 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf new file mode 100644 index 0000000..b47aaed --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f86 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f86_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F86 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf new file mode 100644 index 0000000..e0500e1 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f87 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f87_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F87 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf new file mode 100644 index 0000000..6fee43b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f88 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f88_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F88 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf new file mode 100644 index 0000000..538fdce --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f89 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f89_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F89 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf new file mode 100644 index 0000000..746f928 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f90 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f90_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F90 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf new file mode 100644 index 0000000..24b9e57 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f91 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f91_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F91 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf new file mode 100644 index 0000000..92fce21 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f92 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f92_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F92 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf new file mode 100644 index 0000000..5520bc9 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f93 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f93_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F93 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf new file mode 100644 index 0000000..de0b7ba --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f94 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f94_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F94 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf new file mode 100644 index 0000000..ce1262b --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f95 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f95_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F95 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf new file mode 100644 index 0000000..846a043 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f96 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f96_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F96 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf new file mode 100644 index 0000000..5703b66 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f97 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f97_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F97 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf new file mode 100644 index 0000000..43bd691 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f98 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f98_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F98 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf new file mode 100644 index 0000000..5c83443 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f99 +#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f99_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_AWIPS_F99 + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf index 72ca961..e7a7bf7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F00 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf index 1415813..0de0d83 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F06 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf index 780906b..f2ac7e5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F102 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf index 2b671ee..7637047 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F108 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf index 1a5fcf1..6215b68 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F114 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf index f1a5c6b..e93d788 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F12 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf index 33cedb1..bde20f6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F120 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf index b7f3bfc..69cb691 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F18 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf index 85b25b4..2c136f7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F24 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf index 8202552..174fbec 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F30 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf index 3461a3d..38c22f1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F36 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf index 6d80056..adb49a3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F42 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf index 7e35a4f..90c146d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F48 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf index 0272a48..2887e6b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F54 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf index 03e2a12..703de29 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F60 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf index 02b4f9e..cc498f7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F66 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf index 9c4d760..c341d80 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F72 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf index 83a5818..bb6c883 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F78 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf index 98ce48d..733898d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F84 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf index c77c75d..e5bea3a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F90 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf index 46064b5..df35c2c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_WAFS_F96 %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_anl.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_anl.ecf index 0217286..5ea7647 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_anl.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f00.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f00.ecf index f274e8f..4dd568b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f00.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f01.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f01.ecf index 89e5612..bb71ff1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f01.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f01.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f02.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f02.ecf index cee01fd..a733d33 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f02.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f02.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f03.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f03.ecf index 8497273..f7ec346 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f03.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f03.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f04.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f04.ecf index 27e3185..f6b154d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f04.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f04.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f05.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f05.ecf index 0b787af..1eb124e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f05.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f05.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f06.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f06.ecf index d9deb0b..3bf03bb 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f06.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f07.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f07.ecf index 2d4b772..844064d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f07.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f07.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f08.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f08.ecf index 38552b0..e98aef7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f08.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f08.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f09.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f09.ecf index 5533bc3..397555a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f09.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f09.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f10.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f10.ecf index 2828893..c1497c9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f10.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f100.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f100.ecf index 750dec4..22657e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f100.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f101.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f101.ecf index f4601b9..6920c34 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f101.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f102.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f102.ecf index 984f7e4..113cdd1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f102.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f103.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f103.ecf index 840d44f..e16ecbb 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f103.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f104.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f104.ecf index b9d199f..985d92f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f104.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f105.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f105.ecf index 334b916..0309914 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f105.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f106.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f106.ecf index c6d8d13..ece1eb4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f106.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f107.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f107.ecf index 63b4514..ee459c1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f107.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f108.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f108.ecf index 87dffb6..60db5be 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f108.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f109.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f109.ecf index 5927af3..1e422d7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f109.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f11.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f11.ecf index 09ba320..02ca246 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f11.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f110.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f110.ecf index 861c032..6d03a9a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f110.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f111.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f111.ecf index 0a80654..4ecff8d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f111.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f112.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f112.ecf index 4be6407..7b17c4d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f112.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f113.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f113.ecf index 5a54cfb..3451cd9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f113.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f114.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f114.ecf index cab1a4d..dc4af08 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f114.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f115.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f115.ecf index da62181..73af0c0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f115.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f116.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f116.ecf index 419fee8..690a8ba 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f116.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f117.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f117.ecf index 2134fe8..ca26c01 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f117.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f118.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f118.ecf index cfadbf0..eea5f87 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f118.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f119.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f119.ecf index 9b178fa..e55f892 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f119.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f12.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f12.ecf index 34b833c..9130c57 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f12.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f120.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f120.ecf index d2192cc..9ce30da 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f120.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f123.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f123.ecf index e6c218e..9b71f34 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f123.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f126.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f126.ecf index 322453c..6603043 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f126.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f129.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f129.ecf index eba257b..629a2d7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f129.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f13.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f13.ecf index 087d7d9..5543812 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f13.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f132.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f132.ecf index 8c97ab8..b096da3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f132.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f135.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f135.ecf index f87518e..30e0880 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f135.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f138.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f138.ecf index 9b37feb..9555d9e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f138.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f14.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f14.ecf index 543f8d1..72b8add 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f14.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f141.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f141.ecf index bf9d057..1731252 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f141.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f144.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f144.ecf index a3a3330..9969354 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f144.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f147.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f147.ecf index 175930a..657915a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f147.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f15.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f15.ecf index 827259c..214015e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f15.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f150.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f150.ecf index ab2d64b..c599570 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f150.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f153.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f153.ecf index e96a680..0ba529a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f153.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f156.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f156.ecf index 0e7014a..5580fe2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f156.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f159.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f159.ecf index 04fe9e9..043496b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f159.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f16.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f16.ecf index f5bbd5b..cab490b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f16.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f162.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f162.ecf index 1df889f..13d610e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f162.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f165.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f165.ecf index 6af5608..b9da11b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f165.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f168.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f168.ecf index dc4195b..df472e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f168.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f17.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f17.ecf index 86bdd4a..01c1346 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f17.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f17.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f171.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f171.ecf index 0cd577d..96f0047 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f171.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f174.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f174.ecf index 3e0dd2d..bcc3189 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f174.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f177.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f177.ecf index 4ef1fe3..a113eb2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f177.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f18.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f18.ecf index 059d265..6411a5a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f18.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f180.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f180.ecf index 78da428..9129c7a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f180.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f183.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f183.ecf index 485f07a..8ff4dd8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f183.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f186.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f186.ecf index 8fb2e59..ced809f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f186.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f189.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f189.ecf index b2f7eed..c20d3ad 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f189.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f19.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f19.ecf index 159db26..d8f387a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f19.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f19.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f192.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f192.ecf index f8a044b..b4cfabf 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f192.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f195.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f195.ecf index 5de8f08..c564d67 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f195.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f198.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f198.ecf index bce8025..7c028f7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f198.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f20.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f20.ecf index df0ace6..d53c2be 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f20.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f20.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f201.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f201.ecf index 431e818..347b37e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f201.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f204.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f204.ecf index 116f24c..360208c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f204.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f207.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f207.ecf index dd3c798..a5ea60a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f207.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f21.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f21.ecf index 715e786..fea4ef4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f21.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f21.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f210.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f210.ecf index 5ef8135..936ff65 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f210.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f213.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f213.ecf index c7cb988..6ebd196 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f213.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f216.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f216.ecf index fb093a7..06e0c52 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f216.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f219.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f219.ecf index 2556a1f..828bc4c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f219.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f22.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f22.ecf index dbf26c3..421e8a9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f22.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f22.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f222.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f222.ecf index 342b2db..8fd024a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f222.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f225.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f225.ecf index 4bdec7d..4165b5f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f225.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f228.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f228.ecf index fbad4ec..8733118 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f228.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f23.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f23.ecf index c6e084b..09e2bfd 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f23.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f23.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f231.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f231.ecf index 91b6f03..c917dfe 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f231.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f234.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f234.ecf index 5d2d154..a10d688 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f234.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f237.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f237.ecf index c7d1771..21e126c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f237.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f24.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f24.ecf index 8413b03..35d22fe 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f24.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f240.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f240.ecf index 7002a9c..b53eaa4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f240.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f25.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f25.ecf index 98be9e8..d2e559a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f25.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f25.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f252.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f252.ecf index 453404b..35f517b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f252.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f26.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f26.ecf index 9054699..cb28cb7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f26.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f26.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f264.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f264.ecf index 43b1464..d1d73f1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f264.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f27.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f27.ecf index 60d8758..ec7ae01 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f27.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f27.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f276.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f276.ecf index 5abe1ba..8432565 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f276.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f28.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f28.ecf index 87da152..f5a6cc6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f28.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f28.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f288.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f288.ecf index da23ce9..b837780 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f288.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f29.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f29.ecf index f9d8bf3..65f1ab8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f29.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f29.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f30.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f30.ecf index 7c13679..5422b60 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f30.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f300.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f300.ecf index 30aead1..ba8b162 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f300.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f31.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f31.ecf index 9a1e5e1..82275e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f31.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f31.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f312.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f312.ecf index 70200c1..d0cfc7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f312.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f32.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f32.ecf index 41077a0..090e91f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f32.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f32.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f324.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f324.ecf index dccc89f..f5ed851 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f324.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f33.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f33.ecf index 0cdc68a..ff2eee3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f33.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f33.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f336.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f336.ecf index 4b67adf..f852789 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f336.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f34.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f34.ecf index 3a234e1..c38de68 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f34.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f34.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f348.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f348.ecf index 67f6540..f182069 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f348.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f35.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f35.ecf index 2de4def..ac55e7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f35.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f35.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f36.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f36.ecf index 7e62a6a..9270303 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f36.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f360.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f360.ecf index 701bbae..ff5c6f9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f360.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f37.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f37.ecf index ef0423c..9dc6e64 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f37.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f37.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f372.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f372.ecf index ac7cabb..9c1014a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f372.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f38.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f38.ecf index 6376158..ba8cb3f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f38.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f38.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f384.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f384.ecf index d476e7f..7f6d6a6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f384.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f39.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f39.ecf index 1781875..b02051d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f39.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f39.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f40.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f40.ecf index 02a0458..a154c0b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f40.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f40.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f41.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f41.ecf index a64d3d9..1dcd244 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f41.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f41.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f42.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f42.ecf index 612fac8..73b2014 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f42.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f43.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f43.ecf index 9a2dc64..08dd949 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f43.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f43.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f44.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f44.ecf index 6bda6c1..52580cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f44.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f44.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f45.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f45.ecf index 966429e..8644ae7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f45.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f45.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f46.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f46.ecf index 7b0e0ee..7f320ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f46.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f46.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f47.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f47.ecf index 69c5f1c..5a4ea16 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f47.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f47.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f48.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f48.ecf index 4cad6f8..c806f0a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f48.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f49.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f49.ecf index 4eb2156..df9584e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f49.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f49.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f50.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f50.ecf index 8bd6b8e..46dcf81 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f50.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f50.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f51.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f51.ecf index 579dace..be69fcf 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f51.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f51.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f52.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f52.ecf index 3964a08..be0a82d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f52.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f52.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f53.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f53.ecf index c7a1fd9..cd5a589 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f53.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f53.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f54.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f54.ecf index fb4118e..3cc8da6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f54.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f55.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f55.ecf index 287120e..5480e05 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f55.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f55.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f56.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f56.ecf index 7548d29..e61e6de 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f56.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f56.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f57.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f57.ecf index 7d1f63b..68834e5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f57.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f57.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f58.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f58.ecf index 57ea54c..cb103f6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f58.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f58.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f59.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f59.ecf index eeca85d..621ceec 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f59.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f59.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f60.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f60.ecf index 6d96107..8c26227 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f60.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f61.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f61.ecf index ad3936d..e462bba 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f61.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f61.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f62.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f62.ecf index 7a13e45..ebb9d19 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f62.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f62.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f63.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f63.ecf index 7a9e449..ceb1631 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f63.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f63.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f64.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f64.ecf index ebaa4cf..da621df 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f64.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f64.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f65.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f65.ecf index 0be9a42..98de665 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f65.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f65.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f66.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f66.ecf index c968b44..7fc5680 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f66.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f67.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f67.ecf index b323a0f..c0eb12f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f67.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f67.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f68.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f68.ecf index ecc2d32..1f61252 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f68.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f68.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f69.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f69.ecf index b72fe63..3088334 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f69.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f69.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f70.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f70.ecf index c7eb55c..9c2b0c9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f70.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f70.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f71.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f71.ecf index a8b386f..0b93e1d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f71.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f71.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f72.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f72.ecf index a9d253f..6808514 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f72.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f73.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f73.ecf index e9222c1..e5618d5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f73.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f73.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f74.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f74.ecf index 5b22439..afa66b8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f74.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f74.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f75.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f75.ecf index 0acb3a9..6a16332 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f75.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f75.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f76.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f76.ecf index 91ec20d..c455ab6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f76.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f76.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f77.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f77.ecf index 0b1f2e3..e0cd2ac 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f77.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f77.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f78.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f78.ecf index a4233b9..413f968 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f78.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f79.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f79.ecf index 8b349f3..0d8b25b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f79.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f79.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f80.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f80.ecf index da35659..b30321e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f80.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f80.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f81.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f81.ecf index 51d59a0..df968cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f81.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f81.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f82.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f82.ecf index e66b58d..93c875f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f82.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f82.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f83.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f83.ecf index bf0037d..0358e18 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f83.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f83.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f84.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f84.ecf index 5a9b7a9..2c2331c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f84.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f85.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f85.ecf index 7eea41f..a031e30 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f85.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f85.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f86.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f86.ecf index 144eb09..e267494 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f86.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f86.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f87.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f87.ecf index 8ad6749..2ff1289 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f87.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f87.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f88.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f88.ecf index 695cc2b..bd4dc74 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f88.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f88.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f89.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f89.ecf index 48b69dd..779707a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f89.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f89.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f90.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f90.ecf index 996594c..540ebb0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f90.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f91.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f91.ecf index b45e4ad..8e9157d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f91.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f91.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f92.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f92.ecf index 1535dc5..584d5b0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f92.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f92.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f93.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f93.ecf index faf0e22..64bd6fa 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f93.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f93.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f94.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f94.ecf index 1fb99ec..657475d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f94.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f94.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f95.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f95.ecf index e45f015..199e447 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f95.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f95.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f96.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f96.ecf index 2c1e006..b814bf6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f96.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f97.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f97.ecf index 76273aa..1c9d436 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f97.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f97.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f98.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f98.ecf index e84114b..193a1e0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f98.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f98.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f99.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f99.ecf index 4735a70..d12fbcc 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f99.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f99.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGLOBAL_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_manager.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_manager.ecf index a9a6ea3..96411cd 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_manager.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_manager.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_PGRB2_MANAGER %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf index 3a53c88..84962fb 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_EMCSFC_SFC_PREP %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf index 2060458..2fa9acd 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf @@ -37,7 +37,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_PREP %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf index bd21eff..3e34d93 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_PREP_POST %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_even.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_even.ecf index 17b33f4..061851c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_even.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_even.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_SMINIT_GUAM_EVEN %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf index fad116a..2b73593 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf @@ -36,7 +36,9 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_SMINIT_GUAM_ODD %include %manual +# FIXME: Insert manual for this job. + diff --git a/model/ecflow_fv3gfs/settings.yaml b/model/ecflow_fv3gfs/settings.yaml index d15c36e..c009a92 100644 --- a/model/ecflow_fv3gfs/settings.yaml +++ b/model/ecflow_fv3gfs/settings.yaml @@ -1,6 +1,6 @@ settings: NMEM_ENKF: 80 - ENKF_GROUPS: 16 + ENKF_GROUPS: 8 ENKF_INNOVATE_GROUPS: !calc ENKF_GROUPS ENKF_FORECAST_GROUPS: !calc ENKF_GROUPS diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index 8c96fb9..5e9fd25 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -59,11 +59,14 @@ suite: !Cycle #edit ECF_HOME '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3' edit CYC '{tools.strftime(suite.Clock.now,"%H")}' edit ENVIR 'prod' + edit PROJ '{doc.settings.PROJECT}' edit E 'jecffv3' #edit QUEUE 'dev' edit QUEUE '{doc.settings.QUEUE}' edit PROJENVIR '{doc.settings.PROJECT}' + #edit EMCPEN 'ecfgfsfv3' edit EMCPEN '{doc.settings.experiment_name}' + #edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' edit COM '{doc.settings.COM}' edit QUEUESERV '{doc.settings.QUEUESERV}' edit DATAROOT '{doc.settings.DATAROOT}' @@ -73,7 +76,7 @@ suite: !Cycle edit MODEL_NAME 'gfs' gfs: !Family - ecflow_def: | + ecflow_def: !expand | edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' edit PROJ '%PROJENVIR%' @@ -218,8 +221,9 @@ suite: !Cycle jgfs_cyclone_tracker: !Task <<: *exclusive_task_template - # Very long trigger up.up.post.jgfs_post_f00-f180 & up.up.prdgen.jgfs_pgrb2_f00-f180 - Trigger: !Depend ( up.up.post.jgfs_post_f00 & up.up.post.jgfs_post_f06 & up.up.prdgen.jgfs_pgrb2_f00 & up.up.prdgen.jgfs_pgrb2_f06 ) + Trigger: !Depend >- + up.up.post.depend("jgfs_post_f{N:02d}",N=tools.seq(0,180,6)) + & up.up.prdgen.depend("jgfs_pgrb2_f{N:02d}",N=tools.seq(0,180,6)) resources: !calc ( doc.resource_demo.run_nothing ) grib2_wafs: !Family @@ -234,73 +238,54 @@ suite: !Cycle #Time: !timedelta +4:33:00 resources: !calc ( doc.resource_demo.run_nothing ) - grib_awips: !Family - jgfs_awips_f00: !Task - ecflow_def: | - edit FCSTHR '00' - <<: *exclusive_task_template - Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f00 - resources: !calc ( doc.resource_demo.run_nothing ) - - jgfs_awips_f06: !Task - ecflow_def: | - edit FCSTHR '06' - <<: *exclusive_task_template - Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f06 - resources: !calc ( doc.resource_demo.run_nothing ) - + grib_awips: !TaskArray + Dimensions: + fhr: !calc doc.settings.forecast_hours # tasks every 6 hours till f240 - - jgfs_awips_f240: !Task - ecflow_def: | - edit FCSTHR '240' + jgfs_awips: !TaskElement <<: *exclusive_task_template - Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f240 + Foreach: [ fhr ] + Name: !expand "jgfs_awips_f{idx.fhr:02d}" + Trigger: !Depend up.up.prdgen.depend('jgfs_pgrb2_f{N:02d}',N=idx.fhr) resources: !calc ( doc.resource_demo.run_nothing ) + ecflow_def: !expand | + edit FCSTHR '{idx.fhr:02d}' - awips_1p0deg: !Family + awips_1p0deg: !TaskArray + Dimensions: + fhr: !calc doc.settings.forecast_hours ecflow_def: | edit RES '1p0deg' edit RESC '1P0DEG' #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - jgfs_awips_f000: !Task - ecflow_def: | - edit FCSTHR '000' - <<: *exclusive_task_template - Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f00 - resources: !calc ( doc.resource_demo.run_nothing ) - - jgfs_awips_f006: !Task - ecflow_def: | - edit FCSTHR '006' + jgfs_awips: !TaskElement <<: *exclusive_task_template - Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f06 - resources: !calc ( doc.resource_demo.run_nothing ) - - # tasks every 6 hours till f240 - - jgfs_awips_f240: !Task - ecflow_def: | - edit FCSTHR '240' - <<: *exclusive_task_template - Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f240 + Foreach: [ fhr ] + Name: !expand "jgfs_awips_f{idx.fhr:03d}" + Trigger: !Depend up.up.prdgen.depend('jgfs_pgrb2_f{N:02d}',N=idx.fhr) resources: !calc ( doc.resource_demo.run_nothing ) + ecflow_def: !expand | + edit FCSTHR '{idx.fhr:03d}' - awips_20km: !Family + awips_20km: !TaskArray + Dimensions: + fhr: !calc doc.settings.forecast_hours ecflow_def: | edit RES '20km' edit RESC '20KM' #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - - jgfs_awips_f000: !Task - ecflow_def: | - edit FCSTHR '000' + # tasks every 3 hours till f084, then every 6 hours till f240 + jgfs_awips: !TaskElement <<: *exclusive_task_template - Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f00 + Foreach: [ fhr ] + Name: !expand "jgfs_awips_f{idx.fhr:03d}" + Trigger: !Depend up.up.prdgen.depend('jgfs_pgrb2_f{N:02d}',N=idx.fhr) resources: !calc ( doc.resource_demo.run_nothing ) + ecflow_def: !expand | + edit FCSTHR '{idx.fhr:03d}' post: !TaskArray Dimensions: @@ -315,10 +300,6 @@ suite: !Cycle Name: !expand "release_post{idx.fhr:02d}" Foreach: [ fhr ] file: "/dev/null" - - # release_post00: !DataEvent {file="/dev/null"} - # # events from release_post00 to release_post384 - # release_post384: !DataEvent {file="/dev/null"} resources: !calc ( doc.resource_demo.run_nothing ) jgfs_post_anl: !Task @@ -333,10 +314,16 @@ suite: !Cycle jgfs_post_f: !TaskElement <<: *exclusive_task_template Name: !expand jgfs_post_f{idx.fhr:02d} - ecflow_def: | + ecflow_def: !expand | edit FHR: 'f{idx.fhr:02d}' edit HR: '{idx.fhr:02d}' Trigger: !Depend jgfs_post_manager.depend('release_post{N:02d}',N=idx.fhr) + resources: !calc ( doc.resource_demo.run_nothing ) + + jgfs_pgrb2_spec_post: !Task + <<: *exclusive_task_template + Trigger: !Depend ( jgfs_post_f336 & jgfs_post_f348 & jgfs_post_f360 & jgfs_post_f372 & jgfs_post_f384 ) + resources: !calc ( doc.resource_demo.run_nothing ) prdgen: !TaskArray Dimensions: @@ -347,7 +334,8 @@ suite: !Cycle Foreach: [] Name: jgfs_pgrb2_manager Trigger: !Depend ( up.post ) - release_postanl: !DataEvent {file="/dev/null"} + #release_postanl: !DataEvent {file="/dev/null"} + ecflow_first_event_number: 2 release_post_fhr: !DataEventElement Name: !expand "release_post{idx.fhr:02d}" Foreach: [ fhr ] From 40ea60453d6cbaa096fc607b343eadb5b03e85d3 Mon Sep 17 00:00:00 2001 From: Bin Liu Date: Tue, 13 Feb 2018 21:18:50 +0000 Subject: [PATCH 326/487] ecflow: Update suite_def.yaml. --- model/ecflow_fv3gfs/defs/prod00.def | 346 ++++++++++++++-------------- model/ecflow_fv3gfs/defs/prod06.def | 346 ++++++++++++++-------------- model/ecflow_fv3gfs/defs/prod12.def | 346 ++++++++++++++-------------- model/ecflow_fv3gfs/defs/prod18.def | 346 ++++++++++++++-------------- model/ecflow_fv3gfs/suite_def.yaml | 2 +- 5 files changed, 693 insertions(+), 693 deletions(-) diff --git a/model/ecflow_fv3gfs/defs/prod00.def b/model/ecflow_fv3gfs/defs/prod00.def index dbbf459..eb167d7 100644 --- a/model/ecflow_fv3gfs/defs/prod00.def +++ b/model/ecflow_fv3gfs/defs/prod00.def @@ -3355,179 +3355,179 @@ suite prod00 endtask task jgfs_pgrb2_manager trigger ../post == complete - event 2 release_post00 - event 3 release_post01 - event 4 release_post02 - event 5 release_post03 - event 6 release_post04 - event 7 release_post05 - event 8 release_post06 - event 9 release_post07 - event 10 release_post08 - event 11 release_post09 - event 12 release_post10 - event 13 release_post11 - event 14 release_post12 - event 15 release_post13 - event 16 release_post14 - event 17 release_post15 - event 18 release_post16 - event 19 release_post17 - event 20 release_post18 - event 21 release_post19 - event 22 release_post20 - event 23 release_post21 - event 24 release_post22 - event 25 release_post23 - event 26 release_post24 - event 27 release_post25 - event 28 release_post26 - event 29 release_post27 - event 30 release_post28 - event 31 release_post29 - event 32 release_post30 - event 33 release_post31 - event 34 release_post32 - event 35 release_post33 - event 36 release_post34 - event 37 release_post35 - event 38 release_post36 - event 39 release_post37 - event 40 release_post38 - event 41 release_post39 - event 42 release_post40 - event 43 release_post41 - event 44 release_post42 - event 45 release_post43 - event 46 release_post44 - event 47 release_post45 - event 48 release_post46 - event 49 release_post47 - event 50 release_post48 - event 51 release_post49 - event 52 release_post50 - event 53 release_post51 - event 54 release_post52 - event 55 release_post53 - event 56 release_post54 - event 57 release_post55 - event 58 release_post56 - event 59 release_post57 - event 60 release_post58 - event 61 release_post59 - event 62 release_post60 - event 63 release_post61 - event 64 release_post62 - event 65 release_post63 - event 66 release_post64 - event 67 release_post65 - event 68 release_post66 - event 69 release_post67 - event 70 release_post68 - event 71 release_post69 - event 72 release_post70 - event 73 release_post71 - event 74 release_post72 - event 75 release_post73 - event 76 release_post74 - event 77 release_post75 - event 78 release_post76 - event 79 release_post77 - event 80 release_post78 - event 81 release_post79 - event 82 release_post80 - event 83 release_post81 - event 84 release_post82 - event 85 release_post83 - event 86 release_post84 - event 87 release_post85 - event 88 release_post86 - event 89 release_post87 - event 90 release_post88 - event 91 release_post89 - event 92 release_post90 - event 93 release_post91 - event 94 release_post92 - event 95 release_post93 - event 96 release_post94 - event 97 release_post95 - event 98 release_post96 - event 99 release_post97 - event 100 release_post98 - event 101 release_post99 - event 102 release_post100 - event 103 release_post101 - event 104 release_post102 - event 105 release_post103 - event 106 release_post104 - event 107 release_post105 - event 108 release_post106 - event 109 release_post107 - event 110 release_post108 - event 111 release_post109 - event 112 release_post110 - event 113 release_post111 - event 114 release_post112 - event 115 release_post113 - event 116 release_post114 - event 117 release_post115 - event 118 release_post116 - event 119 release_post117 - event 120 release_post118 - event 121 release_post119 - event 122 release_post120 - event 123 release_post123 - event 124 release_post126 - event 125 release_post129 - event 126 release_post132 - event 127 release_post135 - event 128 release_post138 - event 129 release_post141 - event 130 release_post144 - event 131 release_post147 - event 132 release_post150 - event 133 release_post153 - event 134 release_post156 - event 135 release_post159 - event 136 release_post162 - event 137 release_post165 - event 138 release_post168 - event 139 release_post171 - event 140 release_post174 - event 141 release_post177 - event 142 release_post180 - event 143 release_post183 - event 144 release_post186 - event 145 release_post189 - event 146 release_post192 - event 147 release_post195 - event 148 release_post198 - event 149 release_post201 - event 150 release_post204 - event 151 release_post207 - event 152 release_post210 - event 153 release_post213 - event 154 release_post216 - event 155 release_post219 - event 156 release_post222 - event 157 release_post225 - event 158 release_post228 - event 159 release_post231 - event 160 release_post234 - event 161 release_post237 - event 162 release_post240 - event 163 release_post252 - event 164 release_post264 - event 165 release_post276 - event 166 release_post288 - event 167 release_post300 - event 168 release_post312 - event 169 release_post324 - event 170 release_post336 - event 171 release_post348 - event 172 release_post360 - event 173 release_post372 - event 174 release_post384 + event 2 release_pgrb2_00 + event 3 release_pgrb2_01 + event 4 release_pgrb2_02 + event 5 release_pgrb2_03 + event 6 release_pgrb2_04 + event 7 release_pgrb2_05 + event 8 release_pgrb2_06 + event 9 release_pgrb2_07 + event 10 release_pgrb2_08 + event 11 release_pgrb2_09 + event 12 release_pgrb2_10 + event 13 release_pgrb2_11 + event 14 release_pgrb2_12 + event 15 release_pgrb2_13 + event 16 release_pgrb2_14 + event 17 release_pgrb2_15 + event 18 release_pgrb2_16 + event 19 release_pgrb2_17 + event 20 release_pgrb2_18 + event 21 release_pgrb2_19 + event 22 release_pgrb2_20 + event 23 release_pgrb2_21 + event 24 release_pgrb2_22 + event 25 release_pgrb2_23 + event 26 release_pgrb2_24 + event 27 release_pgrb2_25 + event 28 release_pgrb2_26 + event 29 release_pgrb2_27 + event 30 release_pgrb2_28 + event 31 release_pgrb2_29 + event 32 release_pgrb2_30 + event 33 release_pgrb2_31 + event 34 release_pgrb2_32 + event 35 release_pgrb2_33 + event 36 release_pgrb2_34 + event 37 release_pgrb2_35 + event 38 release_pgrb2_36 + event 39 release_pgrb2_37 + event 40 release_pgrb2_38 + event 41 release_pgrb2_39 + event 42 release_pgrb2_40 + event 43 release_pgrb2_41 + event 44 release_pgrb2_42 + event 45 release_pgrb2_43 + event 46 release_pgrb2_44 + event 47 release_pgrb2_45 + event 48 release_pgrb2_46 + event 49 release_pgrb2_47 + event 50 release_pgrb2_48 + event 51 release_pgrb2_49 + event 52 release_pgrb2_50 + event 53 release_pgrb2_51 + event 54 release_pgrb2_52 + event 55 release_pgrb2_53 + event 56 release_pgrb2_54 + event 57 release_pgrb2_55 + event 58 release_pgrb2_56 + event 59 release_pgrb2_57 + event 60 release_pgrb2_58 + event 61 release_pgrb2_59 + event 62 release_pgrb2_60 + event 63 release_pgrb2_61 + event 64 release_pgrb2_62 + event 65 release_pgrb2_63 + event 66 release_pgrb2_64 + event 67 release_pgrb2_65 + event 68 release_pgrb2_66 + event 69 release_pgrb2_67 + event 70 release_pgrb2_68 + event 71 release_pgrb2_69 + event 72 release_pgrb2_70 + event 73 release_pgrb2_71 + event 74 release_pgrb2_72 + event 75 release_pgrb2_73 + event 76 release_pgrb2_74 + event 77 release_pgrb2_75 + event 78 release_pgrb2_76 + event 79 release_pgrb2_77 + event 80 release_pgrb2_78 + event 81 release_pgrb2_79 + event 82 release_pgrb2_80 + event 83 release_pgrb2_81 + event 84 release_pgrb2_82 + event 85 release_pgrb2_83 + event 86 release_pgrb2_84 + event 87 release_pgrb2_85 + event 88 release_pgrb2_86 + event 89 release_pgrb2_87 + event 90 release_pgrb2_88 + event 91 release_pgrb2_89 + event 92 release_pgrb2_90 + event 93 release_pgrb2_91 + event 94 release_pgrb2_92 + event 95 release_pgrb2_93 + event 96 release_pgrb2_94 + event 97 release_pgrb2_95 + event 98 release_pgrb2_96 + event 99 release_pgrb2_97 + event 100 release_pgrb2_98 + event 101 release_pgrb2_99 + event 102 release_pgrb2_100 + event 103 release_pgrb2_101 + event 104 release_pgrb2_102 + event 105 release_pgrb2_103 + event 106 release_pgrb2_104 + event 107 release_pgrb2_105 + event 108 release_pgrb2_106 + event 109 release_pgrb2_107 + event 110 release_pgrb2_108 + event 111 release_pgrb2_109 + event 112 release_pgrb2_110 + event 113 release_pgrb2_111 + event 114 release_pgrb2_112 + event 115 release_pgrb2_113 + event 116 release_pgrb2_114 + event 117 release_pgrb2_115 + event 118 release_pgrb2_116 + event 119 release_pgrb2_117 + event 120 release_pgrb2_118 + event 121 release_pgrb2_119 + event 122 release_pgrb2_120 + event 123 release_pgrb2_123 + event 124 release_pgrb2_126 + event 125 release_pgrb2_129 + event 126 release_pgrb2_132 + event 127 release_pgrb2_135 + event 128 release_pgrb2_138 + event 129 release_pgrb2_141 + event 130 release_pgrb2_144 + event 131 release_pgrb2_147 + event 132 release_pgrb2_150 + event 133 release_pgrb2_153 + event 134 release_pgrb2_156 + event 135 release_pgrb2_159 + event 136 release_pgrb2_162 + event 137 release_pgrb2_165 + event 138 release_pgrb2_168 + event 139 release_pgrb2_171 + event 140 release_pgrb2_174 + event 141 release_pgrb2_177 + event 142 release_pgrb2_180 + event 143 release_pgrb2_183 + event 144 release_pgrb2_186 + event 145 release_pgrb2_189 + event 146 release_pgrb2_192 + event 147 release_pgrb2_195 + event 148 release_pgrb2_198 + event 149 release_pgrb2_201 + event 150 release_pgrb2_204 + event 151 release_pgrb2_207 + event 152 release_pgrb2_210 + event 153 release_pgrb2_213 + event 154 release_pgrb2_216 + event 155 release_pgrb2_219 + event 156 release_pgrb2_222 + event 157 release_pgrb2_225 + event 158 release_pgrb2_228 + event 159 release_pgrb2_231 + event 160 release_pgrb2_234 + event 161 release_pgrb2_237 + event 162 release_pgrb2_240 + event 163 release_pgrb2_252 + event 164 release_pgrb2_264 + event 165 release_pgrb2_276 + event 166 release_pgrb2_288 + event 167 release_pgrb2_300 + event 168 release_pgrb2_312 + event 169 release_pgrb2_324 + event 170 release_pgrb2_336 + event 171 release_pgrb2_348 + event 172 release_pgrb2_360 + event 173 release_pgrb2_372 + event 174 release_pgrb2_384 endtask task jgfs_pgrb2_f00 edit FHR '00' diff --git a/model/ecflow_fv3gfs/defs/prod06.def b/model/ecflow_fv3gfs/defs/prod06.def index 6305876..1834dfb 100644 --- a/model/ecflow_fv3gfs/defs/prod06.def +++ b/model/ecflow_fv3gfs/defs/prod06.def @@ -3355,179 +3355,179 @@ suite prod06 endtask task jgfs_pgrb2_manager trigger ../post == complete - event 2 release_post00 - event 3 release_post01 - event 4 release_post02 - event 5 release_post03 - event 6 release_post04 - event 7 release_post05 - event 8 release_post06 - event 9 release_post07 - event 10 release_post08 - event 11 release_post09 - event 12 release_post10 - event 13 release_post11 - event 14 release_post12 - event 15 release_post13 - event 16 release_post14 - event 17 release_post15 - event 18 release_post16 - event 19 release_post17 - event 20 release_post18 - event 21 release_post19 - event 22 release_post20 - event 23 release_post21 - event 24 release_post22 - event 25 release_post23 - event 26 release_post24 - event 27 release_post25 - event 28 release_post26 - event 29 release_post27 - event 30 release_post28 - event 31 release_post29 - event 32 release_post30 - event 33 release_post31 - event 34 release_post32 - event 35 release_post33 - event 36 release_post34 - event 37 release_post35 - event 38 release_post36 - event 39 release_post37 - event 40 release_post38 - event 41 release_post39 - event 42 release_post40 - event 43 release_post41 - event 44 release_post42 - event 45 release_post43 - event 46 release_post44 - event 47 release_post45 - event 48 release_post46 - event 49 release_post47 - event 50 release_post48 - event 51 release_post49 - event 52 release_post50 - event 53 release_post51 - event 54 release_post52 - event 55 release_post53 - event 56 release_post54 - event 57 release_post55 - event 58 release_post56 - event 59 release_post57 - event 60 release_post58 - event 61 release_post59 - event 62 release_post60 - event 63 release_post61 - event 64 release_post62 - event 65 release_post63 - event 66 release_post64 - event 67 release_post65 - event 68 release_post66 - event 69 release_post67 - event 70 release_post68 - event 71 release_post69 - event 72 release_post70 - event 73 release_post71 - event 74 release_post72 - event 75 release_post73 - event 76 release_post74 - event 77 release_post75 - event 78 release_post76 - event 79 release_post77 - event 80 release_post78 - event 81 release_post79 - event 82 release_post80 - event 83 release_post81 - event 84 release_post82 - event 85 release_post83 - event 86 release_post84 - event 87 release_post85 - event 88 release_post86 - event 89 release_post87 - event 90 release_post88 - event 91 release_post89 - event 92 release_post90 - event 93 release_post91 - event 94 release_post92 - event 95 release_post93 - event 96 release_post94 - event 97 release_post95 - event 98 release_post96 - event 99 release_post97 - event 100 release_post98 - event 101 release_post99 - event 102 release_post100 - event 103 release_post101 - event 104 release_post102 - event 105 release_post103 - event 106 release_post104 - event 107 release_post105 - event 108 release_post106 - event 109 release_post107 - event 110 release_post108 - event 111 release_post109 - event 112 release_post110 - event 113 release_post111 - event 114 release_post112 - event 115 release_post113 - event 116 release_post114 - event 117 release_post115 - event 118 release_post116 - event 119 release_post117 - event 120 release_post118 - event 121 release_post119 - event 122 release_post120 - event 123 release_post123 - event 124 release_post126 - event 125 release_post129 - event 126 release_post132 - event 127 release_post135 - event 128 release_post138 - event 129 release_post141 - event 130 release_post144 - event 131 release_post147 - event 132 release_post150 - event 133 release_post153 - event 134 release_post156 - event 135 release_post159 - event 136 release_post162 - event 137 release_post165 - event 138 release_post168 - event 139 release_post171 - event 140 release_post174 - event 141 release_post177 - event 142 release_post180 - event 143 release_post183 - event 144 release_post186 - event 145 release_post189 - event 146 release_post192 - event 147 release_post195 - event 148 release_post198 - event 149 release_post201 - event 150 release_post204 - event 151 release_post207 - event 152 release_post210 - event 153 release_post213 - event 154 release_post216 - event 155 release_post219 - event 156 release_post222 - event 157 release_post225 - event 158 release_post228 - event 159 release_post231 - event 160 release_post234 - event 161 release_post237 - event 162 release_post240 - event 163 release_post252 - event 164 release_post264 - event 165 release_post276 - event 166 release_post288 - event 167 release_post300 - event 168 release_post312 - event 169 release_post324 - event 170 release_post336 - event 171 release_post348 - event 172 release_post360 - event 173 release_post372 - event 174 release_post384 + event 2 release_pgrb2_00 + event 3 release_pgrb2_01 + event 4 release_pgrb2_02 + event 5 release_pgrb2_03 + event 6 release_pgrb2_04 + event 7 release_pgrb2_05 + event 8 release_pgrb2_06 + event 9 release_pgrb2_07 + event 10 release_pgrb2_08 + event 11 release_pgrb2_09 + event 12 release_pgrb2_10 + event 13 release_pgrb2_11 + event 14 release_pgrb2_12 + event 15 release_pgrb2_13 + event 16 release_pgrb2_14 + event 17 release_pgrb2_15 + event 18 release_pgrb2_16 + event 19 release_pgrb2_17 + event 20 release_pgrb2_18 + event 21 release_pgrb2_19 + event 22 release_pgrb2_20 + event 23 release_pgrb2_21 + event 24 release_pgrb2_22 + event 25 release_pgrb2_23 + event 26 release_pgrb2_24 + event 27 release_pgrb2_25 + event 28 release_pgrb2_26 + event 29 release_pgrb2_27 + event 30 release_pgrb2_28 + event 31 release_pgrb2_29 + event 32 release_pgrb2_30 + event 33 release_pgrb2_31 + event 34 release_pgrb2_32 + event 35 release_pgrb2_33 + event 36 release_pgrb2_34 + event 37 release_pgrb2_35 + event 38 release_pgrb2_36 + event 39 release_pgrb2_37 + event 40 release_pgrb2_38 + event 41 release_pgrb2_39 + event 42 release_pgrb2_40 + event 43 release_pgrb2_41 + event 44 release_pgrb2_42 + event 45 release_pgrb2_43 + event 46 release_pgrb2_44 + event 47 release_pgrb2_45 + event 48 release_pgrb2_46 + event 49 release_pgrb2_47 + event 50 release_pgrb2_48 + event 51 release_pgrb2_49 + event 52 release_pgrb2_50 + event 53 release_pgrb2_51 + event 54 release_pgrb2_52 + event 55 release_pgrb2_53 + event 56 release_pgrb2_54 + event 57 release_pgrb2_55 + event 58 release_pgrb2_56 + event 59 release_pgrb2_57 + event 60 release_pgrb2_58 + event 61 release_pgrb2_59 + event 62 release_pgrb2_60 + event 63 release_pgrb2_61 + event 64 release_pgrb2_62 + event 65 release_pgrb2_63 + event 66 release_pgrb2_64 + event 67 release_pgrb2_65 + event 68 release_pgrb2_66 + event 69 release_pgrb2_67 + event 70 release_pgrb2_68 + event 71 release_pgrb2_69 + event 72 release_pgrb2_70 + event 73 release_pgrb2_71 + event 74 release_pgrb2_72 + event 75 release_pgrb2_73 + event 76 release_pgrb2_74 + event 77 release_pgrb2_75 + event 78 release_pgrb2_76 + event 79 release_pgrb2_77 + event 80 release_pgrb2_78 + event 81 release_pgrb2_79 + event 82 release_pgrb2_80 + event 83 release_pgrb2_81 + event 84 release_pgrb2_82 + event 85 release_pgrb2_83 + event 86 release_pgrb2_84 + event 87 release_pgrb2_85 + event 88 release_pgrb2_86 + event 89 release_pgrb2_87 + event 90 release_pgrb2_88 + event 91 release_pgrb2_89 + event 92 release_pgrb2_90 + event 93 release_pgrb2_91 + event 94 release_pgrb2_92 + event 95 release_pgrb2_93 + event 96 release_pgrb2_94 + event 97 release_pgrb2_95 + event 98 release_pgrb2_96 + event 99 release_pgrb2_97 + event 100 release_pgrb2_98 + event 101 release_pgrb2_99 + event 102 release_pgrb2_100 + event 103 release_pgrb2_101 + event 104 release_pgrb2_102 + event 105 release_pgrb2_103 + event 106 release_pgrb2_104 + event 107 release_pgrb2_105 + event 108 release_pgrb2_106 + event 109 release_pgrb2_107 + event 110 release_pgrb2_108 + event 111 release_pgrb2_109 + event 112 release_pgrb2_110 + event 113 release_pgrb2_111 + event 114 release_pgrb2_112 + event 115 release_pgrb2_113 + event 116 release_pgrb2_114 + event 117 release_pgrb2_115 + event 118 release_pgrb2_116 + event 119 release_pgrb2_117 + event 120 release_pgrb2_118 + event 121 release_pgrb2_119 + event 122 release_pgrb2_120 + event 123 release_pgrb2_123 + event 124 release_pgrb2_126 + event 125 release_pgrb2_129 + event 126 release_pgrb2_132 + event 127 release_pgrb2_135 + event 128 release_pgrb2_138 + event 129 release_pgrb2_141 + event 130 release_pgrb2_144 + event 131 release_pgrb2_147 + event 132 release_pgrb2_150 + event 133 release_pgrb2_153 + event 134 release_pgrb2_156 + event 135 release_pgrb2_159 + event 136 release_pgrb2_162 + event 137 release_pgrb2_165 + event 138 release_pgrb2_168 + event 139 release_pgrb2_171 + event 140 release_pgrb2_174 + event 141 release_pgrb2_177 + event 142 release_pgrb2_180 + event 143 release_pgrb2_183 + event 144 release_pgrb2_186 + event 145 release_pgrb2_189 + event 146 release_pgrb2_192 + event 147 release_pgrb2_195 + event 148 release_pgrb2_198 + event 149 release_pgrb2_201 + event 150 release_pgrb2_204 + event 151 release_pgrb2_207 + event 152 release_pgrb2_210 + event 153 release_pgrb2_213 + event 154 release_pgrb2_216 + event 155 release_pgrb2_219 + event 156 release_pgrb2_222 + event 157 release_pgrb2_225 + event 158 release_pgrb2_228 + event 159 release_pgrb2_231 + event 160 release_pgrb2_234 + event 161 release_pgrb2_237 + event 162 release_pgrb2_240 + event 163 release_pgrb2_252 + event 164 release_pgrb2_264 + event 165 release_pgrb2_276 + event 166 release_pgrb2_288 + event 167 release_pgrb2_300 + event 168 release_pgrb2_312 + event 169 release_pgrb2_324 + event 170 release_pgrb2_336 + event 171 release_pgrb2_348 + event 172 release_pgrb2_360 + event 173 release_pgrb2_372 + event 174 release_pgrb2_384 endtask task jgfs_pgrb2_f00 edit FHR '00' diff --git a/model/ecflow_fv3gfs/defs/prod12.def b/model/ecflow_fv3gfs/defs/prod12.def index 1af12dd..7c90390 100644 --- a/model/ecflow_fv3gfs/defs/prod12.def +++ b/model/ecflow_fv3gfs/defs/prod12.def @@ -3355,179 +3355,179 @@ suite prod12 endtask task jgfs_pgrb2_manager trigger ../post == complete - event 2 release_post00 - event 3 release_post01 - event 4 release_post02 - event 5 release_post03 - event 6 release_post04 - event 7 release_post05 - event 8 release_post06 - event 9 release_post07 - event 10 release_post08 - event 11 release_post09 - event 12 release_post10 - event 13 release_post11 - event 14 release_post12 - event 15 release_post13 - event 16 release_post14 - event 17 release_post15 - event 18 release_post16 - event 19 release_post17 - event 20 release_post18 - event 21 release_post19 - event 22 release_post20 - event 23 release_post21 - event 24 release_post22 - event 25 release_post23 - event 26 release_post24 - event 27 release_post25 - event 28 release_post26 - event 29 release_post27 - event 30 release_post28 - event 31 release_post29 - event 32 release_post30 - event 33 release_post31 - event 34 release_post32 - event 35 release_post33 - event 36 release_post34 - event 37 release_post35 - event 38 release_post36 - event 39 release_post37 - event 40 release_post38 - event 41 release_post39 - event 42 release_post40 - event 43 release_post41 - event 44 release_post42 - event 45 release_post43 - event 46 release_post44 - event 47 release_post45 - event 48 release_post46 - event 49 release_post47 - event 50 release_post48 - event 51 release_post49 - event 52 release_post50 - event 53 release_post51 - event 54 release_post52 - event 55 release_post53 - event 56 release_post54 - event 57 release_post55 - event 58 release_post56 - event 59 release_post57 - event 60 release_post58 - event 61 release_post59 - event 62 release_post60 - event 63 release_post61 - event 64 release_post62 - event 65 release_post63 - event 66 release_post64 - event 67 release_post65 - event 68 release_post66 - event 69 release_post67 - event 70 release_post68 - event 71 release_post69 - event 72 release_post70 - event 73 release_post71 - event 74 release_post72 - event 75 release_post73 - event 76 release_post74 - event 77 release_post75 - event 78 release_post76 - event 79 release_post77 - event 80 release_post78 - event 81 release_post79 - event 82 release_post80 - event 83 release_post81 - event 84 release_post82 - event 85 release_post83 - event 86 release_post84 - event 87 release_post85 - event 88 release_post86 - event 89 release_post87 - event 90 release_post88 - event 91 release_post89 - event 92 release_post90 - event 93 release_post91 - event 94 release_post92 - event 95 release_post93 - event 96 release_post94 - event 97 release_post95 - event 98 release_post96 - event 99 release_post97 - event 100 release_post98 - event 101 release_post99 - event 102 release_post100 - event 103 release_post101 - event 104 release_post102 - event 105 release_post103 - event 106 release_post104 - event 107 release_post105 - event 108 release_post106 - event 109 release_post107 - event 110 release_post108 - event 111 release_post109 - event 112 release_post110 - event 113 release_post111 - event 114 release_post112 - event 115 release_post113 - event 116 release_post114 - event 117 release_post115 - event 118 release_post116 - event 119 release_post117 - event 120 release_post118 - event 121 release_post119 - event 122 release_post120 - event 123 release_post123 - event 124 release_post126 - event 125 release_post129 - event 126 release_post132 - event 127 release_post135 - event 128 release_post138 - event 129 release_post141 - event 130 release_post144 - event 131 release_post147 - event 132 release_post150 - event 133 release_post153 - event 134 release_post156 - event 135 release_post159 - event 136 release_post162 - event 137 release_post165 - event 138 release_post168 - event 139 release_post171 - event 140 release_post174 - event 141 release_post177 - event 142 release_post180 - event 143 release_post183 - event 144 release_post186 - event 145 release_post189 - event 146 release_post192 - event 147 release_post195 - event 148 release_post198 - event 149 release_post201 - event 150 release_post204 - event 151 release_post207 - event 152 release_post210 - event 153 release_post213 - event 154 release_post216 - event 155 release_post219 - event 156 release_post222 - event 157 release_post225 - event 158 release_post228 - event 159 release_post231 - event 160 release_post234 - event 161 release_post237 - event 162 release_post240 - event 163 release_post252 - event 164 release_post264 - event 165 release_post276 - event 166 release_post288 - event 167 release_post300 - event 168 release_post312 - event 169 release_post324 - event 170 release_post336 - event 171 release_post348 - event 172 release_post360 - event 173 release_post372 - event 174 release_post384 + event 2 release_pgrb2_00 + event 3 release_pgrb2_01 + event 4 release_pgrb2_02 + event 5 release_pgrb2_03 + event 6 release_pgrb2_04 + event 7 release_pgrb2_05 + event 8 release_pgrb2_06 + event 9 release_pgrb2_07 + event 10 release_pgrb2_08 + event 11 release_pgrb2_09 + event 12 release_pgrb2_10 + event 13 release_pgrb2_11 + event 14 release_pgrb2_12 + event 15 release_pgrb2_13 + event 16 release_pgrb2_14 + event 17 release_pgrb2_15 + event 18 release_pgrb2_16 + event 19 release_pgrb2_17 + event 20 release_pgrb2_18 + event 21 release_pgrb2_19 + event 22 release_pgrb2_20 + event 23 release_pgrb2_21 + event 24 release_pgrb2_22 + event 25 release_pgrb2_23 + event 26 release_pgrb2_24 + event 27 release_pgrb2_25 + event 28 release_pgrb2_26 + event 29 release_pgrb2_27 + event 30 release_pgrb2_28 + event 31 release_pgrb2_29 + event 32 release_pgrb2_30 + event 33 release_pgrb2_31 + event 34 release_pgrb2_32 + event 35 release_pgrb2_33 + event 36 release_pgrb2_34 + event 37 release_pgrb2_35 + event 38 release_pgrb2_36 + event 39 release_pgrb2_37 + event 40 release_pgrb2_38 + event 41 release_pgrb2_39 + event 42 release_pgrb2_40 + event 43 release_pgrb2_41 + event 44 release_pgrb2_42 + event 45 release_pgrb2_43 + event 46 release_pgrb2_44 + event 47 release_pgrb2_45 + event 48 release_pgrb2_46 + event 49 release_pgrb2_47 + event 50 release_pgrb2_48 + event 51 release_pgrb2_49 + event 52 release_pgrb2_50 + event 53 release_pgrb2_51 + event 54 release_pgrb2_52 + event 55 release_pgrb2_53 + event 56 release_pgrb2_54 + event 57 release_pgrb2_55 + event 58 release_pgrb2_56 + event 59 release_pgrb2_57 + event 60 release_pgrb2_58 + event 61 release_pgrb2_59 + event 62 release_pgrb2_60 + event 63 release_pgrb2_61 + event 64 release_pgrb2_62 + event 65 release_pgrb2_63 + event 66 release_pgrb2_64 + event 67 release_pgrb2_65 + event 68 release_pgrb2_66 + event 69 release_pgrb2_67 + event 70 release_pgrb2_68 + event 71 release_pgrb2_69 + event 72 release_pgrb2_70 + event 73 release_pgrb2_71 + event 74 release_pgrb2_72 + event 75 release_pgrb2_73 + event 76 release_pgrb2_74 + event 77 release_pgrb2_75 + event 78 release_pgrb2_76 + event 79 release_pgrb2_77 + event 80 release_pgrb2_78 + event 81 release_pgrb2_79 + event 82 release_pgrb2_80 + event 83 release_pgrb2_81 + event 84 release_pgrb2_82 + event 85 release_pgrb2_83 + event 86 release_pgrb2_84 + event 87 release_pgrb2_85 + event 88 release_pgrb2_86 + event 89 release_pgrb2_87 + event 90 release_pgrb2_88 + event 91 release_pgrb2_89 + event 92 release_pgrb2_90 + event 93 release_pgrb2_91 + event 94 release_pgrb2_92 + event 95 release_pgrb2_93 + event 96 release_pgrb2_94 + event 97 release_pgrb2_95 + event 98 release_pgrb2_96 + event 99 release_pgrb2_97 + event 100 release_pgrb2_98 + event 101 release_pgrb2_99 + event 102 release_pgrb2_100 + event 103 release_pgrb2_101 + event 104 release_pgrb2_102 + event 105 release_pgrb2_103 + event 106 release_pgrb2_104 + event 107 release_pgrb2_105 + event 108 release_pgrb2_106 + event 109 release_pgrb2_107 + event 110 release_pgrb2_108 + event 111 release_pgrb2_109 + event 112 release_pgrb2_110 + event 113 release_pgrb2_111 + event 114 release_pgrb2_112 + event 115 release_pgrb2_113 + event 116 release_pgrb2_114 + event 117 release_pgrb2_115 + event 118 release_pgrb2_116 + event 119 release_pgrb2_117 + event 120 release_pgrb2_118 + event 121 release_pgrb2_119 + event 122 release_pgrb2_120 + event 123 release_pgrb2_123 + event 124 release_pgrb2_126 + event 125 release_pgrb2_129 + event 126 release_pgrb2_132 + event 127 release_pgrb2_135 + event 128 release_pgrb2_138 + event 129 release_pgrb2_141 + event 130 release_pgrb2_144 + event 131 release_pgrb2_147 + event 132 release_pgrb2_150 + event 133 release_pgrb2_153 + event 134 release_pgrb2_156 + event 135 release_pgrb2_159 + event 136 release_pgrb2_162 + event 137 release_pgrb2_165 + event 138 release_pgrb2_168 + event 139 release_pgrb2_171 + event 140 release_pgrb2_174 + event 141 release_pgrb2_177 + event 142 release_pgrb2_180 + event 143 release_pgrb2_183 + event 144 release_pgrb2_186 + event 145 release_pgrb2_189 + event 146 release_pgrb2_192 + event 147 release_pgrb2_195 + event 148 release_pgrb2_198 + event 149 release_pgrb2_201 + event 150 release_pgrb2_204 + event 151 release_pgrb2_207 + event 152 release_pgrb2_210 + event 153 release_pgrb2_213 + event 154 release_pgrb2_216 + event 155 release_pgrb2_219 + event 156 release_pgrb2_222 + event 157 release_pgrb2_225 + event 158 release_pgrb2_228 + event 159 release_pgrb2_231 + event 160 release_pgrb2_234 + event 161 release_pgrb2_237 + event 162 release_pgrb2_240 + event 163 release_pgrb2_252 + event 164 release_pgrb2_264 + event 165 release_pgrb2_276 + event 166 release_pgrb2_288 + event 167 release_pgrb2_300 + event 168 release_pgrb2_312 + event 169 release_pgrb2_324 + event 170 release_pgrb2_336 + event 171 release_pgrb2_348 + event 172 release_pgrb2_360 + event 173 release_pgrb2_372 + event 174 release_pgrb2_384 endtask task jgfs_pgrb2_f00 edit FHR '00' diff --git a/model/ecflow_fv3gfs/defs/prod18.def b/model/ecflow_fv3gfs/defs/prod18.def index 8d5bb08..2916df6 100644 --- a/model/ecflow_fv3gfs/defs/prod18.def +++ b/model/ecflow_fv3gfs/defs/prod18.def @@ -3355,179 +3355,179 @@ suite prod18 endtask task jgfs_pgrb2_manager trigger ../post == complete - event 2 release_post00 - event 3 release_post01 - event 4 release_post02 - event 5 release_post03 - event 6 release_post04 - event 7 release_post05 - event 8 release_post06 - event 9 release_post07 - event 10 release_post08 - event 11 release_post09 - event 12 release_post10 - event 13 release_post11 - event 14 release_post12 - event 15 release_post13 - event 16 release_post14 - event 17 release_post15 - event 18 release_post16 - event 19 release_post17 - event 20 release_post18 - event 21 release_post19 - event 22 release_post20 - event 23 release_post21 - event 24 release_post22 - event 25 release_post23 - event 26 release_post24 - event 27 release_post25 - event 28 release_post26 - event 29 release_post27 - event 30 release_post28 - event 31 release_post29 - event 32 release_post30 - event 33 release_post31 - event 34 release_post32 - event 35 release_post33 - event 36 release_post34 - event 37 release_post35 - event 38 release_post36 - event 39 release_post37 - event 40 release_post38 - event 41 release_post39 - event 42 release_post40 - event 43 release_post41 - event 44 release_post42 - event 45 release_post43 - event 46 release_post44 - event 47 release_post45 - event 48 release_post46 - event 49 release_post47 - event 50 release_post48 - event 51 release_post49 - event 52 release_post50 - event 53 release_post51 - event 54 release_post52 - event 55 release_post53 - event 56 release_post54 - event 57 release_post55 - event 58 release_post56 - event 59 release_post57 - event 60 release_post58 - event 61 release_post59 - event 62 release_post60 - event 63 release_post61 - event 64 release_post62 - event 65 release_post63 - event 66 release_post64 - event 67 release_post65 - event 68 release_post66 - event 69 release_post67 - event 70 release_post68 - event 71 release_post69 - event 72 release_post70 - event 73 release_post71 - event 74 release_post72 - event 75 release_post73 - event 76 release_post74 - event 77 release_post75 - event 78 release_post76 - event 79 release_post77 - event 80 release_post78 - event 81 release_post79 - event 82 release_post80 - event 83 release_post81 - event 84 release_post82 - event 85 release_post83 - event 86 release_post84 - event 87 release_post85 - event 88 release_post86 - event 89 release_post87 - event 90 release_post88 - event 91 release_post89 - event 92 release_post90 - event 93 release_post91 - event 94 release_post92 - event 95 release_post93 - event 96 release_post94 - event 97 release_post95 - event 98 release_post96 - event 99 release_post97 - event 100 release_post98 - event 101 release_post99 - event 102 release_post100 - event 103 release_post101 - event 104 release_post102 - event 105 release_post103 - event 106 release_post104 - event 107 release_post105 - event 108 release_post106 - event 109 release_post107 - event 110 release_post108 - event 111 release_post109 - event 112 release_post110 - event 113 release_post111 - event 114 release_post112 - event 115 release_post113 - event 116 release_post114 - event 117 release_post115 - event 118 release_post116 - event 119 release_post117 - event 120 release_post118 - event 121 release_post119 - event 122 release_post120 - event 123 release_post123 - event 124 release_post126 - event 125 release_post129 - event 126 release_post132 - event 127 release_post135 - event 128 release_post138 - event 129 release_post141 - event 130 release_post144 - event 131 release_post147 - event 132 release_post150 - event 133 release_post153 - event 134 release_post156 - event 135 release_post159 - event 136 release_post162 - event 137 release_post165 - event 138 release_post168 - event 139 release_post171 - event 140 release_post174 - event 141 release_post177 - event 142 release_post180 - event 143 release_post183 - event 144 release_post186 - event 145 release_post189 - event 146 release_post192 - event 147 release_post195 - event 148 release_post198 - event 149 release_post201 - event 150 release_post204 - event 151 release_post207 - event 152 release_post210 - event 153 release_post213 - event 154 release_post216 - event 155 release_post219 - event 156 release_post222 - event 157 release_post225 - event 158 release_post228 - event 159 release_post231 - event 160 release_post234 - event 161 release_post237 - event 162 release_post240 - event 163 release_post252 - event 164 release_post264 - event 165 release_post276 - event 166 release_post288 - event 167 release_post300 - event 168 release_post312 - event 169 release_post324 - event 170 release_post336 - event 171 release_post348 - event 172 release_post360 - event 173 release_post372 - event 174 release_post384 + event 2 release_pgrb2_00 + event 3 release_pgrb2_01 + event 4 release_pgrb2_02 + event 5 release_pgrb2_03 + event 6 release_pgrb2_04 + event 7 release_pgrb2_05 + event 8 release_pgrb2_06 + event 9 release_pgrb2_07 + event 10 release_pgrb2_08 + event 11 release_pgrb2_09 + event 12 release_pgrb2_10 + event 13 release_pgrb2_11 + event 14 release_pgrb2_12 + event 15 release_pgrb2_13 + event 16 release_pgrb2_14 + event 17 release_pgrb2_15 + event 18 release_pgrb2_16 + event 19 release_pgrb2_17 + event 20 release_pgrb2_18 + event 21 release_pgrb2_19 + event 22 release_pgrb2_20 + event 23 release_pgrb2_21 + event 24 release_pgrb2_22 + event 25 release_pgrb2_23 + event 26 release_pgrb2_24 + event 27 release_pgrb2_25 + event 28 release_pgrb2_26 + event 29 release_pgrb2_27 + event 30 release_pgrb2_28 + event 31 release_pgrb2_29 + event 32 release_pgrb2_30 + event 33 release_pgrb2_31 + event 34 release_pgrb2_32 + event 35 release_pgrb2_33 + event 36 release_pgrb2_34 + event 37 release_pgrb2_35 + event 38 release_pgrb2_36 + event 39 release_pgrb2_37 + event 40 release_pgrb2_38 + event 41 release_pgrb2_39 + event 42 release_pgrb2_40 + event 43 release_pgrb2_41 + event 44 release_pgrb2_42 + event 45 release_pgrb2_43 + event 46 release_pgrb2_44 + event 47 release_pgrb2_45 + event 48 release_pgrb2_46 + event 49 release_pgrb2_47 + event 50 release_pgrb2_48 + event 51 release_pgrb2_49 + event 52 release_pgrb2_50 + event 53 release_pgrb2_51 + event 54 release_pgrb2_52 + event 55 release_pgrb2_53 + event 56 release_pgrb2_54 + event 57 release_pgrb2_55 + event 58 release_pgrb2_56 + event 59 release_pgrb2_57 + event 60 release_pgrb2_58 + event 61 release_pgrb2_59 + event 62 release_pgrb2_60 + event 63 release_pgrb2_61 + event 64 release_pgrb2_62 + event 65 release_pgrb2_63 + event 66 release_pgrb2_64 + event 67 release_pgrb2_65 + event 68 release_pgrb2_66 + event 69 release_pgrb2_67 + event 70 release_pgrb2_68 + event 71 release_pgrb2_69 + event 72 release_pgrb2_70 + event 73 release_pgrb2_71 + event 74 release_pgrb2_72 + event 75 release_pgrb2_73 + event 76 release_pgrb2_74 + event 77 release_pgrb2_75 + event 78 release_pgrb2_76 + event 79 release_pgrb2_77 + event 80 release_pgrb2_78 + event 81 release_pgrb2_79 + event 82 release_pgrb2_80 + event 83 release_pgrb2_81 + event 84 release_pgrb2_82 + event 85 release_pgrb2_83 + event 86 release_pgrb2_84 + event 87 release_pgrb2_85 + event 88 release_pgrb2_86 + event 89 release_pgrb2_87 + event 90 release_pgrb2_88 + event 91 release_pgrb2_89 + event 92 release_pgrb2_90 + event 93 release_pgrb2_91 + event 94 release_pgrb2_92 + event 95 release_pgrb2_93 + event 96 release_pgrb2_94 + event 97 release_pgrb2_95 + event 98 release_pgrb2_96 + event 99 release_pgrb2_97 + event 100 release_pgrb2_98 + event 101 release_pgrb2_99 + event 102 release_pgrb2_100 + event 103 release_pgrb2_101 + event 104 release_pgrb2_102 + event 105 release_pgrb2_103 + event 106 release_pgrb2_104 + event 107 release_pgrb2_105 + event 108 release_pgrb2_106 + event 109 release_pgrb2_107 + event 110 release_pgrb2_108 + event 111 release_pgrb2_109 + event 112 release_pgrb2_110 + event 113 release_pgrb2_111 + event 114 release_pgrb2_112 + event 115 release_pgrb2_113 + event 116 release_pgrb2_114 + event 117 release_pgrb2_115 + event 118 release_pgrb2_116 + event 119 release_pgrb2_117 + event 120 release_pgrb2_118 + event 121 release_pgrb2_119 + event 122 release_pgrb2_120 + event 123 release_pgrb2_123 + event 124 release_pgrb2_126 + event 125 release_pgrb2_129 + event 126 release_pgrb2_132 + event 127 release_pgrb2_135 + event 128 release_pgrb2_138 + event 129 release_pgrb2_141 + event 130 release_pgrb2_144 + event 131 release_pgrb2_147 + event 132 release_pgrb2_150 + event 133 release_pgrb2_153 + event 134 release_pgrb2_156 + event 135 release_pgrb2_159 + event 136 release_pgrb2_162 + event 137 release_pgrb2_165 + event 138 release_pgrb2_168 + event 139 release_pgrb2_171 + event 140 release_pgrb2_174 + event 141 release_pgrb2_177 + event 142 release_pgrb2_180 + event 143 release_pgrb2_183 + event 144 release_pgrb2_186 + event 145 release_pgrb2_189 + event 146 release_pgrb2_192 + event 147 release_pgrb2_195 + event 148 release_pgrb2_198 + event 149 release_pgrb2_201 + event 150 release_pgrb2_204 + event 151 release_pgrb2_207 + event 152 release_pgrb2_210 + event 153 release_pgrb2_213 + event 154 release_pgrb2_216 + event 155 release_pgrb2_219 + event 156 release_pgrb2_222 + event 157 release_pgrb2_225 + event 158 release_pgrb2_228 + event 159 release_pgrb2_231 + event 160 release_pgrb2_234 + event 161 release_pgrb2_237 + event 162 release_pgrb2_240 + event 163 release_pgrb2_252 + event 164 release_pgrb2_264 + event 165 release_pgrb2_276 + event 166 release_pgrb2_288 + event 167 release_pgrb2_300 + event 168 release_pgrb2_312 + event 169 release_pgrb2_324 + event 170 release_pgrb2_336 + event 171 release_pgrb2_348 + event 172 release_pgrb2_360 + event 173 release_pgrb2_372 + event 174 release_pgrb2_384 endtask task jgfs_pgrb2_f00 edit FHR '00' diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index 5e9fd25..b94e151 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -337,7 +337,7 @@ suite: !Cycle #release_postanl: !DataEvent {file="/dev/null"} ecflow_first_event_number: 2 release_post_fhr: !DataEventElement - Name: !expand "release_post{idx.fhr:02d}" + Name: !expand "release_pgrb2_{idx.fhr:02d}" Foreach: [ fhr ] file: "/dev/null" From 7a007e23a5bd4f7932bc1bcdf26707fa50e2aa0e Mon Sep 17 00:00:00 2001 From: wx20st Date: Wed, 14 Feb 2018 17:18:18 +0000 Subject: [PATCH 327/487] Updates to allow parallel-style workflow to be defined in ecflow: 1. to_rocoto can now handle alarms 2. bug fixes to alarm handling in to_ecflow 3. bug fixes to alarm handling in crow.metascheduler.graph 4. move follow_main from setup_case.py to crow.config and add a from_dir that is a wrapper around follow_main 5. add a model/rocoto_style_fv3gfs that hopefully will run the parallel workflow in rocoto and ecflow --- crow/config/__init__.py | 61 +- crow/config/tasks.py | 12 +- crow/config/tools.py | 6 + crow/metascheduler/ecflow.py | 66 +- crow/metascheduler/graph.py | 16 +- crow/metascheduler/rocoto.py | 128 +- model/fv3gfs/exp/setup_case.py | 49 +- model/rocoto_style_fv3gfs/_main.yaml | 8 + .../defs/prod2018010200.def | 218 +++ .../defs/prod2018010206.def | 181 ++ .../defs/prod2018010212.def | 218 +++ .../defs/prod2018010218.def | 181 ++ model/rocoto_style_fv3gfs/dump_waiter.yaml | 41 + .../rocoto_style_fv3gfs/make-ecflow-suite.py | 37 + model/rocoto_style_fv3gfs/make-rocoto-xml.py | 12 + model/rocoto_style_fv3gfs/resources.yaml | 160 ++ model/rocoto_style_fv3gfs/schedulers.yaml | 20 + .../prod2018010200/archive/earc/grp1.ecf | 45 + .../prod2018010200/archive/earc/grp10.ecf | 45 + .../prod2018010200/archive/earc/grp11.ecf | 45 + .../prod2018010200/archive/earc/grp12.ecf | 45 + .../prod2018010200/archive/earc/grp13.ecf | 45 + .../prod2018010200/archive/earc/grp14.ecf | 45 + .../prod2018010200/archive/earc/grp15.ecf | 45 + .../prod2018010200/archive/earc/grp16.ecf | 45 + .../prod2018010200/archive/earc/grp2.ecf | 45 + .../prod2018010200/archive/earc/grp3.ecf | 45 + .../prod2018010200/archive/earc/grp4.ecf | 45 + .../prod2018010200/archive/earc/grp5.ecf | 45 + .../prod2018010200/archive/earc/grp6.ecf | 45 + .../prod2018010200/archive/earc/grp7.ecf | 45 + .../prod2018010200/archive/earc/grp8.ecf | 45 + .../prod2018010200/archive/earc/grp9.ecf | 45 + .../scripts/prod2018010200/gdas/anal.ecf | 45 + .../prod2018010200/gdas/dump_waiter.ecf | 44 + .../scripts/prod2018010200/gdas/enkf/ecen.ecf | 45 + .../prod2018010200/gdas/enkf/efcs/grp1.ecf | 45 + .../prod2018010200/gdas/enkf/efcs/grp10.ecf | 45 + .../prod2018010200/gdas/enkf/efcs/grp11.ecf | 45 + .../prod2018010200/gdas/enkf/efcs/grp12.ecf | 45 + .../prod2018010200/gdas/enkf/efcs/grp13.ecf | 45 + .../prod2018010200/gdas/enkf/efcs/grp14.ecf | 45 + .../prod2018010200/gdas/enkf/efcs/grp15.ecf | 45 + .../prod2018010200/gdas/enkf/efcs/grp16.ecf | 45 + .../prod2018010200/gdas/enkf/efcs/grp2.ecf | 45 + .../prod2018010200/gdas/enkf/efcs/grp3.ecf | 45 + .../prod2018010200/gdas/enkf/efcs/grp4.ecf | 45 + .../prod2018010200/gdas/enkf/efcs/grp5.ecf | 45 + .../prod2018010200/gdas/enkf/efcs/grp6.ecf | 45 + .../prod2018010200/gdas/enkf/efcs/grp7.ecf | 45 + .../prod2018010200/gdas/enkf/efcs/grp8.ecf | 45 + .../prod2018010200/gdas/enkf/efcs/grp9.ecf | 45 + .../scripts/prod2018010200/gdas/enkf/eobs.ecf | 45 + .../prod2018010200/gdas/enkf/eomg/grp1.ecf | 45 + .../prod2018010200/gdas/enkf/eomg/grp10.ecf | 45 + .../prod2018010200/gdas/enkf/eomg/grp11.ecf | 45 + .../prod2018010200/gdas/enkf/eomg/grp12.ecf | 45 + .../prod2018010200/gdas/enkf/eomg/grp13.ecf | 45 + .../prod2018010200/gdas/enkf/eomg/grp14.ecf | 45 + .../prod2018010200/gdas/enkf/eomg/grp15.ecf | 45 + .../prod2018010200/gdas/enkf/eomg/grp16.ecf | 45 + .../prod2018010200/gdas/enkf/eomg/grp2.ecf | 45 + .../prod2018010200/gdas/enkf/eomg/grp3.ecf | 45 + .../prod2018010200/gdas/enkf/eomg/grp4.ecf | 45 + .../prod2018010200/gdas/enkf/eomg/grp5.ecf | 45 + .../prod2018010200/gdas/enkf/eomg/grp6.ecf | 45 + .../prod2018010200/gdas/enkf/eomg/grp7.ecf | 45 + .../prod2018010200/gdas/enkf/eomg/grp8.ecf | 45 + .../prod2018010200/gdas/enkf/eomg/grp9.ecf | 45 + .../scripts/prod2018010200/gdas/enkf/epos.ecf | 45 + .../scripts/prod2018010200/gdas/enkf/eupd.ecf | 45 + .../scripts/prod2018010200/gdas/fcst.ecf | 45 + .../scripts/prod2018010200/gdas/post/f000.ecf | 44 + .../scripts/prod2018010200/gdas/post/f006.ecf | 44 + .../scripts/prod2018010200/gdas/post/f012.ecf | 44 + .../scripts/prod2018010200/gdas/post/f018.ecf | 44 + .../scripts/prod2018010200/gdas/post/f024.ecf | 44 + .../scripts/prod2018010200/gdas/post/f030.ecf | 44 + .../scripts/prod2018010200/gdas/prep.ecf | 45 + .../scripts/prod2018010200/gdas/vrfy.ecf | 45 + .../scripts/prod2018010200/gfs/anal.ecf | 45 + .../prod2018010200/gfs/dump_waiter.ecf | 44 + .../scripts/prod2018010200/gfs/fcst.ecf | 45 + .../scripts/prod2018010200/gfs/post/f000.ecf | 44 + .../scripts/prod2018010200/gfs/post/f006.ecf | 44 + .../scripts/prod2018010200/gfs/post/f012.ecf | 44 + .../scripts/prod2018010200/gfs/post/f018.ecf | 44 + .../scripts/prod2018010200/gfs/post/f024.ecf | 44 + .../scripts/prod2018010200/gfs/post/f030.ecf | 44 + .../scripts/prod2018010200/gfs/prep.ecf | 45 + .../scripts/prod2018010200/gfs/vrfy.ecf | 45 + .../prod2018010206/archive/earc/grp1.ecf | 45 + .../prod2018010206/archive/earc/grp10.ecf | 45 + .../prod2018010206/archive/earc/grp11.ecf | 45 + .../prod2018010206/archive/earc/grp12.ecf | 45 + .../prod2018010206/archive/earc/grp13.ecf | 45 + .../prod2018010206/archive/earc/grp14.ecf | 45 + .../prod2018010206/archive/earc/grp15.ecf | 45 + .../prod2018010206/archive/earc/grp16.ecf | 45 + .../prod2018010206/archive/earc/grp2.ecf | 45 + .../prod2018010206/archive/earc/grp3.ecf | 45 + .../prod2018010206/archive/earc/grp4.ecf | 45 + .../prod2018010206/archive/earc/grp5.ecf | 45 + .../prod2018010206/archive/earc/grp6.ecf | 45 + .../prod2018010206/archive/earc/grp7.ecf | 45 + .../prod2018010206/archive/earc/grp8.ecf | 45 + .../prod2018010206/archive/earc/grp9.ecf | 45 + .../scripts/prod2018010206/gdas/anal.ecf | 45 + .../prod2018010206/gdas/dump_waiter.ecf | 44 + .../scripts/prod2018010206/gdas/enkf/ecen.ecf | 45 + .../prod2018010206/gdas/enkf/efcs/grp1.ecf | 45 + .../prod2018010206/gdas/enkf/efcs/grp10.ecf | 45 + .../prod2018010206/gdas/enkf/efcs/grp11.ecf | 45 + .../prod2018010206/gdas/enkf/efcs/grp12.ecf | 45 + .../prod2018010206/gdas/enkf/efcs/grp13.ecf | 45 + .../prod2018010206/gdas/enkf/efcs/grp14.ecf | 45 + .../prod2018010206/gdas/enkf/efcs/grp15.ecf | 45 + .../prod2018010206/gdas/enkf/efcs/grp16.ecf | 45 + .../prod2018010206/gdas/enkf/efcs/grp2.ecf | 45 + .../prod2018010206/gdas/enkf/efcs/grp3.ecf | 45 + .../prod2018010206/gdas/enkf/efcs/grp4.ecf | 45 + .../prod2018010206/gdas/enkf/efcs/grp5.ecf | 45 + .../prod2018010206/gdas/enkf/efcs/grp6.ecf | 45 + .../prod2018010206/gdas/enkf/efcs/grp7.ecf | 45 + .../prod2018010206/gdas/enkf/efcs/grp8.ecf | 45 + .../prod2018010206/gdas/enkf/efcs/grp9.ecf | 45 + .../scripts/prod2018010206/gdas/enkf/eobs.ecf | 45 + .../prod2018010206/gdas/enkf/eomg/grp1.ecf | 45 + .../prod2018010206/gdas/enkf/eomg/grp10.ecf | 45 + .../prod2018010206/gdas/enkf/eomg/grp11.ecf | 45 + .../prod2018010206/gdas/enkf/eomg/grp12.ecf | 45 + .../prod2018010206/gdas/enkf/eomg/grp13.ecf | 45 + .../prod2018010206/gdas/enkf/eomg/grp14.ecf | 45 + .../prod2018010206/gdas/enkf/eomg/grp15.ecf | 45 + .../prod2018010206/gdas/enkf/eomg/grp16.ecf | 45 + .../prod2018010206/gdas/enkf/eomg/grp2.ecf | 45 + .../prod2018010206/gdas/enkf/eomg/grp3.ecf | 45 + .../prod2018010206/gdas/enkf/eomg/grp4.ecf | 45 + .../prod2018010206/gdas/enkf/eomg/grp5.ecf | 45 + .../prod2018010206/gdas/enkf/eomg/grp6.ecf | 45 + .../prod2018010206/gdas/enkf/eomg/grp7.ecf | 45 + .../prod2018010206/gdas/enkf/eomg/grp8.ecf | 45 + .../prod2018010206/gdas/enkf/eomg/grp9.ecf | 45 + .../scripts/prod2018010206/gdas/enkf/epos.ecf | 45 + .../scripts/prod2018010206/gdas/enkf/eupd.ecf | 45 + .../scripts/prod2018010206/gdas/fcst.ecf | 45 + .../scripts/prod2018010206/gdas/post/f000.ecf | 44 + .../scripts/prod2018010206/gdas/post/f006.ecf | 44 + .../scripts/prod2018010206/gdas/post/f012.ecf | 44 + .../scripts/prod2018010206/gdas/post/f018.ecf | 44 + .../scripts/prod2018010206/gdas/post/f024.ecf | 44 + .../scripts/prod2018010206/gdas/post/f030.ecf | 44 + .../scripts/prod2018010206/gdas/prep.ecf | 45 + .../scripts/prod2018010206/gdas/vrfy.ecf | 45 + .../scripts/prod2018010206/gfs/anal.ecf | 45 + .../prod2018010206/gfs/dump_waiter.ecf | 44 + .../scripts/prod2018010206/gfs/fcst.ecf | 45 + .../scripts/prod2018010206/gfs/post/f000.ecf | 44 + .../scripts/prod2018010206/gfs/post/f006.ecf | 44 + .../scripts/prod2018010206/gfs/post/f012.ecf | 44 + .../scripts/prod2018010206/gfs/post/f018.ecf | 44 + .../scripts/prod2018010206/gfs/post/f024.ecf | 44 + .../scripts/prod2018010206/gfs/post/f030.ecf | 44 + .../scripts/prod2018010206/gfs/prep.ecf | 45 + .../scripts/prod2018010206/gfs/vrfy.ecf | 45 + .../prod2018010212/archive/earc/grp1.ecf | 45 + .../prod2018010212/archive/earc/grp10.ecf | 45 + .../prod2018010212/archive/earc/grp11.ecf | 45 + .../prod2018010212/archive/earc/grp12.ecf | 45 + .../prod2018010212/archive/earc/grp13.ecf | 45 + .../prod2018010212/archive/earc/grp14.ecf | 45 + .../prod2018010212/archive/earc/grp15.ecf | 45 + .../prod2018010212/archive/earc/grp16.ecf | 45 + .../prod2018010212/archive/earc/grp2.ecf | 45 + .../prod2018010212/archive/earc/grp3.ecf | 45 + .../prod2018010212/archive/earc/grp4.ecf | 45 + .../prod2018010212/archive/earc/grp5.ecf | 45 + .../prod2018010212/archive/earc/grp6.ecf | 45 + .../prod2018010212/archive/earc/grp7.ecf | 45 + .../prod2018010212/archive/earc/grp8.ecf | 45 + .../prod2018010212/archive/earc/grp9.ecf | 45 + .../scripts/prod2018010212/gdas/anal.ecf | 45 + .../prod2018010212/gdas/dump_waiter.ecf | 44 + .../scripts/prod2018010212/gdas/enkf/ecen.ecf | 45 + .../prod2018010212/gdas/enkf/efcs/grp1.ecf | 45 + .../prod2018010212/gdas/enkf/efcs/grp10.ecf | 45 + .../prod2018010212/gdas/enkf/efcs/grp11.ecf | 45 + .../prod2018010212/gdas/enkf/efcs/grp12.ecf | 45 + .../prod2018010212/gdas/enkf/efcs/grp13.ecf | 45 + .../prod2018010212/gdas/enkf/efcs/grp14.ecf | 45 + .../prod2018010212/gdas/enkf/efcs/grp15.ecf | 45 + .../prod2018010212/gdas/enkf/efcs/grp16.ecf | 45 + .../prod2018010212/gdas/enkf/efcs/grp2.ecf | 45 + .../prod2018010212/gdas/enkf/efcs/grp3.ecf | 45 + .../prod2018010212/gdas/enkf/efcs/grp4.ecf | 45 + .../prod2018010212/gdas/enkf/efcs/grp5.ecf | 45 + .../prod2018010212/gdas/enkf/efcs/grp6.ecf | 45 + .../prod2018010212/gdas/enkf/efcs/grp7.ecf | 45 + .../prod2018010212/gdas/enkf/efcs/grp8.ecf | 45 + .../prod2018010212/gdas/enkf/efcs/grp9.ecf | 45 + .../scripts/prod2018010212/gdas/enkf/eobs.ecf | 45 + .../prod2018010212/gdas/enkf/eomg/grp1.ecf | 45 + .../prod2018010212/gdas/enkf/eomg/grp10.ecf | 45 + .../prod2018010212/gdas/enkf/eomg/grp11.ecf | 45 + .../prod2018010212/gdas/enkf/eomg/grp12.ecf | 45 + .../prod2018010212/gdas/enkf/eomg/grp13.ecf | 45 + .../prod2018010212/gdas/enkf/eomg/grp14.ecf | 45 + .../prod2018010212/gdas/enkf/eomg/grp15.ecf | 45 + .../prod2018010212/gdas/enkf/eomg/grp16.ecf | 45 + .../prod2018010212/gdas/enkf/eomg/grp2.ecf | 45 + .../prod2018010212/gdas/enkf/eomg/grp3.ecf | 45 + .../prod2018010212/gdas/enkf/eomg/grp4.ecf | 45 + .../prod2018010212/gdas/enkf/eomg/grp5.ecf | 45 + .../prod2018010212/gdas/enkf/eomg/grp6.ecf | 45 + .../prod2018010212/gdas/enkf/eomg/grp7.ecf | 45 + .../prod2018010212/gdas/enkf/eomg/grp8.ecf | 45 + .../prod2018010212/gdas/enkf/eomg/grp9.ecf | 45 + .../scripts/prod2018010212/gdas/enkf/epos.ecf | 45 + .../scripts/prod2018010212/gdas/enkf/eupd.ecf | 45 + .../scripts/prod2018010212/gdas/fcst.ecf | 45 + .../scripts/prod2018010212/gdas/post/f000.ecf | 44 + .../scripts/prod2018010212/gdas/post/f006.ecf | 44 + .../scripts/prod2018010212/gdas/post/f012.ecf | 44 + .../scripts/prod2018010212/gdas/post/f018.ecf | 44 + .../scripts/prod2018010212/gdas/post/f024.ecf | 44 + .../scripts/prod2018010212/gdas/post/f030.ecf | 44 + .../scripts/prod2018010212/gdas/prep.ecf | 45 + .../scripts/prod2018010212/gdas/vrfy.ecf | 45 + .../scripts/prod2018010212/gfs/anal.ecf | 45 + .../prod2018010212/gfs/dump_waiter.ecf | 44 + .../scripts/prod2018010212/gfs/fcst.ecf | 45 + .../scripts/prod2018010212/gfs/post/f000.ecf | 44 + .../scripts/prod2018010212/gfs/post/f006.ecf | 44 + .../scripts/prod2018010212/gfs/post/f012.ecf | 44 + .../scripts/prod2018010212/gfs/post/f018.ecf | 44 + .../scripts/prod2018010212/gfs/post/f024.ecf | 44 + .../scripts/prod2018010212/gfs/post/f030.ecf | 44 + .../scripts/prod2018010212/gfs/prep.ecf | 45 + .../scripts/prod2018010212/gfs/vrfy.ecf | 45 + .../prod2018010218/archive/earc/grp1.ecf | 45 + .../prod2018010218/archive/earc/grp10.ecf | 45 + .../prod2018010218/archive/earc/grp11.ecf | 45 + .../prod2018010218/archive/earc/grp12.ecf | 45 + .../prod2018010218/archive/earc/grp13.ecf | 45 + .../prod2018010218/archive/earc/grp14.ecf | 45 + .../prod2018010218/archive/earc/grp15.ecf | 45 + .../prod2018010218/archive/earc/grp16.ecf | 45 + .../prod2018010218/archive/earc/grp2.ecf | 45 + .../prod2018010218/archive/earc/grp3.ecf | 45 + .../prod2018010218/archive/earc/grp4.ecf | 45 + .../prod2018010218/archive/earc/grp5.ecf | 45 + .../prod2018010218/archive/earc/grp6.ecf | 45 + .../prod2018010218/archive/earc/grp7.ecf | 45 + .../prod2018010218/archive/earc/grp8.ecf | 45 + .../prod2018010218/archive/earc/grp9.ecf | 45 + .../scripts/prod2018010218/gdas/anal.ecf | 45 + .../prod2018010218/gdas/dump_waiter.ecf | 44 + .../scripts/prod2018010218/gdas/enkf/ecen.ecf | 45 + .../prod2018010218/gdas/enkf/efcs/grp1.ecf | 45 + .../prod2018010218/gdas/enkf/efcs/grp10.ecf | 45 + .../prod2018010218/gdas/enkf/efcs/grp11.ecf | 45 + .../prod2018010218/gdas/enkf/efcs/grp12.ecf | 45 + .../prod2018010218/gdas/enkf/efcs/grp13.ecf | 45 + .../prod2018010218/gdas/enkf/efcs/grp14.ecf | 45 + .../prod2018010218/gdas/enkf/efcs/grp15.ecf | 45 + .../prod2018010218/gdas/enkf/efcs/grp16.ecf | 45 + .../prod2018010218/gdas/enkf/efcs/grp2.ecf | 45 + .../prod2018010218/gdas/enkf/efcs/grp3.ecf | 45 + .../prod2018010218/gdas/enkf/efcs/grp4.ecf | 45 + .../prod2018010218/gdas/enkf/efcs/grp5.ecf | 45 + .../prod2018010218/gdas/enkf/efcs/grp6.ecf | 45 + .../prod2018010218/gdas/enkf/efcs/grp7.ecf | 45 + .../prod2018010218/gdas/enkf/efcs/grp8.ecf | 45 + .../prod2018010218/gdas/enkf/efcs/grp9.ecf | 45 + .../scripts/prod2018010218/gdas/enkf/eobs.ecf | 45 + .../prod2018010218/gdas/enkf/eomg/grp1.ecf | 45 + .../prod2018010218/gdas/enkf/eomg/grp10.ecf | 45 + .../prod2018010218/gdas/enkf/eomg/grp11.ecf | 45 + .../prod2018010218/gdas/enkf/eomg/grp12.ecf | 45 + .../prod2018010218/gdas/enkf/eomg/grp13.ecf | 45 + .../prod2018010218/gdas/enkf/eomg/grp14.ecf | 45 + .../prod2018010218/gdas/enkf/eomg/grp15.ecf | 45 + .../prod2018010218/gdas/enkf/eomg/grp16.ecf | 45 + .../prod2018010218/gdas/enkf/eomg/grp2.ecf | 45 + .../prod2018010218/gdas/enkf/eomg/grp3.ecf | 45 + .../prod2018010218/gdas/enkf/eomg/grp4.ecf | 45 + .../prod2018010218/gdas/enkf/eomg/grp5.ecf | 45 + .../prod2018010218/gdas/enkf/eomg/grp6.ecf | 45 + .../prod2018010218/gdas/enkf/eomg/grp7.ecf | 45 + .../prod2018010218/gdas/enkf/eomg/grp8.ecf | 45 + .../prod2018010218/gdas/enkf/eomg/grp9.ecf | 45 + .../scripts/prod2018010218/gdas/enkf/epos.ecf | 45 + .../scripts/prod2018010218/gdas/enkf/eupd.ecf | 45 + .../scripts/prod2018010218/gdas/fcst.ecf | 45 + .../scripts/prod2018010218/gdas/post/f000.ecf | 44 + .../scripts/prod2018010218/gdas/post/f006.ecf | 44 + .../scripts/prod2018010218/gdas/post/f012.ecf | 44 + .../scripts/prod2018010218/gdas/post/f018.ecf | 44 + .../scripts/prod2018010218/gdas/post/f024.ecf | 44 + .../scripts/prod2018010218/gdas/post/f030.ecf | 44 + .../scripts/prod2018010218/gdas/prep.ecf | 45 + .../scripts/prod2018010218/gdas/vrfy.ecf | 45 + .../scripts/prod2018010218/gfs/anal.ecf | 45 + .../prod2018010218/gfs/dump_waiter.ecf | 44 + .../scripts/prod2018010218/gfs/fcst.ecf | 45 + .../scripts/prod2018010218/gfs/post/f000.ecf | 44 + .../scripts/prod2018010218/gfs/post/f006.ecf | 44 + .../scripts/prod2018010218/gfs/post/f012.ecf | 44 + .../scripts/prod2018010218/gfs/post/f018.ecf | 44 + .../scripts/prod2018010218/gfs/post/f024.ecf | 44 + .../scripts/prod2018010218/gfs/post/f030.ecf | 44 + .../scripts/prod2018010218/gfs/prep.ecf | 45 + .../scripts/prod2018010218/gfs/vrfy.ecf | 45 + model/rocoto_style_fv3gfs/settings.yaml | 39 + model/rocoto_style_fv3gfs/suite_def.yaml | 268 +++ model/rocoto_style_fv3gfs/task_template.yaml | 109 ++ model/rocoto_style_fv3gfs/validator.yaml | 67 + model/rocoto_style_fv3gfs/workflow.xml | 1548 +++++++++++++++++ 318 files changed, 16607 insertions(+), 102 deletions(-) create mode 100644 model/rocoto_style_fv3gfs/_main.yaml create mode 100644 model/rocoto_style_fv3gfs/defs/prod2018010200.def create mode 100644 model/rocoto_style_fv3gfs/defs/prod2018010206.def create mode 100644 model/rocoto_style_fv3gfs/defs/prod2018010212.def create mode 100644 model/rocoto_style_fv3gfs/defs/prod2018010218.def create mode 100644 model/rocoto_style_fv3gfs/dump_waiter.yaml create mode 100755 model/rocoto_style_fv3gfs/make-ecflow-suite.py create mode 100755 model/rocoto_style_fv3gfs/make-rocoto-xml.py create mode 100644 model/rocoto_style_fv3gfs/resources.yaml create mode 100644 model/rocoto_style_fv3gfs/schedulers.yaml create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp1.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp10.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp11.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp12.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp13.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp14.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp15.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp16.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp2.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp3.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp4.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp5.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp6.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp7.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp8.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp9.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/anal.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/dump_waiter.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/ecen.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp1.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp10.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp11.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp12.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp13.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp14.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp15.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp16.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp2.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp3.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp4.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp5.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp6.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp7.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp8.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp9.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eobs.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp1.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp10.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp11.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp12.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp13.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp14.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp15.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp16.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp2.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp3.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp4.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp5.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp6.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp7.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp8.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp9.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/epos.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eupd.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/fcst.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f000.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f006.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f012.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f018.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f024.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f030.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/prep.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/vrfy.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/anal.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/dump_waiter.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/fcst.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f000.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f006.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f012.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f018.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f024.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f030.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/prep.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/vrfy.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp1.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp10.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp11.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp12.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp13.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp14.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp15.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp16.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp2.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp3.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp4.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp5.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp6.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp7.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp8.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp9.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/anal.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/dump_waiter.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/ecen.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp1.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp10.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp11.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp12.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp13.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp14.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp15.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp16.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp2.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp3.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp4.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp5.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp6.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp7.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp8.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp9.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eobs.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp1.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp10.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp11.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp12.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp13.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp14.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp15.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp16.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp2.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp3.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp4.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp5.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp6.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp7.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp8.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp9.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/epos.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eupd.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/fcst.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f000.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f006.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f012.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f018.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f024.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f030.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/prep.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/vrfy.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/anal.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/dump_waiter.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/fcst.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f000.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f006.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f012.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f018.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f024.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f030.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/prep.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/vrfy.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp1.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp10.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp11.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp12.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp13.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp14.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp15.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp16.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp2.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp3.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp4.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp5.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp6.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp7.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp8.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp9.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/anal.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/dump_waiter.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/ecen.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp1.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp10.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp11.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp12.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp13.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp14.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp15.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp16.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp2.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp3.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp4.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp5.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp6.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp7.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp8.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp9.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eobs.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp1.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp10.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp11.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp12.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp13.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp14.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp15.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp16.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp2.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp3.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp4.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp5.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp6.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp7.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp8.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp9.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/epos.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eupd.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/fcst.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f000.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f006.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f012.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f018.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f024.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f030.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/prep.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/vrfy.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/anal.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/dump_waiter.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/fcst.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f000.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f006.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f012.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f018.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f024.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f030.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/prep.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/vrfy.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp1.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp10.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp11.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp12.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp13.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp14.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp15.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp16.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp2.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp3.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp4.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp5.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp6.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp7.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp8.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp9.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/anal.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/dump_waiter.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/ecen.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp1.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp10.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp11.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp12.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp13.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp14.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp15.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp16.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp2.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp3.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp4.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp5.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp6.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp7.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp8.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp9.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eobs.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp1.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp10.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp11.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp12.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp13.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp14.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp15.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp16.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp2.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp3.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp4.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp5.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp6.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp7.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp8.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp9.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/epos.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eupd.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/fcst.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f000.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f006.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f012.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f018.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f024.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f030.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/prep.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/vrfy.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/anal.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/dump_waiter.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/fcst.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f000.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f006.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f012.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f018.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f024.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f030.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/prep.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/vrfy.ecf create mode 100644 model/rocoto_style_fv3gfs/settings.yaml create mode 100644 model/rocoto_style_fv3gfs/suite_def.yaml create mode 100644 model/rocoto_style_fv3gfs/task_template.yaml create mode 100644 model/rocoto_style_fv3gfs/validator.yaml create mode 100644 model/rocoto_style_fv3gfs/workflow.xml diff --git a/crow/config/__init__.py b/crow/config/__init__.py index 911ade0..2bf17e5 100644 --- a/crow/config/__init__.py +++ b/crow/config/__init__.py @@ -1,4 +1,4 @@ -import yaml +import yaml, logging, os, io, re from collections import Sequence, Mapping import crow.tools from .from_yaml import ConvertFromYAML @@ -21,7 +21,9 @@ 'Taskable', 'Task', 'Family', 'CycleAt', 'CycleTime', 'Cycle', 'Trigger', 'Depend', 'Timespec', 'SuitePath', 'ShellEvent', 'Event', 'DataEvent', 'CycleExistsDependency', 'validate', 'EventDependency', - 'TaskExistsDependency' ] + 'TaskExistsDependency', 'follow_main', 'from_dir' ] + +_logger=logging.getLogger('crow.config') def to_py(obj): return obj._to_py() if hasattr(obj,'_to_py') else obj @@ -52,10 +54,63 @@ def from_file(*args,evaluate_immediates=True,validation_stage=None): return from_string(u'\n\n\n'.join(data), evaluate_immediates=evaluate_immediates, validation_stage=validation_stage) - def validate(obj,stage=''): if getattr(obj,'_validate'): obj._validate(stage) def document_root(obj): return obj._globals()['doc'] + +def from_dir(reldir,evaluate_immediates=True,validation_stage=None,more_globals=None): + with io.StringIO() as fd: + follow_main(fd,reldir,more_globals) + yaml=fd.getvalue() + return from_string(yaml,evaluate_immediates=True,validation_stage=None) + +def follow_main(fd,reldir,more_globals=None): + if more_globals is None: more_globals={} + _logger.debug(f"{reldir}: enter directory") + mainfile=os.path.join(reldir,"_main.yaml") + + includes=[ "*.yaml" ] + if os.path.exists(mainfile): + _logger.debug(f"{mainfile}: read \"include\" array") + maindat=crow.config.from_file(mainfile) + maindat.update(more_globals) + if "include" not in maindat or \ + not isinstance(maindat.include,Sequence): + epicfail(f"{mainfile} has no \"include\" array") + includes=maindat.include + + _logger.debug(f"{reldir}: scan {includes}") + + literals=set() + # First pass: scan for literal files: + for item in includes: + if not re.search(r'[*?\[\]{}]',item): + literals.add(item) + + # Second pass: read files: + included=set() + for item in includes: + if item in included: continue + is_literal=item in literals + if is_literal: + paths=[ os.path.join(reldir,item) ] + else: + paths=[ x for x in glob.glob(os.path.join(reldir,item)) ] + _logger.debug(f"{reldir}: {item}: paths = {paths}") + for path in paths: + basename=os.path.basename(path) + if basename in included: continue + if not is_literal and basename in literals: continue + if basename == "_main.yaml": continue + if os.path.isdir(path): + follow_main(fd,path,more_globals) + else: + _logger.debug(f"{path}: read yaml") + included.add(basename) + with open(path,"rt") as pfd: + fd.write(f"#--- {path}\n") + fd.write(pfd.read()) + fd.write(f"\n#--- end {path}\n") diff --git a/crow/config/tasks.py b/crow/config/tasks.py index a0fce91..ae88e7d 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -163,13 +163,16 @@ def depend(self,string,**kwargs): return deps def get_trigger_dep(self): - return self.get('Trigger',TRUE_DEPENDENCY) + t=self.get('Trigger',None) + return TRUE_DEPENDENCY if t is None else t def get_complete_dep(self): - return self.get('Complete',FALSE_DEPENDENCY) + t=self.get('Complete',None) + return FALSE_DEPENDENCY if t is None else t def get_time_dep(self): - return self.get('Time',timedelta.min) + t=self.get('Time',None) + return timedelta.min if t is None else t def child_iter(self): """!Iterates over all tasks and families that are direct @@ -278,7 +281,8 @@ def get_alarm(self,default=MISSING): return self.suite.Clock return default try: - return self.suite.get_alarm_with_name(self.AlarmName) + alarm=self.suite.get_alarm_with_name(self.AlarmName) + return alarm except KeyError as ke: raise ValueError(f'{self.task_path_var}: no alarm with name {self.AlarmName} in suite.') diff --git a/crow/config/tools.py b/crow/config/tools.py index 838f807..4933c54 100644 --- a/crow/config/tools.py +++ b/crow/config/tools.py @@ -77,6 +77,11 @@ def command_without_exe(parallelism,jobspec,exe): cmd=list(shell_command_obj.command) return ' '.join( [ s for s in cmd if s!=exe ] ) +def indent(prefix,text): + """!Given a multiline string, return a new multiline string with the + given prefix prepended to each line. """ + return '\n'.join([prefix+L for L in text.splitlines()]) + ## The CONFIG_TOOLS contains the tools available to configuration yaml ## "!calc" expressions in their "tools" variable. CONFIG_TOOLS=crow.tools.ImmutableMapping({ @@ -109,4 +114,5 @@ def command_without_exe(parallelism,jobspec,exe): 'get_scheduler':crow.sysenv.get_scheduler, 'node_tool_for':crow.sysenv.node_tool_for, 'command_without_exe':command_without_exe, + 'indent':indent, }) diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py index 8682aac..15d1223 100644 --- a/crow/metascheduler/ecflow.py +++ b/crow/metascheduler/ecflow.py @@ -1,4 +1,4 @@ -import collections, datetime +import collections, datetime, re from collections import OrderedDict from io import StringIO @@ -129,6 +129,14 @@ def __init__(self,suite): if 'parallelism' in suite.ecFlow: update_globals['parallelism']=suite.ecFlow.parallelism + cycles_to_write=suite.ecFlow.get('write_cycles',suite.Clock) + cycles_to_analyze=suite.ecFlow.get('analyze_cycles',suite.Clock) + + if cycles_to_write not in cycles_to_analyze: + raise ValueError(f'ecFlow.write_cycles: Cycles to write must be a subset of cycles to analyze') + if cycles_to_analyze not in suite.Clock: + raise ValueError(f'ecFlow.analyze_cycles: Cycles to analyze must be a subset of the suite clock.') + self.suite=suite self.suite.update_globals(**update_globals) self.settings=self.suite.ecFlow @@ -136,23 +144,33 @@ def __init__(self,suite): self.sched=scheduler self.clock=copy(self.suite.Clock) self.undated=OrderedDict() - self.graph=Graph(self.suite,self.clock) - if 'cycles_to_generate' in self.suite.ecFlow: - self.cycles_to_generate=self.suite.ecFlow.cycles_to_generate - else: - self.cycles_to_generate=copy(self.clock) + self.graph=Graph(self.suite,self.suite.Clock) + self.type='ecflow' + + def defenvar(self,name,value): + return f"edit {name} '{value!s}'" + + def defvar(self,name,value): + return f"edit {name} '{value!s}'" def varref(self,name): return f'%{name}%' + def _cycles_to_write(self): + return self.suite.ecFlow.get('write_cycles',self.suite.Clock) + + def _cycles_to_analyze(self): + return self.suite.ecFlow.get('analyze_cycles',self.suite.Clock) + def _select_cycle(self,cycle): invalidate_cache(self.suite,recurse=True) self.suite.Clock.now = cycle - def _foreach_cycle(self): - """!Iterates over all cycles, ensuring self.suite is correctly set up - to handle a cycle within during each iteration.""" - clock=copy(self.suite.Clock) + def _foreach_cycle(self,clock): + """!Iterates over all cycles in the clock, ensuring self.suite is + correctly set up to handle a cycle within during each + iteration. """ + clock=copy(clock) # Cannot iterate over self.suite.Clock because # self.suite.Clock is not a Clock. It is an object that # generates a Clock. Hence, invalidate_cache causes a new @@ -164,8 +182,7 @@ def _foreach_cycle(self): def _remove_final_task(self): if 'final' not in self.suite: return assert('final' in self.suite) - print(self.suite.final) - for cycle in self.clock: + for cycle in self._foreach_cycle(self._cycles_to_write()): dt=cycle-self.clock.start self.graph.force_never_run(self.suite.final.at(dt).path) @@ -175,11 +192,11 @@ def _initialize_graph(self): self._simplify_job_graph() def _populate_job_graph(self): - for cycle in self._foreach_cycle(): + for cycle in self._foreach_cycle(self._cycles_to_analyze()): self.graph.add_cycle(cycle) def _simplify_job_graph(self): - for cycle in self._foreach_cycle(): + for cycle in self._foreach_cycle(self._cycles_to_write()): self.graph.simplify_cycle(cycle) def _walk_job_graph(self,cycle,skip_fun=None,enter_fun=None,exit_fun=None): @@ -202,9 +219,11 @@ def _make_suite_def(self,cycle): sio.write(f'{self.indent}{line.rstrip()}\n') def exit_fun(node): - indent=max(0,len(node.path)-1)*self.indent - nodetype='task' if node.is_task() else 'family' - sio.write(f'{indent}end{nodetype}\n') + if node.is_family(): + indent=max(0,len(node.path)-1)*self.indent + ended=f'/{suite_name}/{node.view.task_path_str}' + ended=re.sub('/+','/',ended) + sio.write(f'{indent}endfamily # {ended}\n') def skip_fun(node): return not node.might_complete() @@ -213,8 +232,13 @@ def skip_fun(node): indent0=max(0,len(node.path)-1)*self.indent indent1=max(0,len(node.path))*self.indent nodetype='task' if node.is_task() else 'family' - sio.write(f'{indent0}{nodetype} {node.path[-1]}\n') - + sio.write(f'{indent0}{nodetype} {node.path[-1]}') + if node.is_family(): + started=f' # /{suite_name}/{node.view.task_path_str}' + started=re.sub('/+','/',started) + sio.write(started) + sio.write('\n') + if 'ecflow_def' in node.view: for line in node.view.ecflow_def.splitlines(): sio.write(f'{indent1}{line.rstrip()}\n') @@ -240,7 +264,7 @@ def skip_fun(node): f'{item.path[-1]}\n') event_number+=1 - sio.write('endsuite\n') + sio.write(f'endsuite # /{suite_name}\n') suite_def_without_externs=sio.getvalue() sio.close() sio=StringIO() @@ -300,7 +324,7 @@ def to_ecflow(self): suite_def_files=dict() ecf_files=collections.defaultdict(dict) self._initialize_graph() - for cycle in self._foreach_cycle(): + for cycle in self._foreach_cycle(self._cycles_to_write()): # Figure our where we are making the suite definition file: filename=cycle.strftime(self.suite.ecFlow.suite_def_filename) if filename in suite_def_files: diff --git a/crow/metascheduler/graph.py b/crow/metascheduler/graph.py index dbc6151..769ff39 100644 --- a/crow/metascheduler/graph.py +++ b/crow/metascheduler/graph.py @@ -36,11 +36,11 @@ def __init__(self,view,cycle): self.time=ZERO_DT self.cycle=cycle self.alarm=view.get_alarm() - if 'Trigger' in view: + if 'Trigger' in view and view.Trigger is not None: self.trigger=view.Trigger.copy_dependencies() - if 'Complete' in view: + if 'Complete' in view and view.Complete is not None: self.complete=view.Complete.copy_dependencies() - if 'Time' in view: + if 'Time' in view and view.Time is not None: self.time=copy(view.Time) self.children=collections.OrderedDict() @@ -52,17 +52,23 @@ def force_never_run(self): self.trigger=FALSE_DEPENDENCY self.complete=FALSE_DEPENDENCY + def force_always_complete(self): + self.trigger=FALSE_DEPENDENCY + self.complete=TRUE_DEPENDENCY + def assume(self,clock,assume_complete=None,assume_never_run=None): typecheck('self.alarm',self.alarm,Clock) if self.cycle not in self.alarm: self.trigger=FALSE_DEPENDENCY self.complete=FALSE_DEPENDENCY + elif self.view.get('Disable',False): + self.trigger=FALSE_DEPENDENCY + self.complete=FALSE_DEPENDENCY else: self.trigger=algebra_simplify(algebra_assume( self.trigger,clock,self.cycle,assume_complete,assume_never_run)) self.complete=algebra_simplify(algebra_assume( self.complete,clock,self.cycle,assume_complete,assume_never_run)) - def is_family(self): return self.view.is_family() def is_task(self): return self.view.is_task() def has_trigger(self): @@ -125,10 +131,12 @@ def fun_assume_never_run(path): if node.can_never_complete(): for descendent in depth_first_traversal(node): never_run.add(descendent.path) + descendent.force_never_run() changed=True elif node.is_always_complete(): for descendent in depth_first_traversal(node): always_complete.add(descendent.path) + descendent.force_always_complete() changed=True def depth_first_traversal(self,cycle,skip_fun,enter_fun,exit_fun): diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index b481030..b7a5511 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -1,7 +1,9 @@ -import sys +import sys, io from datetime import timedelta, datetime from io import StringIO from copy import copy +from xml.sax.saxutils import quoteattr, escape + from crow.tools import typecheck from collections import namedtuple from collections.abc import Sequence, Mapping @@ -10,7 +12,7 @@ from crow.config import SuiteView, Suite, Depend, LogicalDependency, \ AndDependency, OrDependency, NotDependency, \ StateDependency, Dependable, Taskable, Task, \ - Family, Cycle, RUNNING, COMPLETED, FAILED, \ + Family, Cycle, RUNNING, COMPLETED, FAILED, invalidate_cache, \ TRUE_DEPENDENCY, FALSE_DEPENDENCY, SuitePath, TaskExistsDependency, \ CycleExistsDependency, DataEvent, ShellEvent, EventDependency from crow.metascheduler.algebra import simplify @@ -37,6 +39,18 @@ class SelfReferentialDependency(RocotoConfigError): pass _ZERO_DT=timedelta() +def stringify_clock(name,clock,indent): + start_time=clock.start.strftime('%Y%m%d%H%M') + end_time=clock.end.strftime('%Y%m%d%H%M') + step=to_timedelta(clock.step) # convert to python timedelta + step=_cycle_offset(step) # convert to rocoto time delta + if name: + return (f'{indent}{start_time} ' + f'{end_time} {step}\n') + else: + return (f'{indent}{start_time} {end_time} ' + f'{step}\n') + def _dep_rel(dt,tree): tree.add_time(dt) return tree @@ -145,18 +159,27 @@ def __init__(self,suite): "must be a string.") self.__dummy_var_count=0 self.__families_with_completes=set() + self.__alarms_used=set([""]) + + def defenvar(self,name,value): + return f'{name}{value!s}' + + def defvar(self,name,value): + qvalue=quoteattr(str(value)) + return(f'') def varref(self,name): return f'&{name};' def make_time_xml(self,indent=1): - clock=copy(self.suite.Clock) - start_time=clock.start.strftime('%Y%m%d%H%M') - end_time=clock.end.strftime('%Y%m%d%H%M') - step=to_timedelta(clock.step) # convert to python timedelta - step=_cycle_offset(step) # convert to rocoto time delta - space=self.__spacing - return f'{space*indent}{start_time} {end_time} {step}\n' + with io.StringIO() as sio: + for name in self.__alarms_used: + if name: + alarm=self.suite.Alarms[name] + else: + alarm=self.suite.Clock + sio.write(stringify_clock(name,alarm,indent*self.__spacing)) + return sio.getvalue() def make_task_xml(self,indent=1): fd=StringIO() @@ -170,7 +193,7 @@ def make_task_xml(self,indent=1): self.__families_with_completes.add(family_path) self._convert_item(fd,max(0,indent-1),self.suite,TRUE_DEPENDENCY, - FALSE_DEPENDENCY,timedelta.min) + FALSE_DEPENDENCY,timedelta.min,'') self._handle_final_task(fd,indent) result=fd.getvalue() fd.close() @@ -261,6 +284,7 @@ def _validate_cycle(self): raise KeyError('%s: %s'%(key,what)) def _record_item(self,view,complete): + if view.get('Disable',False): return complete=complete | view.get_complete_dep() self.__all_defined.add(view.path) @@ -286,17 +310,24 @@ def _record_item(self,view,complete): else: self._record_item(child,complete) - def _convert_item(self,fd,indent,view,trigger,complete,time): + def _convert_item(self,fd,indent,view,trigger,complete,time,alarm_name): + if view.get('Disable',False): return trigger=trigger & view.get_trigger_dep() complete=complete | view.get_complete_dep() time=max(time,view.get_time_dep()) space=self.__spacing + if 'AlarmName' in view: + if alarm_name: + raise ValueError('{view.task_path_var}: nested alarms are not supported in crow.metascheduler.to_rocoto()') + else: + alarm_name=view.AlarmName + if view.is_task(): maxtries=int(view.get( 'max_tries',self.suite.Rocoto.get('max_tries',0))) attr = f' maxtries="{maxtries}"' if maxtries else '' - self._write_task_text(fd,attr,indent,view,trigger&~complete,time) + self._write_task_text(fd,attr,indent,view,trigger&~complete,time,alarm_name) return self.__dummy_var_count+=1 @@ -318,15 +349,20 @@ def _convert_item(self,fd,indent,view,trigger,complete,time): +type(child.viewed).__name__) self.__final_task=child else: - self._convert_item(fd,indent+1,child,trigger,complete,time) + self._convert_item(fd,indent+1,child,trigger,complete,time,alarm_name) if not isinstance(view,Suite): fd.write(f'{space*indent}\n') - def _write_task_text(self,fd,attr,indent,view,dependency,time): + def _write_task_text(self,fd,attr,indent,view,dependency,time,alarm_name, + manual_dependency=None): path='.'.join(view.path[1:]) space=self.__spacing - fd.write(f'{space*indent}\n') + fd.write(f'{space*indent}\n') dep=self._as_rocoto_dep(dependency,view.path) @@ -336,6 +372,12 @@ def _write_task_text(self,fd,attr,indent,view,dependency,time): for line in view.Rocoto.splitlines(): fd.write(f'{space*(indent+1)}{line}\n') + if manual_dependency is not None: + for line in manual_dependency.splitlines(): + fd.write(f'{space*(indent+1)}{line}\n') + fd.write(space*indent+'\n') + return + if not dep_count: fd.write(space*(indent+1) + '\n') if dep_count: @@ -362,10 +404,19 @@ def _completes_for(self,item): dep=dep | self.__completes[item_path][1] return dep - def _final_task_deps(self,item): + def _final_task_deps(self,item,for_alarm=None,alarm_name=None): path=SuitePath(item.path[1:]) with_completes=self.__families_with_completes + if for_alarm is not None: + if 'AlarmName' in item: + if alarm_name: + raise ValueError('{item.task_path_var}: nested alarms are not supported in crow.metascheduler.to_rocoto()') + else: + alarm_name=item.AlarmName + if alarm_name is not None and alarm_name != for_alarm: + return TRUE_DEPENDENCY + if item.is_task(): dep = item.is_completed() if item.path in self.__completes: @@ -395,7 +446,7 @@ def _final_task_deps(self,item): continue if not isinstance(subitem,SuiteView): continue - subdep=subdep & self._final_task_deps(subitem) + subdep=subdep & self._final_task_deps(subitem,for_alarm,alarm_name) if dep is FALSE_DEPENDENCY: dep=subdep @@ -424,11 +475,44 @@ def _handle_final_task(self,fd,indent): 'If a workflow suite has any "complete" conditions, ' 'then it must have a "final" task with no dependencies.') - # Generate dependency for the final task: - dep=self._final_task_deps(self.suite) - - self._write_task_text(fd,' final="true"',indent,final,dep,timedelta.min) - + if len(self.__alarms_used)<2: + # There are no alarms in use, so there is only one final task. + # Generate dependency for it: + fd.write(f'\n{self.__spacing*indent}\n\n') + dep=self._final_task_deps(self.suite) + self._write_task_text(fd,' final="true"',indent,final,dep,timedelta.min,'') + return + + + fd.write(f'\n{self.__spacing*indent}\n\n') + + # There are alarms, so things get... complicated. + manual_dependency=f''' +{self.__spacing*indent} +{self.__spacing*(indent+1)}\n''' + for alarm_name in self.__alarms_used: + this_alarm_final_dep=self._final_task_deps(self.suite,for_alarm=alarm_name) + task_name=f'final_for_{alarm_name}' if alarm_name else 'final_no_alarm' + new_task=copy(self.suite.final.viewed) + new_task['AlarmName']=alarm_name + invalidate_cache(new_task) + self.suite.viewed[task_name]=new_task + new_task_view=self.suite[task_name] + del new_task + self.__all_defined.add(SuitePath( + [_ZERO_DT] + new_task_view.path[1:])) + self._write_task_text(fd,' final="true"',indent,new_task_view, + this_alarm_final_dep,timedelta.min,alarm_name) + + manual_dependency+=f'''{self.__spacing*(indent+1)} +{self.__spacing*(indent+2)} +{self.__spacing*(indent+2)} +{self.__spacing*(indent+1)}\n''' + manual_dependency+=f'{self.__spacing*indent}\n\n' + self._write_task_text( + fd,' final="true"',indent,final, + TRUE_DEPENDENCY,timedelta.min,'', + manual_dependency=manual_dependency) def to_rocoto(suite): typecheck('suite',suite,Suite) return ToRocoto(suite)._expand_workflow_xml() diff --git a/model/fv3gfs/exp/setup_case.py b/model/fv3gfs/exp/setup_case.py index 9fe7a34..401cc22 100755 --- a/model/fv3gfs/exp/setup_case.py +++ b/model/fv3gfs/exp/setup_case.py @@ -9,7 +9,7 @@ from create_comrot import create_COMROT import crow.config, crow.metascheduler, crow.dataflow -from crow.config import Platform +from crow.config import Platform, follow_main logger=logging.getLogger("setup_case") @@ -17,53 +17,6 @@ def epicfail(why): logger.error(why) sys.exit(1) -def follow_main(fd,reldir,more_globals): - logger.debug(f"{reldir}: enter directory") - mainfile=os.path.join(reldir,"_main.yaml") - - includes=[ "*.yaml" ] - if os.path.exists(mainfile): - logger.debug(f"{mainfile}: read \"include\" array") - maindat=crow.config.from_file(mainfile) - maindat.update(more_globals) - if "include" not in maindat or \ - not isinstance(maindat.include,Sequence): - epicfail(f"{mainfile} has no \"include\" array") - includes=maindat.include - - logger.debug(f"{reldir}: scan {includes}") - - literals=set() - # First pass: scan for literal files: - for item in includes: - if not re.search(r'[*?\[\]{}]',item): - literals.add(item) - - # Second pass: read files: - included=set() - for item in includes: - if item in included: continue - is_literal=item in literals - if is_literal: - paths=[ os.path.join(reldir,item) ] - else: - paths=[ x for x in glob.glob(os.path.join(reldir,item)) ] - logger.debug(f"{reldir}: {item}: paths = {paths}") - for path in paths: - basename=os.path.basename(path) - if basename in included: continue - if not is_literal and basename in literals: continue - if basename == "_main.yaml": continue - if os.path.isdir(path): - follow_main(fd,path,more_globals) - else: - logger.debug(f"{path}: read yaml") - included.add(basename) - with open(path,"rt") as pfd: - fd.write(f"#--- {path}\n") - fd.write(pfd.read()) - fd.write(f"\n#--- end {path}\n") - def read_contents(case): for case_file in [ case,f"{case}.yaml",f"cases/{case}", f"cases/{case}.yaml","/" ]: diff --git a/model/rocoto_style_fv3gfs/_main.yaml b/model/rocoto_style_fv3gfs/_main.yaml new file mode 100644 index 0000000..fb33fac --- /dev/null +++ b/model/rocoto_style_fv3gfs/_main.yaml @@ -0,0 +1,8 @@ +include: + - schedulers.yaml + - settings.yaml + - resources.yaml + - validator.yaml + - task_template.yaml + - dump_waiter.yaml + - suite_def.yaml diff --git a/model/rocoto_style_fv3gfs/defs/prod2018010200.def b/model/rocoto_style_fv3gfs/defs/prod2018010200.def new file mode 100644 index 0000000..cb388ad --- /dev/null +++ b/model/rocoto_style_fv3gfs/defs/prod2018010200.def @@ -0,0 +1,218 @@ +extern /prod2018010118/gdas/post +extern /prod2018010118/gdas/enkf/epos +suite prod2018010200 + #repeat day 1 + edit ECF_TRIES '1' + #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' + edit ECF_KILL_CMD 'lkill %ECF_NAME% %ECF_JOBOUT%' + edit ECF_HOME '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs' + #edit ECF_HOME '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3' + edit CYC '00' + edit ENVIR 'prod' + edit E 'jecffv3' + #edit QUEUE 'dev' + edit PROJENVIR 'GFS-T2O' + edit EMCPEN 'ecfgfsfv3' + edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/ecfgfsfv3' + edit ECF_FILES '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs/scripts' + edit ECF_OUT '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs/output' + edit ECF_LOG '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs/ecf.log' + edit MODEL_NAME 'gfs' + + edit DUMPDIR '/gpfs/tp1/emc/globaldump' + edit QUEUE 'debug' + edit COM '/gpfs/hps2/ptmp/emc.glopara/ecfgfsfv3/com' + edit QUEUESERV 'dev_transfer' + + family gdas # /prod2018010200/gdas + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + edit PROJ '%PROJENVIR%' + edit MODEL_NAME 'gdas' + task dump_waiter + event 1 updated_status + task prep + trigger /prod2018010118/gdas/post == complete and ./dump_waiter:updated_status + family enkf # /prod2018010200/gdas/enkf + task eobs + trigger ../prep == complete and /prod2018010118/gdas/enkf/epos == complete + family eomg # /prod2018010200/gdas/enkf/eomg + trigger ./eobs == complete + task grp1 + edit ENSGRP '1' + task grp2 + edit ENSGRP '2' + task grp3 + edit ENSGRP '3' + task grp4 + edit ENSGRP '4' + task grp5 + edit ENSGRP '5' + task grp6 + edit ENSGRP '6' + task grp7 + edit ENSGRP '7' + task grp8 + edit ENSGRP '8' + task grp9 + edit ENSGRP '9' + task grp10 + edit ENSGRP '10' + task grp11 + edit ENSGRP '11' + task grp12 + edit ENSGRP '12' + task grp13 + edit ENSGRP '13' + task grp14 + edit ENSGRP '14' + task grp15 + edit ENSGRP '15' + task grp16 + edit ENSGRP '16' + endfamily # /prod2018010200/gdas/enkf/eomg + task eupd + trigger ./eomg == complete + task ecen + trigger ./eupd == complete and ../anal == complete + family efcs # /prod2018010200/gdas/enkf/efcs + trigger ./eobs == complete + task grp1 + edit ENSGRP '1' + task grp2 + edit ENSGRP '2' + task grp3 + edit ENSGRP '3' + task grp4 + edit ENSGRP '4' + task grp5 + edit ENSGRP '5' + task grp6 + edit ENSGRP '6' + task grp7 + edit ENSGRP '7' + task grp8 + edit ENSGRP '8' + task grp9 + edit ENSGRP '9' + task grp10 + edit ENSGRP '10' + task grp11 + edit ENSGRP '11' + task grp12 + edit ENSGRP '12' + task grp13 + edit ENSGRP '13' + task grp14 + edit ENSGRP '14' + task grp15 + edit ENSGRP '15' + task grp16 + edit ENSGRP '16' + endfamily # /prod2018010200/gdas/enkf/efcs + task epos + trigger ./efcs == complete + endfamily # /prod2018010200/gdas/enkf + task anal + trigger ./prep == complete and /prod2018010118/gdas/enkf/epos == complete + task fcst + trigger ./anal == complete + family post # /prod2018010200/gdas/post + task f000 + edit FHRGRP 'f000' + edit FHRLST 'anl' + task f006 + edit FHRGRP 'f006' + edit FHRLST 'f006' + task f012 + edit FHRGRP 'f012' + edit FHRLST 'f012' + task f018 + edit FHRGRP 'f018' + edit FHRLST 'f018' + task f024 + edit FHRGRP 'f024' + edit FHRLST 'f024' + task f030 + edit FHRGRP 'f030' + edit FHRLST 'f030' + endfamily # /prod2018010200/gdas/post + task vrfy + trigger ./post == complete + endfamily # /prod2018010200/gdas + family gfs # /prod2018010200/gfs + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + edit PROJ '%PROJENVIR%' + edit MODEL_NAME 'gfs' + task dump_waiter + event 1 updated_status + task prep + trigger /prod2018010118/gdas/post == complete + task anal + trigger ./prep == complete and /prod2018010118/gdas/enkf/epos == complete + task fcst + trigger ./anal == complete + family post # /prod2018010200/gfs/post + trigger ./fcst == active or ./fcst == complete + task f000 + edit FHRGRP 'f000' + edit FHRLST 'anl' + task f006 + edit FHRGRP 'f006' + edit FHRLST 'f006' + task f012 + edit FHRGRP 'f012' + edit FHRLST 'f012' + task f018 + edit FHRGRP 'f018' + edit FHRLST 'f018' + task f024 + edit FHRGRP 'f024' + edit FHRLST 'f024' + task f030 + edit FHRGRP 'f030' + edit FHRLST 'f030' + endfamily # /prod2018010200/gfs/post + task vrfy + trigger ./post == complete + endfamily # /prod2018010200/gfs + family archive # /prod2018010200/archive + family earc # /prod2018010200/archive/earc + trigger ../gdas/enkf/epos == complete + task grp1 + edit ENSGRP '1' + task grp2 + edit ENSGRP '2' + task grp3 + edit ENSGRP '3' + task grp4 + edit ENSGRP '4' + task grp5 + edit ENSGRP '5' + task grp6 + edit ENSGRP '6' + task grp7 + edit ENSGRP '7' + task grp8 + edit ENSGRP '8' + task grp9 + edit ENSGRP '9' + task grp10 + edit ENSGRP '10' + task grp11 + edit ENSGRP '11' + task grp12 + edit ENSGRP '12' + task grp13 + edit ENSGRP '13' + task grp14 + edit ENSGRP '14' + task grp15 + edit ENSGRP '15' + task grp16 + edit ENSGRP '16' + endfamily # /prod2018010200/archive/earc + endfamily # /prod2018010200/archive +endsuite # /prod2018010200 diff --git a/model/rocoto_style_fv3gfs/defs/prod2018010206.def b/model/rocoto_style_fv3gfs/defs/prod2018010206.def new file mode 100644 index 0000000..e509fb1 --- /dev/null +++ b/model/rocoto_style_fv3gfs/defs/prod2018010206.def @@ -0,0 +1,181 @@ +extern /prod2018010200/gdas/post +extern /prod2018010200/gdas/enkf/epos +suite prod2018010206 + #repeat day 1 + edit ECF_TRIES '1' + #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' + edit ECF_KILL_CMD 'lkill %ECF_NAME% %ECF_JOBOUT%' + edit ECF_HOME '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs' + #edit ECF_HOME '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3' + edit CYC '06' + edit ENVIR 'prod' + edit E 'jecffv3' + #edit QUEUE 'dev' + edit PROJENVIR 'GFS-T2O' + edit EMCPEN 'ecfgfsfv3' + edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/ecfgfsfv3' + edit ECF_FILES '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs/scripts' + edit ECF_OUT '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs/output' + edit ECF_LOG '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs/ecf.log' + edit MODEL_NAME 'gfs' + + edit DUMPDIR '/gpfs/tp1/emc/globaldump' + edit QUEUE 'debug' + edit COM '/gpfs/hps2/ptmp/emc.glopara/ecfgfsfv3/com' + edit QUEUESERV 'dev_transfer' + + family gdas # /prod2018010206/gdas + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + edit PROJ '%PROJENVIR%' + edit MODEL_NAME 'gdas' + task dump_waiter + event 1 updated_status + task prep + trigger /prod2018010200/gdas/post == complete and ./dump_waiter:updated_status + family enkf # /prod2018010206/gdas/enkf + task eobs + trigger ../prep == complete and /prod2018010200/gdas/enkf/epos == complete + family eomg # /prod2018010206/gdas/enkf/eomg + trigger ./eobs == complete + task grp1 + edit ENSGRP '1' + task grp2 + edit ENSGRP '2' + task grp3 + edit ENSGRP '3' + task grp4 + edit ENSGRP '4' + task grp5 + edit ENSGRP '5' + task grp6 + edit ENSGRP '6' + task grp7 + edit ENSGRP '7' + task grp8 + edit ENSGRP '8' + task grp9 + edit ENSGRP '9' + task grp10 + edit ENSGRP '10' + task grp11 + edit ENSGRP '11' + task grp12 + edit ENSGRP '12' + task grp13 + edit ENSGRP '13' + task grp14 + edit ENSGRP '14' + task grp15 + edit ENSGRP '15' + task grp16 + edit ENSGRP '16' + endfamily # /prod2018010206/gdas/enkf/eomg + task eupd + trigger ./eomg == complete + task ecen + trigger ./eupd == complete and ../anal == complete + family efcs # /prod2018010206/gdas/enkf/efcs + trigger ./eobs == complete + task grp1 + edit ENSGRP '1' + task grp2 + edit ENSGRP '2' + task grp3 + edit ENSGRP '3' + task grp4 + edit ENSGRP '4' + task grp5 + edit ENSGRP '5' + task grp6 + edit ENSGRP '6' + task grp7 + edit ENSGRP '7' + task grp8 + edit ENSGRP '8' + task grp9 + edit ENSGRP '9' + task grp10 + edit ENSGRP '10' + task grp11 + edit ENSGRP '11' + task grp12 + edit ENSGRP '12' + task grp13 + edit ENSGRP '13' + task grp14 + edit ENSGRP '14' + task grp15 + edit ENSGRP '15' + task grp16 + edit ENSGRP '16' + endfamily # /prod2018010206/gdas/enkf/efcs + task epos + trigger ./efcs == complete + endfamily # /prod2018010206/gdas/enkf + task anal + trigger ./prep == complete and /prod2018010200/gdas/enkf/epos == complete + task fcst + trigger ./anal == complete + family post # /prod2018010206/gdas/post + task f000 + edit FHRGRP 'f000' + edit FHRLST 'anl' + task f006 + edit FHRGRP 'f006' + edit FHRLST 'f006' + task f012 + edit FHRGRP 'f012' + edit FHRLST 'f012' + task f018 + edit FHRGRP 'f018' + edit FHRLST 'f018' + task f024 + edit FHRGRP 'f024' + edit FHRLST 'f024' + task f030 + edit FHRGRP 'f030' + edit FHRLST 'f030' + endfamily # /prod2018010206/gdas/post + task vrfy + trigger ./post == complete + endfamily # /prod2018010206/gdas + family archive # /prod2018010206/archive + family earc # /prod2018010206/archive/earc + trigger ../gdas/enkf/epos == complete + task grp1 + edit ENSGRP '1' + task grp2 + edit ENSGRP '2' + task grp3 + edit ENSGRP '3' + task grp4 + edit ENSGRP '4' + task grp5 + edit ENSGRP '5' + task grp6 + edit ENSGRP '6' + task grp7 + edit ENSGRP '7' + task grp8 + edit ENSGRP '8' + task grp9 + edit ENSGRP '9' + task grp10 + edit ENSGRP '10' + task grp11 + edit ENSGRP '11' + task grp12 + edit ENSGRP '12' + task grp13 + edit ENSGRP '13' + task grp14 + edit ENSGRP '14' + task grp15 + edit ENSGRP '15' + task grp16 + edit ENSGRP '16' + endfamily # /prod2018010206/archive/earc + endfamily # /prod2018010206/archive +endsuite # /prod2018010206 diff --git a/model/rocoto_style_fv3gfs/defs/prod2018010212.def b/model/rocoto_style_fv3gfs/defs/prod2018010212.def new file mode 100644 index 0000000..d709b5f --- /dev/null +++ b/model/rocoto_style_fv3gfs/defs/prod2018010212.def @@ -0,0 +1,218 @@ +extern /prod2018010206/gdas/post +extern /prod2018010206/gdas/enkf/epos +suite prod2018010212 + #repeat day 1 + edit ECF_TRIES '1' + #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' + edit ECF_KILL_CMD 'lkill %ECF_NAME% %ECF_JOBOUT%' + edit ECF_HOME '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs' + #edit ECF_HOME '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3' + edit CYC '12' + edit ENVIR 'prod' + edit E 'jecffv3' + #edit QUEUE 'dev' + edit PROJENVIR 'GFS-T2O' + edit EMCPEN 'ecfgfsfv3' + edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/ecfgfsfv3' + edit ECF_FILES '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs/scripts' + edit ECF_OUT '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs/output' + edit ECF_LOG '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs/ecf.log' + edit MODEL_NAME 'gfs' + + edit DUMPDIR '/gpfs/tp1/emc/globaldump' + edit QUEUE 'debug' + edit COM '/gpfs/hps2/ptmp/emc.glopara/ecfgfsfv3/com' + edit QUEUESERV 'dev_transfer' + + family gdas # /prod2018010212/gdas + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + edit PROJ '%PROJENVIR%' + edit MODEL_NAME 'gdas' + task dump_waiter + event 1 updated_status + task prep + trigger /prod2018010206/gdas/post == complete and ./dump_waiter:updated_status + family enkf # /prod2018010212/gdas/enkf + task eobs + trigger ../prep == complete and /prod2018010206/gdas/enkf/epos == complete + family eomg # /prod2018010212/gdas/enkf/eomg + trigger ./eobs == complete + task grp1 + edit ENSGRP '1' + task grp2 + edit ENSGRP '2' + task grp3 + edit ENSGRP '3' + task grp4 + edit ENSGRP '4' + task grp5 + edit ENSGRP '5' + task grp6 + edit ENSGRP '6' + task grp7 + edit ENSGRP '7' + task grp8 + edit ENSGRP '8' + task grp9 + edit ENSGRP '9' + task grp10 + edit ENSGRP '10' + task grp11 + edit ENSGRP '11' + task grp12 + edit ENSGRP '12' + task grp13 + edit ENSGRP '13' + task grp14 + edit ENSGRP '14' + task grp15 + edit ENSGRP '15' + task grp16 + edit ENSGRP '16' + endfamily # /prod2018010212/gdas/enkf/eomg + task eupd + trigger ./eomg == complete + task ecen + trigger ./eupd == complete and ../anal == complete + family efcs # /prod2018010212/gdas/enkf/efcs + trigger ./eobs == complete + task grp1 + edit ENSGRP '1' + task grp2 + edit ENSGRP '2' + task grp3 + edit ENSGRP '3' + task grp4 + edit ENSGRP '4' + task grp5 + edit ENSGRP '5' + task grp6 + edit ENSGRP '6' + task grp7 + edit ENSGRP '7' + task grp8 + edit ENSGRP '8' + task grp9 + edit ENSGRP '9' + task grp10 + edit ENSGRP '10' + task grp11 + edit ENSGRP '11' + task grp12 + edit ENSGRP '12' + task grp13 + edit ENSGRP '13' + task grp14 + edit ENSGRP '14' + task grp15 + edit ENSGRP '15' + task grp16 + edit ENSGRP '16' + endfamily # /prod2018010212/gdas/enkf/efcs + task epos + trigger ./efcs == complete + endfamily # /prod2018010212/gdas/enkf + task anal + trigger ./prep == complete and /prod2018010206/gdas/enkf/epos == complete + task fcst + trigger ./anal == complete + family post # /prod2018010212/gdas/post + task f000 + edit FHRGRP 'f000' + edit FHRLST 'anl' + task f006 + edit FHRGRP 'f006' + edit FHRLST 'f006' + task f012 + edit FHRGRP 'f012' + edit FHRLST 'f012' + task f018 + edit FHRGRP 'f018' + edit FHRLST 'f018' + task f024 + edit FHRGRP 'f024' + edit FHRLST 'f024' + task f030 + edit FHRGRP 'f030' + edit FHRLST 'f030' + endfamily # /prod2018010212/gdas/post + task vrfy + trigger ./post == complete + endfamily # /prod2018010212/gdas + family gfs # /prod2018010212/gfs + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + edit PROJ '%PROJENVIR%' + edit MODEL_NAME 'gfs' + task dump_waiter + event 1 updated_status + task prep + trigger /prod2018010206/gdas/post == complete + task anal + trigger ./prep == complete and /prod2018010206/gdas/enkf/epos == complete + task fcst + trigger ./anal == complete + family post # /prod2018010212/gfs/post + trigger ./fcst == active or ./fcst == complete + task f000 + edit FHRGRP 'f000' + edit FHRLST 'anl' + task f006 + edit FHRGRP 'f006' + edit FHRLST 'f006' + task f012 + edit FHRGRP 'f012' + edit FHRLST 'f012' + task f018 + edit FHRGRP 'f018' + edit FHRLST 'f018' + task f024 + edit FHRGRP 'f024' + edit FHRLST 'f024' + task f030 + edit FHRGRP 'f030' + edit FHRLST 'f030' + endfamily # /prod2018010212/gfs/post + task vrfy + trigger ./post == complete + endfamily # /prod2018010212/gfs + family archive # /prod2018010212/archive + family earc # /prod2018010212/archive/earc + trigger ../gdas/enkf/epos == complete + task grp1 + edit ENSGRP '1' + task grp2 + edit ENSGRP '2' + task grp3 + edit ENSGRP '3' + task grp4 + edit ENSGRP '4' + task grp5 + edit ENSGRP '5' + task grp6 + edit ENSGRP '6' + task grp7 + edit ENSGRP '7' + task grp8 + edit ENSGRP '8' + task grp9 + edit ENSGRP '9' + task grp10 + edit ENSGRP '10' + task grp11 + edit ENSGRP '11' + task grp12 + edit ENSGRP '12' + task grp13 + edit ENSGRP '13' + task grp14 + edit ENSGRP '14' + task grp15 + edit ENSGRP '15' + task grp16 + edit ENSGRP '16' + endfamily # /prod2018010212/archive/earc + endfamily # /prod2018010212/archive +endsuite # /prod2018010212 diff --git a/model/rocoto_style_fv3gfs/defs/prod2018010218.def b/model/rocoto_style_fv3gfs/defs/prod2018010218.def new file mode 100644 index 0000000..462ad08 --- /dev/null +++ b/model/rocoto_style_fv3gfs/defs/prod2018010218.def @@ -0,0 +1,181 @@ +extern /prod2018010212/gdas/post +extern /prod2018010212/gdas/enkf/epos +suite prod2018010218 + #repeat day 1 + edit ECF_TRIES '1' + #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' + edit ECF_KILL_CMD 'lkill %ECF_NAME% %ECF_JOBOUT%' + edit ECF_HOME '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs' + #edit ECF_HOME '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3' + edit CYC '18' + edit ENVIR 'prod' + edit E 'jecffv3' + #edit QUEUE 'dev' + edit PROJENVIR 'GFS-T2O' + edit EMCPEN 'ecfgfsfv3' + edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/ecfgfsfv3' + edit ECF_FILES '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs/scripts' + edit ECF_OUT '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs/output' + edit ECF_LOG '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs/ecf.log' + edit MODEL_NAME 'gfs' + + edit DUMPDIR '/gpfs/tp1/emc/globaldump' + edit QUEUE 'debug' + edit COM '/gpfs/hps2/ptmp/emc.glopara/ecfgfsfv3/com' + edit QUEUESERV 'dev_transfer' + + family gdas # /prod2018010218/gdas + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + edit PROJ '%PROJENVIR%' + edit MODEL_NAME 'gdas' + task dump_waiter + event 1 updated_status + task prep + trigger /prod2018010212/gdas/post == complete and ./dump_waiter:updated_status + family enkf # /prod2018010218/gdas/enkf + task eobs + trigger ../prep == complete and /prod2018010212/gdas/enkf/epos == complete + family eomg # /prod2018010218/gdas/enkf/eomg + trigger ./eobs == complete + task grp1 + edit ENSGRP '1' + task grp2 + edit ENSGRP '2' + task grp3 + edit ENSGRP '3' + task grp4 + edit ENSGRP '4' + task grp5 + edit ENSGRP '5' + task grp6 + edit ENSGRP '6' + task grp7 + edit ENSGRP '7' + task grp8 + edit ENSGRP '8' + task grp9 + edit ENSGRP '9' + task grp10 + edit ENSGRP '10' + task grp11 + edit ENSGRP '11' + task grp12 + edit ENSGRP '12' + task grp13 + edit ENSGRP '13' + task grp14 + edit ENSGRP '14' + task grp15 + edit ENSGRP '15' + task grp16 + edit ENSGRP '16' + endfamily # /prod2018010218/gdas/enkf/eomg + task eupd + trigger ./eomg == complete + task ecen + trigger ./eupd == complete and ../anal == complete + family efcs # /prod2018010218/gdas/enkf/efcs + trigger ./eobs == complete + task grp1 + edit ENSGRP '1' + task grp2 + edit ENSGRP '2' + task grp3 + edit ENSGRP '3' + task grp4 + edit ENSGRP '4' + task grp5 + edit ENSGRP '5' + task grp6 + edit ENSGRP '6' + task grp7 + edit ENSGRP '7' + task grp8 + edit ENSGRP '8' + task grp9 + edit ENSGRP '9' + task grp10 + edit ENSGRP '10' + task grp11 + edit ENSGRP '11' + task grp12 + edit ENSGRP '12' + task grp13 + edit ENSGRP '13' + task grp14 + edit ENSGRP '14' + task grp15 + edit ENSGRP '15' + task grp16 + edit ENSGRP '16' + endfamily # /prod2018010218/gdas/enkf/efcs + task epos + trigger ./efcs == complete + endfamily # /prod2018010218/gdas/enkf + task anal + trigger ./prep == complete and /prod2018010212/gdas/enkf/epos == complete + task fcst + trigger ./anal == complete + family post # /prod2018010218/gdas/post + task f000 + edit FHRGRP 'f000' + edit FHRLST 'anl' + task f006 + edit FHRGRP 'f006' + edit FHRLST 'f006' + task f012 + edit FHRGRP 'f012' + edit FHRLST 'f012' + task f018 + edit FHRGRP 'f018' + edit FHRLST 'f018' + task f024 + edit FHRGRP 'f024' + edit FHRLST 'f024' + task f030 + edit FHRGRP 'f030' + edit FHRLST 'f030' + endfamily # /prod2018010218/gdas/post + task vrfy + trigger ./post == complete + endfamily # /prod2018010218/gdas + family archive # /prod2018010218/archive + family earc # /prod2018010218/archive/earc + trigger ../gdas/enkf/epos == complete + task grp1 + edit ENSGRP '1' + task grp2 + edit ENSGRP '2' + task grp3 + edit ENSGRP '3' + task grp4 + edit ENSGRP '4' + task grp5 + edit ENSGRP '5' + task grp6 + edit ENSGRP '6' + task grp7 + edit ENSGRP '7' + task grp8 + edit ENSGRP '8' + task grp9 + edit ENSGRP '9' + task grp10 + edit ENSGRP '10' + task grp11 + edit ENSGRP '11' + task grp12 + edit ENSGRP '12' + task grp13 + edit ENSGRP '13' + task grp14 + edit ENSGRP '14' + task grp15 + edit ENSGRP '15' + task grp16 + edit ENSGRP '16' + endfamily # /prod2018010218/archive/earc + endfamily # /prod2018010218/archive +endsuite # /prod2018010218 diff --git a/model/rocoto_style_fv3gfs/dump_waiter.yaml b/model/rocoto_style_fv3gfs/dump_waiter.yaml new file mode 100644 index 0000000..1db636e --- /dev/null +++ b/model/rocoto_style_fv3gfs/dump_waiter.yaml @@ -0,0 +1,41 @@ +dump_waiter: &dump_waiter_task !Task + <<: *exclusive_task_template + manual: | + The dump_waiter task is a special job needed to run this + workflow outside of the production suite. It handles the + external dependency on the dump and the associated + (approximate) time dependency. + In ecflow: + The dump_waiter is started when the cycle is allowed to + begin, and waits for the dump to be available. It sets + the "updated_status" event when the dump is available. + In Rocoto: + The dump_waiter is never run; it is defined so that the + updated_status data event can be used as a data dependency + in the prep job. + + Disable: !calc metasched.type=='rocoto' + + resources: !calc (doc.resources.run_nothing) + + Time: !FirstTrue + - when: !calc doc.settings.realtime + do: 2:00 + - otherwise: null + + updated_status: !DataEvent + file: !expand >- + {doc.settings.DUMPDIR}/@Y@m@d@H/{up.CDUMP}/{up.CDUMP}.t@Hz.updated.status.tm00.bufr_d + + # The batch_job_command is dumped into the ecf file where the + # J-Job would normally be called. This job is never run by + # Rocoto, so Rocoto never uses this code block. + batch_job_command: !expand | + setpdy.sh + source ./PDY + WAITFILE=%DUMPDIR%/${{PDY}}%CYC%/{CDUMP}/{CDUMP}.t%CYC%z.updated.status.tm00.bufr_d + while [[ ! -s "$WAITFILE" ]] ; do + echo "$WAITFILE: nope" + sleep 37 + done + ecflow_client --event updated_status diff --git a/model/rocoto_style_fv3gfs/make-ecflow-suite.py b/model/rocoto_style_fv3gfs/make-ecflow-suite.py new file mode 100755 index 0000000..a45183f --- /dev/null +++ b/model/rocoto_style_fv3gfs/make-ecflow-suite.py @@ -0,0 +1,37 @@ +#! /usr/bin/env python3 +f'This script requires Python 3.6 or newer.' + +import os, io +from crow.metascheduler import to_ecflow +from crow.config import from_dir, Suite + +conf=from_dir('.') +suite=Suite(conf.suite) +suite_defs, ecf_files = to_ecflow(suite) + +def make_parent_dir(filename): + dirname=os.path.dirname(filename) + if dirname and not os.path.exists(dirname): + os.makedirs(os.path.dirname(filename)) + +for deffile in suite_defs.keys(): + defname = suite_defs[deffile]['name'] + defcontents = suite_defs[deffile]['def'] + #print(f'=== contents of suite def {defname}\n{suite_defs[defname]}') + filename=os.path.join('defs',deffile) + make_parent_dir(filename) + dirname=os.path.dirname(filename) + if dirname and not os.path.exists(dirname): + os.makedirs(os.path.dirname(filename)) + with open(filename,'wt') as fd: + fd.write(defcontents) + + for setname in ecf_files: + print(f'ecf file set {setname}:\n') + for filename in ecf_files[setname]: + full_fn=os.path.join('scripts',defname,filename)+'.ecf' + print(f' file {full_fn}') + make_parent_dir(full_fn) + with open(full_fn,'wt') as fd: + fd.write(ecf_files[setname][filename]) + diff --git a/model/rocoto_style_fv3gfs/make-rocoto-xml.py b/model/rocoto_style_fv3gfs/make-rocoto-xml.py new file mode 100755 index 0000000..06575cc --- /dev/null +++ b/model/rocoto_style_fv3gfs/make-rocoto-xml.py @@ -0,0 +1,12 @@ +#! /usr/bin/env python3 +f'This script requires Python 3.6 or newer.' + +import os, io +from crow.metascheduler import to_rocoto +from crow.config import from_dir, Suite + +conf=from_dir('.') +suite=Suite(conf.suite) +with open('workflow.xml','wt') as fd: + print('workflow.xml') + fd.write(to_rocoto(suite)) diff --git a/model/rocoto_style_fv3gfs/resources.yaml b/model/rocoto_style_fv3gfs/resources.yaml new file mode 100644 index 0000000..d8402cc --- /dev/null +++ b/model/rocoto_style_fv3gfs/resources.yaml @@ -0,0 +1,160 @@ +resources: + + run_test: !JobRequest + - exe: nothing + mpi_ranks: 1 + + # From if[[...ecen]] block in config.resources: + run_ecen: !JobRequest + - memory: "3072M" + walltime: 00:02:00 + mpi_ranks: 80 + exe: placeholder + # max_ppn comes from THEIA.env: 84/12 = 7 + max_ppn: 4 + OMP_NUM_THREADS: 2 + + run_chgres: !JobRequest + - exe: time + OMP_NUM_THREADS: 12 + args: + - placeholder + + run_nothing: !JobRequest # Special placeholder for "do nothing" + - memory: "300M" + exe: nothing + + run_eobs: !JobRequest + - memory: "3072M" + walltime: 00:02:00 + mpi_ranks: !calc 12*6 + exe: placeholder + max_ppn: 6 + OMP_NUM_THREADS: 4 + + run_eomg: !JobRequest + - memory: "3072M" + walltime: 00:02:00 + mpi_ranks: !calc 6*12 + exe: placeholder + max_ppn: 6 + OMP_NUM_THREADS: 2 + + run_eupd: !JobRequest + - memory: "3072M" + walltime: 00:02:00 + mpi_ranks: !calc 20*12 + exe: placeholder + max_ppn: 6 + OMP_NUM_THREADS: 2 + +# run_enkf: !JobRequest +# pi_ranks: !calc 10*12 +# resources: !JobRequest +# - exe: placeholder +# max_ppn: 12 +# OMP_NUM_THREADS: 4 + + run_efcs: !JobRequest + - walltime: 00:02:00 + mpi_ranks: !calc "doc.settings.layout_x*doc.settings.layout_y*6" + max_ppn: 12 + memory: "254M" + + run_epos: !JobRequest + - memory: "254M" + mpi_ranks: 80 + walltime: 00:02:00 + exe: placeholder + max_ppn: 4 + OMP_NUM_THREADS: 2 + + run_prep: !JobRequest + - memory: "3072M" + walltime: 00:02:00 + mpi_ranks: 6 + exe: placeholder + max_ppn: 2 + OMP_NUM_THREADS: max + + run_anal: !JobRequest + - memory: "3072M" + mpi_ranks: 360 + walltime: 00:02:00 + exe: placeholder + max_ppn: 6 + OMP_NUM_THREADS: 2 + +# run_gsi: !JobRequest +# pi_ranks: !calc 24*6 +# resources: !JobRequest +# - exe: placeholder +# max_ppn: 6 +# OMP_NUM_THREADS: 4 + + run_gdasfcst: !JobRequest + - mpi_ranks: !calc "doc.settings.layout_x*doc.settings.layout_y*6" + walltime: 00:02:00 + max_ppn: 12 + memory: "1024M" + + run_gfsfcst: !JobRequest + - mpi_ranks: !calc "doc.settings.layout_x*doc.settings.layout_y*6" + walltime: 00:02:00 + max_ppn: 12 + memory: "1024M" + + run_gdaspost: !JobRequest + - memory: "3072M" + mpi_ranks: 72 + walltime: 00:02:00 + exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 1 + + run_gfspost: !JobRequest + - memory: "3072M" + mpi_ranks: 72 + walltime: 00:02:00 + exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 1 + + run_vrfy: !JobRequest + - memory: "3072M" + mpi_ranks: 1 + walltime: 00:02:00 + exe: placeholder + max_ppn: 1 + OMP_NUM_THREADS: 2 + + run_arch: !JobRequest + - memory: "3072M" + exclusive: false + mpi_ranks: 1 + walltime: 00:02:00 + exe: placeholder + max_ppn: 1 + OMP_NUM_THREADS: 2 + + run_final: !JobRequest + - memory: "2M" + mpi_ranks: 1 + walltime: 00:01:00 + exe: placeholder + max_ppn: 1 + OMP_NUM_THREADS: 2 + +# run_earc: !JobRequest +# emory: "3072M" +# mpi_ranks: 1 +# walltime: 00:15:00 +# resources: !JobRequest +# - exe: placeholder +# max_ppn: 1 +# OMP_NUM_THREADS: 2 + + run_fv3ic: !JobRequest + - memory: "3072M" + mpi_ranks: 24 + exe: placeholder diff --git a/model/rocoto_style_fv3gfs/schedulers.yaml b/model/rocoto_style_fv3gfs/schedulers.yaml new file mode 100644 index 0000000..4488b5e --- /dev/null +++ b/model/rocoto_style_fv3gfs/schedulers.yaml @@ -0,0 +1,20 @@ + +wcoss_cray_scheduler_settings: &wcoss_cray_scheduler_settings + name: LSFAlps + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + +theia_scheduler_settings: &theia_scheduler_settings + name: MoabTorque + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + +# Magic block to automatically detect your machine +automatic_scheduler_settings: &automatic_scheduler_settings !FirstTrue + - when: !calc tools.isdir("/gpfs") and tools.isdir("/usrx/local") + do: *wcoss_cray_scheduler_settings + - when: !calc tools.isdir("/scratch4") and tools.isdir("/scratch3") + do: *theia_scheduler_settings + - otherwise: !error "You are not on Theia or WCOSS" diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp1.ecf new file mode 100644 index 0000000..3456a5c --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp1.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp1 +#BSUB -o %ECF_OUT%/archive.earc.grp1_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp10.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp10.ecf new file mode 100644 index 0000000..6877711 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp10.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp10 +#BSUB -o %ECF_OUT%/archive.earc.grp10_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp11.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp11.ecf new file mode 100644 index 0000000..e9a7ca7 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp11.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp11 +#BSUB -o %ECF_OUT%/archive.earc.grp11_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp12.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp12.ecf new file mode 100644 index 0000000..0985174 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp12.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp12 +#BSUB -o %ECF_OUT%/archive.earc.grp12_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp13.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp13.ecf new file mode 100644 index 0000000..09c3850 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp13.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp13 +#BSUB -o %ECF_OUT%/archive.earc.grp13_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp14.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp14.ecf new file mode 100644 index 0000000..df74ae0 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp14.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp14 +#BSUB -o %ECF_OUT%/archive.earc.grp14_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp15.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp15.ecf new file mode 100644 index 0000000..348102d --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp15.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp15 +#BSUB -o %ECF_OUT%/archive.earc.grp15_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp16.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp16.ecf new file mode 100644 index 0000000..42cb852 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp16.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp16 +#BSUB -o %ECF_OUT%/archive.earc.grp16_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp2.ecf new file mode 100644 index 0000000..a97c33c --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp2.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp2 +#BSUB -o %ECF_OUT%/archive.earc.grp2_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp3.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp3.ecf new file mode 100644 index 0000000..fa3f20b --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp3.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp3 +#BSUB -o %ECF_OUT%/archive.earc.grp3_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp4.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp4.ecf new file mode 100644 index 0000000..5cae010 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp4.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp4 +#BSUB -o %ECF_OUT%/archive.earc.grp4_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp5.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp5.ecf new file mode 100644 index 0000000..537272f --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp5.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp5 +#BSUB -o %ECF_OUT%/archive.earc.grp5_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp6.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp6.ecf new file mode 100644 index 0000000..1740688 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp6.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp6 +#BSUB -o %ECF_OUT%/archive.earc.grp6_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp7.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp7.ecf new file mode 100644 index 0000000..8849816 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp7.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp7 +#BSUB -o %ECF_OUT%/archive.earc.grp7_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp8.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp8.ecf new file mode 100644 index 0000000..d328623 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp8.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp8 +#BSUB -o %ECF_OUT%/archive.earc.grp8_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp9.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp9.ecf new file mode 100644 index 0000000..04c066f --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp9.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp9 +#BSUB -o %ECF_OUT%/archive.earc.grp9_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/anal.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/anal.ecf new file mode 100644 index 0000000..03363e6 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/anal.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.anal +#BSUB -o %ECF_OUT%/gdas.anal_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=60 +%include +%include + +set -x + +export ntasks=360 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/ANAL.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/dump_waiter.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/dump_waiter.ecf new file mode 100644 index 0000000..0e861a2 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/dump_waiter.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.dump_waiter +#BSUB -o %ECF_OUT%/gdas.dump_waiter_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/DUMP_WAITER.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/ecen.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/ecen.ecf new file mode 100644 index 0000000..5fcfe6a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/ecen.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.ecen +#BSUB -o %ECF_OUT%/gdas.enkf.ecen_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=20 +%include +%include + +set -x + +export ntasks=80 +export ptile=4 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/ECEN.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp1.ecf new file mode 100644 index 0000000..d1ac26a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp1.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp1 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp1_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp10.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp10.ecf new file mode 100644 index 0000000..53b83c7 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp10.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp10 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp10_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp11.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp11.ecf new file mode 100644 index 0000000..006541b --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp11.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp11 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp11_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp12.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp12.ecf new file mode 100644 index 0000000..3fbefd9 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp12.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp12 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp12_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp13.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp13.ecf new file mode 100644 index 0000000..57bd7a1 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp13.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp13 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp13_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp14.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp14.ecf new file mode 100644 index 0000000..3c8b0b4 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp14.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp14 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp14_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp15.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp15.ecf new file mode 100644 index 0000000..3fcbeb0 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp15.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp15 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp15_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp16.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp16.ecf new file mode 100644 index 0000000..f6741e2 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp16.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp16 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp16_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp2.ecf new file mode 100644 index 0000000..903af95 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp2.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp2 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp2_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp3.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp3.ecf new file mode 100644 index 0000000..4dcb5a7 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp3.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp3 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp3_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp4.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp4.ecf new file mode 100644 index 0000000..c12b02b --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp4.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp4 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp4_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp5.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp5.ecf new file mode 100644 index 0000000..751b6b8 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp5.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp5_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp6.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp6.ecf new file mode 100644 index 0000000..9908c1b --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp6.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp6_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp7.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp7.ecf new file mode 100644 index 0000000..2389245 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp7.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp7_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp8.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp8.ecf new file mode 100644 index 0000000..4d850f0 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp8.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp8_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp9.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp9.ecf new file mode 100644 index 0000000..09d0a49 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp9.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp9 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp9_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eobs.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eobs.ecf new file mode 100644 index 0000000..169aec2 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eobs.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eobs +#BSUB -o %ECF_OUT%/gdas.enkf.eobs_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=4 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/EOBS.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp1.ecf new file mode 100644 index 0000000..7d636d9 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp1.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp1 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp1_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp10.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp10.ecf new file mode 100644 index 0000000..fd7ce14 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp10.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp10 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp10_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp11.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp11.ecf new file mode 100644 index 0000000..f2c94fb --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp11.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp11 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp11_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp12.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp12.ecf new file mode 100644 index 0000000..254bcd3 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp12.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp12 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp12_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp13.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp13.ecf new file mode 100644 index 0000000..e8ed8b1 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp13.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp13 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp13_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp14.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp14.ecf new file mode 100644 index 0000000..38d4a50 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp14.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp14 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp14_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp15.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp15.ecf new file mode 100644 index 0000000..507d36d --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp15.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp15 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp15_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp16.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp16.ecf new file mode 100644 index 0000000..1991a92 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp16.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp16 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp16_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp2.ecf new file mode 100644 index 0000000..8a674db --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp2.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp2 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp2_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp3.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp3.ecf new file mode 100644 index 0000000..80313c6 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp3.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp3 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp3_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp4.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp4.ecf new file mode 100644 index 0000000..c0056f3 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp4.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp4 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp4_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp5.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp5.ecf new file mode 100644 index 0000000..017f39c --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp5.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp5_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp6.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp6.ecf new file mode 100644 index 0000000..9ce4f49 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp6.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp6_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp7.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp7.ecf new file mode 100644 index 0000000..cc4497f --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp7.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp7_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp8.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp8.ecf new file mode 100644 index 0000000..5eb857a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp8.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp8_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp9.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp9.ecf new file mode 100644 index 0000000..aba847b --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp9.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp9 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp9_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/epos.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/epos.ecf new file mode 100644 index 0000000..01934e6 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/epos.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.epos +#BSUB -o %ECF_OUT%/gdas.enkf.epos_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=20 +%include +%include + +set -x + +export ntasks=80 +export ptile=4 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/EPOS.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eupd.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eupd.ecf new file mode 100644 index 0000000..f488d54 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eupd.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eupd +#BSUB -o %ECF_OUT%/gdas.enkf.eupd_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=40 +%include +%include + +set -x + +export ntasks=240 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/EUPD.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/fcst.ecf new file mode 100644 index 0000000..d329d29 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/fcst.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.fcst +#BSUB -o %ECF_OUT%/gdas.fcst_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/FCST.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f000.ecf new file mode 100644 index 0000000..5789e8a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f000.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.f000 +#BSUB -o %ECF_OUT%/gdas.post.f000_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f006.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f006.ecf new file mode 100644 index 0000000..fdaf9e5 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f006.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.f006 +#BSUB -o %ECF_OUT%/gdas.post.f006_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f012.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f012.ecf new file mode 100644 index 0000000..2fc679f --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f012.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.f012 +#BSUB -o %ECF_OUT%/gdas.post.f012_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f018.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f018.ecf new file mode 100644 index 0000000..09efdf7 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f018.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.f018 +#BSUB -o %ECF_OUT%/gdas.post.f018_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f024.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f024.ecf new file mode 100644 index 0000000..640061a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f024.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.f024 +#BSUB -o %ECF_OUT%/gdas.post.f024_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f030.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f030.ecf new file mode 100644 index 0000000..85c165f --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f030.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.f030 +#BSUB -o %ECF_OUT%/gdas.post.f030_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/prep.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/prep.ecf new file mode 100644 index 0000000..4cb18a3 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/prep.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep +#BSUB -o %ECF_OUT%/gdas.prep_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=3 +%include +%include + +set -x + +export ntasks=6 +export ptile=2 +export threads=9223372036854775807 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/PREP.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/vrfy.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/vrfy.ecf new file mode 100644 index 0000000..cc0964a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/vrfy.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.vrfy +#BSUB -o %ECF_OUT%/gdas.vrfy_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/VRFY.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/anal.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/anal.ecf new file mode 100644 index 0000000..dfe5721 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/anal.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.anal +#BSUB -o %ECF_OUT%/gfs.anal_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=60 +%include +%include + +set -x + +export ntasks=360 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/ANAL.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/dump_waiter.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/dump_waiter.ecf new file mode 100644 index 0000000..80e02cd --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/dump_waiter.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.dump_waiter +#BSUB -o %ECF_OUT%/gfs.dump_waiter_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/DUMP_WAITER.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/fcst.ecf new file mode 100644 index 0000000..e62e72b --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/fcst.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.fcst +#BSUB -o %ECF_OUT%/gfs.fcst_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/FCST.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f000.ecf new file mode 100644 index 0000000..1814d60 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f000.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.f000 +#BSUB -o %ECF_OUT%/gfs.post.f000_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f006.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f006.ecf new file mode 100644 index 0000000..62f76c6 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f006.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.f006 +#BSUB -o %ECF_OUT%/gfs.post.f006_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f012.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f012.ecf new file mode 100644 index 0000000..fda50ea --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f012.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.f012 +#BSUB -o %ECF_OUT%/gfs.post.f012_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f018.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f018.ecf new file mode 100644 index 0000000..3ff3f29 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f018.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.f018 +#BSUB -o %ECF_OUT%/gfs.post.f018_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f024.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f024.ecf new file mode 100644 index 0000000..410418d --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f024.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.f024 +#BSUB -o %ECF_OUT%/gfs.post.f024_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f030.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f030.ecf new file mode 100644 index 0000000..c91eaf9 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f030.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.f030 +#BSUB -o %ECF_OUT%/gfs.post.f030_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/prep.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/prep.ecf new file mode 100644 index 0000000..45b80c2 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/prep.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep +#BSUB -o %ECF_OUT%/gfs.prep_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=3 +%include +%include + +set -x + +export ntasks=6 +export ptile=2 +export threads=9223372036854775807 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/PREP.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/vrfy.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/vrfy.ecf new file mode 100644 index 0000000..eae1eba --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/vrfy.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.vrfy +#BSUB -o %ECF_OUT%/gfs.vrfy_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/VRFY.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp1.ecf new file mode 100644 index 0000000..3456a5c --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp1.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp1 +#BSUB -o %ECF_OUT%/archive.earc.grp1_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp10.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp10.ecf new file mode 100644 index 0000000..6877711 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp10.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp10 +#BSUB -o %ECF_OUT%/archive.earc.grp10_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp11.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp11.ecf new file mode 100644 index 0000000..e9a7ca7 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp11.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp11 +#BSUB -o %ECF_OUT%/archive.earc.grp11_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp12.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp12.ecf new file mode 100644 index 0000000..0985174 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp12.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp12 +#BSUB -o %ECF_OUT%/archive.earc.grp12_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp13.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp13.ecf new file mode 100644 index 0000000..09c3850 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp13.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp13 +#BSUB -o %ECF_OUT%/archive.earc.grp13_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp14.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp14.ecf new file mode 100644 index 0000000..df74ae0 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp14.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp14 +#BSUB -o %ECF_OUT%/archive.earc.grp14_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp15.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp15.ecf new file mode 100644 index 0000000..348102d --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp15.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp15 +#BSUB -o %ECF_OUT%/archive.earc.grp15_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp16.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp16.ecf new file mode 100644 index 0000000..42cb852 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp16.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp16 +#BSUB -o %ECF_OUT%/archive.earc.grp16_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp2.ecf new file mode 100644 index 0000000..a97c33c --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp2.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp2 +#BSUB -o %ECF_OUT%/archive.earc.grp2_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp3.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp3.ecf new file mode 100644 index 0000000..fa3f20b --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp3.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp3 +#BSUB -o %ECF_OUT%/archive.earc.grp3_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp4.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp4.ecf new file mode 100644 index 0000000..5cae010 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp4.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp4 +#BSUB -o %ECF_OUT%/archive.earc.grp4_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp5.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp5.ecf new file mode 100644 index 0000000..537272f --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp5.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp5 +#BSUB -o %ECF_OUT%/archive.earc.grp5_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp6.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp6.ecf new file mode 100644 index 0000000..1740688 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp6.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp6 +#BSUB -o %ECF_OUT%/archive.earc.grp6_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp7.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp7.ecf new file mode 100644 index 0000000..8849816 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp7.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp7 +#BSUB -o %ECF_OUT%/archive.earc.grp7_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp8.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp8.ecf new file mode 100644 index 0000000..d328623 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp8.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp8 +#BSUB -o %ECF_OUT%/archive.earc.grp8_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp9.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp9.ecf new file mode 100644 index 0000000..04c066f --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp9.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp9 +#BSUB -o %ECF_OUT%/archive.earc.grp9_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/anal.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/anal.ecf new file mode 100644 index 0000000..03363e6 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/anal.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.anal +#BSUB -o %ECF_OUT%/gdas.anal_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=60 +%include +%include + +set -x + +export ntasks=360 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/ANAL.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/dump_waiter.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/dump_waiter.ecf new file mode 100644 index 0000000..0e861a2 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/dump_waiter.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.dump_waiter +#BSUB -o %ECF_OUT%/gdas.dump_waiter_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/DUMP_WAITER.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/ecen.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/ecen.ecf new file mode 100644 index 0000000..5fcfe6a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/ecen.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.ecen +#BSUB -o %ECF_OUT%/gdas.enkf.ecen_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=20 +%include +%include + +set -x + +export ntasks=80 +export ptile=4 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/ECEN.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp1.ecf new file mode 100644 index 0000000..d1ac26a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp1.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp1 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp1_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp10.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp10.ecf new file mode 100644 index 0000000..53b83c7 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp10.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp10 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp10_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp11.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp11.ecf new file mode 100644 index 0000000..006541b --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp11.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp11 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp11_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp12.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp12.ecf new file mode 100644 index 0000000..3fbefd9 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp12.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp12 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp12_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp13.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp13.ecf new file mode 100644 index 0000000..57bd7a1 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp13.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp13 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp13_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp14.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp14.ecf new file mode 100644 index 0000000..3c8b0b4 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp14.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp14 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp14_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp15.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp15.ecf new file mode 100644 index 0000000..3fcbeb0 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp15.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp15 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp15_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp16.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp16.ecf new file mode 100644 index 0000000..f6741e2 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp16.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp16 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp16_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp2.ecf new file mode 100644 index 0000000..903af95 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp2.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp2 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp2_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp3.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp3.ecf new file mode 100644 index 0000000..4dcb5a7 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp3.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp3 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp3_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp4.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp4.ecf new file mode 100644 index 0000000..c12b02b --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp4.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp4 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp4_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp5.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp5.ecf new file mode 100644 index 0000000..751b6b8 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp5.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp5_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp6.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp6.ecf new file mode 100644 index 0000000..9908c1b --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp6.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp6_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp7.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp7.ecf new file mode 100644 index 0000000..2389245 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp7.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp7_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp8.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp8.ecf new file mode 100644 index 0000000..4d850f0 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp8.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp8_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp9.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp9.ecf new file mode 100644 index 0000000..09d0a49 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp9.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp9 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp9_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eobs.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eobs.ecf new file mode 100644 index 0000000..169aec2 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eobs.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eobs +#BSUB -o %ECF_OUT%/gdas.enkf.eobs_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=4 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/EOBS.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp1.ecf new file mode 100644 index 0000000..7d636d9 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp1.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp1 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp1_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp10.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp10.ecf new file mode 100644 index 0000000..fd7ce14 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp10.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp10 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp10_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp11.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp11.ecf new file mode 100644 index 0000000..f2c94fb --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp11.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp11 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp11_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp12.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp12.ecf new file mode 100644 index 0000000..254bcd3 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp12.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp12 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp12_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp13.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp13.ecf new file mode 100644 index 0000000..e8ed8b1 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp13.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp13 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp13_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp14.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp14.ecf new file mode 100644 index 0000000..38d4a50 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp14.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp14 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp14_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp15.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp15.ecf new file mode 100644 index 0000000..507d36d --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp15.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp15 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp15_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp16.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp16.ecf new file mode 100644 index 0000000..1991a92 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp16.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp16 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp16_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp2.ecf new file mode 100644 index 0000000..8a674db --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp2.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp2 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp2_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp3.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp3.ecf new file mode 100644 index 0000000..80313c6 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp3.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp3 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp3_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp4.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp4.ecf new file mode 100644 index 0000000..c0056f3 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp4.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp4 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp4_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp5.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp5.ecf new file mode 100644 index 0000000..017f39c --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp5.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp5_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp6.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp6.ecf new file mode 100644 index 0000000..9ce4f49 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp6.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp6_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp7.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp7.ecf new file mode 100644 index 0000000..cc4497f --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp7.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp7_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp8.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp8.ecf new file mode 100644 index 0000000..5eb857a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp8.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp8_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp9.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp9.ecf new file mode 100644 index 0000000..aba847b --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp9.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp9 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp9_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/epos.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/epos.ecf new file mode 100644 index 0000000..01934e6 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/epos.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.epos +#BSUB -o %ECF_OUT%/gdas.enkf.epos_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=20 +%include +%include + +set -x + +export ntasks=80 +export ptile=4 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/EPOS.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eupd.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eupd.ecf new file mode 100644 index 0000000..f488d54 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eupd.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eupd +#BSUB -o %ECF_OUT%/gdas.enkf.eupd_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=40 +%include +%include + +set -x + +export ntasks=240 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/EUPD.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/fcst.ecf new file mode 100644 index 0000000..d329d29 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/fcst.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.fcst +#BSUB -o %ECF_OUT%/gdas.fcst_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/FCST.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f000.ecf new file mode 100644 index 0000000..5789e8a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f000.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.f000 +#BSUB -o %ECF_OUT%/gdas.post.f000_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f006.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f006.ecf new file mode 100644 index 0000000..fdaf9e5 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f006.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.f006 +#BSUB -o %ECF_OUT%/gdas.post.f006_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f012.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f012.ecf new file mode 100644 index 0000000..2fc679f --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f012.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.f012 +#BSUB -o %ECF_OUT%/gdas.post.f012_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f018.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f018.ecf new file mode 100644 index 0000000..09efdf7 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f018.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.f018 +#BSUB -o %ECF_OUT%/gdas.post.f018_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f024.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f024.ecf new file mode 100644 index 0000000..640061a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f024.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.f024 +#BSUB -o %ECF_OUT%/gdas.post.f024_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f030.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f030.ecf new file mode 100644 index 0000000..85c165f --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f030.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.f030 +#BSUB -o %ECF_OUT%/gdas.post.f030_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/prep.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/prep.ecf new file mode 100644 index 0000000..4cb18a3 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/prep.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep +#BSUB -o %ECF_OUT%/gdas.prep_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=3 +%include +%include + +set -x + +export ntasks=6 +export ptile=2 +export threads=9223372036854775807 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/PREP.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/vrfy.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/vrfy.ecf new file mode 100644 index 0000000..cc0964a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/vrfy.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.vrfy +#BSUB -o %ECF_OUT%/gdas.vrfy_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/VRFY.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/anal.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/anal.ecf new file mode 100644 index 0000000..dfe5721 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/anal.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.anal +#BSUB -o %ECF_OUT%/gfs.anal_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=60 +%include +%include + +set -x + +export ntasks=360 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/ANAL.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/dump_waiter.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/dump_waiter.ecf new file mode 100644 index 0000000..80e02cd --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/dump_waiter.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.dump_waiter +#BSUB -o %ECF_OUT%/gfs.dump_waiter_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/DUMP_WAITER.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/fcst.ecf new file mode 100644 index 0000000..e62e72b --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/fcst.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.fcst +#BSUB -o %ECF_OUT%/gfs.fcst_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/FCST.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f000.ecf new file mode 100644 index 0000000..1814d60 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f000.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.f000 +#BSUB -o %ECF_OUT%/gfs.post.f000_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f006.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f006.ecf new file mode 100644 index 0000000..62f76c6 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f006.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.f006 +#BSUB -o %ECF_OUT%/gfs.post.f006_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f012.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f012.ecf new file mode 100644 index 0000000..fda50ea --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f012.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.f012 +#BSUB -o %ECF_OUT%/gfs.post.f012_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f018.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f018.ecf new file mode 100644 index 0000000..3ff3f29 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f018.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.f018 +#BSUB -o %ECF_OUT%/gfs.post.f018_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f024.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f024.ecf new file mode 100644 index 0000000..410418d --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f024.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.f024 +#BSUB -o %ECF_OUT%/gfs.post.f024_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f030.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f030.ecf new file mode 100644 index 0000000..c91eaf9 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f030.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.f030 +#BSUB -o %ECF_OUT%/gfs.post.f030_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/prep.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/prep.ecf new file mode 100644 index 0000000..45b80c2 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/prep.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep +#BSUB -o %ECF_OUT%/gfs.prep_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=3 +%include +%include + +set -x + +export ntasks=6 +export ptile=2 +export threads=9223372036854775807 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/PREP.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/vrfy.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/vrfy.ecf new file mode 100644 index 0000000..eae1eba --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/vrfy.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.vrfy +#BSUB -o %ECF_OUT%/gfs.vrfy_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/VRFY.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp1.ecf new file mode 100644 index 0000000..3456a5c --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp1.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp1 +#BSUB -o %ECF_OUT%/archive.earc.grp1_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp10.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp10.ecf new file mode 100644 index 0000000..6877711 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp10.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp10 +#BSUB -o %ECF_OUT%/archive.earc.grp10_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp11.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp11.ecf new file mode 100644 index 0000000..e9a7ca7 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp11.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp11 +#BSUB -o %ECF_OUT%/archive.earc.grp11_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp12.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp12.ecf new file mode 100644 index 0000000..0985174 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp12.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp12 +#BSUB -o %ECF_OUT%/archive.earc.grp12_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp13.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp13.ecf new file mode 100644 index 0000000..09c3850 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp13.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp13 +#BSUB -o %ECF_OUT%/archive.earc.grp13_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp14.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp14.ecf new file mode 100644 index 0000000..df74ae0 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp14.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp14 +#BSUB -o %ECF_OUT%/archive.earc.grp14_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp15.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp15.ecf new file mode 100644 index 0000000..348102d --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp15.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp15 +#BSUB -o %ECF_OUT%/archive.earc.grp15_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp16.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp16.ecf new file mode 100644 index 0000000..42cb852 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp16.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp16 +#BSUB -o %ECF_OUT%/archive.earc.grp16_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp2.ecf new file mode 100644 index 0000000..a97c33c --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp2.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp2 +#BSUB -o %ECF_OUT%/archive.earc.grp2_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp3.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp3.ecf new file mode 100644 index 0000000..fa3f20b --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp3.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp3 +#BSUB -o %ECF_OUT%/archive.earc.grp3_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp4.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp4.ecf new file mode 100644 index 0000000..5cae010 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp4.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp4 +#BSUB -o %ECF_OUT%/archive.earc.grp4_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp5.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp5.ecf new file mode 100644 index 0000000..537272f --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp5.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp5 +#BSUB -o %ECF_OUT%/archive.earc.grp5_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp6.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp6.ecf new file mode 100644 index 0000000..1740688 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp6.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp6 +#BSUB -o %ECF_OUT%/archive.earc.grp6_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp7.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp7.ecf new file mode 100644 index 0000000..8849816 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp7.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp7 +#BSUB -o %ECF_OUT%/archive.earc.grp7_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp8.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp8.ecf new file mode 100644 index 0000000..d328623 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp8.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp8 +#BSUB -o %ECF_OUT%/archive.earc.grp8_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp9.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp9.ecf new file mode 100644 index 0000000..04c066f --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp9.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp9 +#BSUB -o %ECF_OUT%/archive.earc.grp9_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/anal.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/anal.ecf new file mode 100644 index 0000000..03363e6 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/anal.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.anal +#BSUB -o %ECF_OUT%/gdas.anal_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=60 +%include +%include + +set -x + +export ntasks=360 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/ANAL.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/dump_waiter.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/dump_waiter.ecf new file mode 100644 index 0000000..0e861a2 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/dump_waiter.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.dump_waiter +#BSUB -o %ECF_OUT%/gdas.dump_waiter_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/DUMP_WAITER.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/ecen.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/ecen.ecf new file mode 100644 index 0000000..5fcfe6a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/ecen.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.ecen +#BSUB -o %ECF_OUT%/gdas.enkf.ecen_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=20 +%include +%include + +set -x + +export ntasks=80 +export ptile=4 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/ECEN.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp1.ecf new file mode 100644 index 0000000..d1ac26a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp1.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp1 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp1_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp10.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp10.ecf new file mode 100644 index 0000000..53b83c7 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp10.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp10 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp10_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp11.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp11.ecf new file mode 100644 index 0000000..006541b --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp11.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp11 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp11_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp12.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp12.ecf new file mode 100644 index 0000000..3fbefd9 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp12.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp12 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp12_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp13.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp13.ecf new file mode 100644 index 0000000..57bd7a1 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp13.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp13 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp13_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp14.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp14.ecf new file mode 100644 index 0000000..3c8b0b4 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp14.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp14 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp14_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp15.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp15.ecf new file mode 100644 index 0000000..3fcbeb0 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp15.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp15 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp15_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp16.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp16.ecf new file mode 100644 index 0000000..f6741e2 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp16.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp16 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp16_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp2.ecf new file mode 100644 index 0000000..903af95 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp2.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp2 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp2_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp3.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp3.ecf new file mode 100644 index 0000000..4dcb5a7 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp3.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp3 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp3_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp4.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp4.ecf new file mode 100644 index 0000000..c12b02b --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp4.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp4 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp4_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp5.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp5.ecf new file mode 100644 index 0000000..751b6b8 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp5.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp5_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp6.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp6.ecf new file mode 100644 index 0000000..9908c1b --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp6.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp6_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp7.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp7.ecf new file mode 100644 index 0000000..2389245 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp7.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp7_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp8.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp8.ecf new file mode 100644 index 0000000..4d850f0 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp8.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp8_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp9.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp9.ecf new file mode 100644 index 0000000..09d0a49 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp9.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp9 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp9_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eobs.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eobs.ecf new file mode 100644 index 0000000..169aec2 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eobs.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eobs +#BSUB -o %ECF_OUT%/gdas.enkf.eobs_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=4 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/EOBS.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp1.ecf new file mode 100644 index 0000000..7d636d9 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp1.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp1 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp1_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp10.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp10.ecf new file mode 100644 index 0000000..fd7ce14 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp10.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp10 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp10_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp11.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp11.ecf new file mode 100644 index 0000000..f2c94fb --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp11.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp11 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp11_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp12.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp12.ecf new file mode 100644 index 0000000..254bcd3 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp12.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp12 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp12_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp13.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp13.ecf new file mode 100644 index 0000000..e8ed8b1 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp13.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp13 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp13_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp14.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp14.ecf new file mode 100644 index 0000000..38d4a50 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp14.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp14 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp14_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp15.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp15.ecf new file mode 100644 index 0000000..507d36d --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp15.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp15 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp15_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp16.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp16.ecf new file mode 100644 index 0000000..1991a92 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp16.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp16 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp16_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp2.ecf new file mode 100644 index 0000000..8a674db --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp2.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp2 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp2_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp3.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp3.ecf new file mode 100644 index 0000000..80313c6 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp3.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp3 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp3_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp4.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp4.ecf new file mode 100644 index 0000000..c0056f3 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp4.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp4 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp4_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp5.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp5.ecf new file mode 100644 index 0000000..017f39c --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp5.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp5_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp6.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp6.ecf new file mode 100644 index 0000000..9ce4f49 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp6.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp6_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp7.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp7.ecf new file mode 100644 index 0000000..cc4497f --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp7.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp7_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp8.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp8.ecf new file mode 100644 index 0000000..5eb857a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp8.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp8_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp9.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp9.ecf new file mode 100644 index 0000000..aba847b --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp9.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp9 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp9_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/epos.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/epos.ecf new file mode 100644 index 0000000..01934e6 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/epos.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.epos +#BSUB -o %ECF_OUT%/gdas.enkf.epos_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=20 +%include +%include + +set -x + +export ntasks=80 +export ptile=4 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/EPOS.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eupd.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eupd.ecf new file mode 100644 index 0000000..f488d54 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eupd.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eupd +#BSUB -o %ECF_OUT%/gdas.enkf.eupd_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=40 +%include +%include + +set -x + +export ntasks=240 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/EUPD.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/fcst.ecf new file mode 100644 index 0000000..d329d29 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/fcst.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.fcst +#BSUB -o %ECF_OUT%/gdas.fcst_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/FCST.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f000.ecf new file mode 100644 index 0000000..5789e8a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f000.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.f000 +#BSUB -o %ECF_OUT%/gdas.post.f000_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f006.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f006.ecf new file mode 100644 index 0000000..fdaf9e5 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f006.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.f006 +#BSUB -o %ECF_OUT%/gdas.post.f006_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f012.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f012.ecf new file mode 100644 index 0000000..2fc679f --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f012.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.f012 +#BSUB -o %ECF_OUT%/gdas.post.f012_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f018.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f018.ecf new file mode 100644 index 0000000..09efdf7 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f018.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.f018 +#BSUB -o %ECF_OUT%/gdas.post.f018_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f024.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f024.ecf new file mode 100644 index 0000000..640061a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f024.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.f024 +#BSUB -o %ECF_OUT%/gdas.post.f024_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f030.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f030.ecf new file mode 100644 index 0000000..85c165f --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f030.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.f030 +#BSUB -o %ECF_OUT%/gdas.post.f030_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/prep.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/prep.ecf new file mode 100644 index 0000000..4cb18a3 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/prep.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep +#BSUB -o %ECF_OUT%/gdas.prep_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=3 +%include +%include + +set -x + +export ntasks=6 +export ptile=2 +export threads=9223372036854775807 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/PREP.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/vrfy.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/vrfy.ecf new file mode 100644 index 0000000..cc0964a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/vrfy.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.vrfy +#BSUB -o %ECF_OUT%/gdas.vrfy_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/VRFY.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/anal.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/anal.ecf new file mode 100644 index 0000000..dfe5721 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/anal.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.anal +#BSUB -o %ECF_OUT%/gfs.anal_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=60 +%include +%include + +set -x + +export ntasks=360 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/ANAL.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/dump_waiter.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/dump_waiter.ecf new file mode 100644 index 0000000..80e02cd --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/dump_waiter.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.dump_waiter +#BSUB -o %ECF_OUT%/gfs.dump_waiter_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/DUMP_WAITER.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/fcst.ecf new file mode 100644 index 0000000..e62e72b --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/fcst.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.fcst +#BSUB -o %ECF_OUT%/gfs.fcst_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/FCST.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f000.ecf new file mode 100644 index 0000000..1814d60 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f000.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.f000 +#BSUB -o %ECF_OUT%/gfs.post.f000_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f006.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f006.ecf new file mode 100644 index 0000000..62f76c6 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f006.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.f006 +#BSUB -o %ECF_OUT%/gfs.post.f006_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f012.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f012.ecf new file mode 100644 index 0000000..fda50ea --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f012.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.f012 +#BSUB -o %ECF_OUT%/gfs.post.f012_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f018.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f018.ecf new file mode 100644 index 0000000..3ff3f29 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f018.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.f018 +#BSUB -o %ECF_OUT%/gfs.post.f018_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f024.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f024.ecf new file mode 100644 index 0000000..410418d --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f024.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.f024 +#BSUB -o %ECF_OUT%/gfs.post.f024_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f030.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f030.ecf new file mode 100644 index 0000000..c91eaf9 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f030.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.f030 +#BSUB -o %ECF_OUT%/gfs.post.f030_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/prep.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/prep.ecf new file mode 100644 index 0000000..45b80c2 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/prep.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep +#BSUB -o %ECF_OUT%/gfs.prep_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=3 +%include +%include + +set -x + +export ntasks=6 +export ptile=2 +export threads=9223372036854775807 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/PREP.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/vrfy.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/vrfy.ecf new file mode 100644 index 0000000..eae1eba --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/vrfy.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.vrfy +#BSUB -o %ECF_OUT%/gfs.vrfy_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/VRFY.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp1.ecf new file mode 100644 index 0000000..3456a5c --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp1.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp1 +#BSUB -o %ECF_OUT%/archive.earc.grp1_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp10.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp10.ecf new file mode 100644 index 0000000..6877711 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp10.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp10 +#BSUB -o %ECF_OUT%/archive.earc.grp10_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp11.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp11.ecf new file mode 100644 index 0000000..e9a7ca7 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp11.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp11 +#BSUB -o %ECF_OUT%/archive.earc.grp11_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp12.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp12.ecf new file mode 100644 index 0000000..0985174 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp12.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp12 +#BSUB -o %ECF_OUT%/archive.earc.grp12_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp13.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp13.ecf new file mode 100644 index 0000000..09c3850 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp13.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp13 +#BSUB -o %ECF_OUT%/archive.earc.grp13_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp14.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp14.ecf new file mode 100644 index 0000000..df74ae0 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp14.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp14 +#BSUB -o %ECF_OUT%/archive.earc.grp14_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp15.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp15.ecf new file mode 100644 index 0000000..348102d --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp15.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp15 +#BSUB -o %ECF_OUT%/archive.earc.grp15_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp16.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp16.ecf new file mode 100644 index 0000000..42cb852 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp16.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp16 +#BSUB -o %ECF_OUT%/archive.earc.grp16_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp2.ecf new file mode 100644 index 0000000..a97c33c --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp2.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp2 +#BSUB -o %ECF_OUT%/archive.earc.grp2_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp3.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp3.ecf new file mode 100644 index 0000000..fa3f20b --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp3.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp3 +#BSUB -o %ECF_OUT%/archive.earc.grp3_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp4.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp4.ecf new file mode 100644 index 0000000..5cae010 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp4.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp4 +#BSUB -o %ECF_OUT%/archive.earc.grp4_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp5.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp5.ecf new file mode 100644 index 0000000..537272f --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp5.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp5 +#BSUB -o %ECF_OUT%/archive.earc.grp5_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp6.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp6.ecf new file mode 100644 index 0000000..1740688 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp6.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp6 +#BSUB -o %ECF_OUT%/archive.earc.grp6_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp7.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp7.ecf new file mode 100644 index 0000000..8849816 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp7.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp7 +#BSUB -o %ECF_OUT%/archive.earc.grp7_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp8.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp8.ecf new file mode 100644 index 0000000..d328623 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp8.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp8 +#BSUB -o %ECF_OUT%/archive.earc.grp8_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp9.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp9.ecf new file mode 100644 index 0000000..04c066f --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp9.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp9 +#BSUB -o %ECF_OUT%/archive.earc.grp9_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/anal.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/anal.ecf new file mode 100644 index 0000000..03363e6 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/anal.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.anal +#BSUB -o %ECF_OUT%/gdas.anal_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=60 +%include +%include + +set -x + +export ntasks=360 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/ANAL.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/dump_waiter.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/dump_waiter.ecf new file mode 100644 index 0000000..0e861a2 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/dump_waiter.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.dump_waiter +#BSUB -o %ECF_OUT%/gdas.dump_waiter_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/DUMP_WAITER.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/ecen.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/ecen.ecf new file mode 100644 index 0000000..5fcfe6a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/ecen.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.ecen +#BSUB -o %ECF_OUT%/gdas.enkf.ecen_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=20 +%include +%include + +set -x + +export ntasks=80 +export ptile=4 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/ECEN.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp1.ecf new file mode 100644 index 0000000..d1ac26a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp1.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp1 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp1_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp10.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp10.ecf new file mode 100644 index 0000000..53b83c7 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp10.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp10 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp10_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp11.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp11.ecf new file mode 100644 index 0000000..006541b --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp11.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp11 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp11_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp12.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp12.ecf new file mode 100644 index 0000000..3fbefd9 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp12.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp12 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp12_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp13.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp13.ecf new file mode 100644 index 0000000..57bd7a1 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp13.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp13 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp13_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp14.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp14.ecf new file mode 100644 index 0000000..3c8b0b4 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp14.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp14 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp14_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp15.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp15.ecf new file mode 100644 index 0000000..3fcbeb0 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp15.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp15 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp15_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp16.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp16.ecf new file mode 100644 index 0000000..f6741e2 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp16.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp16 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp16_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp2.ecf new file mode 100644 index 0000000..903af95 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp2.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp2 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp2_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp3.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp3.ecf new file mode 100644 index 0000000..4dcb5a7 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp3.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp3 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp3_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp4.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp4.ecf new file mode 100644 index 0000000..c12b02b --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp4.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp4 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp4_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp5.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp5.ecf new file mode 100644 index 0000000..751b6b8 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp5.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp5_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp6.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp6.ecf new file mode 100644 index 0000000..9908c1b --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp6.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp6_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp7.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp7.ecf new file mode 100644 index 0000000..2389245 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp7.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp7_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp8.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp8.ecf new file mode 100644 index 0000000..4d850f0 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp8.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp8_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp9.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp9.ecf new file mode 100644 index 0000000..09d0a49 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp9.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.efcs.grp9 +#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp9_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/efcs + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eobs.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eobs.ecf new file mode 100644 index 0000000..169aec2 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eobs.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eobs +#BSUB -o %ECF_OUT%/gdas.enkf.eobs_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=4 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/EOBS.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp1.ecf new file mode 100644 index 0000000..7d636d9 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp1.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp1 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp1_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp10.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp10.ecf new file mode 100644 index 0000000..fd7ce14 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp10.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp10 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp10_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp11.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp11.ecf new file mode 100644 index 0000000..f2c94fb --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp11.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp11 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp11_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp12.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp12.ecf new file mode 100644 index 0000000..254bcd3 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp12.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp12 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp12_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp13.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp13.ecf new file mode 100644 index 0000000..e8ed8b1 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp13.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp13 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp13_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp14.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp14.ecf new file mode 100644 index 0000000..38d4a50 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp14.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp14 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp14_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp15.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp15.ecf new file mode 100644 index 0000000..507d36d --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp15.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp15 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp15_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp16.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp16.ecf new file mode 100644 index 0000000..1991a92 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp16.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp16 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp16_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp2.ecf new file mode 100644 index 0000000..8a674db --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp2.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp2 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp2_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp3.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp3.ecf new file mode 100644 index 0000000..80313c6 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp3.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp3 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp3_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp4.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp4.ecf new file mode 100644 index 0000000..c0056f3 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp4.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp4 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp4_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp5.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp5.ecf new file mode 100644 index 0000000..017f39c --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp5.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp5 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp5_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp6.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp6.ecf new file mode 100644 index 0000000..9ce4f49 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp6.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp6 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp6_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp7.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp7.ecf new file mode 100644 index 0000000..cc4497f --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp7.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp7 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp7_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp8.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp8.ecf new file mode 100644 index 0000000..5eb857a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp8.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp8 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp8_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp9.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp9.ecf new file mode 100644 index 0000000..aba847b --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp9.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eomg.grp9 +#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp9_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=12 +%include +%include + +set -x + +export ntasks=72 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/eomg + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/epos.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/epos.ecf new file mode 100644 index 0000000..01934e6 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/epos.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.epos +#BSUB -o %ECF_OUT%/gdas.enkf.epos_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=254] +#BSUB -extsched CRAYLINUX[] +export NODES=20 +%include +%include + +set -x + +export ntasks=80 +export ptile=4 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/EPOS.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eupd.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eupd.ecf new file mode 100644 index 0000000..f488d54 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eupd.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.enkf.eupd +#BSUB -o %ECF_OUT%/gdas.enkf.eupd_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=40 +%include +%include + +set -x + +export ntasks=240 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/EUPD.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/fcst.ecf new file mode 100644 index 0000000..d329d29 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/fcst.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.fcst +#BSUB -o %ECF_OUT%/gdas.fcst_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/FCST.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f000.ecf new file mode 100644 index 0000000..5789e8a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f000.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.f000 +#BSUB -o %ECF_OUT%/gdas.post.f000_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f006.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f006.ecf new file mode 100644 index 0000000..fdaf9e5 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f006.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.f006 +#BSUB -o %ECF_OUT%/gdas.post.f006_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f012.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f012.ecf new file mode 100644 index 0000000..2fc679f --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f012.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.f012 +#BSUB -o %ECF_OUT%/gdas.post.f012_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f018.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f018.ecf new file mode 100644 index 0000000..09efdf7 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f018.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.f018 +#BSUB -o %ECF_OUT%/gdas.post.f018_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f024.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f024.ecf new file mode 100644 index 0000000..640061a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f024.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.f024 +#BSUB -o %ECF_OUT%/gdas.post.f024_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f030.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f030.ecf new file mode 100644 index 0000000..85c165f --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f030.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.f030 +#BSUB -o %ECF_OUT%/gdas.post.f030_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/prep.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/prep.ecf new file mode 100644 index 0000000..4cb18a3 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/prep.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.prep +#BSUB -o %ECF_OUT%/gdas.prep_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=3 +%include +%include + +set -x + +export ntasks=6 +export ptile=2 +export threads=9223372036854775807 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/PREP.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/vrfy.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/vrfy.ecf new file mode 100644 index 0000000..cc0964a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/vrfy.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.vrfy +#BSUB -o %ECF_OUT%/gdas.vrfy_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/VRFY.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/anal.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/anal.ecf new file mode 100644 index 0000000..dfe5721 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/anal.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.anal +#BSUB -o %ECF_OUT%/gfs.anal_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=60 +%include +%include + +set -x + +export ntasks=360 +export ptile=6 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/ANAL.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/dump_waiter.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/dump_waiter.ecf new file mode 100644 index 0000000..80e02cd --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/dump_waiter.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.dump_waiter +#BSUB -o %ECF_OUT%/gfs.dump_waiter_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/DUMP_WAITER.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/fcst.ecf new file mode 100644 index 0000000..e62e72b --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/fcst.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.fcst +#BSUB -o %ECF_OUT%/gfs.fcst_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=64 +%include +%include + +set -x + +export ntasks=768 +export ptile=12 +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/FCST.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f000.ecf new file mode 100644 index 0000000..1814d60 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f000.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.f000 +#BSUB -o %ECF_OUT%/gfs.post.f000_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f006.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f006.ecf new file mode 100644 index 0000000..62f76c6 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f006.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.f006 +#BSUB -o %ECF_OUT%/gfs.post.f006_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f012.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f012.ecf new file mode 100644 index 0000000..fda50ea --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f012.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.f012 +#BSUB -o %ECF_OUT%/gfs.post.f012_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f018.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f018.ecf new file mode 100644 index 0000000..3ff3f29 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f018.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.f018 +#BSUB -o %ECF_OUT%/gfs.post.f018_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f024.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f024.ecf new file mode 100644 index 0000000..410418d --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f024.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.f024 +#BSUB -o %ECF_OUT%/gfs.post.f024_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f030.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f030.ecf new file mode 100644 index 0000000..c91eaf9 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f030.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.f030 +#BSUB -o %ECF_OUT%/gfs.post.f030_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/prep.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/prep.ecf new file mode 100644 index 0000000..45b80c2 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/prep.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prep +#BSUB -o %ECF_OUT%/gfs.prep_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=3 +%include +%include + +set -x + +export ntasks=6 +export ptile=2 +export threads=9223372036854775807 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/PREP.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/vrfy.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/vrfy.ecf new file mode 100644 index 0000000..eae1eba --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/vrfy.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.vrfy +#BSUB -o %ECF_OUT%/gfs.vrfy_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/VRFY.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/settings.yaml b/model/rocoto_style_fv3gfs/settings.yaml new file mode 100644 index 0000000..dc893e8 --- /dev/null +++ b/model/rocoto_style_fv3gfs/settings.yaml @@ -0,0 +1,39 @@ + +## initial_directory: location from which the setup script was run. +initial_directory: !calc ( tools.realpath(tools.abspath(".")) ) + +settings: + NMEM_ENKF: 80 + ENKF_GROUPS: 16 + + ENKF_INNOVATE_GROUPS: !calc ENKF_GROUPS + ENKF_FORECAST_GROUPS: !calc ENKF_GROUPS + ENKF_ARCHIVE_GROUPS: !calc ENKF_GROUPS + + ECF_HOME: !calc ( doc.initial_directory ) + ROCOTO_HOME: !calc ( doc.initial_directory ) + HOMEgfs: "/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/snapshot_flat/gfs.v15.0.0" + layout_x: 8 + layout_y: 16 + experiment_name: ecfgfsfv3 + QUEUE: debug + PROJECT: GFS-T2O + QUEUESERV: dev_transfer + + COM: !expand '/gpfs/hps2/ptmp/emc.glopara/{experiment_name}/com' + DATAROOT: !expand '/gpfs/hps2/stmp/emc.glopara/{experiment_name}' + DUMPDIR: "/gpfs/tp1/emc/globaldump" + + start_date: 2018-01-05t00:00:00 + end_date: 2018-01-05t06:00:00 + start_date_gfs: !calc start_date + end_date_gfs: !calc end_date + interval_gfs: !timedelta "12:00:00" + + max_job_tries: 1 + realtime: False + archive_to_hpss: True + +scheduler_settings: *automatic_scheduler_settings + +######################################################################## diff --git a/model/rocoto_style_fv3gfs/suite_def.yaml b/model/rocoto_style_fv3gfs/suite_def.yaml new file mode 100644 index 0000000..05c251d --- /dev/null +++ b/model/rocoto_style_fv3gfs/suite_def.yaml @@ -0,0 +1,268 @@ +scheduler: !calc | + tools.get_scheduler(doc.scheduler_settings.name, + doc.scheduler_settings) +suite: !Cycle + Clock: !Clock + start: 2018-01-01T00:00:00 + end: 2018-01-02T18:00:00 + step: !timedelta "6:00:00" + + Alarms: + first: !Clock + start: !calc suite.Clock.start + end: !calc suite.Clock.start + step: !calc suite.Clock.step + gdas: !Clock + start: !calc suite.Clock.start + end: !calc suite.Clock.end + step: !calc suite.Clock.step + gfs: !Clock + start: !calc suite.Clock.start + end: !calc suite.Clock.end + step: !calc suite.Clock.step*2 + + ecFlow: + suite_def_filename: "prod%Y%m%d%H.def" + suite_name: "prod%Y%m%d%H" + scheduler: !calc doc.scheduler + write_cycles: !Clock + start: 2018-01-02T00:00:00 + end: 2018-01-02T18:00:00 + step: !timedelta "6:00:00" + analyze_cycles: !Clock + start: 2018-01-02T00:00:00 + end: 2018-01-02T18:00:00 + step: !timedelta "6:00:00" + + ecflow_def: !expand | + #repeat day 1 + edit ECF_TRIES '{doc.settings.max_job_tries}' + #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' + edit ECF_KILL_CMD 'lkill %ECF_NAME% %ECF_JOBOUT%' + edit ECF_HOME '{doc.settings.ECF_HOME}' + #edit ECF_HOME '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3' + edit CYC '{tools.strftime(suite.Clock.now,"%H")}' + edit ENVIR 'prod' + edit E 'jecffv3' + #edit QUEUE 'dev' + edit PROJENVIR '{doc.settings.PROJECT}' + edit EMCPEN '{doc.settings.experiment_name}' + edit DATAROOT '{doc.settings.DATAROOT}' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + edit ECF_OUT '{doc.settings.ECF_HOME}/output' + edit ECF_LOG '{doc.settings.ECF_HOME}/ecf.log' + edit MODEL_NAME 'gfs' + + edit DUMPDIR '{doc.settings.DUMPDIR}' + {common_metasched_vars} + + common_metasched_vars: !expand | + {metasched.defvar("QUEUE", doc.settings.QUEUE)} + {metasched.defvar("COM", doc.settings.COM)} + {metasched.defvar("QUEUESERV", doc.settings.QUEUESERV)} + + Rocoto: + scheduler: !calc doc.scheduler + max_tries: !calc doc.settings.max_job_tries + workflow_xml: !expand | + + + + + + + + {tools.indent(" ",suite.common_metasched_vars)} + ]> + + &LOG_DIR;/rocoto_@Y@m@d@H.log + {to_rocoto.make_time_xml(indent=1)} + {to_rocoto.make_task_xml(indent=1)} + + + gdas: !Family + AlarmName: gdas + dump_waiter: *dump_waiter_task + + ecflow_def: | + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + edit PROJ '%PROJENVIR%' + edit MODEL_NAME 'gdas' + + prep: !Task + <<: *exclusive_task_template + Trigger: !Depend up.gdas.post.at('-6:00:00') & dump_waiter.updated_status + resources: !calc ( doc.resources.run_prep ) + + enkf: !Family + eobs: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.prep & epos.at('-6:00:00') ) + resources: !calc ( doc.resources.run_eobs ) + + eomg: !TaskArray + Trigger: !Depend eobs + Dimensions: + groupid: !calc tools.seq(1,doc.settings.ENKF_INNOVATE_GROUPS,1) + grp: !TaskElement + <<: *exclusive_task_template + resources: !calc ( doc.resources.run_eomg ) + J_JOB: eomg + Foreach: [ groupid ] + Name: !expand "grp{idx.groupid}" + ecflow_def: !calc more_vars + rocoto_more_vars: !calc more_vars + more_vars: !expand | + {metasched.defenvar("ENSGRP",idx.groupid)} + + eupd: !Task + <<: *exclusive_task_template + Trigger: !Depend eomg + resources: !calc ( doc.resources.run_eupd ) + + ecen: !Task + <<: *exclusive_task_template + Trigger: !Depend ( eupd & up.anal ) + resources: !calc ( doc.resources.run_ecen ) + + efcs: !TaskArray + Trigger: !Depend eobs + Dimensions: + groupid: !calc tools.seq(1,doc.settings.ENKF_FORECAST_GROUPS,1) + grp: !TaskElement + <<: *exclusive_task_template + resources: !calc ( doc.resources.run_eomg ) + J_JOB: efcs + Foreach: [ groupid ] + Name: !expand "grp{idx.groupid}" + ecflow_def: !calc more_vars + rocoto_more_vars: !calc more_vars + more_vars: !expand | + {metasched.defenvar("ENSGRP",idx.groupid)} + + epos: !Task + <<: *exclusive_task_template + Trigger: !Depend efcs + resources: !calc ( doc.resources.run_epos ) + + anal: !Task + <<: *exclusive_task_template + Trigger: !Depend ( prep & enkf.epos.at('-6:00:00') ) + resources: !calc ( doc.resources.run_anal ) + + fcst: !Task + <<: *exclusive_task_template + Trigger: !Depend anal + resources: !calc ( doc.resources.run_gdasfcst ) + + post: !TaskArray + Dimensions: + groupid: !calc tools.seq(0,5,1) + post_el: !TaskElement + <<: *exclusive_task_template + Foreach: [ groupid ] + J_JOB: post + Name: !expand "f{idx.groupid*6:03d}" + fhrlst: !FirstTrue + - when: !calc idx.groupid==0 + do: anl + - otherwise: !expand "f{idx.groupid*6:03d}" + ecflow_def: !calc more_vars + rocoto_more_vars: !calc more_vars + more_vars: !expand | + {metasched.defenvar("FHRGRP",Name)} + {metasched.defenvar("FHRLST",fhrlst)} + + vrfy: !Task + <<: *exclusive_task_template + Trigger: !Depend post + resources: !calc ( doc.resources.run_vrfy ) + + gfs: !Family + AlarmName: gfs + ecflow_def: | + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + edit PROJ '%PROJENVIR%' + edit MODEL_NAME 'gfs' + + dump_waiter: *dump_waiter_task + + prep: !Task + <<: *exclusive_task_template + Trigger: !Depend up.gdas.post.at('-6:00:00') + resources: !calc ( doc.resources.run_prep ) + + anal: !Task + <<: *exclusive_task_template + Trigger: !Depend ( prep & up.gdas.enkf.epos.at('-6:00:00') ) + resources: !calc ( doc.resources.run_anal ) + + fcst: !Task + <<: *exclusive_task_template + Trigger: !Depend anal + resources: !calc ( doc.resources.run_gfsfcst ) + + post: !TaskArray + Trigger: !Depend ( fcst.is_running() | fcst.is_completed() ) + Dimensions: + groupid: !calc tools.seq(0,5,1) + post_el: !TaskElement + <<: *exclusive_task_template + Foreach: [ groupid ] + Name: !expand "f{idx.groupid*6:03d}" + J_JOB: post + fhrlst: !FirstTrue + - when: !calc idx.groupid==0 + do: anl + - otherwise: !expand "f{idx.groupid*6:03d}" + ecflow_def: !calc more_vars + rocoto_more_vars: !calc more_vars + more_vars: !expand | + {metasched.defenvar("FHRGRP",Name)} + {metasched.defenvar("FHRLST",fhrlst)} + + vrfy: !Task + <<: *exclusive_task_template + Trigger: !Depend post + resources: !calc ( doc.resources.run_vrfy ) + + archive: !Family + gdasarch: !Task + <<: *shared_task_template + Trigger: !Depend up.gdas.vrfy + resources: !calc ( doc.resources.run_arch ) + Disable: !calc doc.settings.archive_to_hpss + + gfsarch: !Task + <<: *shared_task_template + Trigger: !Depend up.gfs.vrfy + resources: !calc ( doc.resources.run_arch ) + Disable: !calc doc.settings.archive_to_hpss + + earc: !TaskArray + Trigger: !Depend up.gdas.enkf.epos + Dimensions: + groupid: !calc tools.seq(1,doc.settings.ENKF_ARCHIVE_GROUPS,1) + grp: !TaskElement + <<: *shared_task_template + resources: !calc ( doc.resources.run_arch ) + J_JOB: earc + accounting: *shared_accounting + Foreach: [ groupid ] + Name: !expand "grp{idx.groupid}" + ecflow_def: !calc more_vars + rocoto_more_vars: !calc more_vars + more_vars: !expand | + {metasched.defenvar("ENSGRP",idx.groupid)} + + final: !Task + <<: *shared_task_template + resources: !calc (doc.resources.run_nothing) + diff --git a/model/rocoto_style_fv3gfs/task_template.yaml b/model/rocoto_style_fv3gfs/task_template.yaml new file mode 100644 index 0000000..83272e1 --- /dev/null +++ b/model/rocoto_style_fv3gfs/task_template.yaml @@ -0,0 +1,109 @@ +task_template: &task_template + + Template: *task_validator + + Rocoto: !expand | + &HOMEgfs;/jobs/{J_JOB} + {sched.rocoto_accounting(accounting,jobname=task_path_var, + outerr="&LOG_DIR;/"+task_path_var+"_t"+"@H"+"z.log")} + {sched.rocoto_resources(resources)} + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMP{CDUMP} + {rocoto_more_vars} + + rocoto_more_vars: "" + + CDUMP: !calc task_path_list[0] + + # Default resources for a job are serial + resources: !calc doc.resources.run_nothing + + ecf_file: !expand | + #! /bin/sh + {ecf_batch_resources} + %include + %include + + set -x + + {ecf_resource_more} + + export model=%MODEL_NAME% + %include + + ############################################################ + # Load modules + ############################################################ + . $MODULESHOME/init/sh + {ecf_module_commands} + module list + + ############################################################# + # WCOSS environment settings + ############################################################# + + {ecf_environment_settings} + + ########################################################### + export cyc=%CYC% + + # CALL executable job script here + ${{HOMEgfs}}/jobs/{J_JOB} + + %include + %manual + {ecf_manual} + + ecf_manual: | + # FIXME: Insert manual for this job. + + ecf_module_commands: |- + # Add any "module" commands here (switch, load, use, etc.) + + ecf_environment_settings: |- + # Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + + ecf_batch_resources: !expand |- + {sched.batch_accounting(accounting,jobname=task_path_var,outerr="%ECF_OUT%/"+task_path_var+"_t"+"%CYC%"+"z.log")} + {sched.batch_resources(resources)} + + ecf_resource_more: !expand |- + {ecf_maybe_ntasks} + {ecf_maybe_ptile} + {ecf_maybe_threads} + + ecf_maybe_ntasks: !FirstTrue + - when: !calc resources.total_ranks()>0 + do: !expand 'export ntasks={resources.total_ranks()}' + - otherwise: "# No MPI in use, so I am not setting $ntasks." + + ecf_maybe_threads: !FirstTrue + - when: !calc resources.has_threads() + do: !expand 'export threads={resources[0]["OMP_NUM_THREADS"]}' + - otherwise: "# No OpenMP in use, so I am not setting $threads" + + ecf_maybe_ptile: !FirstTrue + - when: !calc resources.has_threads() or resources.total_ranks()>0 + do: !expand 'export ptile={sched.max_ranks_per_node(resources)}' + - otherwise: "# Neither OpenMP nor MPI are in use, so I am not setting $ptile" + + + +shared_accounting: &shared_accounting + queue: !calc metasched.varref('QUEUESERV') + project: !calc metasched.varref('PROJECT') + +exclusive_accounting: &exclusive_accounting + queue: !calc metasched.varref('QUEUE') + project: !calc metasched.varref('PROJECT') + +shared_task_template: &shared_task_template + <<: *task_template + accounting: *shared_accounting + J_JOB: !expand '{tools.to_upper(task_path_list[-1])}.sh' + +exclusive_task_template: &exclusive_task_template + <<: *task_template + accounting: *exclusive_accounting + J_JOB: !expand '{tools.to_upper(task_path_list[-1])}.sh' diff --git a/model/rocoto_style_fv3gfs/validator.yaml b/model/rocoto_style_fv3gfs/validator.yaml new file mode 100644 index 0000000..dabb5e0 --- /dev/null +++ b/model/rocoto_style_fv3gfs/validator.yaml @@ -0,0 +1,67 @@ +task_validator: &task_validator !Template + + Rocoto: + description: >- + XML to insert in the task definition, excluding the task tag + itself, and the dependencies. + type: string + + ecf_file: + description: >- + Contents of the ecf file that will be run for this task + type: string + + CDUMP: + description: "Model name: gfs or gdas" + allowed: [ gfs, gdas ] + type: string + + rocoto_more_vars: + description: >- + Additional text to include after other envar tags in Rocoto task + definition. This is referenced by the Rocoto variable. + type: string + default: "" + + batch_job_command: + description: >- + Command to execute for this task. This is dumped into both the + ecf file and the Rocoto XML. Ultimately, it is the "meat" of + the task. + type: string + + ecf_environment_settings: + description: "Tuning variables like KMP_AFFINITY and OMP_STACKSIZE." + type: string + default: "" + + ecf_module_commands: + description: >- + Any "module" commands: module load, module switch, etc. + type: string + default: "" + + ecf_resource_more: + description: >- + Generates additional resource specification variables such as + ntasks, threads, and ptile. + type: string + default: "" + + ecf_maybe_ntasks: + description: "Sets the $ntasks variable (number of MPI ranks) if needed" + type: string + default: "" + + ecf_maybe_threads: + description: "Sets the $threads variable (number of OpenMP threads) if needed" + type: string + default: "" + + ecf_maybe_ptile: + description: >- + Sets the $ptile variable (maximum number of MPI ranks per node) if needed + type: string + default: "" + + diff --git a/model/rocoto_style_fv3gfs/workflow.xml b/model/rocoto_style_fv3gfs/workflow.xml new file mode 100644 index 0000000..8881cf3 --- /dev/null +++ b/model/rocoto_style_fv3gfs/workflow.xml @@ -0,0 +1,1548 @@ + + + + + + + + + + +]> + + &LOG_DIR;/rocoto_@Y@m@d@H.log + 201801010000 201801021800 06:00:00 + + + DUMMY_VALUE + + &HOMEgfs;/jobs/PREP.sh + &QUEUE; + &PROJECT; + gdas.prep + &LOG_DIR;/gdas.prep_t@Hz.log + + 0:02:00 + 3072M + 3:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + + + + + /gpfs/tp1/emc/globaldump/@Y@m@d@H/gdas/gdas.t@Hz.updated.status.tm00.bufr_d + + + + + DUMMY_VALUE + + &HOMEgfs;/jobs/EOBS.sh + &QUEUE; + &PROJECT; + gdas.enkf.eobs + &LOG_DIR;/gdas.enkf.eobs_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + + + + + + + + + + DUMMY_VALUE + + &HOMEgfs;/jobs/eomg + &QUEUE; + &PROJECT; + gdas.enkf.eomg.grp1 + &LOG_DIR;/gdas.enkf.eomg.grp1_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP1 + + + + + + + &HOMEgfs;/jobs/eomg + &QUEUE; + &PROJECT; + gdas.enkf.eomg.grp2 + &LOG_DIR;/gdas.enkf.eomg.grp2_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP2 + + + + + + + &HOMEgfs;/jobs/eomg + &QUEUE; + &PROJECT; + gdas.enkf.eomg.grp3 + &LOG_DIR;/gdas.enkf.eomg.grp3_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP3 + + + + + + + &HOMEgfs;/jobs/eomg + &QUEUE; + &PROJECT; + gdas.enkf.eomg.grp4 + &LOG_DIR;/gdas.enkf.eomg.grp4_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP4 + + + + + + + &HOMEgfs;/jobs/eomg + &QUEUE; + &PROJECT; + gdas.enkf.eomg.grp5 + &LOG_DIR;/gdas.enkf.eomg.grp5_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP5 + + + + + + + &HOMEgfs;/jobs/eomg + &QUEUE; + &PROJECT; + gdas.enkf.eomg.grp6 + &LOG_DIR;/gdas.enkf.eomg.grp6_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP6 + + + + + + + &HOMEgfs;/jobs/eomg + &QUEUE; + &PROJECT; + gdas.enkf.eomg.grp7 + &LOG_DIR;/gdas.enkf.eomg.grp7_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP7 + + + + + + + &HOMEgfs;/jobs/eomg + &QUEUE; + &PROJECT; + gdas.enkf.eomg.grp8 + &LOG_DIR;/gdas.enkf.eomg.grp8_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP8 + + + + + + + &HOMEgfs;/jobs/eomg + &QUEUE; + &PROJECT; + gdas.enkf.eomg.grp9 + &LOG_DIR;/gdas.enkf.eomg.grp9_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP9 + + + + + + + &HOMEgfs;/jobs/eomg + &QUEUE; + &PROJECT; + gdas.enkf.eomg.grp10 + &LOG_DIR;/gdas.enkf.eomg.grp10_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP10 + + + + + + + &HOMEgfs;/jobs/eomg + &QUEUE; + &PROJECT; + gdas.enkf.eomg.grp11 + &LOG_DIR;/gdas.enkf.eomg.grp11_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP11 + + + + + + + &HOMEgfs;/jobs/eomg + &QUEUE; + &PROJECT; + gdas.enkf.eomg.grp12 + &LOG_DIR;/gdas.enkf.eomg.grp12_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP12 + + + + + + + &HOMEgfs;/jobs/eomg + &QUEUE; + &PROJECT; + gdas.enkf.eomg.grp13 + &LOG_DIR;/gdas.enkf.eomg.grp13_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP13 + + + + + + + &HOMEgfs;/jobs/eomg + &QUEUE; + &PROJECT; + gdas.enkf.eomg.grp14 + &LOG_DIR;/gdas.enkf.eomg.grp14_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP14 + + + + + + + &HOMEgfs;/jobs/eomg + &QUEUE; + &PROJECT; + gdas.enkf.eomg.grp15 + &LOG_DIR;/gdas.enkf.eomg.grp15_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP15 + + + + + + + &HOMEgfs;/jobs/eomg + &QUEUE; + &PROJECT; + gdas.enkf.eomg.grp16 + &LOG_DIR;/gdas.enkf.eomg.grp16_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP16 + + + + + + + + &HOMEgfs;/jobs/EUPD.sh + &QUEUE; + &PROJECT; + gdas.enkf.eupd + &LOG_DIR;/gdas.enkf.eupd_t@Hz.log + + 0:02:00 + 3072M + 40:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + + + + + + + &HOMEgfs;/jobs/ECEN.sh + &QUEUE; + &PROJECT; + gdas.enkf.ecen + &LOG_DIR;/gdas.enkf.ecen_t@Hz.log + + 0:02:00 + 3072M + 20:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + + + + + + + + + + DUMMY_VALUE + + &HOMEgfs;/jobs/efcs + &QUEUE; + &PROJECT; + gdas.enkf.efcs.grp1 + &LOG_DIR;/gdas.enkf.efcs.grp1_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP1 + + + + + + + &HOMEgfs;/jobs/efcs + &QUEUE; + &PROJECT; + gdas.enkf.efcs.grp2 + &LOG_DIR;/gdas.enkf.efcs.grp2_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP2 + + + + + + + &HOMEgfs;/jobs/efcs + &QUEUE; + &PROJECT; + gdas.enkf.efcs.grp3 + &LOG_DIR;/gdas.enkf.efcs.grp3_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP3 + + + + + + + &HOMEgfs;/jobs/efcs + &QUEUE; + &PROJECT; + gdas.enkf.efcs.grp4 + &LOG_DIR;/gdas.enkf.efcs.grp4_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP4 + + + + + + + &HOMEgfs;/jobs/efcs + &QUEUE; + &PROJECT; + gdas.enkf.efcs.grp5 + &LOG_DIR;/gdas.enkf.efcs.grp5_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP5 + + + + + + + &HOMEgfs;/jobs/efcs + &QUEUE; + &PROJECT; + gdas.enkf.efcs.grp6 + &LOG_DIR;/gdas.enkf.efcs.grp6_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP6 + + + + + + + &HOMEgfs;/jobs/efcs + &QUEUE; + &PROJECT; + gdas.enkf.efcs.grp7 + &LOG_DIR;/gdas.enkf.efcs.grp7_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP7 + + + + + + + &HOMEgfs;/jobs/efcs + &QUEUE; + &PROJECT; + gdas.enkf.efcs.grp8 + &LOG_DIR;/gdas.enkf.efcs.grp8_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP8 + + + + + + + &HOMEgfs;/jobs/efcs + &QUEUE; + &PROJECT; + gdas.enkf.efcs.grp9 + &LOG_DIR;/gdas.enkf.efcs.grp9_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP9 + + + + + + + &HOMEgfs;/jobs/efcs + &QUEUE; + &PROJECT; + gdas.enkf.efcs.grp10 + &LOG_DIR;/gdas.enkf.efcs.grp10_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP10 + + + + + + + &HOMEgfs;/jobs/efcs + &QUEUE; + &PROJECT; + gdas.enkf.efcs.grp11 + &LOG_DIR;/gdas.enkf.efcs.grp11_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP11 + + + + + + + &HOMEgfs;/jobs/efcs + &QUEUE; + &PROJECT; + gdas.enkf.efcs.grp12 + &LOG_DIR;/gdas.enkf.efcs.grp12_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP12 + + + + + + + &HOMEgfs;/jobs/efcs + &QUEUE; + &PROJECT; + gdas.enkf.efcs.grp13 + &LOG_DIR;/gdas.enkf.efcs.grp13_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP13 + + + + + + + &HOMEgfs;/jobs/efcs + &QUEUE; + &PROJECT; + gdas.enkf.efcs.grp14 + &LOG_DIR;/gdas.enkf.efcs.grp14_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP14 + + + + + + + &HOMEgfs;/jobs/efcs + &QUEUE; + &PROJECT; + gdas.enkf.efcs.grp15 + &LOG_DIR;/gdas.enkf.efcs.grp15_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP15 + + + + + + + &HOMEgfs;/jobs/efcs + &QUEUE; + &PROJECT; + gdas.enkf.efcs.grp16 + &LOG_DIR;/gdas.enkf.efcs.grp16_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + ENSGRP16 + + + + + + + + &HOMEgfs;/jobs/EPOS.sh + &QUEUE; + &PROJECT; + gdas.enkf.epos + &LOG_DIR;/gdas.enkf.epos_t@Hz.log + + 0:02:00 + 254M + 20:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + + + + + + + + &HOMEgfs;/jobs/ANAL.sh + &QUEUE; + &PROJECT; + gdas.anal + &LOG_DIR;/gdas.anal_t@Hz.log + + 0:02:00 + 3072M + 60:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + + + + + + + + + + &HOMEgfs;/jobs/FCST.sh + &QUEUE; + &PROJECT; + gdas.fcst + &LOG_DIR;/gdas.fcst_t@Hz.log + + 0:02:00 + 1024M + 64:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + + + + + + + DUMMY_VALUE + + &HOMEgfs;/jobs/post + &QUEUE; + &PROJECT; + gdas.post.f000 + &LOG_DIR;/gdas.post.f000_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + FHRGRPf000 + FHRLSTanl + + + + + &HOMEgfs;/jobs/post + &QUEUE; + &PROJECT; + gdas.post.f006 + &LOG_DIR;/gdas.post.f006_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + FHRGRPf006 + FHRLSTf006 + + + + + &HOMEgfs;/jobs/post + &QUEUE; + &PROJECT; + gdas.post.f012 + &LOG_DIR;/gdas.post.f012_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + FHRGRPf012 + FHRLSTf012 + + + + + &HOMEgfs;/jobs/post + &QUEUE; + &PROJECT; + gdas.post.f018 + &LOG_DIR;/gdas.post.f018_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + FHRGRPf018 + FHRLSTf018 + + + + + &HOMEgfs;/jobs/post + &QUEUE; + &PROJECT; + gdas.post.f024 + &LOG_DIR;/gdas.post.f024_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + FHRGRPf024 + FHRLSTf024 + + + + + &HOMEgfs;/jobs/post + &QUEUE; + &PROJECT; + gdas.post.f030 + &LOG_DIR;/gdas.post.f030_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + FHRGRPf030 + FHRLSTf030 + + + + + + &HOMEgfs;/jobs/VRFY.sh + &QUEUE; + &PROJECT; + gdas.vrfy + &LOG_DIR;/gdas.vrfy_t@Hz.log + + 0:02:00 + 3072M + 1:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgdas + + + + + + + + DUMMY_VALUE + + &HOMEgfs;/jobs/PREP.sh + &QUEUE; + &PROJECT; + gfs.prep + &LOG_DIR;/gfs.prep_t@Hz.log + + 0:02:00 + 3072M + 3:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgfs + + + + + + + &HOMEgfs;/jobs/ANAL.sh + &QUEUE; + &PROJECT; + gfs.anal + &LOG_DIR;/gfs.anal_t@Hz.log + + 0:02:00 + 3072M + 60:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgfs + + + + + + + + + + &HOMEgfs;/jobs/FCST.sh + &QUEUE; + &PROJECT; + gfs.fcst + &LOG_DIR;/gfs.fcst_t@Hz.log + + 0:02:00 + 1024M + 64:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgfs + + + + + + + DUMMY_VALUE + + &HOMEgfs;/jobs/post + &QUEUE; + &PROJECT; + gfs.post.f000 + &LOG_DIR;/gfs.post.f000_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgfs + FHRGRPf000 + FHRLSTanl + + + + + + + + + + &HOMEgfs;/jobs/post + &QUEUE; + &PROJECT; + gfs.post.f006 + &LOG_DIR;/gfs.post.f006_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgfs + FHRGRPf006 + FHRLSTf006 + + + + + + + + + + &HOMEgfs;/jobs/post + &QUEUE; + &PROJECT; + gfs.post.f012 + &LOG_DIR;/gfs.post.f012_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgfs + FHRGRPf012 + FHRLSTf012 + + + + + + + + + + &HOMEgfs;/jobs/post + &QUEUE; + &PROJECT; + gfs.post.f018 + &LOG_DIR;/gfs.post.f018_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgfs + FHRGRPf018 + FHRLSTf018 + + + + + + + + + + &HOMEgfs;/jobs/post + &QUEUE; + &PROJECT; + gfs.post.f024 + &LOG_DIR;/gfs.post.f024_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgfs + FHRGRPf024 + FHRLSTf024 + + + + + + + + + + &HOMEgfs;/jobs/post + &QUEUE; + &PROJECT; + gfs.post.f030 + &LOG_DIR;/gfs.post.f030_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgfs + FHRGRPf030 + FHRLSTf030 + + + + + + + + + + + &HOMEgfs;/jobs/VRFY.sh + &QUEUE; + &PROJECT; + gfs.vrfy + &LOG_DIR;/gfs.vrfy_t@Hz.log + + 0:02:00 + 3072M + 1:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgfs + + + + + + + + DUMMY_VALUE + + DUMMY_VALUE + + &HOMEgfs;/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp1 + &LOG_DIR;/archive.earc.grp1_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMParchive + ENSGRP1 + + + + + + + &HOMEgfs;/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp2 + &LOG_DIR;/archive.earc.grp2_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMParchive + ENSGRP2 + + + + + + + &HOMEgfs;/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp3 + &LOG_DIR;/archive.earc.grp3_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMParchive + ENSGRP3 + + + + + + + &HOMEgfs;/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp4 + &LOG_DIR;/archive.earc.grp4_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMParchive + ENSGRP4 + + + + + + + &HOMEgfs;/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp5 + &LOG_DIR;/archive.earc.grp5_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMParchive + ENSGRP5 + + + + + + + &HOMEgfs;/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp6 + &LOG_DIR;/archive.earc.grp6_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMParchive + ENSGRP6 + + + + + + + &HOMEgfs;/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp7 + &LOG_DIR;/archive.earc.grp7_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMParchive + ENSGRP7 + + + + + + + &HOMEgfs;/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp8 + &LOG_DIR;/archive.earc.grp8_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMParchive + ENSGRP8 + + + + + + + &HOMEgfs;/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp9 + &LOG_DIR;/archive.earc.grp9_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMParchive + ENSGRP9 + + + + + + + &HOMEgfs;/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp10 + &LOG_DIR;/archive.earc.grp10_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMParchive + ENSGRP10 + + + + + + + &HOMEgfs;/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp11 + &LOG_DIR;/archive.earc.grp11_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMParchive + ENSGRP11 + + + + + + + &HOMEgfs;/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp12 + &LOG_DIR;/archive.earc.grp12_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMParchive + ENSGRP12 + + + + + + + &HOMEgfs;/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp13 + &LOG_DIR;/archive.earc.grp13_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMParchive + ENSGRP13 + + + + + + + &HOMEgfs;/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp14 + &LOG_DIR;/archive.earc.grp14_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMParchive + ENSGRP14 + + + + + + + &HOMEgfs;/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp15 + &LOG_DIR;/archive.earc.grp15_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMParchive + ENSGRP15 + + + + + + + &HOMEgfs;/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp16 + &LOG_DIR;/archive.earc.grp16_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMParchive + ENSGRP16 + + + + + + + + + + + + &HOMEgfs;/jobs/FINAL.sh + &QUEUESERV; + &PROJECT; + final + &LOG_DIR;/final_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPfinal + + + + + + + &HOMEgfs;/jobs/FINAL.sh + &QUEUESERV; + &PROJECT; + final + &LOG_DIR;/final_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPfinal + + + + + + + + + + &HOMEgfs;/jobs/FINAL.sh + &QUEUESERV; + &PROJECT; + final + &LOG_DIR;/final_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPfinal + + + + + + + + + + &HOMEgfs;/jobs/FINAL.sh + &QUEUESERV; + &PROJECT; + final + &LOG_DIR;/final_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPfinal + + + + + + + + + + + + + + + + + + + + + From b4749f52154762eb30eddab3c2bf7515bf49bc4f Mon Sep 17 00:00:00 2001 From: wx20st Date: Wed, 14 Feb 2018 20:52:02 +0000 Subject: [PATCH 328/487] updates to get rocoto_style_fv3gfs 50% working --- .../resources_C192_C192.yaml | 159 ++++++++++++++++++ .../prod2018010200/gdas/post/post_manager.ecf | 44 +++++ .../prod2018010200/gfs/post/post_manager.ecf | 44 +++++ .../prod2018010206/gdas/post/post_manager.ecf | 44 +++++ .../prod2018010206/gfs/post/post_manager.ecf | 44 +++++ .../prod2018010212/gdas/post/post_manager.ecf | 44 +++++ .../prod2018010212/gfs/post/post_manager.ecf | 44 +++++ .../prod2018010218/gdas/post/post_manager.ecf | 44 +++++ .../prod2018010218/gfs/post/post_manager.ecf | 44 +++++ 9 files changed, 511 insertions(+) create mode 100644 model/rocoto_style_fv3gfs/resources_C192_C192.yaml create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/post_manager.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/post_manager.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/post_manager.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/post_manager.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/post_manager.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/post_manager.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/post_manager.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/post_manager.ecf diff --git a/model/rocoto_style_fv3gfs/resources_C192_C192.yaml b/model/rocoto_style_fv3gfs/resources_C192_C192.yaml new file mode 100644 index 0000000..b6d8bbc --- /dev/null +++ b/model/rocoto_style_fv3gfs/resources_C192_C192.yaml @@ -0,0 +1,159 @@ +resources: + + run_test: !JobRequest + - exe: nothing + mpi_ranks: 1 + + # From if[[...ecen]] block in config.resources: + run_ecen: !JobRequest + - memory: "3072M" + walltime: 00:02:00 + mpi_ranks: 80 + exe: placeholder + # max_ppn comes from THEIA.env: 84/12 = 7 + max_ppn: 4 + OMP_NUM_THREADS: 2 + + run_chgres: !JobRequest + - exe: time + OMP_NUM_THREADS: 12 + args: + - placeholder + + run_nothing: !JobRequest # Special placeholder for "do nothing" + - memory: "300M" + exe: nothing + + run_eobs: !JobRequest + - memory: "3072M" + walltime: 00:02:00 + mpi_ranks: !calc 12*6 + exe: placeholder + max_ppn: 6 + OMP_NUM_THREADS: 4 + + run_eomg: !JobRequest + - memory: "3072M" + walltime: 00:02:00 + mpi_ranks: !calc 6*12 + exe: placeholder + max_ppn: 6 + OMP_NUM_THREADS: 2 + + run_eupd: !JobRequest + - memory: "3072M" + walltime: 00:02:00 + mpi_ranks: !calc 20*12 + exe: placeholder + max_ppn: 6 + OMP_NUM_THREADS: 2 + +# run_enkf: !JobRequest +# pi_ranks: !calc 10*12 +# resources: !JobRequest +# - exe: placeholder +# max_ppn: 12 +# OMP_NUM_THREADS: 4 + + run_efcs: !JobRequest + - walltime: 00:02:00 + mpi_ranks: !calc "doc.settings.layout_x*doc.settings.layout_y*6" + max_ppn: 12 + memory: "254M" + + run_epos: !JobRequest + - memory: "254M" + mpi_ranks: 80 + walltime: 00:02:00 + exe: placeholder + max_ppn: 4 + OMP_NUM_THREADS: 2 + + run_prep: !JobRequest + - memory: "3072M" + walltime: 00:02:00 + mpi_ranks: 12 + exe: placeholder + max_ppn: 2 + OMP_NUM_THREADS: max + + run_anal: !JobRequest + - memory: "3072M" + mpi_ranks: 144 + walltime: 00:02:00 + exe: placeholder + max_ppn: 6 + OMP_NUM_THREADS: 2 + +# run_gsi: !JobRequest +# pi_ranks: !calc 24*6 +# resources: !JobRequest +# - exe: placeholder +# max_ppn: 6 +# OMP_NUM_THREADS: 4 + + run_gdasfcst: !JobRequest + - mpi_ranks: !calc "doc.settings.layout_x*doc.settings.layout_y*6" + walltime: 00:02:00 + max_ppn: 12 + memory: "1024M" + + run_gfsfcst: !JobRequest + - mpi_ranks: !calc "doc.settings.layout_x*doc.settings.layout_y*6" + walltime: 00:02:00 + max_ppn: 12 + memory: "1024M" + + run_gdaspost: !JobRequest + - memory: "3072M" + mpi_ranks: 72 + walltime: 00:02:00 + exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 1 + + run_gfspost: !JobRequest + - memory: "3072M" + mpi_ranks: 72 + walltime: 00:02:00 + exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 1 + + run_vrfy: !JobRequest + - memory: "3072M" + mpi_ranks: 1 + walltime: 00:02:00 + exe: placeholder + max_ppn: 1 + + run_arch: !JobRequest + - memory: "3072M" + exclusive: false + mpi_ranks: 1 + walltime: 00:02:00 + exe: placeholder + max_ppn: 1 + OMP_NUM_THREADS: 2 + + run_final: !JobRequest + - memory: "2M" + mpi_ranks: 1 + walltime: 00:01:00 + exe: placeholder + max_ppn: 1 + OMP_NUM_THREADS: 2 + +# run_earc: !JobRequest +# emory: "3072M" +# mpi_ranks: 1 +# walltime: 00:15:00 +# resources: !JobRequest +# - exe: placeholder +# max_ppn: 1 +# OMP_NUM_THREADS: 2 + + run_fv3ic: !JobRequest + - memory: "3072M" + mpi_ranks: 24 + exe: placeholder diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/post_manager.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/post_manager.ecf new file mode 100644 index 0000000..8e63210 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/post_manager.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.post_manager +#BSUB -o %ECF_OUT%/gdas.post.post_manager_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post_manager + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/post_manager.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/post_manager.ecf new file mode 100644 index 0000000..14992e4 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/post_manager.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.post_manager +#BSUB -o %ECF_OUT%/gfs.post.post_manager_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post_manager + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/post_manager.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/post_manager.ecf new file mode 100644 index 0000000..8e63210 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/post_manager.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.post_manager +#BSUB -o %ECF_OUT%/gdas.post.post_manager_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post_manager + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/post_manager.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/post_manager.ecf new file mode 100644 index 0000000..14992e4 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/post_manager.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.post_manager +#BSUB -o %ECF_OUT%/gfs.post.post_manager_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post_manager + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/post_manager.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/post_manager.ecf new file mode 100644 index 0000000..8e63210 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/post_manager.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.post_manager +#BSUB -o %ECF_OUT%/gdas.post.post_manager_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post_manager + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/post_manager.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/post_manager.ecf new file mode 100644 index 0000000..14992e4 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/post_manager.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.post_manager +#BSUB -o %ECF_OUT%/gfs.post.post_manager_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post_manager + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/post_manager.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/post_manager.ecf new file mode 100644 index 0000000..8e63210 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/post_manager.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.post_manager +#BSUB -o %ECF_OUT%/gdas.post.post_manager_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post_manager + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/post_manager.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/post_manager.ecf new file mode 100644 index 0000000..14992e4 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/post_manager.ecf @@ -0,0 +1,44 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.post_manager +#BSUB -o %ECF_OUT%/gfs.post.post_manager_t%CYC%z.log + +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post_manager + +%include +%manual +# FIXME: Insert manual for this job. + From 7415b2864ed125a9acae979ec16cfae8b75f0c65 Mon Sep 17 00:00:00 2001 From: wx20st Date: Wed, 14 Feb 2018 20:53:00 +0000 Subject: [PATCH 329/487] updates to get rocoto_style_fv3gfs 50% working --- crow/config/tasks.py | 8 +- crow/metascheduler/ecflow.py | 5 + crow/metascheduler/rocoto.py | 145 +++++++-- .../defs/prod2018010200.def | 228 +++++++++++++- .../defs/prod2018010206.def | 17 + .../defs/prod2018010212.def | 228 +++++++++++++- .../defs/prod2018010218.def | 17 + .../scripts/prod2018010200/gdas/fcst.ecf | 4 +- .../scripts/prod2018010200/gfs/fcst.ecf | 4 +- .../scripts/prod2018010206/gdas/fcst.ecf | 4 +- .../scripts/prod2018010206/gfs/fcst.ecf | 4 +- .../scripts/prod2018010212/gdas/fcst.ecf | 4 +- .../scripts/prod2018010212/gfs/fcst.ecf | 4 +- .../scripts/prod2018010218/gdas/fcst.ecf | 4 +- .../scripts/prod2018010218/gfs/fcst.ecf | 4 +- model/rocoto_style_fv3gfs/settings.yaml | 5 +- model/rocoto_style_fv3gfs/suite_def.yaml | 65 +++- model/rocoto_style_fv3gfs/workflow.xml | 294 ++++++++++++------ 18 files changed, 851 insertions(+), 193 deletions(-) diff --git a/crow/config/tasks.py b/crow/config/tasks.py index ae88e7d..59bc09d 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -579,7 +579,7 @@ def add_time(self,dt): self.view.path[0]+=dt def __repr__(self): return f'/{"/".join([str(s) for s in self.view.path])}'\ - f'= {self.state}' + f'={self.state}' def __eq__(self,other): return isinstance(other,StateDependency) \ and other.state==self.state \ @@ -598,8 +598,8 @@ def add_time(self,dt): self.event=copy(self.event) self.event.path[0]+=dt def __repr__(self): - return f'/{"/".join([str(s) for s in self.event.path])}'\ - f'= {self.state}' + return f'/{"/".join([str(s) for s in self.event.path[:-1]])}'\ + f':{self.event.path[-1]}' def __eq__(self,other): return isinstance(other,EventDependency) \ and other.event.path==self.event.path @@ -730,6 +730,8 @@ def _generate(self,parent_view): f[name]=content else: f[k]=v + if 'Trigger' in self: + assert('Trigger' in f) return f diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py index 15d1223..60eaa95 100644 --- a/crow/metascheduler/ecflow.py +++ b/crow/metascheduler/ecflow.py @@ -147,6 +147,11 @@ def __init__(self,suite): self.graph=Graph(self.suite,self.suite.Clock) self.type='ecflow' + def datestring(self,format): + def replacer(m): + return( (m.group(1) or "")+"%"+m.group(2)+"%" ) + return re.sub(r'(\%\%)*\%([a-zA-Z])',replacer,format) + def defenvar(self,name,value): return f"edit {name} '{value!s}'" diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index b7a5511..70c0748 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -1,4 +1,4 @@ -import sys, io +import sys, io, re from datetime import timedelta, datetime from io import StringIO from copy import copy @@ -39,6 +39,41 @@ class SelfReferentialDependency(RocotoConfigError): pass _ZERO_DT=timedelta() +def has_conditions(self,item,completes,test_alarm_name,alarm_name=None): + if completes and 'Complete' in item: + return True + + if 'AlarmName' in item: + alarm_name=item.alarm_name + if test_alarm_name is not None: + if alarm_name is not None and test_alarm_name==alarm_name: + return True + + if not item.is_family(): + return False + + for subitem in item.child_iter(): + if has_conditions(subitem,completes,test_alarm_name,alarm_name): + return True + +def _has_completes(self,item): + if 'Complete' in item: + return True + if not item.is_family(): + return False + for subitem in item.child_iter(): + if _has_completes(subitem): return True + return False + +def _has_alarms(self,item): + if 'AlarmName' in item: + return True + if not item.is_family(): + return False + for subitem in item.child_iter(): + if _has_alarms(subitem): return True + return False + def stringify_clock(name,clock,indent): start_time=clock.start.strftime('%Y%m%d%H%M') end_time=clock.end.strftime('%Y%m%d%H%M') @@ -101,14 +136,17 @@ def _to_rocoto_dep_impl(dep,fd,indent): if not 'file' in event: fd.write(f'{" "*indent}\n') return - fd.write(f'{" "*indent}{event.file}\n') + fd.write(f'>{event.file.strip()}\n') else: raise TypeError(f'Unexpected {type(event).__name__} event type in an EventDependency in _to_rocoto_dep') else: @@ -160,10 +198,16 @@ def __init__(self,suite): self.__dummy_var_count=0 self.__families_with_completes=set() self.__alarms_used=set([""]) + self.__nodes_with_alarms=set() def defenvar(self,name,value): return f'{name}{value!s}' + def datestring(self,format): + def replacer(m): + return( (m.group(1) or "")+"@"+m.group(2) ) + return re.sub(r'(\%\%)*\%([a-zA-Z])',replacer,format) + def defvar(self,name,value): qvalue=quoteattr(str(value)) return(f'') @@ -323,6 +367,9 @@ def _convert_item(self,fd,indent,view,trigger,complete,time,alarm_name): else: alarm_name=view.AlarmName + if alarm_name: + self.__nodes_with_alarms.add(SuitePath(view.path[1:])) + if view.is_task(): maxtries=int(view.get( 'max_tries',self.suite.Rocoto.get('max_tries',0))) @@ -405,54 +452,90 @@ def _completes_for(self,item): return dep def _final_task_deps(self,item,for_alarm=None,alarm_name=None): + result=TRUE_DEPENDENCY + + if for_alarm is not None: + if alarm_name is None and 'AlarmName' in item: + alarm_name=item.AlarmName + + if not has_conditions(item,True,alarm_name,None): + # There are no completes, and either we don't care about + # alarms or there are no matching alarms. + return TRUE_DEPENDENCY, TRUE_DEPENDENCY + + alarm_dep=TRUE_DEPENDENCY + complete_dep=TRUE_DEPENDENCY + + def _final_task_deps(self,item,for_alarm=None,alarm_name=None): + if 'Disable' in item and item.Disable: + return FALSE_DEPENDENCY path=SuitePath(item.path[1:]) with_completes=self.__families_with_completes + with_alarms=self.__nodes_with_alarms + keep_for_alarm=True - if for_alarm is not None: + if for_alarm is not None and len(item.path)>1: if 'AlarmName' in item: if alarm_name: raise ValueError('{item.task_path_var}: nested alarms are not supported in crow.metascheduler.to_rocoto()') else: alarm_name=item.AlarmName - if alarm_name is not None and alarm_name != for_alarm: - return TRUE_DEPENDENCY - - if item.is_task(): - dep = item.is_completed() - if item.path in self.__completes: - dep = dep | self.__completes[item.path][1] - return dep - - # Initial completion dependency is the task or family - # completion unless this item is the Suite. Suites must be - # handled differently. - if path: - dep = item.is_completed() # Family SuiteView + if not for_alarm and alarm_name: + print(f'{item.path}: alarm {alarm_name} discarded for {for_alarm!r}') + keep_for_alarm=False + if for_alarm and alarm_name!=for_alarm: + print(f'{item.path}: alarm {alarm_name} discarded for {for_alarm!r}') + keep_for_alarm=False + + if keep_for_alarm: + print(f'{item.path}: alarm {alarm_name} included for {for_alarm!r}') + + if not keep_for_alarm: + + if item.is_task(): + dep = item.is_completed() + if item.path in self.__completes: + dep = dep | self.__completes[item.path][1] + print(f'{item.path}: is task so return early with {dep}') + return dep + + # Initial completion dependency is the task or family + # completion unless this item is the Suite. Suites must be + # handled differently. + if path: + dep = item.is_completed() # Family SuiteView + else: + dep = FALSE_DEPENDENCY # Suite + + if ( path and path not in with_completes ) or \ + (for_alarm is not None and path not in with_alarms): + # Families with no "complete" dependency in their entire + # tree have no further dependencies to identify. Their + # own completion is the entirety of the completion + # dependency. + print(f'{item.path}: no complete nor alarm so {dep}') + return dep else: - dep = FALSE_DEPENDENCY # Suite - - if path and path not in with_completes: - # Families with no "complete" dependency in their entire - # tree have no further dependencies to identify. Their - # own completion is the entirety of the completion - # dependency. - return dep + dep=item.is_completed() subdep=TRUE_DEPENDENCY for subitem in item.child_iter(): - if not path and subitem.path[1:] == [ 'final' ]: + if subitem.path[1:][:5] == [ 'final' ]: # Special case. Do not include final task's # dependency in the final task's dependency. + print(f'{subitem.path}: do not recurse; is final') continue - if not isinstance(subitem,SuiteView): + if not subitem.is_task() and not subitem.is_family(): + #print(f'{subitem.path}: do not recurse; is not task or family ({subitem!r})') continue subdep=subdep & self._final_task_deps(subitem,for_alarm,alarm_name) - + if dep is FALSE_DEPENDENCY: dep=subdep else: dep=dep | subdep + print(f'{item.path}: result: {dep}') return dep def _handle_final_task(self,fd,indent): @@ -501,6 +584,10 @@ def _handle_final_task(self,fd,indent): del new_task self.__all_defined.add(SuitePath( [_ZERO_DT] + new_task_view.path[1:])) + if this_alarm_final_dep is FALSE_DEPENDENCY: + print(f'{task_name}: false') + continue + self._write_task_text(fd,' final="true"',indent,new_task_view, this_alarm_final_dep,timedelta.min,alarm_name) diff --git a/model/rocoto_style_fv3gfs/defs/prod2018010200.def b/model/rocoto_style_fv3gfs/defs/prod2018010200.def index cb388ad..f982c68 100644 --- a/model/rocoto_style_fv3gfs/defs/prod2018010200.def +++ b/model/rocoto_style_fv3gfs/defs/prod2018010200.def @@ -119,24 +119,39 @@ suite prod2018010200 task fcst trigger ./anal == complete family post # /prod2018010200/gdas/post + task post_manager + trigger ../fcst == active or ../fcst == complete + event 1 release_postanl + event 2 release_post00 + event 3 release_post01 + event 4 release_post02 + event 5 release_post03 + event 6 release_post04 + event 7 release_post05 task f000 edit FHRGRP 'f000' edit FHRLST 'anl' + trigger ./post_manager:release_post00 task f006 edit FHRGRP 'f006' edit FHRLST 'f006' + trigger ./post_manager:release_post01 task f012 edit FHRGRP 'f012' edit FHRLST 'f012' + trigger ./post_manager:release_post02 task f018 edit FHRGRP 'f018' edit FHRLST 'f018' + trigger ./post_manager:release_post03 task f024 edit FHRGRP 'f024' edit FHRLST 'f024' + trigger ./post_manager:release_post04 task f030 edit FHRGRP 'f030' edit FHRLST 'f030' + trigger ./post_manager:release_post05 endfamily # /prod2018010200/gdas/post task vrfy trigger ./post == complete @@ -155,30 +170,215 @@ suite prod2018010200 task fcst trigger ./anal == complete family post # /prod2018010200/gfs/post - trigger ./fcst == active or ./fcst == complete - task f000 - edit FHRGRP 'f000' + task post_manager + trigger ../fcst == active or ../fcst == complete + event 1 release_postanl + event 2 release_post00 + event 3 release_post01 + event 4 release_post02 + event 5 release_post03 + event 6 release_post04 + event 7 release_post05 + event 8 release_post06 + event 9 release_post07 + event 10 release_post08 + event 11 release_post09 + event 12 release_post10 + event 13 release_post11 + event 14 release_post12 + event 15 release_post13 + event 16 release_post14 + event 17 release_post15 + event 18 release_post16 + event 19 release_post17 + event 20 release_post18 + event 21 release_post19 + event 22 release_post20 + event 23 release_post21 + event 24 release_post22 + event 25 release_post23 + event 26 release_post24 + event 27 release_post25 + event 28 release_post26 + event 29 release_post27 + event 30 release_post28 + event 31 release_post29 + event 32 release_post30 + event 33 release_post31 + event 34 release_post32 + event 35 release_post33 + event 36 release_post34 + event 37 release_post35 + event 38 release_post36 + event 39 release_post37 + event 40 release_post38 + event 41 release_post39 + event 42 release_post40 + event 43 release_post41 + event 44 release_post42 + event 45 release_post43 + event 46 release_post44 + event 47 release_post45 + event 48 release_post46 + event 49 release_post47 + event 50 release_post48 + event 51 release_post49 + event 52 release_post50 + event 53 release_post51 + event 54 release_post52 + event 55 release_post53 + event 56 release_post54 + event 57 release_post55 + event 58 release_post56 + event 59 release_post57 + event 60 release_post58 + event 61 release_post59 + event 62 release_post60 + event 63 release_post61 + event 64 release_post62 + event 65 release_post63 + event 66 release_post64 + event 67 release_post65 + event 68 release_post66 + event 69 release_post67 + event 70 release_post68 + event 71 release_post69 + event 72 release_post70 + event 73 release_post71 + event 74 release_post72 + event 75 release_post73 + event 76 release_post74 + event 77 release_post75 + event 78 release_post76 + event 79 release_post77 + event 80 release_post78 + event 81 release_post79 + event 82 release_post80 + event 83 release_post81 + event 84 release_post82 + event 85 release_post83 + event 86 release_post84 + event 87 release_post85 + event 88 release_post86 + event 89 release_post87 + event 90 release_post88 + event 91 release_post89 + event 92 release_post90 + event 93 release_post91 + event 94 release_post92 + event 95 release_post93 + event 96 release_post94 + event 97 release_post95 + event 98 release_post96 + event 99 release_post97 + event 100 release_post98 + event 101 release_post99 + event 102 release_post100 + event 103 release_post101 + event 104 release_post102 + event 105 release_post103 + event 106 release_post104 + event 107 release_post105 + event 108 release_post106 + event 109 release_post107 + event 110 release_post108 + event 111 release_post109 + event 112 release_post110 + event 113 release_post111 + event 114 release_post112 + event 115 release_post113 + event 116 release_post114 + event 117 release_post115 + event 118 release_post116 + event 119 release_post117 + event 120 release_post118 + event 121 release_post119 + event 122 release_post120 + event 123 release_post123 + event 124 release_post126 + event 125 release_post129 + event 126 release_post132 + event 127 release_post135 + event 128 release_post138 + event 129 release_post141 + event 130 release_post144 + event 131 release_post147 + event 132 release_post150 + event 133 release_post153 + event 134 release_post156 + event 135 release_post159 + event 136 release_post162 + event 137 release_post165 + event 138 release_post168 + event 139 release_post171 + event 140 release_post174 + event 141 release_post177 + event 142 release_post180 + event 143 release_post183 + event 144 release_post186 + event 145 release_post189 + event 146 release_post192 + event 147 release_post195 + event 148 release_post198 + event 149 release_post201 + event 150 release_post204 + event 151 release_post207 + event 152 release_post210 + event 153 release_post213 + event 154 release_post216 + event 155 release_post219 + event 156 release_post222 + event 157 release_post225 + event 158 release_post228 + event 159 release_post231 + event 160 release_post234 + event 161 release_post237 + event 162 release_post240 + event 163 release_post252 + event 164 release_post264 + event 165 release_post276 + event 166 release_post288 + event 167 release_post300 + event 168 release_post312 + event 169 release_post324 + event 170 release_post336 + event 171 release_post348 + event 172 release_post360 + event 173 release_post372 + event 174 release_post384 + task grp000 + edit FHRGRP '000' edit FHRLST 'anl' - task f006 - edit FHRGRP 'f006' + trigger ./post_manager:release_post00 + task grp001 + edit FHRGRP '001' + edit FHRLST 'f000' + trigger ./post_manager:release_post01 + task grp002 + edit FHRGRP '002' edit FHRLST 'f006' - task f012 - edit FHRGRP 'f012' + trigger ./post_manager:release_post02 + task grp003 + edit FHRGRP '003' edit FHRLST 'f012' - task f018 - edit FHRGRP 'f018' + trigger ./post_manager:release_post03 + task grp004 + edit FHRGRP '004' edit FHRLST 'f018' - task f024 - edit FHRGRP 'f024' + trigger ./post_manager:release_post04 + task grp005 + edit FHRGRP '005' edit FHRLST 'f024' - task f030 - edit FHRGRP 'f030' - edit FHRLST 'f030' + trigger ./post_manager:release_post05 endfamily # /prod2018010200/gfs/post task vrfy trigger ./post == complete endfamily # /prod2018010200/gfs family archive # /prod2018010200/archive + task gdasarch + trigger ../gdas/vrfy == complete + task gfsarch + trigger ../gfs/vrfy == complete family earc # /prod2018010200/archive/earc trigger ../gdas/enkf/epos == complete task grp1 diff --git a/model/rocoto_style_fv3gfs/defs/prod2018010206.def b/model/rocoto_style_fv3gfs/defs/prod2018010206.def index e509fb1..6640376 100644 --- a/model/rocoto_style_fv3gfs/defs/prod2018010206.def +++ b/model/rocoto_style_fv3gfs/defs/prod2018010206.def @@ -119,29 +119,46 @@ suite prod2018010206 task fcst trigger ./anal == complete family post # /prod2018010206/gdas/post + task post_manager + trigger ../fcst == active or ../fcst == complete + event 1 release_postanl + event 2 release_post00 + event 3 release_post01 + event 4 release_post02 + event 5 release_post03 + event 6 release_post04 + event 7 release_post05 task f000 edit FHRGRP 'f000' edit FHRLST 'anl' + trigger ./post_manager:release_post00 task f006 edit FHRGRP 'f006' edit FHRLST 'f006' + trigger ./post_manager:release_post01 task f012 edit FHRGRP 'f012' edit FHRLST 'f012' + trigger ./post_manager:release_post02 task f018 edit FHRGRP 'f018' edit FHRLST 'f018' + trigger ./post_manager:release_post03 task f024 edit FHRGRP 'f024' edit FHRLST 'f024' + trigger ./post_manager:release_post04 task f030 edit FHRGRP 'f030' edit FHRLST 'f030' + trigger ./post_manager:release_post05 endfamily # /prod2018010206/gdas/post task vrfy trigger ./post == complete endfamily # /prod2018010206/gdas family archive # /prod2018010206/archive + task gdasarch + trigger ../gdas/vrfy == complete family earc # /prod2018010206/archive/earc trigger ../gdas/enkf/epos == complete task grp1 diff --git a/model/rocoto_style_fv3gfs/defs/prod2018010212.def b/model/rocoto_style_fv3gfs/defs/prod2018010212.def index d709b5f..b11a4a4 100644 --- a/model/rocoto_style_fv3gfs/defs/prod2018010212.def +++ b/model/rocoto_style_fv3gfs/defs/prod2018010212.def @@ -119,24 +119,39 @@ suite prod2018010212 task fcst trigger ./anal == complete family post # /prod2018010212/gdas/post + task post_manager + trigger ../fcst == active or ../fcst == complete + event 1 release_postanl + event 2 release_post00 + event 3 release_post01 + event 4 release_post02 + event 5 release_post03 + event 6 release_post04 + event 7 release_post05 task f000 edit FHRGRP 'f000' edit FHRLST 'anl' + trigger ./post_manager:release_post00 task f006 edit FHRGRP 'f006' edit FHRLST 'f006' + trigger ./post_manager:release_post01 task f012 edit FHRGRP 'f012' edit FHRLST 'f012' + trigger ./post_manager:release_post02 task f018 edit FHRGRP 'f018' edit FHRLST 'f018' + trigger ./post_manager:release_post03 task f024 edit FHRGRP 'f024' edit FHRLST 'f024' + trigger ./post_manager:release_post04 task f030 edit FHRGRP 'f030' edit FHRLST 'f030' + trigger ./post_manager:release_post05 endfamily # /prod2018010212/gdas/post task vrfy trigger ./post == complete @@ -155,30 +170,215 @@ suite prod2018010212 task fcst trigger ./anal == complete family post # /prod2018010212/gfs/post - trigger ./fcst == active or ./fcst == complete - task f000 - edit FHRGRP 'f000' + task post_manager + trigger ../fcst == active or ../fcst == complete + event 1 release_postanl + event 2 release_post00 + event 3 release_post01 + event 4 release_post02 + event 5 release_post03 + event 6 release_post04 + event 7 release_post05 + event 8 release_post06 + event 9 release_post07 + event 10 release_post08 + event 11 release_post09 + event 12 release_post10 + event 13 release_post11 + event 14 release_post12 + event 15 release_post13 + event 16 release_post14 + event 17 release_post15 + event 18 release_post16 + event 19 release_post17 + event 20 release_post18 + event 21 release_post19 + event 22 release_post20 + event 23 release_post21 + event 24 release_post22 + event 25 release_post23 + event 26 release_post24 + event 27 release_post25 + event 28 release_post26 + event 29 release_post27 + event 30 release_post28 + event 31 release_post29 + event 32 release_post30 + event 33 release_post31 + event 34 release_post32 + event 35 release_post33 + event 36 release_post34 + event 37 release_post35 + event 38 release_post36 + event 39 release_post37 + event 40 release_post38 + event 41 release_post39 + event 42 release_post40 + event 43 release_post41 + event 44 release_post42 + event 45 release_post43 + event 46 release_post44 + event 47 release_post45 + event 48 release_post46 + event 49 release_post47 + event 50 release_post48 + event 51 release_post49 + event 52 release_post50 + event 53 release_post51 + event 54 release_post52 + event 55 release_post53 + event 56 release_post54 + event 57 release_post55 + event 58 release_post56 + event 59 release_post57 + event 60 release_post58 + event 61 release_post59 + event 62 release_post60 + event 63 release_post61 + event 64 release_post62 + event 65 release_post63 + event 66 release_post64 + event 67 release_post65 + event 68 release_post66 + event 69 release_post67 + event 70 release_post68 + event 71 release_post69 + event 72 release_post70 + event 73 release_post71 + event 74 release_post72 + event 75 release_post73 + event 76 release_post74 + event 77 release_post75 + event 78 release_post76 + event 79 release_post77 + event 80 release_post78 + event 81 release_post79 + event 82 release_post80 + event 83 release_post81 + event 84 release_post82 + event 85 release_post83 + event 86 release_post84 + event 87 release_post85 + event 88 release_post86 + event 89 release_post87 + event 90 release_post88 + event 91 release_post89 + event 92 release_post90 + event 93 release_post91 + event 94 release_post92 + event 95 release_post93 + event 96 release_post94 + event 97 release_post95 + event 98 release_post96 + event 99 release_post97 + event 100 release_post98 + event 101 release_post99 + event 102 release_post100 + event 103 release_post101 + event 104 release_post102 + event 105 release_post103 + event 106 release_post104 + event 107 release_post105 + event 108 release_post106 + event 109 release_post107 + event 110 release_post108 + event 111 release_post109 + event 112 release_post110 + event 113 release_post111 + event 114 release_post112 + event 115 release_post113 + event 116 release_post114 + event 117 release_post115 + event 118 release_post116 + event 119 release_post117 + event 120 release_post118 + event 121 release_post119 + event 122 release_post120 + event 123 release_post123 + event 124 release_post126 + event 125 release_post129 + event 126 release_post132 + event 127 release_post135 + event 128 release_post138 + event 129 release_post141 + event 130 release_post144 + event 131 release_post147 + event 132 release_post150 + event 133 release_post153 + event 134 release_post156 + event 135 release_post159 + event 136 release_post162 + event 137 release_post165 + event 138 release_post168 + event 139 release_post171 + event 140 release_post174 + event 141 release_post177 + event 142 release_post180 + event 143 release_post183 + event 144 release_post186 + event 145 release_post189 + event 146 release_post192 + event 147 release_post195 + event 148 release_post198 + event 149 release_post201 + event 150 release_post204 + event 151 release_post207 + event 152 release_post210 + event 153 release_post213 + event 154 release_post216 + event 155 release_post219 + event 156 release_post222 + event 157 release_post225 + event 158 release_post228 + event 159 release_post231 + event 160 release_post234 + event 161 release_post237 + event 162 release_post240 + event 163 release_post252 + event 164 release_post264 + event 165 release_post276 + event 166 release_post288 + event 167 release_post300 + event 168 release_post312 + event 169 release_post324 + event 170 release_post336 + event 171 release_post348 + event 172 release_post360 + event 173 release_post372 + event 174 release_post384 + task grp000 + edit FHRGRP '000' edit FHRLST 'anl' - task f006 - edit FHRGRP 'f006' + trigger ./post_manager:release_post00 + task grp001 + edit FHRGRP '001' + edit FHRLST 'f000' + trigger ./post_manager:release_post01 + task grp002 + edit FHRGRP '002' edit FHRLST 'f006' - task f012 - edit FHRGRP 'f012' + trigger ./post_manager:release_post02 + task grp003 + edit FHRGRP '003' edit FHRLST 'f012' - task f018 - edit FHRGRP 'f018' + trigger ./post_manager:release_post03 + task grp004 + edit FHRGRP '004' edit FHRLST 'f018' - task f024 - edit FHRGRP 'f024' + trigger ./post_manager:release_post04 + task grp005 + edit FHRGRP '005' edit FHRLST 'f024' - task f030 - edit FHRGRP 'f030' - edit FHRLST 'f030' + trigger ./post_manager:release_post05 endfamily # /prod2018010212/gfs/post task vrfy trigger ./post == complete endfamily # /prod2018010212/gfs family archive # /prod2018010212/archive + task gdasarch + trigger ../gdas/vrfy == complete + task gfsarch + trigger ../gfs/vrfy == complete family earc # /prod2018010212/archive/earc trigger ../gdas/enkf/epos == complete task grp1 diff --git a/model/rocoto_style_fv3gfs/defs/prod2018010218.def b/model/rocoto_style_fv3gfs/defs/prod2018010218.def index 462ad08..6738800 100644 --- a/model/rocoto_style_fv3gfs/defs/prod2018010218.def +++ b/model/rocoto_style_fv3gfs/defs/prod2018010218.def @@ -119,29 +119,46 @@ suite prod2018010218 task fcst trigger ./anal == complete family post # /prod2018010218/gdas/post + task post_manager + trigger ../fcst == active or ../fcst == complete + event 1 release_postanl + event 2 release_post00 + event 3 release_post01 + event 4 release_post02 + event 5 release_post03 + event 6 release_post04 + event 7 release_post05 task f000 edit FHRGRP 'f000' edit FHRLST 'anl' + trigger ./post_manager:release_post00 task f006 edit FHRGRP 'f006' edit FHRLST 'f006' + trigger ./post_manager:release_post01 task f012 edit FHRGRP 'f012' edit FHRLST 'f012' + trigger ./post_manager:release_post02 task f018 edit FHRGRP 'f018' edit FHRLST 'f018' + trigger ./post_manager:release_post03 task f024 edit FHRGRP 'f024' edit FHRLST 'f024' + trigger ./post_manager:release_post04 task f030 edit FHRGRP 'f030' edit FHRLST 'f030' + trigger ./post_manager:release_post05 endfamily # /prod2018010218/gdas/post task vrfy trigger ./post == complete endfamily # /prod2018010218/gdas family archive # /prod2018010218/archive + task gdasarch + trigger ../gdas/vrfy == complete family earc # /prod2018010218/archive/earc trigger ../gdas/enkf/epos == complete task grp1 diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/fcst.ecf index d329d29..679f955 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/fcst.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/fcst.ecf @@ -7,13 +7,13 @@ #BSUB -W 0:02 #BSUB -R rusage[mem=1024] #BSUB -extsched CRAYLINUX[] -export NODES=64 +export NODES=12 %include %include set -x -export ntasks=768 +export ntasks=144 export ptile=12 # No OpenMP in use, so I am not setting $threads diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/fcst.ecf index e62e72b..8f91dc8 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/fcst.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/fcst.ecf @@ -7,13 +7,13 @@ #BSUB -W 0:02 #BSUB -R rusage[mem=1024] #BSUB -extsched CRAYLINUX[] -export NODES=64 +export NODES=12 %include %include set -x -export ntasks=768 +export ntasks=144 export ptile=12 # No OpenMP in use, so I am not setting $threads diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/fcst.ecf index d329d29..679f955 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/fcst.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/fcst.ecf @@ -7,13 +7,13 @@ #BSUB -W 0:02 #BSUB -R rusage[mem=1024] #BSUB -extsched CRAYLINUX[] -export NODES=64 +export NODES=12 %include %include set -x -export ntasks=768 +export ntasks=144 export ptile=12 # No OpenMP in use, so I am not setting $threads diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/fcst.ecf index e62e72b..8f91dc8 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/fcst.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/fcst.ecf @@ -7,13 +7,13 @@ #BSUB -W 0:02 #BSUB -R rusage[mem=1024] #BSUB -extsched CRAYLINUX[] -export NODES=64 +export NODES=12 %include %include set -x -export ntasks=768 +export ntasks=144 export ptile=12 # No OpenMP in use, so I am not setting $threads diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/fcst.ecf index d329d29..679f955 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/fcst.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/fcst.ecf @@ -7,13 +7,13 @@ #BSUB -W 0:02 #BSUB -R rusage[mem=1024] #BSUB -extsched CRAYLINUX[] -export NODES=64 +export NODES=12 %include %include set -x -export ntasks=768 +export ntasks=144 export ptile=12 # No OpenMP in use, so I am not setting $threads diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/fcst.ecf index e62e72b..8f91dc8 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/fcst.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/fcst.ecf @@ -7,13 +7,13 @@ #BSUB -W 0:02 #BSUB -R rusage[mem=1024] #BSUB -extsched CRAYLINUX[] -export NODES=64 +export NODES=12 %include %include set -x -export ntasks=768 +export ntasks=144 export ptile=12 # No OpenMP in use, so I am not setting $threads diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/fcst.ecf index d329d29..679f955 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/fcst.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/fcst.ecf @@ -7,13 +7,13 @@ #BSUB -W 0:02 #BSUB -R rusage[mem=1024] #BSUB -extsched CRAYLINUX[] -export NODES=64 +export NODES=12 %include %include set -x -export ntasks=768 +export ntasks=144 export ptile=12 # No OpenMP in use, so I am not setting $threads diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/fcst.ecf index e62e72b..8f91dc8 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/fcst.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/fcst.ecf @@ -7,13 +7,13 @@ #BSUB -W 0:02 #BSUB -R rusage[mem=1024] #BSUB -extsched CRAYLINUX[] -export NODES=64 +export NODES=12 %include %include set -x -export ntasks=768 +export ntasks=144 export ptile=12 # No OpenMP in use, so I am not setting $threads diff --git a/model/rocoto_style_fv3gfs/settings.yaml b/model/rocoto_style_fv3gfs/settings.yaml index dc893e8..f5b8f19 100644 --- a/model/rocoto_style_fv3gfs/settings.yaml +++ b/model/rocoto_style_fv3gfs/settings.yaml @@ -13,8 +13,8 @@ settings: ECF_HOME: !calc ( doc.initial_directory ) ROCOTO_HOME: !calc ( doc.initial_directory ) HOMEgfs: "/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/snapshot_flat/gfs.v15.0.0" - layout_x: 8 - layout_y: 16 + layout_x: 4 + layout_y: 6 experiment_name: ecfgfsfv3 QUEUE: debug PROJECT: GFS-T2O @@ -29,6 +29,7 @@ settings: start_date_gfs: !calc start_date end_date_gfs: !calc end_date interval_gfs: !timedelta "12:00:00" + forecast_hours: !calc tools.seq(0,120,1)+tools.seq(123,240,3)+tools.seq(240,384,12) max_job_tries: 1 realtime: False diff --git a/model/rocoto_style_fv3gfs/suite_def.yaml b/model/rocoto_style_fv3gfs/suite_def.yaml index 05c251d..fb678f3 100644 --- a/model/rocoto_style_fv3gfs/suite_def.yaml +++ b/model/rocoto_style_fv3gfs/suite_def.yaml @@ -158,26 +158,43 @@ suite: !Cycle fcst: !Task <<: *exclusive_task_template - Trigger: !Depend anal + Trigger: !Depend anal | ~ suite.has_cycle('-6:00:00') resources: !calc ( doc.resources.run_gdasfcst ) post: !TaskArray Dimensions: - groupid: !calc tools.seq(0,5,1) + fhr: !calc tools.seq(0,5,1) + post_manager_el: !TaskElement + <<: *exclusive_task_template + Trigger: !Depend ( up.fcst.is_running() | up.fcst.is_completed() ) + Disable: !calc metasched.type=='rocoto' + Foreach: [] + J_JOB: post_manager + Name: post_manager + release_postanl: !DataEvent + file: !expand >- + {metasched.varref("COM")}/{up.CDUMP}.{metasched.datestring("%Y%m%d/%H/")}{up.CDUMP}.t{metasched.datestring("%H")}z.logf000.nemsio + release_post_fhr: !DataEventElement + Name: !expand "release_post{idx.fhr:02d}" + Foreach: [ fhr ] + file: !expand > + {metasched.varref("COM")}/{up.CDUMP}.{metasched.datestring("%Y%m%d/%H/")}{up.CDUMP}.t{metasched.datestring("%H")}z.logf{idx.fhr:03d}.nemsio + post_el: !TaskElement <<: *exclusive_task_template - Foreach: [ groupid ] + Foreach: [ fhr ] J_JOB: post - Name: !expand "f{idx.groupid*6:03d}" + Name: !expand "f{idx.fhr*6:03d}" fhrlst: !FirstTrue - - when: !calc idx.groupid==0 + - when: !calc idx.fhr==0 do: anl - - otherwise: !expand "f{idx.groupid*6:03d}" + - otherwise: !expand "f{idx.fhr*6:03d}" ecflow_def: !calc more_vars rocoto_more_vars: !calc more_vars more_vars: !expand | {metasched.defenvar("FHRGRP",Name)} {metasched.defenvar("FHRLST",fhrlst)} + Trigger: !Depend post_manager.depend("release_post{F:02d}",F=idx.fhr) vrfy: !Task <<: *exclusive_task_template @@ -210,22 +227,41 @@ suite: !Cycle resources: !calc ( doc.resources.run_gfsfcst ) post: !TaskArray - Trigger: !Depend ( fcst.is_running() | fcst.is_completed() ) Dimensions: groupid: !calc tools.seq(0,5,1) + fhr: !calc doc.settings.forecast_hours + + post_manager_el: !TaskElement + <<: *exclusive_task_template + Trigger: !Depend ( up.fcst.is_running() | up.fcst.is_completed() ) + Foreach: [ fhr ] + J_JOB: post_manager + Name: post_manager + release_postanl: !DataEvent + file: !expand >- + {metasched.varref("COM")}/{up.CDUMP}.{metasched.datestring("%Y%m%d/%H/")}{up.CDUMP}.t{metasched.datestring("%H")}z.logf000.nemsio + release_post_fhr: !DataEventElement + Name: !expand "release_post{idx.fhr:02d}" + Foreach: [ fhr ] + file: !expand >- + {metasched.varref("COM")}/{up.CDUMP}.{metasched.datestring("%Y%m%d/%H/")}{up.CDUMP}.t{metasched.datestring("%H")}z.logf{idx.fhr:03d}.nemsio + post_el: !TaskElement <<: *exclusive_task_template - Foreach: [ groupid ] - Name: !expand "f{idx.groupid*6:03d}" + Foreach: [ groupid ] + resources: !calc doc.resources.run_gfspost + Name: !expand "grp{idx.groupid:03d}" + fhrgrp: !expand "{idx.groupid:03d}" J_JOB: post fhrlst: !FirstTrue - when: !calc idx.groupid==0 do: anl - - otherwise: !expand "f{idx.groupid*6:03d}" + - otherwise: !expand "f{(idx.groupid-1)*6:03d}" ecflow_def: !calc more_vars rocoto_more_vars: !calc more_vars + Trigger: !Depend post_manager.depend("release_post{F:02d}",F=idx.groupid) more_vars: !expand | - {metasched.defenvar("FHRGRP",Name)} + {metasched.defenvar("FHRGRP",fhrgrp)} {metasched.defenvar("FHRLST",fhrlst)} vrfy: !Task @@ -236,17 +272,20 @@ suite: !Cycle archive: !Family gdasarch: !Task <<: *shared_task_template + AlarmName: gdas Trigger: !Depend up.gdas.vrfy resources: !calc ( doc.resources.run_arch ) - Disable: !calc doc.settings.archive_to_hpss + Disable: !calc not doc.settings.archive_to_hpss gfsarch: !Task <<: *shared_task_template + AlarmName: gfs Trigger: !Depend up.gfs.vrfy resources: !calc ( doc.resources.run_arch ) - Disable: !calc doc.settings.archive_to_hpss + Disable: !calc not doc.settings.archive_to_hpss earc: !TaskArray + AlarmName: gdas Trigger: !Depend up.gdas.enkf.epos Dimensions: groupid: !calc tools.seq(1,doc.settings.ENKF_ARCHIVE_GROUPS,1) diff --git a/model/rocoto_style_fv3gfs/workflow.xml b/model/rocoto_style_fv3gfs/workflow.xml index 8881cf3..01e320c 100644 --- a/model/rocoto_style_fv3gfs/workflow.xml +++ b/model/rocoto_style_fv3gfs/workflow.xml @@ -36,7 +36,7 @@ - /gpfs/tp1/emc/globaldump/@Y@m@d@H/gdas/gdas.t@Hz.updated.status.tm00.bufr_d + /gpfs/tp1/emc/globaldump/@Y@m@d@H/gdas/gdas.t@Hz.updated.status.tm00.bufr_d @@ -765,13 +765,18 @@ 0:02:00 1024M - 64:ppn=24 + 12:ppn=24 CDATE@Y@m@d@H EXPDIREXPDIR CDUMPgdas - + + + + + + @@ -791,7 +796,9 @@ FHRGRPf000 FHRLSTanl - + + &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf000.nemsio + &HOMEgfs;/jobs/post @@ -808,7 +815,9 @@ FHRGRPf006 FHRLSTf006 - + + &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf001.nemsio + &HOMEgfs;/jobs/post @@ -825,7 +834,9 @@ FHRGRPf012 FHRLSTf012 - + + &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf002.nemsio + &HOMEgfs;/jobs/post @@ -842,7 +853,9 @@ FHRGRPf018 FHRLSTf018 - + + &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf003.nemsio + &HOMEgfs;/jobs/post @@ -859,7 +872,9 @@ FHRGRPf024 FHRLSTf024 - + + &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf004.nemsio + &HOMEgfs;/jobs/post @@ -876,7 +891,9 @@ FHRGRPf030 FHRLSTf030 - + + &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf005.nemsio + @@ -948,7 +965,7 @@ 0:02:00 1024M - 64:ppn=24 + 12:ppn=24 CDATE@Y@m@d@H EXPDIREXPDIR CDUMPgfs @@ -959,20 +976,18 @@ DUMMY_VALUE - - &HOMEgfs;/jobs/post + + &HOMEgfs;/jobs/post_manager &QUEUE; &PROJECT; - gfs.post.f000 - &LOG_DIR;/gfs.post.f000_t@Hz.log + gfs.post.post_manager + &LOG_DIR;/gfs.post.post_manager_t@Hz.log 300M 1:ppn=24 CDATE@Y@m@d@H EXPDIREXPDIR CDUMPgfs - FHRGRPf000 - FHRLSTanl @@ -981,114 +996,124 @@ - + &HOMEgfs;/jobs/post &QUEUE; &PROJECT; - gfs.post.f006 - &LOG_DIR;/gfs.post.f006_t@Hz.log + gfs.post.grp000 + &LOG_DIR;/gfs.post.grp000_t@Hz.log - 300M - 1:ppn=24 + 0:02:00 + 3072M + 6:ppn=24 CDATE@Y@m@d@H EXPDIREXPDIR CDUMPgfs - FHRGRPf006 - FHRLSTf006 + FHRGRP000 + FHRLSTanl - - - - + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf000.nemsio - + &HOMEgfs;/jobs/post &QUEUE; &PROJECT; - gfs.post.f012 - &LOG_DIR;/gfs.post.f012_t@Hz.log + gfs.post.grp001 + &LOG_DIR;/gfs.post.grp001_t@Hz.log - 300M - 1:ppn=24 + 0:02:00 + 3072M + 6:ppn=24 CDATE@Y@m@d@H EXPDIREXPDIR CDUMPgfs - FHRGRPf012 - FHRLSTf012 + FHRGRP001 + FHRLSTf000 - - - - + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf001.nemsio - + &HOMEgfs;/jobs/post &QUEUE; &PROJECT; - gfs.post.f018 - &LOG_DIR;/gfs.post.f018_t@Hz.log + gfs.post.grp002 + &LOG_DIR;/gfs.post.grp002_t@Hz.log - 300M - 1:ppn=24 + 0:02:00 + 3072M + 6:ppn=24 CDATE@Y@m@d@H EXPDIREXPDIR CDUMPgfs - FHRGRPf018 - FHRLSTf018 + FHRGRP002 + FHRLSTf006 - - - - + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf002.nemsio - + &HOMEgfs;/jobs/post &QUEUE; &PROJECT; - gfs.post.f024 - &LOG_DIR;/gfs.post.f024_t@Hz.log + gfs.post.grp003 + &LOG_DIR;/gfs.post.grp003_t@Hz.log - 300M - 1:ppn=24 + 0:02:00 + 3072M + 6:ppn=24 CDATE@Y@m@d@H EXPDIREXPDIR CDUMPgfs - FHRGRPf024 - FHRLSTf024 + FHRGRP003 + FHRLSTf012 - - - - + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf003.nemsio - + &HOMEgfs;/jobs/post &QUEUE; &PROJECT; - gfs.post.f030 - &LOG_DIR;/gfs.post.f030_t@Hz.log + gfs.post.grp004 + &LOG_DIR;/gfs.post.grp004_t@Hz.log - 300M - 1:ppn=24 + 0:02:00 + 3072M + 6:ppn=24 CDATE@Y@m@d@H EXPDIREXPDIR CDUMPgfs - FHRGRPf030 - FHRLSTf030 + FHRGRP004 + FHRLSTf018 - - - - + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf004.nemsio + + + + &HOMEgfs;/jobs/post + &QUEUE; + &PROJECT; + gfs.post.grp005 + &LOG_DIR;/gfs.post.grp005_t@Hz.log + + 0:02:00 + 3072M + 6:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPgfs + FHRGRP005 + FHRLSTf024 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf005.nemsio @@ -1113,9 +1138,49 @@ DUMMY_VALUE + + &HOMEgfs;/jobs/GDASARCH.sh + &QUEUESERV; + &PROJECT; + archive.gdasarch + &LOG_DIR;/archive.gdasarch_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMParchive + + + + + + + &HOMEgfs;/jobs/GFSARCH.sh + &QUEUESERV; + &PROJECT; + archive.gfsarch + &LOG_DIR;/archive.gfsarch_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMParchive + + + + + DUMMY_VALUE - + &HOMEgfs;/jobs/earc &QUEUESERV; &PROJECT; @@ -1136,7 +1201,7 @@ - + &HOMEgfs;/jobs/earc &QUEUESERV; &PROJECT; @@ -1157,7 +1222,7 @@ - + &HOMEgfs;/jobs/earc &QUEUESERV; &PROJECT; @@ -1178,7 +1243,7 @@ - + &HOMEgfs;/jobs/earc &QUEUESERV; &PROJECT; @@ -1199,7 +1264,7 @@ - + &HOMEgfs;/jobs/earc &QUEUESERV; &PROJECT; @@ -1220,7 +1285,7 @@ - + &HOMEgfs;/jobs/earc &QUEUESERV; &PROJECT; @@ -1241,7 +1306,7 @@ - + &HOMEgfs;/jobs/earc &QUEUESERV; &PROJECT; @@ -1262,7 +1327,7 @@ - + &HOMEgfs;/jobs/earc &QUEUESERV; &PROJECT; @@ -1283,7 +1348,7 @@ - + &HOMEgfs;/jobs/earc &QUEUESERV; &PROJECT; @@ -1304,7 +1369,7 @@ - + &HOMEgfs;/jobs/earc &QUEUESERV; &PROJECT; @@ -1325,7 +1390,7 @@ - + &HOMEgfs;/jobs/earc &QUEUESERV; &PROJECT; @@ -1346,7 +1411,7 @@ - + &HOMEgfs;/jobs/earc &QUEUESERV; &PROJECT; @@ -1367,7 +1432,7 @@ - + &HOMEgfs;/jobs/earc &QUEUESERV; &PROJECT; @@ -1388,7 +1453,7 @@ - + &HOMEgfs;/jobs/earc &QUEUESERV; &PROJECT; @@ -1409,7 +1474,7 @@ - + &HOMEgfs;/jobs/earc &QUEUESERV; &PROJECT; @@ -1430,7 +1495,7 @@ - + &HOMEgfs;/jobs/earc &QUEUESERV; &PROJECT; @@ -1470,10 +1535,24 @@ CDUMPfinal - + + + + + + + + + + + + + + + - + &HOMEgfs;/jobs/FINAL.sh &QUEUESERV; &PROJECT; @@ -1487,13 +1566,18 @@ CDUMPfinal - - - - + + + + + + + + + - + &HOMEgfs;/jobs/FINAL.sh &QUEUESERV; &PROJECT; @@ -1507,10 +1591,16 @@ CDUMPfinal - - - - + + + + + + + + + + @@ -1533,14 +1623,14 @@ - - - - + + + + From 7919416d38876baee893bb94adbb9f09927cf247 Mon Sep 17 00:00:00 2001 From: wx20st Date: Wed, 14 Feb 2018 20:53:18 +0000 Subject: [PATCH 330/487] updates to get rocoto_style_fv3gfs 50% working --- .../prod2018010200/archive/gdasarch.ecf | 45 +++++++++++++++++++ .../prod2018010200/archive/gfsarch.ecf | 45 +++++++++++++++++++ .../prod2018010200/gfs/post/grp000.ecf | 45 +++++++++++++++++++ .../prod2018010200/gfs/post/grp001.ecf | 45 +++++++++++++++++++ .../prod2018010200/gfs/post/grp002.ecf | 45 +++++++++++++++++++ .../prod2018010200/gfs/post/grp003.ecf | 45 +++++++++++++++++++ .../prod2018010200/gfs/post/grp004.ecf | 45 +++++++++++++++++++ .../prod2018010200/gfs/post/grp005.ecf | 45 +++++++++++++++++++ .../prod2018010206/archive/gdasarch.ecf | 45 +++++++++++++++++++ .../prod2018010206/archive/gfsarch.ecf | 45 +++++++++++++++++++ .../prod2018010206/gfs/post/grp000.ecf | 45 +++++++++++++++++++ .../prod2018010206/gfs/post/grp001.ecf | 45 +++++++++++++++++++ .../prod2018010206/gfs/post/grp002.ecf | 45 +++++++++++++++++++ .../prod2018010206/gfs/post/grp003.ecf | 45 +++++++++++++++++++ .../prod2018010206/gfs/post/grp004.ecf | 45 +++++++++++++++++++ .../prod2018010206/gfs/post/grp005.ecf | 45 +++++++++++++++++++ .../prod2018010212/archive/gdasarch.ecf | 45 +++++++++++++++++++ .../prod2018010212/archive/gfsarch.ecf | 45 +++++++++++++++++++ .../prod2018010212/gfs/post/grp000.ecf | 45 +++++++++++++++++++ .../prod2018010212/gfs/post/grp001.ecf | 45 +++++++++++++++++++ .../prod2018010212/gfs/post/grp002.ecf | 45 +++++++++++++++++++ .../prod2018010212/gfs/post/grp003.ecf | 45 +++++++++++++++++++ .../prod2018010212/gfs/post/grp004.ecf | 45 +++++++++++++++++++ .../prod2018010212/gfs/post/grp005.ecf | 45 +++++++++++++++++++ .../prod2018010218/archive/gdasarch.ecf | 45 +++++++++++++++++++ .../prod2018010218/archive/gfsarch.ecf | 45 +++++++++++++++++++ .../prod2018010218/gfs/post/grp000.ecf | 45 +++++++++++++++++++ .../prod2018010218/gfs/post/grp001.ecf | 45 +++++++++++++++++++ .../prod2018010218/gfs/post/grp002.ecf | 45 +++++++++++++++++++ .../prod2018010218/gfs/post/grp003.ecf | 45 +++++++++++++++++++ .../prod2018010218/gfs/post/grp004.ecf | 45 +++++++++++++++++++ .../prod2018010218/gfs/post/grp005.ecf | 45 +++++++++++++++++++ 32 files changed, 1440 insertions(+) create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/gdasarch.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/gfsarch.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp000.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp001.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp002.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp003.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp004.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp005.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/gdasarch.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/gfsarch.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp000.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp001.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp002.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp003.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp004.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp005.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/gdasarch.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/gfsarch.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp000.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp001.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp002.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp003.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp004.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp005.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/gdasarch.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/gfsarch.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp000.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp001.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp002.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp003.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp004.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp005.ecf diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/gdasarch.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/gdasarch.ecf new file mode 100644 index 0000000..520dd46 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/gdasarch.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.gdasarch +#BSUB -o %ECF_OUT%/archive.gdasarch_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/GDASARCH.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/gfsarch.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/gfsarch.ecf new file mode 100644 index 0000000..3a71aaa --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/gfsarch.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.gfsarch +#BSUB -o %ECF_OUT%/archive.gfsarch_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/GFSARCH.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp000.ecf new file mode 100644 index 0000000..fa1fa0a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp000.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.grp000 +#BSUB -o %ECF_OUT%/gfs.post.grp000_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp001.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp001.ecf new file mode 100644 index 0000000..a12c498 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp001.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.grp001 +#BSUB -o %ECF_OUT%/gfs.post.grp001_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp002.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp002.ecf new file mode 100644 index 0000000..baa0c51 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp002.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.grp002 +#BSUB -o %ECF_OUT%/gfs.post.grp002_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp003.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp003.ecf new file mode 100644 index 0000000..e087d1c --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp003.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.grp003 +#BSUB -o %ECF_OUT%/gfs.post.grp003_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp004.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp004.ecf new file mode 100644 index 0000000..71abb14 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp004.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.grp004 +#BSUB -o %ECF_OUT%/gfs.post.grp004_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp005.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp005.ecf new file mode 100644 index 0000000..c369994 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp005.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.grp005 +#BSUB -o %ECF_OUT%/gfs.post.grp005_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/gdasarch.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/gdasarch.ecf new file mode 100644 index 0000000..520dd46 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/gdasarch.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.gdasarch +#BSUB -o %ECF_OUT%/archive.gdasarch_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/GDASARCH.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/gfsarch.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/gfsarch.ecf new file mode 100644 index 0000000..3a71aaa --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/gfsarch.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.gfsarch +#BSUB -o %ECF_OUT%/archive.gfsarch_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/GFSARCH.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp000.ecf new file mode 100644 index 0000000..fa1fa0a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp000.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.grp000 +#BSUB -o %ECF_OUT%/gfs.post.grp000_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp001.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp001.ecf new file mode 100644 index 0000000..a12c498 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp001.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.grp001 +#BSUB -o %ECF_OUT%/gfs.post.grp001_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp002.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp002.ecf new file mode 100644 index 0000000..baa0c51 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp002.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.grp002 +#BSUB -o %ECF_OUT%/gfs.post.grp002_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp003.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp003.ecf new file mode 100644 index 0000000..e087d1c --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp003.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.grp003 +#BSUB -o %ECF_OUT%/gfs.post.grp003_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp004.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp004.ecf new file mode 100644 index 0000000..71abb14 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp004.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.grp004 +#BSUB -o %ECF_OUT%/gfs.post.grp004_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp005.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp005.ecf new file mode 100644 index 0000000..c369994 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp005.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.grp005 +#BSUB -o %ECF_OUT%/gfs.post.grp005_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/gdasarch.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/gdasarch.ecf new file mode 100644 index 0000000..520dd46 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/gdasarch.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.gdasarch +#BSUB -o %ECF_OUT%/archive.gdasarch_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/GDASARCH.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/gfsarch.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/gfsarch.ecf new file mode 100644 index 0000000..3a71aaa --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/gfsarch.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.gfsarch +#BSUB -o %ECF_OUT%/archive.gfsarch_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/GFSARCH.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp000.ecf new file mode 100644 index 0000000..fa1fa0a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp000.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.grp000 +#BSUB -o %ECF_OUT%/gfs.post.grp000_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp001.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp001.ecf new file mode 100644 index 0000000..a12c498 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp001.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.grp001 +#BSUB -o %ECF_OUT%/gfs.post.grp001_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp002.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp002.ecf new file mode 100644 index 0000000..baa0c51 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp002.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.grp002 +#BSUB -o %ECF_OUT%/gfs.post.grp002_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp003.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp003.ecf new file mode 100644 index 0000000..e087d1c --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp003.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.grp003 +#BSUB -o %ECF_OUT%/gfs.post.grp003_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp004.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp004.ecf new file mode 100644 index 0000000..71abb14 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp004.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.grp004 +#BSUB -o %ECF_OUT%/gfs.post.grp004_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp005.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp005.ecf new file mode 100644 index 0000000..c369994 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp005.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.grp005 +#BSUB -o %ECF_OUT%/gfs.post.grp005_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/gdasarch.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/gdasarch.ecf new file mode 100644 index 0000000..520dd46 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/gdasarch.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.gdasarch +#BSUB -o %ECF_OUT%/archive.gdasarch_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/GDASARCH.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/gfsarch.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/gfsarch.ecf new file mode 100644 index 0000000..3a71aaa --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/gfsarch.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.gfsarch +#BSUB -o %ECF_OUT%/archive.gfsarch_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/GFSARCH.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp000.ecf new file mode 100644 index 0000000..fa1fa0a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp000.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.grp000 +#BSUB -o %ECF_OUT%/gfs.post.grp000_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp001.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp001.ecf new file mode 100644 index 0000000..a12c498 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp001.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.grp001 +#BSUB -o %ECF_OUT%/gfs.post.grp001_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp002.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp002.ecf new file mode 100644 index 0000000..baa0c51 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp002.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.grp002 +#BSUB -o %ECF_OUT%/gfs.post.grp002_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp003.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp003.ecf new file mode 100644 index 0000000..e087d1c --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp003.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.grp003 +#BSUB -o %ECF_OUT%/gfs.post.grp003_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp004.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp004.ecf new file mode 100644 index 0000000..71abb14 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp004.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.grp004 +#BSUB -o %ECF_OUT%/gfs.post.grp004_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp005.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp005.ecf new file mode 100644 index 0000000..c369994 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp005.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.post.grp005 +#BSUB -o %ECF_OUT%/gfs.post.grp005_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post + +%include +%manual +# FIXME: Insert manual for this job. + From ff2488edd028fd9f74f6d1de044cc66c25617f5a Mon Sep 17 00:00:00 2001 From: "Kate.Howard" Date: Wed, 14 Feb 2018 21:17:51 +0000 Subject: [PATCH 331/487] Adjusted resources_C192_C192.yaml for C192 settings --- .../rocoto_style_fv3gfs/resources_C192_C192.yaml | 16 ++++++++-------- 1 file changed, 8 insertions(+), 8 deletions(-) diff --git a/model/rocoto_style_fv3gfs/resources_C192_C192.yaml b/model/rocoto_style_fv3gfs/resources_C192_C192.yaml index b6d8bbc..8da0707 100644 --- a/model/rocoto_style_fv3gfs/resources_C192_C192.yaml +++ b/model/rocoto_style_fv3gfs/resources_C192_C192.yaml @@ -6,12 +6,12 @@ resources: # From if[[...ecen]] block in config.resources: run_ecen: !JobRequest - - memory: "3072M" + - memory: "254M" walltime: 00:02:00 - mpi_ranks: 80 + mpi_ranks: 84 exe: placeholder # max_ppn comes from THEIA.env: 84/12 = 7 - max_ppn: 4 + max_ppn: 12 OMP_NUM_THREADS: 2 run_chgres: !JobRequest @@ -43,9 +43,9 @@ resources: run_eupd: !JobRequest - memory: "3072M" walltime: 00:02:00 - mpi_ranks: !calc 20*12 + mpi_ranks: !calc 10*12 exe: placeholder - max_ppn: 6 + max_ppn: 12 OMP_NUM_THREADS: 2 # run_enkf: !JobRequest @@ -63,10 +63,10 @@ resources: run_epos: !JobRequest - memory: "254M" - mpi_ranks: 80 + mpi_ranks: 84 walltime: 00:02:00 exe: placeholder - max_ppn: 4 + max_ppn: 12 OMP_NUM_THREADS: 2 run_prep: !JobRequest @@ -74,7 +74,7 @@ resources: walltime: 00:02:00 mpi_ranks: 12 exe: placeholder - max_ppn: 2 + max_ppn: 12 OMP_NUM_THREADS: max run_anal: !JobRequest From bb53c55b6512b623a16367253ce6a5f8e4d902c4 Mon Sep 17 00:00:00 2001 From: Terry McGuinness Date: Wed, 14 Feb 2018 21:27:48 +0000 Subject: [PATCH 332/487] added small bug fix in logic for quitting when re-entering pre-started run, turned on Job Level checking logic for testing so check to see when it should run works --- tests/regression/fv3gfs_regression.sh | 27 +++++++++++++-------------- 1 file changed, 13 insertions(+), 14 deletions(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index c06a401..9db4b43 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -11,7 +11,7 @@ usage () { echo -e "\ttwo arguments (dir) (dir) : does a bitwise compare on the gfs files from the first dir to the second\n" echo -e "\tthird optional argument is used when acctually running the script so no promps are given, otherwize the script will report on the settings.\n" echo -e "\033[1mEXAMPLE:\033[0m\n\tnohup ./fv3gfs_regression.sh baseline --non-interactive > & fv3gfs_regression_baseline_run.log &\n" - echo -e "\033[1mNOTE:\033[0m\n\tSupported CASES are BUILD, C192_C192_low, and C192_C192_high. Any of these CASES are run by using them by names as (str)\n" + echo -e "\033[1mNOTE:\033[0m\n\tSupported CASES are BUILD, BUILD_org, C192_C192_low, and C192_C192_high. Any of these CASES are run by using them by names as (str)\n" exit } @@ -64,7 +64,7 @@ PYTHON_FILE_COMPARE=${PYTHON_FILE_COMPARE:-'TRUE'} #CREATE_EXP='FALSE' #BUILD='FALSE' #RUNROCOTO='FALSE' -#JOB_LEVEL_CHECK='TRUE' +JOB_LEVEL_CHECK='TRUE' #RZDM_RESULTS='TRUE' #PYTHON_FILE_COMPARE='FALSE' @@ -127,6 +127,7 @@ fi # CASE = C192_C192_low +# HASH for org BUILD branch b169ca6dd3840edb909fefa00292523cdeeda422 # # On disk snapshot for flat master low res # ========================================= @@ -145,7 +146,7 @@ pslot="${pslot_basename}_exp_${regressionID}" # Check to see if user entered a CASE from regressionID CASE=$regressionID -if [[ $CASE == "BUILD" ]]; then +if [[ $CASE == "BUILD" || $CASE == "BUILD_org" ]]; then log_message "INFO" "Running special $CASE case" regressionID=${CASE} @@ -153,7 +154,11 @@ if [[ $CASE == "BUILD" ]]; then setup_expt=${CHECKOUT_DIR}/${checkout_dir_basename}/ush/rocoto/setup_expt.py setup_workflow=${CHECKOUT_DIR}/${checkout_dir_basename}/ush/rocoto/setup_workflow.py config_dir=${CHECKOUT_DIR}/${checkout_dir_basename}/parm/config - fv3gfs_git_branch='BUILD' + if [[ $CASE == "BUILD_org" ]]; then + fv3gfs_git_branch='b169ca6dd3840edb909fefa00292523cdeeda422' + else + fv3gfs_git_branch='BUILD' + fi EXTRA_SETUP_STRING="--resdet 192 --resens 192 --nens 20 --gfs_cyc 4" elif [[ $CASE == "C192_C192_low" ]]; then @@ -614,7 +619,7 @@ if [[ $RUNROCOTO == 'TRUE' ]]; then log_message "CRITICAL" "experiment directory $exp_dir_fullpath not found" fi log_message "INFO" "running regression script on host $HOST" - log_message "INTO" "moving to PWD $exp_dir_fullpath to run cycleing in experiment directory" + log_message "INTO" "moving to $exp_dir_fullpath to run cycleing in experiment directory" cd ${exp_dir_fullpath} log_message "INFO" "starting to run fv3gfs cycling regression test run using $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml" @@ -637,8 +642,6 @@ if [[ $RUNROCOTO == 'TRUE' ]]; then log_message "INFO" "rocotostat determined that the last cycle in test is: $lastcycle" cycling_done="FALSE" - last_succeeded_checked="" - last_succeeded="" while [ $cycling_done == "FALSE" ]; do lastcycle_state=`$rocotostatcmd -d ${pslot}.db -w ${pslot}.xml -c $lastcycle -s | tail -1 | awk '{print $2}'` if [[ $lastcycle_state == "Done" ]]; then @@ -663,18 +666,14 @@ if [[ $RUNROCOTO == 'TRUE' ]]; then log_message "INFO" "Successfully ran: $rocotoruncmd -d ${pslot}.db -w ${pslot}.xml" #log_message "INFO" "using job level checking: last succeded task checked: $last_succeeded_checked" #log_message "INFO" "using job level checking: last succeded task current: $last_succeeded" - if [[ ! -z $last_succeeded ]]; then + if [[ ! -z $last_succeeded && ! -z last_succeeded_checked ]]; then if [[ $last_succeeded != $last_succeeded_checked ]]; then last_succeeded_checked=$last_succeeded regressionID=$last_succeeded log_message "INFO" "job $last_succeeded just completed successfully" if [[ $JOB_LEVEL_CHECK == 'TRUE' ]]; then - if [[ $PYTHON_FILE_COMPARE == 'TRUE' ]]; then - log_message "WARNING" "python file compare set but does not support job level checking (reverting to bash shell version)" - run_file_compare - fi - else - run_file_compare_python + #run_file_compare_python + log_message "WARNING" "job level file compare set but does is not supported yet (the message is here to test logic for running it)" fi fi fi From e1c2d204e98c5d75fdd6c2f47a96fe8a250d3026 Mon Sep 17 00:00:00 2001 From: wx20st Date: Wed, 14 Feb 2018 22:37:56 +0000 Subject: [PATCH 333/487] to_rocoto now handles multiple cycledefs --- crow/config/tasks.py | 1 + crow/metascheduler/rocoto.py | 367 +++++++++++++++++++------ model/rocoto_style_fv3gfs/workflow.xml | 97 +++---- 3 files changed, 325 insertions(+), 140 deletions(-) diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 59bc09d..3d8ff4a 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -200,6 +200,7 @@ def __contains__(self,key): def is_task(self): return isinstance(self.viewed,Task) def is_family(self): return isinstance(self.viewed,Family) + def is_cycle(self): return isinstance(self.viewed,Cycle) def is_input_slot(self): return isinstance(self.viewed,InputSlot) def is_output_slot(self): return isinstance(self.viewed,OutputSlot) def is_shell_event(self): return isinstance(self.viewed,ShellEvent) diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index 70c0748..e769f71 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -188,7 +188,10 @@ def __init__(self,suite): self.settings=self.suite.Rocoto self.sched=scheduler self.__all_defined=set() + self.__completes=dict() + self.__alarms=dict() + self.__families=set() self.__spacing=suite.Rocoto.get('indent_text',' ') self.__rocotoified=dict() @@ -196,9 +199,11 @@ def __init__(self,suite): raise TypeError("Suite's Rocoto.indent_text, if present, " "must be a string.") self.__dummy_var_count=0 + self.__families_with_completes=set() - self.__alarms_used=set([""]) - self.__nodes_with_alarms=set() + self.__families_with_alarms=set() + + self.__alarms_used=set() def defenvar(self,name,value): return f'{name}{value!s}' @@ -227,7 +232,7 @@ def make_time_xml(self,indent=1): def make_task_xml(self,indent=1): fd=StringIO() - self._record_item(self.suite,FALSE_DEPENDENCY) + self._record_item(self.suite,FALSE_DEPENDENCY,'') # Find all families that have tasks with completes: for path,view_condition in self.__completes.items(): @@ -236,6 +241,13 @@ def make_task_xml(self,indent=1): family_path=SuitePath(path[1:i]) self.__families_with_completes.add(family_path) + for path,alarm_name in self.__alarms.items(): + print(f'{path}: alarm {alarm_name} add families') + for i in range(1,len(path)): + family_path=SuitePath(path[1:i]) + print(f'{path}: alarm {alarm_name} add {family_path}') + self.__families_with_alarms.add(family_path) + self._convert_item(fd,max(0,indent-1),self.suite,TRUE_DEPENDENCY, FALSE_DEPENDENCY,timedelta.min,'') self._handle_final_task(fd,indent) @@ -254,7 +266,29 @@ def _has_completes(self,item): return True return False + def remove_undefined_tasks(self,tree): + typecheck('tree',tree,LogicalDependency) + if isinstance(tree,StateDependency): + # Node is not defined, so assume it is complete + dep_path=SuitePath([_ZERO_DT] + tree.view.path[1:]) + if dep_path not in self.__all_defined: + return TRUE_DEPENDENCY + elif isinstance(tree,EventDependency): + # Node in which this event resides is not defined, so + # assume it is set + dep_path=SuitePath([_ZERO_DT] + tree.event.up.path[1:]) + if dep_path not in self.__all_defined: + return TRUE_DEPENDENCY + elif isinstance(tree,NotDependency): + return ( ~ self.remove_undefined_tasks(tree.depend) ) + elif isinstance(tree,AndDependency) or isinstance(tree,OrDependency): + deplist = [ self.remove_undefined_tasks(t) for t in tree ] + return type(tree)(*deplist) + return tree + def _rocotoify_dep(self,dep,defining_path): + typecheck('dep',dep,LogicalDependency) + dep=self.remove_undefined_tasks(dep) try: if dep in self.__rocotoified: return self.__rocotoified[dep] @@ -327,7 +361,7 @@ def _validate_cycle(self): if key in settings: raise KeyError('%s: %s'%(key,what)) - def _record_item(self,view,complete): + def _record_item(self,view,complete,alarm_name): if view.get('Disable',False): return complete=complete | view.get_complete_dep() self.__all_defined.add(view.path) @@ -336,6 +370,14 @@ def _record_item(self,view,complete): complete=complete | view.get_complete_dep() self.__completes[view.path]=[view, complete] + if 'AlarmName' in view: + if alarm_name: + raise ValueError('{view.task_path_var}: nested alarms are not supported in crow.metascheduler.to_rocoto()') + else: + alarm_name=view.AlarmName + print(f'{view.path}: has alarm') + self.__alarms[view.path]=alarm_name + if view.is_task(): return @@ -352,7 +394,7 @@ def _record_item(self,view,complete): +type(child.viewed).__name__) self.__final_task=child else: - self._record_item(child,complete) + self._record_item(child,complete,alarm_name) def _convert_item(self,fd,indent,view,trigger,complete,time,alarm_name): if view.get('Disable',False): return @@ -366,9 +408,7 @@ def _convert_item(self,fd,indent,view,trigger,complete,time,alarm_name): raise ValueError('{view.task_path_var}: nested alarms are not supported in crow.metascheduler.to_rocoto()') else: alarm_name=view.AlarmName - - if alarm_name: - self.__nodes_with_alarms.add(SuitePath(view.path[1:])) + if view.is_task(): maxtries=int(view.get( @@ -451,93 +491,251 @@ def _completes_for(self,item): dep=dep | self.__completes[item_path][1] return dep - def _final_task_deps(self,item,for_alarm=None,alarm_name=None): - result=TRUE_DEPENDENCY + def _final_task_deps_no_alarms(self,item): + path=SuitePath(item.path[1:]) + with_completes=self.__families_with_completes + + if 'Disabled' in item and item.Disabled: + return TRUE_DEPENDENCY - if for_alarm is not None: - if alarm_name is None and 'AlarmName' in item: - alarm_name=item.AlarmName + if item.is_task(): + dep = item.is_completed() + if item.path in self.__completes: + dep = dep | self.__completes[item.path][1] + return dep + + # Initial completion dependency is the task or family + # completion unless this item is the Suite. Suites must be + # handled differently. + if path: + dep = item.is_completed() # Family SuiteView + else: + dep = FALSE_DEPENDENCY # Suite + + if path and path not in with_completes: + # Families with no "complete" dependency in their entire + # tree have no further dependencies to identify. Their + # own completion is the entirety of the completion + # dependency. + return dep + + subdep=TRUE_DEPENDENCY + for subitem in item.child_iter(): + if not path and subitem.path[1:] == [ 'final' ]: + # Special case. Do not include final task's + # dependency in the final task's dependency. + continue + if not subitem.is_task() and not subitem.is_family(): + continue + subdep=subdep & self._final_task_deps_no_alarms(subitem) + if dep is FALSE_DEPENDENCY: + dep=subdep + else: + dep=dep | subdep - if not has_conditions(item,True,alarm_name,None): - # There are no completes, and either we don't care about - # alarms or there are no matching alarms. - return TRUE_DEPENDENCY, TRUE_DEPENDENCY + return dep - alarm_dep=TRUE_DEPENDENCY - complete_dep=TRUE_DEPENDENCY + def _final_task_deps_for_alarm(self,item,for_alarm,alarm_name=''): + if 'AlarmName' in item: + alarm_name=item.AlarmName - def _final_task_deps(self,item,for_alarm=None,alarm_name=None): - if 'Disable' in item and item.Disable: - return FALSE_DEPENDENCY path=SuitePath(item.path[1:]) with_completes=self.__families_with_completes - with_alarms=self.__nodes_with_alarms - keep_for_alarm=True - - if for_alarm is not None and len(item.path)>1: - if 'AlarmName' in item: - if alarm_name: - raise ValueError('{item.task_path_var}: nested alarms are not supported in crow.metascheduler.to_rocoto()') - else: - alarm_name=item.AlarmName - if not for_alarm and alarm_name: - print(f'{item.path}: alarm {alarm_name} discarded for {for_alarm!r}') - keep_for_alarm=False - if for_alarm and alarm_name!=for_alarm: - print(f'{item.path}: alarm {alarm_name} discarded for {for_alarm!r}') - keep_for_alarm=False - - if keep_for_alarm: - print(f'{item.path}: alarm {alarm_name} included for {for_alarm!r}') - - if not keep_for_alarm: - - if item.is_task(): - dep = item.is_completed() - if item.path in self.__completes: - dep = dep | self.__completes[item.path][1] - print(f'{item.path}: is task so return early with {dep}') - return dep - - # Initial completion dependency is the task or family - # completion unless this item is the Suite. Suites must be - # handled differently. - if path: - dep = item.is_completed() # Family SuiteView + with_alarms=self.__families_with_alarms + + if 'Disabled' in item and item.Disabled: + print(f'{path}: disabled (true)') + return TRUE_DEPENDENCY + + if item.is_task(): + if alarm_name!=for_alarm: + # Assume tasks that are not in this cycle have completed. + print(f'{path}: alarm {alarm_name} not {for_alarm} - true') + return TRUE_DEPENDENCY + dep = item.is_completed() + if item.path in self.__completes: + dep = dep | self.__completes[item.path][1] + print(f'{path}: alarm {alarm_name} is {for_alarm} - {dep}') + return dep + + # Initial completion dependency is the task or family + # completion unless this item is the Suite. Suites must be + # handled differently. + if path: + if alarm_name==for_alarm: + dep = item.is_completed() # Family in alarm else: - dep = FALSE_DEPENDENCY # Suite - - if ( path and path not in with_completes ) or \ - (for_alarm is not None and path not in with_alarms): - # Families with no "complete" dependency in their entire - # tree have no further dependencies to identify. Their - # own completion is the entirety of the completion - # dependency. - print(f'{item.path}: no complete nor alarm so {dep}') - return dep + dep = FALSE_DEPENDENCY # Family not in alarm else: - dep=item.is_completed() + dep = FALSE_DEPENDENCY # Suite + + if path and path not in with_completes and path not in with_alarms: + # Families with no "complete" dependency and no alarms in + # their entire tree have no further dependencies to + # identify. Their own completion is the entirety of the + # completion dependency. + print(f'{path}: no alarms no completes') + return dep + if path in with_alarms: + print(f'{path}: with alarms') + if path in with_completes: + print(f'{path}: with completes') + + print(f'{path}: start with dep={dep}') subdep=TRUE_DEPENDENCY for subitem in item.child_iter(): - if subitem.path[1:][:5] == [ 'final' ]: - # Special case. Do not include final task's - # dependency in the final task's dependency. - print(f'{subitem.path}: do not recurse; is final') + if 'Disabled' in subitem and subitem.Disabled: + continue + if not path and subitem.path[1:][:5] == [ 'final' ]: + # Special case. Do not include final tasks' + # dependencies in the final tasks' dependencies. + print(f'{path}: skip {subitem.task_path_var} is final') continue if not subitem.is_task() and not subitem.is_family(): - #print(f'{subitem.path}: do not recurse; is not task or family ({subitem!r})') + print(f'{path}: skip {subitem.task_path_var} not node') continue - subdep=subdep & self._final_task_deps(subitem,for_alarm,alarm_name) - + print(f'{path}: recurse into {subitem.task_path_var}') + indep=self._final_task_deps_for_alarm( + subitem,for_alarm,alarm_name) + if indep not in [ TRUE_DEPENDENCY, FALSE_DEPENDENCY ]: + subdep=subdep & indep + print(f'{path}: subdep is now {subdep}') + if dep is FALSE_DEPENDENCY: dep=subdep else: dep=dep | subdep - print(f'{item.path}: result: {dep}') + print(f'{path}: end with dep={dep}') + return dep + # def _final_task_deps(self,item,for_alarm=None,alarm_name=None): + # if item.is_cycle(): + # alarm_dep = None + # complete_dep = None + # else: + # if for_alarm is not None: + # if alarm_name is None and 'AlarmName' in item: + # alarm_name=item.AlarmName + # print(f'{item.path}: alarm name {alarm_name!r}') + # if for_alarm is not None: + # if not for_alarm and alarm_name: + # alarm_dep=None + # elif not for_alarm and alarm_name!=for_alarm: + # alarm_dep=None + # else: + # print(f'{item.path}: use alarm dep') + # alarm_dep=item.is_completed() + # else: + # alarm_dep=None + + + # if 'Complete' in item: + # complete_dep=item.get_complete_dep() + # else: + # complete_dep=None + + # if item.is_task(): + # print(f'{item.path}: c={complete_dep} a={alarm_dep}') + # return alarm_dep, complete_dep + + # if alarm_dep is TRUE_DEPENDENCY and complete_dep is FALSE_DEPENDENCY: + # # No more processing to do + # print(f'{item.path}: c={complete_dep} a={alarm_dep}') + # return alarm_dep, complete_dep + + # process_completes = complete_dep is not None + # process_alarms = alarm_dep is not TRUE_DEPENDENCY + # for subitem in item.child_iter(): + # aldep, cmdep = self._final_task_deps(subitem,for_alarm,alarm_name) + # if process_completes: + # if complete_dep is None and cmdep is not None: + # complete_dep=cmdep + # elif complete_dep is not None and cmdep is not None: + # complete_dep = complete_dep & cmdep + # if process_alarms: + # if alarm_dep is None and aldep is not None: + # alarm_dep=aldep + # elif alarm_dep is not None and aldep is not None: + # alarm_dep = alarm_dep & aldep + + # print(f'{item.path}: c={complete_dep} a={alarm_dep}') + # return alarm_dep, complete_dep + + # def not_final_task_deps(self,item,for_alarm=None,alarm_name=None): + # if 'Disable' in item and item.Disable: + # return FALSE_DEPENDENCY + # path=SuitePath(item.path[1:]) + # with_completes=self.__families_with_completes + # with_alarms=self.__nodes_with_alarms + # keep_for_alarm=True + + # if for_alarm is not None and len(item.path)>1: + # if 'AlarmName' in item: + # if alarm_name: + # raise ValueError('{item.task_path_var}: nested alarms are not supported in crow.metascheduler.to_rocoto()') + # else: + # alarm_name=item.AlarmName + # if not for_alarm and alarm_name: + # print(f'{item.path}: alarm {alarm_name} discarded for {for_alarm!r}') + # keep_for_alarm=False + # if for_alarm and alarm_name!=for_alarm: + # print(f'{item.path}: alarm {alarm_name} discarded for {for_alarm!r}') + # keep_for_alarm=False + + # if keep_for_alarm: + # print(f'{item.path}: alarm {alarm_name} included for {for_alarm!r}') + + # if not keep_for_alarm: + + # if item.is_task(): + # dep = item.is_completed() + # if item.path in self.__completes: + # dep = dep | self.__completes[item.path][1] + # print(f'{item.path}: is task so return early with {dep}') + # return dep + + # # Initial completion dependency is the task or family + # # completion unless this item is the Suite. Suites must be + # # handled differently. + # if path: + # dep = item.is_completed() # Family SuiteView + # else: + # dep = FALSE_DEPENDENCY # Suite + + # if ( path and path not in with_completes ) or \ + # (for_alarm is not None and path not in with_alarms): + # # Families with no "complete" dependency in their entire + # # tree have no further dependencies to identify. Their + # # own completion is the entirety of the completion + # # dependency. + # print(f'{item.path}: no complete nor alarm so {dep}') + # return dep + # else: + # dep=item.is_completed() + + # subdep=TRUE_DEPENDENCY + # for subitem in item.child_iter(): + # if subitem.path[1:][:5] == [ 'final' ]: + # # Special case. Do not include final task's + # # dependency in the final task's dependency. + # print(f'{subitem.path}: do not recurse; is final') + # continue + # if not subitem.is_task() and not subitem.is_family(): + # #print(f'{subitem.path}: do not recurse; is not task or family ({subitem!r})') + # continue + # subdep=subdep & self._final_task_deps(subitem,for_alarm,alarm_name) + + # if dep is FALSE_DEPENDENCY: + # dep=subdep + # else: + # dep=dep | subdep + + # print(f'{item.path}: result: {dep}') + # return dep + def _handle_final_task(self,fd,indent): # Find and validate the "final" task: final=None @@ -562,7 +760,10 @@ def _handle_final_task(self,fd,indent): # There are no alarms in use, so there is only one final task. # Generate dependency for it: fd.write(f'\n{self.__spacing*indent}\n\n') - dep=self._final_task_deps(self.suite) + alarm_dep, complete_dep=self._final_task_deps(self.suite) + dep = alarm_dep + if complete_dep is not None: + dep = dep | complete_dep self._write_task_text(fd,' final="true"',indent,final,dep,timedelta.min,'') return @@ -573,8 +774,14 @@ def _handle_final_task(self,fd,indent): manual_dependency=f''' {self.__spacing*indent} {self.__spacing*(indent+1)}\n''' - for alarm_name in self.__alarms_used: - this_alarm_final_dep=self._final_task_deps(self.suite,for_alarm=alarm_name) + alarms = set(self.__alarms_used) + alarms.add('') + for alarm_name in alarms: + print(f'ALARM {alarm_name}') + dep = self._final_task_deps_for_alarm(self.suite,alarm_name) + print(f'ALARM {alarm_name} dep={dep}') + dep = simplify(dep) + print(f'ALARM {alarm_name} dep={dep}') task_name=f'final_for_{alarm_name}' if alarm_name else 'final_no_alarm' new_task=copy(self.suite.final.viewed) new_task['AlarmName']=alarm_name @@ -584,12 +791,12 @@ def _handle_final_task(self,fd,indent): del new_task self.__all_defined.add(SuitePath( [_ZERO_DT] + new_task_view.path[1:])) - if this_alarm_final_dep is FALSE_DEPENDENCY: + if dep is FALSE_DEPENDENCY: print(f'{task_name}: false') - continue + assert(False) self._write_task_text(fd,' final="true"',indent,new_task_view, - this_alarm_final_dep,timedelta.min,alarm_name) + dep,timedelta.min,alarm_name) manual_dependency+=f'''{self.__spacing*(indent+1)} {self.__spacing*(indent+2)} diff --git a/model/rocoto_style_fv3gfs/workflow.xml b/model/rocoto_style_fv3gfs/workflow.xml index 01e320c..3d35639 100644 --- a/model/rocoto_style_fv3gfs/workflow.xml +++ b/model/rocoto_style_fv3gfs/workflow.xml @@ -15,7 +15,6 @@ scheduler="lsf" taskthrottle="&TASK_THROTTLE;" > &LOG_DIR;/rocoto_@Y@m@d@H.log - 201801010000 201801021800 06:00:00 DUMMY_VALUE @@ -36,7 +35,6 @@ - /gpfs/tp1/emc/globaldump/@Y@m@d@H/gdas/gdas.t@Hz.updated.status.tm00.bufr_d @@ -796,9 +794,7 @@ FHRGRPf000 FHRLSTanl - - &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf000.nemsio - + &HOMEgfs;/jobs/post @@ -815,9 +811,7 @@ FHRGRPf006 FHRLSTf006 - - &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf001.nemsio - + &HOMEgfs;/jobs/post @@ -834,9 +828,7 @@ FHRGRPf012 FHRLSTf012 - - &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf002.nemsio - + &HOMEgfs;/jobs/post @@ -853,9 +845,7 @@ FHRGRPf018 FHRLSTf018 - - &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf003.nemsio - + &HOMEgfs;/jobs/post @@ -872,9 +862,7 @@ FHRGRPf024 FHRLSTf024 - - &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf004.nemsio - + &HOMEgfs;/jobs/post @@ -891,9 +879,7 @@ FHRGRPf030 FHRLSTf030 - - &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf005.nemsio - + @@ -1518,6 +1504,21 @@ + + &HOMEgfs;/jobs/DUMMY.sh + &QUEUESERV; + &PROJECT; + dummy + &LOG_DIR;/dummy_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + EXPDIREXPDIR + CDUMPdummy + + + @@ -1535,24 +1536,10 @@ CDUMPfinal - - - - - - - - - - - - - - - + - + &HOMEgfs;/jobs/FINAL.sh &QUEUESERV; &PROJECT; @@ -1566,18 +1553,13 @@ CDUMPfinal - - - - - - - - - + + + + - + &HOMEgfs;/jobs/FINAL.sh &QUEUESERV; &PROJECT; @@ -1591,16 +1573,11 @@ CDUMPfinal - - - - - - - - - - + + + + + @@ -1623,14 +1600,14 @@ - - - - + + + + From a0d86b01bc26b83535fe3df727ba437ad85c20e6 Mon Sep 17 00:00:00 2001 From: wx20st Date: Wed, 14 Feb 2018 22:42:51 +0000 Subject: [PATCH 334/487] remove debug prints and clean up resulting workflow.xml --- crow/metascheduler/rocoto.py | 152 +---------------------- model/rocoto_style_fv3gfs/suite_def.yaml | 4 + model/rocoto_style_fv3gfs/workflow.xml | 43 +++---- 3 files changed, 19 insertions(+), 180 deletions(-) diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index e769f71..48c9a67 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -242,10 +242,8 @@ def make_task_xml(self,indent=1): self.__families_with_completes.add(family_path) for path,alarm_name in self.__alarms.items(): - print(f'{path}: alarm {alarm_name} add families') for i in range(1,len(path)): family_path=SuitePath(path[1:i]) - print(f'{path}: alarm {alarm_name} add {family_path}') self.__families_with_alarms.add(family_path) self._convert_item(fd,max(0,indent-1),self.suite,TRUE_DEPENDENCY, @@ -375,7 +373,6 @@ def _record_item(self,view,complete,alarm_name): raise ValueError('{view.task_path_var}: nested alarms are not supported in crow.metascheduler.to_rocoto()') else: alarm_name=view.AlarmName - print(f'{view.path}: has alarm') self.__alarms[view.path]=alarm_name if view.is_task(): @@ -544,18 +541,15 @@ def _final_task_deps_for_alarm(self,item,for_alarm,alarm_name=''): with_alarms=self.__families_with_alarms if 'Disabled' in item and item.Disabled: - print(f'{path}: disabled (true)') return TRUE_DEPENDENCY if item.is_task(): if alarm_name!=for_alarm: # Assume tasks that are not in this cycle have completed. - print(f'{path}: alarm {alarm_name} not {for_alarm} - true') return TRUE_DEPENDENCY dep = item.is_completed() if item.path in self.__completes: dep = dep | self.__completes[item.path][1] - print(f'{path}: alarm {alarm_name} is {for_alarm} - {dep}') return dep # Initial completion dependency is the task or family @@ -574,14 +568,7 @@ def _final_task_deps_for_alarm(self,item,for_alarm,alarm_name=''): # their entire tree have no further dependencies to # identify. Their own completion is the entirety of the # completion dependency. - print(f'{path}: no alarms no completes') return dep - if path in with_alarms: - print(f'{path}: with alarms') - if path in with_completes: - print(f'{path}: with completes') - - print(f'{path}: start with dep={dep}') subdep=TRUE_DEPENDENCY for subitem in item.child_iter(): @@ -590,152 +577,21 @@ def _final_task_deps_for_alarm(self,item,for_alarm,alarm_name=''): if not path and subitem.path[1:][:5] == [ 'final' ]: # Special case. Do not include final tasks' # dependencies in the final tasks' dependencies. - print(f'{path}: skip {subitem.task_path_var} is final') continue if not subitem.is_task() and not subitem.is_family(): - print(f'{path}: skip {subitem.task_path_var} not node') continue - print(f'{path}: recurse into {subitem.task_path_var}') indep=self._final_task_deps_for_alarm( subitem,for_alarm,alarm_name) if indep not in [ TRUE_DEPENDENCY, FALSE_DEPENDENCY ]: subdep=subdep & indep - print(f'{path}: subdep is now {subdep}') if dep is FALSE_DEPENDENCY: dep=subdep else: dep=dep | subdep - print(f'{path}: end with dep={dep}') - return dep - # def _final_task_deps(self,item,for_alarm=None,alarm_name=None): - # if item.is_cycle(): - # alarm_dep = None - # complete_dep = None - # else: - # if for_alarm is not None: - # if alarm_name is None and 'AlarmName' in item: - # alarm_name=item.AlarmName - # print(f'{item.path}: alarm name {alarm_name!r}') - # if for_alarm is not None: - # if not for_alarm and alarm_name: - # alarm_dep=None - # elif not for_alarm and alarm_name!=for_alarm: - # alarm_dep=None - # else: - # print(f'{item.path}: use alarm dep') - # alarm_dep=item.is_completed() - # else: - # alarm_dep=None - - - # if 'Complete' in item: - # complete_dep=item.get_complete_dep() - # else: - # complete_dep=None - - # if item.is_task(): - # print(f'{item.path}: c={complete_dep} a={alarm_dep}') - # return alarm_dep, complete_dep - - # if alarm_dep is TRUE_DEPENDENCY and complete_dep is FALSE_DEPENDENCY: - # # No more processing to do - # print(f'{item.path}: c={complete_dep} a={alarm_dep}') - # return alarm_dep, complete_dep - - # process_completes = complete_dep is not None - # process_alarms = alarm_dep is not TRUE_DEPENDENCY - # for subitem in item.child_iter(): - # aldep, cmdep = self._final_task_deps(subitem,for_alarm,alarm_name) - # if process_completes: - # if complete_dep is None and cmdep is not None: - # complete_dep=cmdep - # elif complete_dep is not None and cmdep is not None: - # complete_dep = complete_dep & cmdep - # if process_alarms: - # if alarm_dep is None and aldep is not None: - # alarm_dep=aldep - # elif alarm_dep is not None and aldep is not None: - # alarm_dep = alarm_dep & aldep - - # print(f'{item.path}: c={complete_dep} a={alarm_dep}') - # return alarm_dep, complete_dep - - # def not_final_task_deps(self,item,for_alarm=None,alarm_name=None): - # if 'Disable' in item and item.Disable: - # return FALSE_DEPENDENCY - # path=SuitePath(item.path[1:]) - # with_completes=self.__families_with_completes - # with_alarms=self.__nodes_with_alarms - # keep_for_alarm=True - - # if for_alarm is not None and len(item.path)>1: - # if 'AlarmName' in item: - # if alarm_name: - # raise ValueError('{item.task_path_var}: nested alarms are not supported in crow.metascheduler.to_rocoto()') - # else: - # alarm_name=item.AlarmName - # if not for_alarm and alarm_name: - # print(f'{item.path}: alarm {alarm_name} discarded for {for_alarm!r}') - # keep_for_alarm=False - # if for_alarm and alarm_name!=for_alarm: - # print(f'{item.path}: alarm {alarm_name} discarded for {for_alarm!r}') - # keep_for_alarm=False - - # if keep_for_alarm: - # print(f'{item.path}: alarm {alarm_name} included for {for_alarm!r}') - - # if not keep_for_alarm: - - # if item.is_task(): - # dep = item.is_completed() - # if item.path in self.__completes: - # dep = dep | self.__completes[item.path][1] - # print(f'{item.path}: is task so return early with {dep}') - # return dep - - # # Initial completion dependency is the task or family - # # completion unless this item is the Suite. Suites must be - # # handled differently. - # if path: - # dep = item.is_completed() # Family SuiteView - # else: - # dep = FALSE_DEPENDENCY # Suite - - # if ( path and path not in with_completes ) or \ - # (for_alarm is not None and path not in with_alarms): - # # Families with no "complete" dependency in their entire - # # tree have no further dependencies to identify. Their - # # own completion is the entirety of the completion - # # dependency. - # print(f'{item.path}: no complete nor alarm so {dep}') - # return dep - # else: - # dep=item.is_completed() - - # subdep=TRUE_DEPENDENCY - # for subitem in item.child_iter(): - # if subitem.path[1:][:5] == [ 'final' ]: - # # Special case. Do not include final task's - # # dependency in the final task's dependency. - # print(f'{subitem.path}: do not recurse; is final') - # continue - # if not subitem.is_task() and not subitem.is_family(): - # #print(f'{subitem.path}: do not recurse; is not task or family ({subitem!r})') - # continue - # subdep=subdep & self._final_task_deps(subitem,for_alarm,alarm_name) - - # if dep is FALSE_DEPENDENCY: - # dep=subdep - # else: - # dep=dep | subdep - - # print(f'{item.path}: result: {dep}') - # return dep - def _handle_final_task(self,fd,indent): # Find and validate the "final" task: final=None @@ -777,11 +633,8 @@ def _handle_final_task(self,fd,indent): alarms = set(self.__alarms_used) alarms.add('') for alarm_name in alarms: - print(f'ALARM {alarm_name}') dep = self._final_task_deps_for_alarm(self.suite,alarm_name) - print(f'ALARM {alarm_name} dep={dep}') dep = simplify(dep) - print(f'ALARM {alarm_name} dep={dep}') task_name=f'final_for_{alarm_name}' if alarm_name else 'final_no_alarm' new_task=copy(self.suite.final.viewed) new_task['AlarmName']=alarm_name @@ -791,10 +644,7 @@ def _handle_final_task(self,fd,indent): del new_task self.__all_defined.add(SuitePath( [_ZERO_DT] + new_task_view.path[1:])) - if dep is FALSE_DEPENDENCY: - print(f'{task_name}: false') - assert(False) - + assert( dep is not FALSE_DEPENDENCY ) self._write_task_text(fd,' final="true"',indent,new_task_view, dep,timedelta.min,alarm_name) diff --git a/model/rocoto_style_fv3gfs/suite_def.yaml b/model/rocoto_style_fv3gfs/suite_def.yaml index fb678f3..6655214 100644 --- a/model/rocoto_style_fv3gfs/suite_def.yaml +++ b/model/rocoto_style_fv3gfs/suite_def.yaml @@ -35,6 +35,8 @@ suite: !Cycle step: !timedelta "6:00:00" ecflow_def: !expand | + # This ecflow suite definition is automatically generated. + # Changes will be overwritten. Please edit suite_def.yaml instead. #repeat day 1 edit ECF_TRIES '{doc.settings.max_job_tries}' #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' @@ -76,6 +78,8 @@ suite: !Cycle {tools.indent(" ",suite.common_metasched_vars)} ]> + ]> + - - &HOMEgfs;/jobs/DUMMY.sh - &QUEUESERV; - &PROJECT; - dummy - &LOG_DIR;/dummy_t@Hz.log - - 300M - 1:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPdummy - - - - + &HOMEgfs;/jobs/FINAL.sh &QUEUESERV; &PROJECT; @@ -1536,7 +1523,11 @@ CDUMPfinal - + + + + + @@ -1559,7 +1550,7 @@ - + &HOMEgfs;/jobs/FINAL.sh &QUEUESERV; &PROJECT; @@ -1572,13 +1563,7 @@ EXPDIREXPDIR CDUMPfinal - - - - - - - + &HOMEgfs;/jobs/FINAL.sh @@ -1597,16 +1582,16 @@ - - + + - - + + From 660dd6dd32e88fa2f53014ed8e802260cda205a4 Mon Sep 17 00:00:00 2001 From: wx20st Date: Thu, 15 Feb 2018 03:31:23 +0000 Subject: [PATCH 335/487] rocoto xml looks okay now --- crow/config/eval_tools.py | 2 + crow/config/tasks.py | 25 ++- crow/metascheduler/rocoto.py | 30 +-- model/rocoto_style_fv3gfs/_main.yaml | 2 +- .../defs/prod2018010200.def | 202 ++++++------------ .../defs/prod2018010206.def | 186 +++++----------- .../defs/prod2018010212.def | 202 ++++++------------ .../defs/prod2018010218.def | 186 +++++----------- .../resources_C192_C192.yaml | 69 +++--- .../prod2018010200/archive/earc/grp00.ecf | 45 ++++ .../prod2018010200/archive/earc/grp01.ecf | 45 ++++ .../prod2018010200/archive/earc/grp02.ecf | 45 ++++ .../prod2018010200/archive/gdasarch.ecf | 4 +- .../prod2018010200/archive/gfsarch.ecf | 4 +- .../scripts/prod2018010200/gdas/anal.ecf | 10 +- .../prod2018010200/gdas/dump_waiter.ecf | 3 +- .../scripts/prod2018010200/gdas/enkf/ecen.ecf | 12 +- .../prod2018010200/gdas/enkf/efcs/grp1.ecf | 14 +- .../prod2018010200/gdas/enkf/efcs/grp2.ecf | 14 +- .../scripts/prod2018010200/gdas/enkf/eobs.ecf | 4 +- .../prod2018010200/gdas/enkf/eomg/grp1.ecf | 4 +- .../prod2018010200/gdas/enkf/eomg/grp2.ecf | 4 +- .../scripts/prod2018010200/gdas/enkf/epos.ecf | 10 +- .../scripts/prod2018010200/gdas/enkf/eupd.ecf | 10 +- .../scripts/prod2018010200/gdas/fcst.ecf | 8 +- .../scripts/prod2018010200/gdas/post/g000.ecf | 45 ++++ .../scripts/prod2018010200/gdas/post/g001.ecf | 45 ++++ .../scripts/prod2018010200/gdas/post/g002.ecf | 45 ++++ .../scripts/prod2018010200/gdas/post/g003.ecf | 45 ++++ .../scripts/prod2018010200/gdas/post/g004.ecf | 45 ++++ .../scripts/prod2018010200/gdas/post/g005.ecf | 45 ++++ .../prod2018010200/gdas/post/post_manager.ecf | 1 + .../scripts/prod2018010200/gdas/prep.ecf | 12 +- .../scripts/prod2018010200/gdas/vrfy.ecf | 6 +- .../scripts/prod2018010200/gfs/anal.ecf | 10 +- .../prod2018010200/gfs/dump_waiter.ecf | 3 +- .../scripts/prod2018010200/gfs/fcst.ecf | 8 +- .../prod2018010200/gfs/post/grp000.ecf | 4 +- .../prod2018010200/gfs/post/grp001.ecf | 4 +- .../prod2018010200/gfs/post/grp002.ecf | 4 +- .../prod2018010200/gfs/post/grp003.ecf | 4 +- .../prod2018010200/gfs/post/grp004.ecf | 4 +- .../prod2018010200/gfs/post/grp005.ecf | 4 +- .../prod2018010200/gfs/post/post_manager.ecf | 1 + .../scripts/prod2018010200/gfs/prep.ecf | 12 +- .../scripts/prod2018010200/gfs/vrfy.ecf | 6 +- .../prod2018010206/archive/earc/grp00.ecf | 45 ++++ .../prod2018010206/archive/earc/grp01.ecf | 45 ++++ .../prod2018010206/archive/earc/grp02.ecf | 45 ++++ .../prod2018010206/archive/gdasarch.ecf | 4 +- .../prod2018010206/archive/gfsarch.ecf | 4 +- .../scripts/prod2018010206/gdas/anal.ecf | 10 +- .../prod2018010206/gdas/dump_waiter.ecf | 3 +- .../scripts/prod2018010206/gdas/enkf/ecen.ecf | 12 +- .../prod2018010206/gdas/enkf/efcs/grp1.ecf | 14 +- .../prod2018010206/gdas/enkf/efcs/grp2.ecf | 14 +- .../scripts/prod2018010206/gdas/enkf/eobs.ecf | 4 +- .../prod2018010206/gdas/enkf/eomg/grp1.ecf | 4 +- .../prod2018010206/gdas/enkf/eomg/grp2.ecf | 4 +- .../scripts/prod2018010206/gdas/enkf/epos.ecf | 10 +- .../scripts/prod2018010206/gdas/enkf/eupd.ecf | 10 +- .../scripts/prod2018010206/gdas/fcst.ecf | 8 +- .../scripts/prod2018010206/gdas/post/g000.ecf | 45 ++++ .../scripts/prod2018010206/gdas/post/g001.ecf | 45 ++++ .../scripts/prod2018010206/gdas/post/g002.ecf | 45 ++++ .../scripts/prod2018010206/gdas/post/g003.ecf | 45 ++++ .../scripts/prod2018010206/gdas/post/g004.ecf | 45 ++++ .../scripts/prod2018010206/gdas/post/g005.ecf | 45 ++++ .../prod2018010206/gdas/post/post_manager.ecf | 1 + .../scripts/prod2018010206/gdas/prep.ecf | 12 +- .../scripts/prod2018010206/gdas/vrfy.ecf | 6 +- .../scripts/prod2018010206/gfs/anal.ecf | 10 +- .../prod2018010206/gfs/dump_waiter.ecf | 3 +- .../scripts/prod2018010206/gfs/fcst.ecf | 8 +- .../prod2018010206/gfs/post/grp000.ecf | 4 +- .../prod2018010206/gfs/post/grp001.ecf | 4 +- .../prod2018010206/gfs/post/grp002.ecf | 4 +- .../prod2018010206/gfs/post/grp003.ecf | 4 +- .../prod2018010206/gfs/post/grp004.ecf | 4 +- .../prod2018010206/gfs/post/grp005.ecf | 4 +- .../prod2018010206/gfs/post/post_manager.ecf | 1 + .../scripts/prod2018010206/gfs/prep.ecf | 12 +- .../scripts/prod2018010206/gfs/vrfy.ecf | 6 +- .../prod2018010212/archive/earc/grp00.ecf | 45 ++++ .../prod2018010212/archive/earc/grp01.ecf | 45 ++++ .../prod2018010212/archive/earc/grp02.ecf | 45 ++++ .../prod2018010212/archive/gdasarch.ecf | 4 +- .../prod2018010212/archive/gfsarch.ecf | 4 +- .../scripts/prod2018010212/gdas/anal.ecf | 10 +- .../prod2018010212/gdas/dump_waiter.ecf | 3 +- .../scripts/prod2018010212/gdas/enkf/ecen.ecf | 12 +- .../prod2018010212/gdas/enkf/efcs/grp1.ecf | 14 +- .../prod2018010212/gdas/enkf/efcs/grp2.ecf | 14 +- .../scripts/prod2018010212/gdas/enkf/eobs.ecf | 4 +- .../prod2018010212/gdas/enkf/eomg/grp1.ecf | 4 +- .../prod2018010212/gdas/enkf/eomg/grp2.ecf | 4 +- .../scripts/prod2018010212/gdas/enkf/epos.ecf | 10 +- .../scripts/prod2018010212/gdas/enkf/eupd.ecf | 10 +- .../scripts/prod2018010212/gdas/fcst.ecf | 8 +- .../scripts/prod2018010212/gdas/post/g000.ecf | 45 ++++ .../scripts/prod2018010212/gdas/post/g001.ecf | 45 ++++ .../scripts/prod2018010212/gdas/post/g002.ecf | 45 ++++ .../scripts/prod2018010212/gdas/post/g003.ecf | 45 ++++ .../scripts/prod2018010212/gdas/post/g004.ecf | 45 ++++ .../scripts/prod2018010212/gdas/post/g005.ecf | 45 ++++ .../prod2018010212/gdas/post/post_manager.ecf | 1 + .../scripts/prod2018010212/gdas/prep.ecf | 12 +- .../scripts/prod2018010212/gdas/vrfy.ecf | 6 +- .../scripts/prod2018010212/gfs/anal.ecf | 10 +- .../prod2018010212/gfs/dump_waiter.ecf | 3 +- .../scripts/prod2018010212/gfs/fcst.ecf | 8 +- .../prod2018010212/gfs/post/grp000.ecf | 4 +- .../prod2018010212/gfs/post/grp001.ecf | 4 +- .../prod2018010212/gfs/post/grp002.ecf | 4 +- .../prod2018010212/gfs/post/grp003.ecf | 4 +- .../prod2018010212/gfs/post/grp004.ecf | 4 +- .../prod2018010212/gfs/post/grp005.ecf | 4 +- .../prod2018010212/gfs/post/post_manager.ecf | 1 + .../scripts/prod2018010212/gfs/prep.ecf | 12 +- .../scripts/prod2018010212/gfs/vrfy.ecf | 6 +- .../prod2018010218/archive/earc/grp00.ecf | 45 ++++ .../prod2018010218/archive/earc/grp01.ecf | 45 ++++ .../prod2018010218/archive/earc/grp02.ecf | 45 ++++ .../prod2018010218/archive/gdasarch.ecf | 4 +- .../prod2018010218/archive/gfsarch.ecf | 4 +- .../scripts/prod2018010218/gdas/anal.ecf | 10 +- .../prod2018010218/gdas/dump_waiter.ecf | 3 +- .../scripts/prod2018010218/gdas/enkf/ecen.ecf | 12 +- .../prod2018010218/gdas/enkf/efcs/grp1.ecf | 14 +- .../prod2018010218/gdas/enkf/efcs/grp2.ecf | 14 +- .../scripts/prod2018010218/gdas/enkf/eobs.ecf | 4 +- .../prod2018010218/gdas/enkf/eomg/grp1.ecf | 4 +- .../prod2018010218/gdas/enkf/eomg/grp2.ecf | 4 +- .../scripts/prod2018010218/gdas/enkf/epos.ecf | 10 +- .../scripts/prod2018010218/gdas/enkf/eupd.ecf | 10 +- .../scripts/prod2018010218/gdas/fcst.ecf | 8 +- .../scripts/prod2018010218/gdas/post/g000.ecf | 45 ++++ .../scripts/prod2018010218/gdas/post/g001.ecf | 45 ++++ .../scripts/prod2018010218/gdas/post/g002.ecf | 45 ++++ .../scripts/prod2018010218/gdas/post/g003.ecf | 45 ++++ .../scripts/prod2018010218/gdas/post/g004.ecf | 45 ++++ .../scripts/prod2018010218/gdas/post/g005.ecf | 45 ++++ .../prod2018010218/gdas/post/post_manager.ecf | 1 + .../scripts/prod2018010218/gdas/prep.ecf | 12 +- .../scripts/prod2018010218/gdas/vrfy.ecf | 6 +- .../scripts/prod2018010218/gfs/anal.ecf | 10 +- .../prod2018010218/gfs/dump_waiter.ecf | 3 +- .../scripts/prod2018010218/gfs/fcst.ecf | 8 +- .../prod2018010218/gfs/post/grp000.ecf | 4 +- .../prod2018010218/gfs/post/grp001.ecf | 4 +- .../prod2018010218/gfs/post/grp002.ecf | 4 +- .../prod2018010218/gfs/post/grp003.ecf | 4 +- .../prod2018010218/gfs/post/grp004.ecf | 4 +- .../prod2018010218/gfs/post/grp005.ecf | 4 +- .../prod2018010218/gfs/post/post_manager.ecf | 1 + .../scripts/prod2018010218/gfs/prep.ecf | 12 +- .../scripts/prod2018010218/gfs/vrfy.ecf | 6 +- model/rocoto_style_fv3gfs/settings.yaml | 11 +- model/rocoto_style_fv3gfs/suite_def.yaml | 155 ++++++++------ model/rocoto_style_fv3gfs/task_template.yaml | 10 +- 160 files changed, 2405 insertions(+), 1031 deletions(-) create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp00.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp01.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp02.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g000.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g001.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g002.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g003.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g004.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g005.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp00.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp01.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp02.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g000.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g001.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g002.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g003.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g004.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g005.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp00.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp01.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp02.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g000.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g001.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g002.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g003.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g004.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g005.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp00.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp01.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp02.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g000.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g001.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g002.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g003.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g004.ecf create mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g005.ecf diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index af0ec53..259e989 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -204,6 +204,8 @@ def __deepcopy__(self,memo): r._deepcopy_privates_from(memo,self) return r def __setitem__(self,k,v): + if 'final' in self._path and k=='Rocoto': + assert(isinstance(v,expand)) self.__child[k]=v self.__cache[k]=v def __delitem__(self,k): del(self.__child[k], self.__cache[k]) diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 3d8ff4a..4ba5279 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -96,13 +96,13 @@ def __init__(self,suite,viewed,path,parent, v=copy(v) if hasattr(v,"_validate"): v._validate('suite') - self.viewed[k]=v + if self.__can_wrap(v): + self.viewed[k]=v if type(self.viewed) in SUITE_CLASS_MAP: self.viewed.up=parent self.viewed.this=self self.path=SuitePath(path) self.parent=parent - self._is_suite_view=True self.__cache={} if isinstance(self.viewed,Slot): locals=multidict(self.parent,self.viewed) @@ -112,6 +112,8 @@ def __init__(self,suite,viewed,path,parent, self.viewed[k]=from_config(k,v,globals,locals,self.viewed._path) assert(isinstance(viewed,Cycle) or self.viewed.task_path_var != parent.task_path_var) + def _is_suite_view(self): pass + def _raw(self,key): return self.viewed._raw(key) @@ -181,9 +183,10 @@ def child_iter(self): if var=='up': continue if var=='this': continue if hasattr(rawval,'_as_dependency'): continue - val=self[var] - if hasattr(val,'_is_suite_view'): - yield val + if self.__can_wrap(rawval): + yield self[var] + #if hasattr(val,'_is_suite_view'): + # yield val def walk_task_tree(self): """!Iterates over the entire tree of descendants below this @@ -239,6 +242,11 @@ def __getitem__(self,key): self.__cache[key]=val return val + def __can_wrap(self,obj): + return( isinstance(obj,Cycle) or \ + hasattr(obj,'_generate') or \ + type(obj) in SUITE_CLASS_MAP ) + def __wrap(self,key,obj): if isinstance(obj,Cycle): # Reset path when we see a cycle @@ -250,6 +258,9 @@ def __wrap(self,key,obj): elif type(obj) in SUITE_CLASS_MAP: view_class=SUITE_CLASS_MAP[type(obj)] obj=copy(obj) + if 'Rocoto' in obj and key=='final': + assert(type(obj._raw("Rocoto"))!=str) + #print(f'{key}.Rocoto: {type(obj._raw("Rocoto"))}') self.viewed[key]=obj return view_class(self.suite,obj,self.path+[key],self) return obj @@ -405,7 +416,7 @@ class Message(str): def _as_dependency(self,globals,locals,path): try: return eval(self,globals,locals) - except(SyntaxError,TypeError,KeyError,NameError,IndexError,AttributeError) as ke: + except(ValueError,SyntaxError,TypeError,KeyError,NameError,IndexError,AttributeError) as ke: raise DependError(f'!Message {self}: {ke}') class Depend(str): @@ -414,7 +425,7 @@ def _as_dependency(self,globals,locals,path): result=eval(self,globals,locals) result=as_dependency(result,path) return result - except(SyntaxError,TypeError,KeyError,NameError,IndexError,AttributeError) as ke: + except(ValueError,SyntaxError,TypeError,KeyError,NameError,IndexError,AttributeError) as ke: raise DependError(f'!Depend {self}: {ke}') def as_dependency(obj,path=MISSING,state=COMPLETED): diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index 48c9a67..dfab8d6 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -221,13 +221,15 @@ def varref(self,name): return f'&{name};' def make_time_xml(self,indent=1): + alarms = set(['']) with io.StringIO() as sio: - for name in self.__alarms_used: - if name: - alarm=self.suite.Alarms[name] - else: - alarm=self.suite.Clock - sio.write(stringify_clock(name,alarm,indent*self.__spacing)) + if 'Alarms' in self.suite: + for name,alarm in self.suite.Alarms.items(): + if isinstance(alarm,crow.tools.Clock): + sio.write(stringify_clock( + name,alarm,indent*self.__spacing)) + + sio.write(stringify_clock(None,alarm,indent*self.__spacing)) return sio.getvalue() def make_task_xml(self,indent=1): @@ -266,17 +268,15 @@ def _has_completes(self,item): def remove_undefined_tasks(self,tree): typecheck('tree',tree,LogicalDependency) + # NOTE: Do not remove event dependencies for undefined tasks. + # They are critical to allow ecflow to use a task that waits + # for data and sets an event while rocoto uses a data event + # with no task. if isinstance(tree,StateDependency): # Node is not defined, so assume it is complete dep_path=SuitePath([_ZERO_DT] + tree.view.path[1:]) if dep_path not in self.__all_defined: return TRUE_DEPENDENCY - elif isinstance(tree,EventDependency): - # Node in which this event resides is not defined, so - # assume it is set - dep_path=SuitePath([_ZERO_DT] + tree.event.up.path[1:]) - if dep_path not in self.__all_defined: - return TRUE_DEPENDENCY elif isinstance(tree,NotDependency): return ( ~ self.remove_undefined_tasks(tree.depend) ) elif isinstance(tree,AndDependency) or isinstance(tree,OrDependency): @@ -638,14 +638,16 @@ def _handle_final_task(self,fd,indent): task_name=f'final_for_{alarm_name}' if alarm_name else 'final_no_alarm' new_task=copy(self.suite.final.viewed) new_task['AlarmName']=alarm_name - invalidate_cache(new_task) + invalidate_cache(self.suite,recurse=True) self.suite.viewed[task_name]=new_task new_task_view=self.suite[task_name] + #print(f'[[[{type(self.suite.viewed._raw("final")._raw("Rocoto"))}]]] =>\n[[[{self.suite.viewed._raw("final")}]]]') + #print(f'[[[{type(new_task._raw("Rocoto"))}]]] =>\n[[[{new_task.Rocoto}]]]') del new_task self.__all_defined.add(SuitePath( [_ZERO_DT] + new_task_view.path[1:])) assert( dep is not FALSE_DEPENDENCY ) - self._write_task_text(fd,' final="true"',indent,new_task_view, + self._write_task_text(fd,'',indent,new_task_view, dep,timedelta.min,alarm_name) manual_dependency+=f'''{self.__spacing*(indent+1)} diff --git a/model/rocoto_style_fv3gfs/_main.yaml b/model/rocoto_style_fv3gfs/_main.yaml index fb33fac..3a16845 100644 --- a/model/rocoto_style_fv3gfs/_main.yaml +++ b/model/rocoto_style_fv3gfs/_main.yaml @@ -1,7 +1,7 @@ include: - schedulers.yaml - settings.yaml - - resources.yaml + - resources_C192_C192.yaml - validator.yaml - task_template.yaml - dump_waiter.yaml diff --git a/model/rocoto_style_fv3gfs/defs/prod2018010200.def b/model/rocoto_style_fv3gfs/defs/prod2018010200.def index f982c68..0294490 100644 --- a/model/rocoto_style_fv3gfs/defs/prod2018010200.def +++ b/model/rocoto_style_fv3gfs/defs/prod2018010200.def @@ -1,6 +1,8 @@ extern /prod2018010118/gdas/post extern /prod2018010118/gdas/enkf/epos suite prod2018010200 + # This ecflow suite definition is automatically generated. + # Changes will be overwritten. Please edit suite_def.yaml instead. #repeat day 1 edit ECF_TRIES '1' #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' @@ -21,8 +23,8 @@ suite prod2018010200 edit MODEL_NAME 'gfs' edit DUMPDIR '/gpfs/tp1/emc/globaldump' - edit QUEUE 'debug' - edit COM '/gpfs/hps2/ptmp/emc.glopara/ecfgfsfv3/com' + edit QUEUE 'dev' + edit COM '/gpfs/hps3/ptmp/Samuel.Trahan/comdir2/ecfgfsfv3' edit QUEUESERV 'dev_transfer' family gdas # /prod2018010200/gdas @@ -34,86 +36,6 @@ suite prod2018010200 event 1 updated_status task prep trigger /prod2018010118/gdas/post == complete and ./dump_waiter:updated_status - family enkf # /prod2018010200/gdas/enkf - task eobs - trigger ../prep == complete and /prod2018010118/gdas/enkf/epos == complete - family eomg # /prod2018010200/gdas/enkf/eomg - trigger ./eobs == complete - task grp1 - edit ENSGRP '1' - task grp2 - edit ENSGRP '2' - task grp3 - edit ENSGRP '3' - task grp4 - edit ENSGRP '4' - task grp5 - edit ENSGRP '5' - task grp6 - edit ENSGRP '6' - task grp7 - edit ENSGRP '7' - task grp8 - edit ENSGRP '8' - task grp9 - edit ENSGRP '9' - task grp10 - edit ENSGRP '10' - task grp11 - edit ENSGRP '11' - task grp12 - edit ENSGRP '12' - task grp13 - edit ENSGRP '13' - task grp14 - edit ENSGRP '14' - task grp15 - edit ENSGRP '15' - task grp16 - edit ENSGRP '16' - endfamily # /prod2018010200/gdas/enkf/eomg - task eupd - trigger ./eomg == complete - task ecen - trigger ./eupd == complete and ../anal == complete - family efcs # /prod2018010200/gdas/enkf/efcs - trigger ./eobs == complete - task grp1 - edit ENSGRP '1' - task grp2 - edit ENSGRP '2' - task grp3 - edit ENSGRP '3' - task grp4 - edit ENSGRP '4' - task grp5 - edit ENSGRP '5' - task grp6 - edit ENSGRP '6' - task grp7 - edit ENSGRP '7' - task grp8 - edit ENSGRP '8' - task grp9 - edit ENSGRP '9' - task grp10 - edit ENSGRP '10' - task grp11 - edit ENSGRP '11' - task grp12 - edit ENSGRP '12' - task grp13 - edit ENSGRP '13' - task grp14 - edit ENSGRP '14' - task grp15 - edit ENSGRP '15' - task grp16 - edit ENSGRP '16' - endfamily # /prod2018010200/gdas/enkf/efcs - task epos - trigger ./efcs == complete - endfamily # /prod2018010200/gdas/enkf task anal trigger ./prep == complete and /prod2018010118/gdas/enkf/epos == complete task fcst @@ -128,33 +50,61 @@ suite prod2018010200 event 5 release_post03 event 6 release_post04 event 7 release_post05 - task f000 - edit FHRGRP 'f000' + event 8 release_post06 + event 9 release_post07 + event 10 release_post08 + event 11 release_post09 + task g000 + edit FHRGRP '000' edit FHRLST 'anl' trigger ./post_manager:release_post00 - task f006 - edit FHRGRP 'f006' - edit FHRLST 'f006' + task g001 + edit FHRGRP '001' + edit FHRLST 'f000' trigger ./post_manager:release_post01 - task f012 - edit FHRGRP 'f012' - edit FHRLST 'f012' + task g002 + edit FHRGRP '002' + edit FHRLST 'f003' trigger ./post_manager:release_post02 - task f018 - edit FHRGRP 'f018' - edit FHRLST 'f018' + task g003 + edit FHRGRP '003' + edit FHRLST 'f006' trigger ./post_manager:release_post03 - task f024 - edit FHRGRP 'f024' - edit FHRLST 'f024' + task g004 + edit FHRGRP '004' + edit FHRLST 'f009' trigger ./post_manager:release_post04 - task f030 - edit FHRGRP 'f030' - edit FHRLST 'f030' + task g005 + edit FHRGRP '005' + edit FHRLST 'f012' trigger ./post_manager:release_post05 endfamily # /prod2018010200/gdas/post task vrfy trigger ./post == complete + family enkf # /prod2018010200/gdas/enkf + task eobs + trigger ../prep == complete and /prod2018010118/gdas/enkf/epos == complete + family eomg # /prod2018010200/gdas/enkf/eomg + trigger ./eobs == complete + task grp1 + edit ENSGRP '01' + task grp2 + edit ENSGRP '02' + endfamily # /prod2018010200/gdas/enkf/eomg + task eupd + trigger ./eomg == complete + task ecen + trigger ./eupd == complete and ../anal == complete + family efcs # /prod2018010200/gdas/enkf/efcs + trigger ./ecen == complete + task grp1 + edit ENSGRP '01' + task grp2 + edit ENSGRP '02' + endfamily # /prod2018010200/gdas/enkf/efcs + task epos + trigger ./efcs == complete + endfamily # /prod2018010200/gdas/enkf endfamily # /prod2018010200/gdas family gfs # /prod2018010200/gfs edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' @@ -164,7 +114,7 @@ suite prod2018010200 task dump_waiter event 1 updated_status task prep - trigger /prod2018010118/gdas/post == complete + trigger /prod2018010118/gdas/post == complete and ./dump_waiter:updated_status task anal trigger ./prep == complete and /prod2018010118/gdas/enkf/epos == complete task fcst @@ -353,23 +303,23 @@ suite prod2018010200 task grp001 edit FHRGRP '001' edit FHRLST 'f000' - trigger ./post_manager:release_post01 + trigger ./post_manager:release_post00 task grp002 edit FHRGRP '002' edit FHRLST 'f006' - trigger ./post_manager:release_post02 + trigger ./post_manager:release_post06 task grp003 edit FHRGRP '003' edit FHRLST 'f012' - trigger ./post_manager:release_post03 + trigger ./post_manager:release_post12 task grp004 edit FHRGRP '004' edit FHRLST 'f018' - trigger ./post_manager:release_post04 + trigger ./post_manager:release_post18 task grp005 edit FHRGRP '005' edit FHRLST 'f024' - trigger ./post_manager:release_post05 + trigger ./post_manager:release_post24 endfamily # /prod2018010200/gfs/post task vrfy trigger ./post == complete @@ -377,42 +327,16 @@ suite prod2018010200 family archive # /prod2018010200/archive task gdasarch trigger ../gdas/vrfy == complete - task gfsarch - trigger ../gfs/vrfy == complete family earc # /prod2018010200/archive/earc trigger ../gdas/enkf/epos == complete - task grp1 - edit ENSGRP '1' - task grp2 - edit ENSGRP '2' - task grp3 - edit ENSGRP '3' - task grp4 - edit ENSGRP '4' - task grp5 - edit ENSGRP '5' - task grp6 - edit ENSGRP '6' - task grp7 - edit ENSGRP '7' - task grp8 - edit ENSGRP '8' - task grp9 - edit ENSGRP '9' - task grp10 - edit ENSGRP '10' - task grp11 - edit ENSGRP '11' - task grp12 - edit ENSGRP '12' - task grp13 - edit ENSGRP '13' - task grp14 - edit ENSGRP '14' - task grp15 - edit ENSGRP '15' - task grp16 - edit ENSGRP '16' + task grp00 + edit ENSGRP '00' + task grp01 + edit ENSGRP '01' + task grp02 + edit ENSGRP '02' endfamily # /prod2018010200/archive/earc + task gfsarch + trigger ../gfs/vrfy == complete endfamily # /prod2018010200/archive endsuite # /prod2018010200 diff --git a/model/rocoto_style_fv3gfs/defs/prod2018010206.def b/model/rocoto_style_fv3gfs/defs/prod2018010206.def index 6640376..a09926f 100644 --- a/model/rocoto_style_fv3gfs/defs/prod2018010206.def +++ b/model/rocoto_style_fv3gfs/defs/prod2018010206.def @@ -1,6 +1,8 @@ extern /prod2018010200/gdas/post extern /prod2018010200/gdas/enkf/epos suite prod2018010206 + # This ecflow suite definition is automatically generated. + # Changes will be overwritten. Please edit suite_def.yaml instead. #repeat day 1 edit ECF_TRIES '1' #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' @@ -21,8 +23,8 @@ suite prod2018010206 edit MODEL_NAME 'gfs' edit DUMPDIR '/gpfs/tp1/emc/globaldump' - edit QUEUE 'debug' - edit COM '/gpfs/hps2/ptmp/emc.glopara/ecfgfsfv3/com' + edit QUEUE 'dev' + edit COM '/gpfs/hps3/ptmp/Samuel.Trahan/comdir2/ecfgfsfv3' edit QUEUESERV 'dev_transfer' family gdas # /prod2018010206/gdas @@ -34,86 +36,6 @@ suite prod2018010206 event 1 updated_status task prep trigger /prod2018010200/gdas/post == complete and ./dump_waiter:updated_status - family enkf # /prod2018010206/gdas/enkf - task eobs - trigger ../prep == complete and /prod2018010200/gdas/enkf/epos == complete - family eomg # /prod2018010206/gdas/enkf/eomg - trigger ./eobs == complete - task grp1 - edit ENSGRP '1' - task grp2 - edit ENSGRP '2' - task grp3 - edit ENSGRP '3' - task grp4 - edit ENSGRP '4' - task grp5 - edit ENSGRP '5' - task grp6 - edit ENSGRP '6' - task grp7 - edit ENSGRP '7' - task grp8 - edit ENSGRP '8' - task grp9 - edit ENSGRP '9' - task grp10 - edit ENSGRP '10' - task grp11 - edit ENSGRP '11' - task grp12 - edit ENSGRP '12' - task grp13 - edit ENSGRP '13' - task grp14 - edit ENSGRP '14' - task grp15 - edit ENSGRP '15' - task grp16 - edit ENSGRP '16' - endfamily # /prod2018010206/gdas/enkf/eomg - task eupd - trigger ./eomg == complete - task ecen - trigger ./eupd == complete and ../anal == complete - family efcs # /prod2018010206/gdas/enkf/efcs - trigger ./eobs == complete - task grp1 - edit ENSGRP '1' - task grp2 - edit ENSGRP '2' - task grp3 - edit ENSGRP '3' - task grp4 - edit ENSGRP '4' - task grp5 - edit ENSGRP '5' - task grp6 - edit ENSGRP '6' - task grp7 - edit ENSGRP '7' - task grp8 - edit ENSGRP '8' - task grp9 - edit ENSGRP '9' - task grp10 - edit ENSGRP '10' - task grp11 - edit ENSGRP '11' - task grp12 - edit ENSGRP '12' - task grp13 - edit ENSGRP '13' - task grp14 - edit ENSGRP '14' - task grp15 - edit ENSGRP '15' - task grp16 - edit ENSGRP '16' - endfamily # /prod2018010206/gdas/enkf/efcs - task epos - trigger ./efcs == complete - endfamily # /prod2018010206/gdas/enkf task anal trigger ./prep == complete and /prod2018010200/gdas/enkf/epos == complete task fcst @@ -128,71 +50,73 @@ suite prod2018010206 event 5 release_post03 event 6 release_post04 event 7 release_post05 - task f000 - edit FHRGRP 'f000' + event 8 release_post06 + event 9 release_post07 + event 10 release_post08 + event 11 release_post09 + task g000 + edit FHRGRP '000' edit FHRLST 'anl' trigger ./post_manager:release_post00 - task f006 - edit FHRGRP 'f006' - edit FHRLST 'f006' + task g001 + edit FHRGRP '001' + edit FHRLST 'f000' trigger ./post_manager:release_post01 - task f012 - edit FHRGRP 'f012' - edit FHRLST 'f012' + task g002 + edit FHRGRP '002' + edit FHRLST 'f003' trigger ./post_manager:release_post02 - task f018 - edit FHRGRP 'f018' - edit FHRLST 'f018' + task g003 + edit FHRGRP '003' + edit FHRLST 'f006' trigger ./post_manager:release_post03 - task f024 - edit FHRGRP 'f024' - edit FHRLST 'f024' + task g004 + edit FHRGRP '004' + edit FHRLST 'f009' trigger ./post_manager:release_post04 - task f030 - edit FHRGRP 'f030' - edit FHRLST 'f030' + task g005 + edit FHRGRP '005' + edit FHRLST 'f012' trigger ./post_manager:release_post05 endfamily # /prod2018010206/gdas/post task vrfy trigger ./post == complete + family enkf # /prod2018010206/gdas/enkf + task eobs + trigger ../prep == complete and /prod2018010200/gdas/enkf/epos == complete + family eomg # /prod2018010206/gdas/enkf/eomg + trigger ./eobs == complete + task grp1 + edit ENSGRP '01' + task grp2 + edit ENSGRP '02' + endfamily # /prod2018010206/gdas/enkf/eomg + task eupd + trigger ./eomg == complete + task ecen + trigger ./eupd == complete and ../anal == complete + family efcs # /prod2018010206/gdas/enkf/efcs + trigger ./ecen == complete + task grp1 + edit ENSGRP '01' + task grp2 + edit ENSGRP '02' + endfamily # /prod2018010206/gdas/enkf/efcs + task epos + trigger ./efcs == complete + endfamily # /prod2018010206/gdas/enkf endfamily # /prod2018010206/gdas family archive # /prod2018010206/archive task gdasarch trigger ../gdas/vrfy == complete family earc # /prod2018010206/archive/earc trigger ../gdas/enkf/epos == complete - task grp1 - edit ENSGRP '1' - task grp2 - edit ENSGRP '2' - task grp3 - edit ENSGRP '3' - task grp4 - edit ENSGRP '4' - task grp5 - edit ENSGRP '5' - task grp6 - edit ENSGRP '6' - task grp7 - edit ENSGRP '7' - task grp8 - edit ENSGRP '8' - task grp9 - edit ENSGRP '9' - task grp10 - edit ENSGRP '10' - task grp11 - edit ENSGRP '11' - task grp12 - edit ENSGRP '12' - task grp13 - edit ENSGRP '13' - task grp14 - edit ENSGRP '14' - task grp15 - edit ENSGRP '15' - task grp16 - edit ENSGRP '16' + task grp00 + edit ENSGRP '00' + task grp01 + edit ENSGRP '01' + task grp02 + edit ENSGRP '02' endfamily # /prod2018010206/archive/earc endfamily # /prod2018010206/archive endsuite # /prod2018010206 diff --git a/model/rocoto_style_fv3gfs/defs/prod2018010212.def b/model/rocoto_style_fv3gfs/defs/prod2018010212.def index b11a4a4..10d7662 100644 --- a/model/rocoto_style_fv3gfs/defs/prod2018010212.def +++ b/model/rocoto_style_fv3gfs/defs/prod2018010212.def @@ -1,6 +1,8 @@ extern /prod2018010206/gdas/post extern /prod2018010206/gdas/enkf/epos suite prod2018010212 + # This ecflow suite definition is automatically generated. + # Changes will be overwritten. Please edit suite_def.yaml instead. #repeat day 1 edit ECF_TRIES '1' #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' @@ -21,8 +23,8 @@ suite prod2018010212 edit MODEL_NAME 'gfs' edit DUMPDIR '/gpfs/tp1/emc/globaldump' - edit QUEUE 'debug' - edit COM '/gpfs/hps2/ptmp/emc.glopara/ecfgfsfv3/com' + edit QUEUE 'dev' + edit COM '/gpfs/hps3/ptmp/Samuel.Trahan/comdir2/ecfgfsfv3' edit QUEUESERV 'dev_transfer' family gdas # /prod2018010212/gdas @@ -34,86 +36,6 @@ suite prod2018010212 event 1 updated_status task prep trigger /prod2018010206/gdas/post == complete and ./dump_waiter:updated_status - family enkf # /prod2018010212/gdas/enkf - task eobs - trigger ../prep == complete and /prod2018010206/gdas/enkf/epos == complete - family eomg # /prod2018010212/gdas/enkf/eomg - trigger ./eobs == complete - task grp1 - edit ENSGRP '1' - task grp2 - edit ENSGRP '2' - task grp3 - edit ENSGRP '3' - task grp4 - edit ENSGRP '4' - task grp5 - edit ENSGRP '5' - task grp6 - edit ENSGRP '6' - task grp7 - edit ENSGRP '7' - task grp8 - edit ENSGRP '8' - task grp9 - edit ENSGRP '9' - task grp10 - edit ENSGRP '10' - task grp11 - edit ENSGRP '11' - task grp12 - edit ENSGRP '12' - task grp13 - edit ENSGRP '13' - task grp14 - edit ENSGRP '14' - task grp15 - edit ENSGRP '15' - task grp16 - edit ENSGRP '16' - endfamily # /prod2018010212/gdas/enkf/eomg - task eupd - trigger ./eomg == complete - task ecen - trigger ./eupd == complete and ../anal == complete - family efcs # /prod2018010212/gdas/enkf/efcs - trigger ./eobs == complete - task grp1 - edit ENSGRP '1' - task grp2 - edit ENSGRP '2' - task grp3 - edit ENSGRP '3' - task grp4 - edit ENSGRP '4' - task grp5 - edit ENSGRP '5' - task grp6 - edit ENSGRP '6' - task grp7 - edit ENSGRP '7' - task grp8 - edit ENSGRP '8' - task grp9 - edit ENSGRP '9' - task grp10 - edit ENSGRP '10' - task grp11 - edit ENSGRP '11' - task grp12 - edit ENSGRP '12' - task grp13 - edit ENSGRP '13' - task grp14 - edit ENSGRP '14' - task grp15 - edit ENSGRP '15' - task grp16 - edit ENSGRP '16' - endfamily # /prod2018010212/gdas/enkf/efcs - task epos - trigger ./efcs == complete - endfamily # /prod2018010212/gdas/enkf task anal trigger ./prep == complete and /prod2018010206/gdas/enkf/epos == complete task fcst @@ -128,33 +50,61 @@ suite prod2018010212 event 5 release_post03 event 6 release_post04 event 7 release_post05 - task f000 - edit FHRGRP 'f000' + event 8 release_post06 + event 9 release_post07 + event 10 release_post08 + event 11 release_post09 + task g000 + edit FHRGRP '000' edit FHRLST 'anl' trigger ./post_manager:release_post00 - task f006 - edit FHRGRP 'f006' - edit FHRLST 'f006' + task g001 + edit FHRGRP '001' + edit FHRLST 'f000' trigger ./post_manager:release_post01 - task f012 - edit FHRGRP 'f012' - edit FHRLST 'f012' + task g002 + edit FHRGRP '002' + edit FHRLST 'f003' trigger ./post_manager:release_post02 - task f018 - edit FHRGRP 'f018' - edit FHRLST 'f018' + task g003 + edit FHRGRP '003' + edit FHRLST 'f006' trigger ./post_manager:release_post03 - task f024 - edit FHRGRP 'f024' - edit FHRLST 'f024' + task g004 + edit FHRGRP '004' + edit FHRLST 'f009' trigger ./post_manager:release_post04 - task f030 - edit FHRGRP 'f030' - edit FHRLST 'f030' + task g005 + edit FHRGRP '005' + edit FHRLST 'f012' trigger ./post_manager:release_post05 endfamily # /prod2018010212/gdas/post task vrfy trigger ./post == complete + family enkf # /prod2018010212/gdas/enkf + task eobs + trigger ../prep == complete and /prod2018010206/gdas/enkf/epos == complete + family eomg # /prod2018010212/gdas/enkf/eomg + trigger ./eobs == complete + task grp1 + edit ENSGRP '01' + task grp2 + edit ENSGRP '02' + endfamily # /prod2018010212/gdas/enkf/eomg + task eupd + trigger ./eomg == complete + task ecen + trigger ./eupd == complete and ../anal == complete + family efcs # /prod2018010212/gdas/enkf/efcs + trigger ./ecen == complete + task grp1 + edit ENSGRP '01' + task grp2 + edit ENSGRP '02' + endfamily # /prod2018010212/gdas/enkf/efcs + task epos + trigger ./efcs == complete + endfamily # /prod2018010212/gdas/enkf endfamily # /prod2018010212/gdas family gfs # /prod2018010212/gfs edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' @@ -164,7 +114,7 @@ suite prod2018010212 task dump_waiter event 1 updated_status task prep - trigger /prod2018010206/gdas/post == complete + trigger /prod2018010206/gdas/post == complete and ./dump_waiter:updated_status task anal trigger ./prep == complete and /prod2018010206/gdas/enkf/epos == complete task fcst @@ -353,23 +303,23 @@ suite prod2018010212 task grp001 edit FHRGRP '001' edit FHRLST 'f000' - trigger ./post_manager:release_post01 + trigger ./post_manager:release_post00 task grp002 edit FHRGRP '002' edit FHRLST 'f006' - trigger ./post_manager:release_post02 + trigger ./post_manager:release_post06 task grp003 edit FHRGRP '003' edit FHRLST 'f012' - trigger ./post_manager:release_post03 + trigger ./post_manager:release_post12 task grp004 edit FHRGRP '004' edit FHRLST 'f018' - trigger ./post_manager:release_post04 + trigger ./post_manager:release_post18 task grp005 edit FHRGRP '005' edit FHRLST 'f024' - trigger ./post_manager:release_post05 + trigger ./post_manager:release_post24 endfamily # /prod2018010212/gfs/post task vrfy trigger ./post == complete @@ -377,42 +327,16 @@ suite prod2018010212 family archive # /prod2018010212/archive task gdasarch trigger ../gdas/vrfy == complete - task gfsarch - trigger ../gfs/vrfy == complete family earc # /prod2018010212/archive/earc trigger ../gdas/enkf/epos == complete - task grp1 - edit ENSGRP '1' - task grp2 - edit ENSGRP '2' - task grp3 - edit ENSGRP '3' - task grp4 - edit ENSGRP '4' - task grp5 - edit ENSGRP '5' - task grp6 - edit ENSGRP '6' - task grp7 - edit ENSGRP '7' - task grp8 - edit ENSGRP '8' - task grp9 - edit ENSGRP '9' - task grp10 - edit ENSGRP '10' - task grp11 - edit ENSGRP '11' - task grp12 - edit ENSGRP '12' - task grp13 - edit ENSGRP '13' - task grp14 - edit ENSGRP '14' - task grp15 - edit ENSGRP '15' - task grp16 - edit ENSGRP '16' + task grp00 + edit ENSGRP '00' + task grp01 + edit ENSGRP '01' + task grp02 + edit ENSGRP '02' endfamily # /prod2018010212/archive/earc + task gfsarch + trigger ../gfs/vrfy == complete endfamily # /prod2018010212/archive endsuite # /prod2018010212 diff --git a/model/rocoto_style_fv3gfs/defs/prod2018010218.def b/model/rocoto_style_fv3gfs/defs/prod2018010218.def index 6738800..2116085 100644 --- a/model/rocoto_style_fv3gfs/defs/prod2018010218.def +++ b/model/rocoto_style_fv3gfs/defs/prod2018010218.def @@ -1,6 +1,8 @@ extern /prod2018010212/gdas/post extern /prod2018010212/gdas/enkf/epos suite prod2018010218 + # This ecflow suite definition is automatically generated. + # Changes will be overwritten. Please edit suite_def.yaml instead. #repeat day 1 edit ECF_TRIES '1' #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' @@ -21,8 +23,8 @@ suite prod2018010218 edit MODEL_NAME 'gfs' edit DUMPDIR '/gpfs/tp1/emc/globaldump' - edit QUEUE 'debug' - edit COM '/gpfs/hps2/ptmp/emc.glopara/ecfgfsfv3/com' + edit QUEUE 'dev' + edit COM '/gpfs/hps3/ptmp/Samuel.Trahan/comdir2/ecfgfsfv3' edit QUEUESERV 'dev_transfer' family gdas # /prod2018010218/gdas @@ -34,86 +36,6 @@ suite prod2018010218 event 1 updated_status task prep trigger /prod2018010212/gdas/post == complete and ./dump_waiter:updated_status - family enkf # /prod2018010218/gdas/enkf - task eobs - trigger ../prep == complete and /prod2018010212/gdas/enkf/epos == complete - family eomg # /prod2018010218/gdas/enkf/eomg - trigger ./eobs == complete - task grp1 - edit ENSGRP '1' - task grp2 - edit ENSGRP '2' - task grp3 - edit ENSGRP '3' - task grp4 - edit ENSGRP '4' - task grp5 - edit ENSGRP '5' - task grp6 - edit ENSGRP '6' - task grp7 - edit ENSGRP '7' - task grp8 - edit ENSGRP '8' - task grp9 - edit ENSGRP '9' - task grp10 - edit ENSGRP '10' - task grp11 - edit ENSGRP '11' - task grp12 - edit ENSGRP '12' - task grp13 - edit ENSGRP '13' - task grp14 - edit ENSGRP '14' - task grp15 - edit ENSGRP '15' - task grp16 - edit ENSGRP '16' - endfamily # /prod2018010218/gdas/enkf/eomg - task eupd - trigger ./eomg == complete - task ecen - trigger ./eupd == complete and ../anal == complete - family efcs # /prod2018010218/gdas/enkf/efcs - trigger ./eobs == complete - task grp1 - edit ENSGRP '1' - task grp2 - edit ENSGRP '2' - task grp3 - edit ENSGRP '3' - task grp4 - edit ENSGRP '4' - task grp5 - edit ENSGRP '5' - task grp6 - edit ENSGRP '6' - task grp7 - edit ENSGRP '7' - task grp8 - edit ENSGRP '8' - task grp9 - edit ENSGRP '9' - task grp10 - edit ENSGRP '10' - task grp11 - edit ENSGRP '11' - task grp12 - edit ENSGRP '12' - task grp13 - edit ENSGRP '13' - task grp14 - edit ENSGRP '14' - task grp15 - edit ENSGRP '15' - task grp16 - edit ENSGRP '16' - endfamily # /prod2018010218/gdas/enkf/efcs - task epos - trigger ./efcs == complete - endfamily # /prod2018010218/gdas/enkf task anal trigger ./prep == complete and /prod2018010212/gdas/enkf/epos == complete task fcst @@ -128,71 +50,73 @@ suite prod2018010218 event 5 release_post03 event 6 release_post04 event 7 release_post05 - task f000 - edit FHRGRP 'f000' + event 8 release_post06 + event 9 release_post07 + event 10 release_post08 + event 11 release_post09 + task g000 + edit FHRGRP '000' edit FHRLST 'anl' trigger ./post_manager:release_post00 - task f006 - edit FHRGRP 'f006' - edit FHRLST 'f006' + task g001 + edit FHRGRP '001' + edit FHRLST 'f000' trigger ./post_manager:release_post01 - task f012 - edit FHRGRP 'f012' - edit FHRLST 'f012' + task g002 + edit FHRGRP '002' + edit FHRLST 'f003' trigger ./post_manager:release_post02 - task f018 - edit FHRGRP 'f018' - edit FHRLST 'f018' + task g003 + edit FHRGRP '003' + edit FHRLST 'f006' trigger ./post_manager:release_post03 - task f024 - edit FHRGRP 'f024' - edit FHRLST 'f024' + task g004 + edit FHRGRP '004' + edit FHRLST 'f009' trigger ./post_manager:release_post04 - task f030 - edit FHRGRP 'f030' - edit FHRLST 'f030' + task g005 + edit FHRGRP '005' + edit FHRLST 'f012' trigger ./post_manager:release_post05 endfamily # /prod2018010218/gdas/post task vrfy trigger ./post == complete + family enkf # /prod2018010218/gdas/enkf + task eobs + trigger ../prep == complete and /prod2018010212/gdas/enkf/epos == complete + family eomg # /prod2018010218/gdas/enkf/eomg + trigger ./eobs == complete + task grp1 + edit ENSGRP '01' + task grp2 + edit ENSGRP '02' + endfamily # /prod2018010218/gdas/enkf/eomg + task eupd + trigger ./eomg == complete + task ecen + trigger ./eupd == complete and ../anal == complete + family efcs # /prod2018010218/gdas/enkf/efcs + trigger ./ecen == complete + task grp1 + edit ENSGRP '01' + task grp2 + edit ENSGRP '02' + endfamily # /prod2018010218/gdas/enkf/efcs + task epos + trigger ./efcs == complete + endfamily # /prod2018010218/gdas/enkf endfamily # /prod2018010218/gdas family archive # /prod2018010218/archive task gdasarch trigger ../gdas/vrfy == complete family earc # /prod2018010218/archive/earc trigger ../gdas/enkf/epos == complete - task grp1 - edit ENSGRP '1' - task grp2 - edit ENSGRP '2' - task grp3 - edit ENSGRP '3' - task grp4 - edit ENSGRP '4' - task grp5 - edit ENSGRP '5' - task grp6 - edit ENSGRP '6' - task grp7 - edit ENSGRP '7' - task grp8 - edit ENSGRP '8' - task grp9 - edit ENSGRP '9' - task grp10 - edit ENSGRP '10' - task grp11 - edit ENSGRP '11' - task grp12 - edit ENSGRP '12' - task grp13 - edit ENSGRP '13' - task grp14 - edit ENSGRP '14' - task grp15 - edit ENSGRP '15' - task grp16 - edit ENSGRP '16' + task grp00 + edit ENSGRP '00' + task grp01 + edit ENSGRP '01' + task grp02 + edit ENSGRP '02' endfamily # /prod2018010218/archive/earc endfamily # /prod2018010218/archive endsuite # /prod2018010218 diff --git a/model/rocoto_style_fv3gfs/resources_C192_C192.yaml b/model/rocoto_style_fv3gfs/resources_C192_C192.yaml index 8da0707..2230a2c 100644 --- a/model/rocoto_style_fv3gfs/resources_C192_C192.yaml +++ b/model/rocoto_style_fv3gfs/resources_C192_C192.yaml @@ -7,7 +7,7 @@ resources: # From if[[...ecen]] block in config.resources: run_ecen: !JobRequest - memory: "254M" - walltime: 00:02:00 + walltime: !timedelta "00:30:00" mpi_ranks: 84 exe: placeholder # max_ppn comes from THEIA.env: 84/12 = 7 @@ -23,10 +23,11 @@ resources: run_nothing: !JobRequest # Special placeholder for "do nothing" - memory: "300M" exe: nothing + walltime: !timedelta "00:02:00" run_eobs: !JobRequest - memory: "3072M" - walltime: 00:02:00 + walltime: !timedelta "00:45:00" mpi_ranks: !calc 12*6 exe: placeholder max_ppn: 6 @@ -34,7 +35,7 @@ resources: run_eomg: !JobRequest - memory: "3072M" - walltime: 00:02:00 + walltime: !timedelta "01:00:00" mpi_ranks: !calc 6*12 exe: placeholder max_ppn: 6 @@ -42,7 +43,7 @@ resources: run_eupd: !JobRequest - memory: "3072M" - walltime: 00:02:00 + walltime: !timedelta "00:30:00" mpi_ranks: !calc 10*12 exe: placeholder max_ppn: 12 @@ -56,34 +57,33 @@ resources: # OMP_NUM_THREADS: 4 run_efcs: !JobRequest - - walltime: 00:02:00 - mpi_ranks: !calc "doc.settings.layout_x*doc.settings.layout_y*6" + - walltime: !timedelta "01:00:00" + mpi_ranks: !calc >- + doc.settings.layout_x*doc.settings.layout_y*6 + + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP max_ppn: 12 memory: "254M" run_epos: !JobRequest - memory: "254M" mpi_ranks: 84 - walltime: 00:02:00 + walltime: !timedelta "00:30:00" exe: placeholder max_ppn: 12 OMP_NUM_THREADS: 2 run_prep: !JobRequest - memory: "3072M" - walltime: 00:02:00 + walltime: !timedelta "00:15:00" mpi_ranks: 12 exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: max run_anal: !JobRequest - memory: "3072M" mpi_ranks: 144 - walltime: 00:02:00 + walltime: !timedelta "1:30:00" exe: placeholder max_ppn: 6 - OMP_NUM_THREADS: 2 # run_gsi: !JobRequest # pi_ranks: !calc 24*6 @@ -93,21 +93,25 @@ resources: # OMP_NUM_THREADS: 4 run_gdasfcst: !JobRequest - - mpi_ranks: !calc "doc.settings.layout_x*doc.settings.layout_y*6" - walltime: 00:02:00 + - mpi_ranks: !calc >- + doc.settings.layout_x*doc.settings.layout_y*6 + + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP + walltime: !timedelta "00:15:00" max_ppn: 12 memory: "1024M" run_gfsfcst: !JobRequest - - mpi_ranks: !calc "doc.settings.layout_x*doc.settings.layout_y*6" - walltime: 00:02:00 + - mpi_ranks: !calc >- + doc.settings.layout_x*doc.settings.layout_y*6 + + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP + walltime: !timedelta "06:00:00" max_ppn: 12 memory: "1024M" run_gdaspost: !JobRequest - memory: "3072M" mpi_ranks: 72 - walltime: 00:02:00 + walltime: !timedelta "00:30:00" exe: placeholder max_ppn: 12 OMP_NUM_THREADS: 1 @@ -115,15 +119,22 @@ resources: run_gfspost: !JobRequest - memory: "3072M" mpi_ranks: 72 - walltime: 00:02:00 + walltime: !timedelta "01:00:00" exe: placeholder max_ppn: 12 OMP_NUM_THREADS: 1 - run_vrfy: !JobRequest + run_gfsvrfy: !JobRequest + - memory: "3072M" + mpi_ranks: 1 + walltime: !timedelta "06:00:00" + exe: placeholder + max_ppn: 1 + + run_gdasvrfy: !JobRequest - memory: "3072M" mpi_ranks: 1 - walltime: 00:02:00 + walltime: !timedelta "03:00:00" exe: placeholder max_ppn: 1 @@ -131,7 +142,7 @@ resources: - memory: "3072M" exclusive: false mpi_ranks: 1 - walltime: 00:02:00 + walltime: !timedelta "06:00:00" exe: placeholder max_ppn: 1 OMP_NUM_THREADS: 2 @@ -139,19 +150,17 @@ resources: run_final: !JobRequest - memory: "2M" mpi_ranks: 1 - walltime: 00:01:00 + walltime: !timedelta "00:01:00" exe: placeholder max_ppn: 1 OMP_NUM_THREADS: 2 -# run_earc: !JobRequest -# emory: "3072M" -# mpi_ranks: 1 -# walltime: 00:15:00 -# resources: !JobRequest -# - exe: placeholder -# max_ppn: 1 -# OMP_NUM_THREADS: 2 + run_earc: !JobRequest + - memory: "3072M" + mpi_ranks: 1 + walltime: !timedelta "06:00:00" + exe: placeholder + max_ppn: 1 run_fv3ic: !JobRequest - memory: "3072M" diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp00.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp00.ecf new file mode 100644 index 0000000..2b2d84a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp00.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp00 +#BSUB -o %ECF_OUT%/archive.earc.grp00_t%CYC%z.log + +#BSUB -W 6:00 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp01.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp01.ecf new file mode 100644 index 0000000..34f0d55 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp01.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp01 +#BSUB -o %ECF_OUT%/archive.earc.grp01_t%CYC%z.log + +#BSUB -W 6:00 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp02.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp02.ecf new file mode 100644 index 0000000..d4ae380 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp02.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp02 +#BSUB -o %ECF_OUT%/archive.earc.grp02_t%CYC%z.log + +#BSUB -W 6:00 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/gdasarch.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/gdasarch.ecf index 520dd46..4765345 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/gdasarch.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/gdasarch.ecf @@ -4,7 +4,7 @@ #BSUB -J archive.gdasarch #BSUB -o %ECF_OUT%/archive.gdasarch_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 6:00 #BSUB -R rusage[mem=3072] #BSUB -n 1 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/GDASARCH.sh +${HOMEgfs}/jobs/gdasarch.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/gfsarch.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/gfsarch.ecf index 3a71aaa..bf95afc 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/gfsarch.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/gfsarch.ecf @@ -4,7 +4,7 @@ #BSUB -J archive.gfsarch #BSUB -o %ECF_OUT%/archive.gfsarch_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 6:00 #BSUB -R rusage[mem=3072] #BSUB -n 1 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/GFSARCH.sh +${HOMEgfs}/jobs/gfsarch.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/anal.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/anal.ecf index 03363e6..1b42982 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/anal.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/anal.ecf @@ -4,18 +4,18 @@ #BSUB -J gdas.anal #BSUB -o %ECF_OUT%/gdas.anal_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:30 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=60 +export NODES=24 %include %include set -x -export ntasks=360 +export ntasks=144 export ptile=6 -export threads=2 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/ANAL.sh +${HOMEgfs}/jobs/anal.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/dump_waiter.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/dump_waiter.ecf index 0e861a2..5388ec0 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/dump_waiter.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/dump_waiter.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.dump_waiter #BSUB -o %ECF_OUT%/gdas.dump_waiter_t%CYC%z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -36,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/DUMP_WAITER.sh +${HOMEgfs}/jobs/dump_waiter.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/ecen.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/ecen.ecf index 5fcfe6a..cf96737 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/ecen.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/ecen.ecf @@ -4,17 +4,17 @@ #BSUB -J gdas.enkf.ecen #BSUB -o %ECF_OUT%/gdas.enkf.ecen_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] +#BSUB -W 0:30 +#BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] -export NODES=20 +export NODES=7 %include %include set -x -export ntasks=80 -export ptile=4 +export ntasks=84 +export ptile=12 export threads=2 export model=%MODEL_NAME% @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/ECEN.sh +${HOMEgfs}/jobs/ecen.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp1.ecf index d1ac26a..155e302 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp1.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp1.ecf @@ -4,18 +4,18 @@ #BSUB -J gdas.enkf.efcs.grp1 #BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp1_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] +#BSUB -W 1:00 +#BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] -export NODES=12 +export NODES=16 %include %include set -x -export ntasks=72 -export ptile=6 -export threads=2 +export ntasks=192 +export ptile=12 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/efcs +${HOMEgfs}/jobs/efcs.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp2.ecf index 903af95..b388b45 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp2.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp2.ecf @@ -4,18 +4,18 @@ #BSUB -J gdas.enkf.efcs.grp2 #BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp2_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] +#BSUB -W 1:00 +#BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] -export NODES=12 +export NODES=16 %include %include set -x -export ntasks=72 -export ptile=6 -export threads=2 +export ntasks=192 +export ptile=12 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/efcs +${HOMEgfs}/jobs/efcs.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eobs.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eobs.ecf index 169aec2..6f1d747 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eobs.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eobs.ecf @@ -4,7 +4,7 @@ #BSUB -J gdas.enkf.eobs #BSUB -o %ECF_OUT%/gdas.enkf.eobs_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 0:45 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/EOBS.sh +${HOMEgfs}/jobs/eobs.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp1.ecf index 7d636d9..e03099b 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp1.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp1.ecf @@ -4,7 +4,7 @@ #BSUB -J gdas.enkf.eomg.grp1 #BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp1_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/eomg +${HOMEgfs}/jobs/eomg.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp2.ecf index 8a674db..f9ae049 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp2.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp2.ecf @@ -4,7 +4,7 @@ #BSUB -J gdas.enkf.eomg.grp2 #BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp2_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/eomg +${HOMEgfs}/jobs/eomg.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/epos.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/epos.ecf index 01934e6..86f15e8 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/epos.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/epos.ecf @@ -4,17 +4,17 @@ #BSUB -J gdas.enkf.epos #BSUB -o %ECF_OUT%/gdas.enkf.epos_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 0:30 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] -export NODES=20 +export NODES=7 %include %include set -x -export ntasks=80 -export ptile=4 +export ntasks=84 +export ptile=12 export threads=2 export model=%MODEL_NAME% @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/EPOS.sh +${HOMEgfs}/jobs/epos.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eupd.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eupd.ecf index f488d54..cf4ca6c 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eupd.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eupd.ecf @@ -4,17 +4,17 @@ #BSUB -J gdas.enkf.eupd #BSUB -o %ECF_OUT%/gdas.enkf.eupd_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 0:30 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=40 +export NODES=10 %include %include set -x -export ntasks=240 -export ptile=6 +export ntasks=120 +export ptile=12 export threads=2 export model=%MODEL_NAME% @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/EUPD.sh +${HOMEgfs}/jobs/eupd.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/fcst.ecf index 679f955..eb0c4e3 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/fcst.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/fcst.ecf @@ -4,16 +4,16 @@ #BSUB -J gdas.fcst #BSUB -o %ECF_OUT%/gdas.fcst_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 0:15 #BSUB -R rusage[mem=1024] #BSUB -extsched CRAYLINUX[] -export NODES=12 +export NODES=16 %include %include set -x -export ntasks=144 +export ntasks=192 export ptile=12 # No OpenMP in use, so I am not setting $threads @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/FCST.sh +${HOMEgfs}/jobs/fcst.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g000.ecf new file mode 100644 index 0000000..ab95cde --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g000.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.g000 +#BSUB -o %ECF_OUT%/gdas.post.g000_t%CYC%z.log + +#BSUB -W 0:30 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g001.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g001.ecf new file mode 100644 index 0000000..a44dc5b --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g001.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.g001 +#BSUB -o %ECF_OUT%/gdas.post.g001_t%CYC%z.log + +#BSUB -W 0:30 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g002.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g002.ecf new file mode 100644 index 0000000..e3feb41 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g002.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.g002 +#BSUB -o %ECF_OUT%/gdas.post.g002_t%CYC%z.log + +#BSUB -W 0:30 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g003.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g003.ecf new file mode 100644 index 0000000..8e3dfca --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g003.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.g003 +#BSUB -o %ECF_OUT%/gdas.post.g003_t%CYC%z.log + +#BSUB -W 0:30 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g004.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g004.ecf new file mode 100644 index 0000000..80c0ad3 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g004.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.g004 +#BSUB -o %ECF_OUT%/gdas.post.g004_t%CYC%z.log + +#BSUB -W 0:30 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g005.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g005.ecf new file mode 100644 index 0000000..13e6303 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g005.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.g005 +#BSUB -o %ECF_OUT%/gdas.post.g005_t%CYC%z.log + +#BSUB -W 0:30 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/post_manager.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/post_manager.ecf index 8e63210..abdb368 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/post_manager.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/post_manager.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.post.post_manager #BSUB -o %ECF_OUT%/gdas.post.post_manager_t%CYC%z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/prep.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/prep.ecf index 4cb18a3..9e74c3d 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/prep.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/prep.ecf @@ -4,18 +4,18 @@ #BSUB -J gdas.prep #BSUB -o %ECF_OUT%/gdas.prep_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 0:15 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=3 +export NODES=1 %include %include set -x -export ntasks=6 -export ptile=2 -export threads=9223372036854775807 +export ntasks=12 +export ptile=24 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/PREP.sh +${HOMEgfs}/jobs/prep.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/vrfy.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/vrfy.ecf index cc0964a..c672457 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/vrfy.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/vrfy.ecf @@ -4,7 +4,7 @@ #BSUB -J gdas.vrfy #BSUB -o %ECF_OUT%/gdas.vrfy_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 3:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -15,7 +15,7 @@ set -x export ntasks=1 export ptile=1 -export threads=2 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/VRFY.sh +${HOMEgfs}/jobs/vrfy.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/anal.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/anal.ecf index dfe5721..1b8bfb8 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/anal.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/anal.ecf @@ -4,18 +4,18 @@ #BSUB -J gfs.anal #BSUB -o %ECF_OUT%/gfs.anal_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:30 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=60 +export NODES=24 %include %include set -x -export ntasks=360 +export ntasks=144 export ptile=6 -export threads=2 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/ANAL.sh +${HOMEgfs}/jobs/anal.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/dump_waiter.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/dump_waiter.ecf index 80e02cd..5896384 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/dump_waiter.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/dump_waiter.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.dump_waiter #BSUB -o %ECF_OUT%/gfs.dump_waiter_t%CYC%z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -36,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/DUMP_WAITER.sh +${HOMEgfs}/jobs/dump_waiter.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/fcst.ecf index 8f91dc8..32e078d 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/fcst.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/fcst.ecf @@ -4,16 +4,16 @@ #BSUB -J gfs.fcst #BSUB -o %ECF_OUT%/gfs.fcst_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 6:00 #BSUB -R rusage[mem=1024] #BSUB -extsched CRAYLINUX[] -export NODES=12 +export NODES=16 %include %include set -x -export ntasks=144 +export ntasks=192 export ptile=12 # No OpenMP in use, so I am not setting $threads @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/FCST.sh +${HOMEgfs}/jobs/fcst.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp000.ecf index fa1fa0a..1cd9d72 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp000.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp000.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.post.grp000 #BSUB -o %ECF_OUT%/gfs.post.grp000_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=6 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/post +${HOMEgfs}/jobs/post.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp001.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp001.ecf index a12c498..c760e1e 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp001.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp001.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.post.grp001 #BSUB -o %ECF_OUT%/gfs.post.grp001_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=6 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/post +${HOMEgfs}/jobs/post.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp002.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp002.ecf index baa0c51..f40a9e5 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp002.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp002.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.post.grp002 #BSUB -o %ECF_OUT%/gfs.post.grp002_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=6 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/post +${HOMEgfs}/jobs/post.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp003.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp003.ecf index e087d1c..bb465f5 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp003.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp003.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.post.grp003 #BSUB -o %ECF_OUT%/gfs.post.grp003_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=6 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/post +${HOMEgfs}/jobs/post.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp004.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp004.ecf index 71abb14..448c1bc 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp004.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp004.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.post.grp004 #BSUB -o %ECF_OUT%/gfs.post.grp004_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=6 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/post +${HOMEgfs}/jobs/post.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp005.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp005.ecf index c369994..5285fe4 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp005.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp005.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.post.grp005 #BSUB -o %ECF_OUT%/gfs.post.grp005_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=6 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/post +${HOMEgfs}/jobs/post.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/post_manager.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/post_manager.ecf index 14992e4..9b8776b 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/post_manager.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/post_manager.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.post_manager #BSUB -o %ECF_OUT%/gfs.post.post_manager_t%CYC%z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/prep.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/prep.ecf index 45b80c2..f71f53a 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/prep.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/prep.ecf @@ -4,18 +4,18 @@ #BSUB -J gfs.prep #BSUB -o %ECF_OUT%/gfs.prep_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 0:15 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=3 +export NODES=1 %include %include set -x -export ntasks=6 -export ptile=2 -export threads=9223372036854775807 +export ntasks=12 +export ptile=24 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/PREP.sh +${HOMEgfs}/jobs/prep.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/vrfy.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/vrfy.ecf index eae1eba..39d1f24 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/vrfy.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/vrfy.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.vrfy #BSUB -o %ECF_OUT%/gfs.vrfy_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 6:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -15,7 +15,7 @@ set -x export ntasks=1 export ptile=1 -export threads=2 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/VRFY.sh +${HOMEgfs}/jobs/vrfy.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp00.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp00.ecf new file mode 100644 index 0000000..2b2d84a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp00.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp00 +#BSUB -o %ECF_OUT%/archive.earc.grp00_t%CYC%z.log + +#BSUB -W 6:00 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp01.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp01.ecf new file mode 100644 index 0000000..34f0d55 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp01.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp01 +#BSUB -o %ECF_OUT%/archive.earc.grp01_t%CYC%z.log + +#BSUB -W 6:00 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp02.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp02.ecf new file mode 100644 index 0000000..d4ae380 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp02.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp02 +#BSUB -o %ECF_OUT%/archive.earc.grp02_t%CYC%z.log + +#BSUB -W 6:00 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/gdasarch.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/gdasarch.ecf index 520dd46..4765345 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/gdasarch.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/gdasarch.ecf @@ -4,7 +4,7 @@ #BSUB -J archive.gdasarch #BSUB -o %ECF_OUT%/archive.gdasarch_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 6:00 #BSUB -R rusage[mem=3072] #BSUB -n 1 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/GDASARCH.sh +${HOMEgfs}/jobs/gdasarch.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/gfsarch.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/gfsarch.ecf index 3a71aaa..bf95afc 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/gfsarch.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/gfsarch.ecf @@ -4,7 +4,7 @@ #BSUB -J archive.gfsarch #BSUB -o %ECF_OUT%/archive.gfsarch_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 6:00 #BSUB -R rusage[mem=3072] #BSUB -n 1 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/GFSARCH.sh +${HOMEgfs}/jobs/gfsarch.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/anal.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/anal.ecf index 03363e6..1b42982 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/anal.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/anal.ecf @@ -4,18 +4,18 @@ #BSUB -J gdas.anal #BSUB -o %ECF_OUT%/gdas.anal_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:30 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=60 +export NODES=24 %include %include set -x -export ntasks=360 +export ntasks=144 export ptile=6 -export threads=2 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/ANAL.sh +${HOMEgfs}/jobs/anal.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/dump_waiter.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/dump_waiter.ecf index 0e861a2..5388ec0 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/dump_waiter.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/dump_waiter.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.dump_waiter #BSUB -o %ECF_OUT%/gdas.dump_waiter_t%CYC%z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -36,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/DUMP_WAITER.sh +${HOMEgfs}/jobs/dump_waiter.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/ecen.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/ecen.ecf index 5fcfe6a..cf96737 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/ecen.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/ecen.ecf @@ -4,17 +4,17 @@ #BSUB -J gdas.enkf.ecen #BSUB -o %ECF_OUT%/gdas.enkf.ecen_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] +#BSUB -W 0:30 +#BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] -export NODES=20 +export NODES=7 %include %include set -x -export ntasks=80 -export ptile=4 +export ntasks=84 +export ptile=12 export threads=2 export model=%MODEL_NAME% @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/ECEN.sh +${HOMEgfs}/jobs/ecen.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp1.ecf index d1ac26a..155e302 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp1.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp1.ecf @@ -4,18 +4,18 @@ #BSUB -J gdas.enkf.efcs.grp1 #BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp1_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] +#BSUB -W 1:00 +#BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] -export NODES=12 +export NODES=16 %include %include set -x -export ntasks=72 -export ptile=6 -export threads=2 +export ntasks=192 +export ptile=12 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/efcs +${HOMEgfs}/jobs/efcs.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp2.ecf index 903af95..b388b45 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp2.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp2.ecf @@ -4,18 +4,18 @@ #BSUB -J gdas.enkf.efcs.grp2 #BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp2_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] +#BSUB -W 1:00 +#BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] -export NODES=12 +export NODES=16 %include %include set -x -export ntasks=72 -export ptile=6 -export threads=2 +export ntasks=192 +export ptile=12 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/efcs +${HOMEgfs}/jobs/efcs.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eobs.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eobs.ecf index 169aec2..6f1d747 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eobs.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eobs.ecf @@ -4,7 +4,7 @@ #BSUB -J gdas.enkf.eobs #BSUB -o %ECF_OUT%/gdas.enkf.eobs_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 0:45 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/EOBS.sh +${HOMEgfs}/jobs/eobs.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp1.ecf index 7d636d9..e03099b 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp1.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp1.ecf @@ -4,7 +4,7 @@ #BSUB -J gdas.enkf.eomg.grp1 #BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp1_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/eomg +${HOMEgfs}/jobs/eomg.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp2.ecf index 8a674db..f9ae049 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp2.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp2.ecf @@ -4,7 +4,7 @@ #BSUB -J gdas.enkf.eomg.grp2 #BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp2_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/eomg +${HOMEgfs}/jobs/eomg.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/epos.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/epos.ecf index 01934e6..86f15e8 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/epos.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/epos.ecf @@ -4,17 +4,17 @@ #BSUB -J gdas.enkf.epos #BSUB -o %ECF_OUT%/gdas.enkf.epos_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 0:30 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] -export NODES=20 +export NODES=7 %include %include set -x -export ntasks=80 -export ptile=4 +export ntasks=84 +export ptile=12 export threads=2 export model=%MODEL_NAME% @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/EPOS.sh +${HOMEgfs}/jobs/epos.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eupd.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eupd.ecf index f488d54..cf4ca6c 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eupd.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eupd.ecf @@ -4,17 +4,17 @@ #BSUB -J gdas.enkf.eupd #BSUB -o %ECF_OUT%/gdas.enkf.eupd_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 0:30 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=40 +export NODES=10 %include %include set -x -export ntasks=240 -export ptile=6 +export ntasks=120 +export ptile=12 export threads=2 export model=%MODEL_NAME% @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/EUPD.sh +${HOMEgfs}/jobs/eupd.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/fcst.ecf index 679f955..eb0c4e3 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/fcst.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/fcst.ecf @@ -4,16 +4,16 @@ #BSUB -J gdas.fcst #BSUB -o %ECF_OUT%/gdas.fcst_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 0:15 #BSUB -R rusage[mem=1024] #BSUB -extsched CRAYLINUX[] -export NODES=12 +export NODES=16 %include %include set -x -export ntasks=144 +export ntasks=192 export ptile=12 # No OpenMP in use, so I am not setting $threads @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/FCST.sh +${HOMEgfs}/jobs/fcst.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g000.ecf new file mode 100644 index 0000000..ab95cde --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g000.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.g000 +#BSUB -o %ECF_OUT%/gdas.post.g000_t%CYC%z.log + +#BSUB -W 0:30 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g001.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g001.ecf new file mode 100644 index 0000000..a44dc5b --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g001.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.g001 +#BSUB -o %ECF_OUT%/gdas.post.g001_t%CYC%z.log + +#BSUB -W 0:30 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g002.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g002.ecf new file mode 100644 index 0000000..e3feb41 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g002.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.g002 +#BSUB -o %ECF_OUT%/gdas.post.g002_t%CYC%z.log + +#BSUB -W 0:30 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g003.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g003.ecf new file mode 100644 index 0000000..8e3dfca --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g003.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.g003 +#BSUB -o %ECF_OUT%/gdas.post.g003_t%CYC%z.log + +#BSUB -W 0:30 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g004.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g004.ecf new file mode 100644 index 0000000..80c0ad3 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g004.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.g004 +#BSUB -o %ECF_OUT%/gdas.post.g004_t%CYC%z.log + +#BSUB -W 0:30 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g005.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g005.ecf new file mode 100644 index 0000000..13e6303 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g005.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.g005 +#BSUB -o %ECF_OUT%/gdas.post.g005_t%CYC%z.log + +#BSUB -W 0:30 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/post_manager.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/post_manager.ecf index 8e63210..abdb368 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/post_manager.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/post_manager.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.post.post_manager #BSUB -o %ECF_OUT%/gdas.post.post_manager_t%CYC%z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/prep.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/prep.ecf index 4cb18a3..9e74c3d 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/prep.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/prep.ecf @@ -4,18 +4,18 @@ #BSUB -J gdas.prep #BSUB -o %ECF_OUT%/gdas.prep_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 0:15 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=3 +export NODES=1 %include %include set -x -export ntasks=6 -export ptile=2 -export threads=9223372036854775807 +export ntasks=12 +export ptile=24 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/PREP.sh +${HOMEgfs}/jobs/prep.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/vrfy.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/vrfy.ecf index cc0964a..c672457 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/vrfy.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/vrfy.ecf @@ -4,7 +4,7 @@ #BSUB -J gdas.vrfy #BSUB -o %ECF_OUT%/gdas.vrfy_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 3:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -15,7 +15,7 @@ set -x export ntasks=1 export ptile=1 -export threads=2 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/VRFY.sh +${HOMEgfs}/jobs/vrfy.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/anal.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/anal.ecf index dfe5721..1b8bfb8 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/anal.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/anal.ecf @@ -4,18 +4,18 @@ #BSUB -J gfs.anal #BSUB -o %ECF_OUT%/gfs.anal_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:30 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=60 +export NODES=24 %include %include set -x -export ntasks=360 +export ntasks=144 export ptile=6 -export threads=2 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/ANAL.sh +${HOMEgfs}/jobs/anal.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/dump_waiter.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/dump_waiter.ecf index 80e02cd..5896384 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/dump_waiter.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/dump_waiter.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.dump_waiter #BSUB -o %ECF_OUT%/gfs.dump_waiter_t%CYC%z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -36,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/DUMP_WAITER.sh +${HOMEgfs}/jobs/dump_waiter.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/fcst.ecf index 8f91dc8..32e078d 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/fcst.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/fcst.ecf @@ -4,16 +4,16 @@ #BSUB -J gfs.fcst #BSUB -o %ECF_OUT%/gfs.fcst_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 6:00 #BSUB -R rusage[mem=1024] #BSUB -extsched CRAYLINUX[] -export NODES=12 +export NODES=16 %include %include set -x -export ntasks=144 +export ntasks=192 export ptile=12 # No OpenMP in use, so I am not setting $threads @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/FCST.sh +${HOMEgfs}/jobs/fcst.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp000.ecf index fa1fa0a..1cd9d72 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp000.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp000.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.post.grp000 #BSUB -o %ECF_OUT%/gfs.post.grp000_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=6 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/post +${HOMEgfs}/jobs/post.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp001.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp001.ecf index a12c498..c760e1e 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp001.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp001.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.post.grp001 #BSUB -o %ECF_OUT%/gfs.post.grp001_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=6 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/post +${HOMEgfs}/jobs/post.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp002.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp002.ecf index baa0c51..f40a9e5 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp002.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp002.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.post.grp002 #BSUB -o %ECF_OUT%/gfs.post.grp002_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=6 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/post +${HOMEgfs}/jobs/post.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp003.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp003.ecf index e087d1c..bb465f5 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp003.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp003.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.post.grp003 #BSUB -o %ECF_OUT%/gfs.post.grp003_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=6 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/post +${HOMEgfs}/jobs/post.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp004.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp004.ecf index 71abb14..448c1bc 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp004.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp004.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.post.grp004 #BSUB -o %ECF_OUT%/gfs.post.grp004_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=6 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/post +${HOMEgfs}/jobs/post.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp005.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp005.ecf index c369994..5285fe4 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp005.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp005.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.post.grp005 #BSUB -o %ECF_OUT%/gfs.post.grp005_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=6 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/post +${HOMEgfs}/jobs/post.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/post_manager.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/post_manager.ecf index 14992e4..9b8776b 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/post_manager.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/post_manager.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.post_manager #BSUB -o %ECF_OUT%/gfs.post.post_manager_t%CYC%z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/prep.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/prep.ecf index 45b80c2..f71f53a 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/prep.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/prep.ecf @@ -4,18 +4,18 @@ #BSUB -J gfs.prep #BSUB -o %ECF_OUT%/gfs.prep_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 0:15 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=3 +export NODES=1 %include %include set -x -export ntasks=6 -export ptile=2 -export threads=9223372036854775807 +export ntasks=12 +export ptile=24 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/PREP.sh +${HOMEgfs}/jobs/prep.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/vrfy.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/vrfy.ecf index eae1eba..39d1f24 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/vrfy.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/vrfy.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.vrfy #BSUB -o %ECF_OUT%/gfs.vrfy_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 6:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -15,7 +15,7 @@ set -x export ntasks=1 export ptile=1 -export threads=2 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/VRFY.sh +${HOMEgfs}/jobs/vrfy.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp00.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp00.ecf new file mode 100644 index 0000000..2b2d84a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp00.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp00 +#BSUB -o %ECF_OUT%/archive.earc.grp00_t%CYC%z.log + +#BSUB -W 6:00 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp01.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp01.ecf new file mode 100644 index 0000000..34f0d55 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp01.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp01 +#BSUB -o %ECF_OUT%/archive.earc.grp01_t%CYC%z.log + +#BSUB -W 6:00 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp02.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp02.ecf new file mode 100644 index 0000000..d4ae380 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp02.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp02 +#BSUB -o %ECF_OUT%/archive.earc.grp02_t%CYC%z.log + +#BSUB -W 6:00 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/gdasarch.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/gdasarch.ecf index 520dd46..4765345 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/gdasarch.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/gdasarch.ecf @@ -4,7 +4,7 @@ #BSUB -J archive.gdasarch #BSUB -o %ECF_OUT%/archive.gdasarch_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 6:00 #BSUB -R rusage[mem=3072] #BSUB -n 1 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/GDASARCH.sh +${HOMEgfs}/jobs/gdasarch.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/gfsarch.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/gfsarch.ecf index 3a71aaa..bf95afc 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/gfsarch.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/gfsarch.ecf @@ -4,7 +4,7 @@ #BSUB -J archive.gfsarch #BSUB -o %ECF_OUT%/archive.gfsarch_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 6:00 #BSUB -R rusage[mem=3072] #BSUB -n 1 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/GFSARCH.sh +${HOMEgfs}/jobs/gfsarch.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/anal.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/anal.ecf index 03363e6..1b42982 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/anal.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/anal.ecf @@ -4,18 +4,18 @@ #BSUB -J gdas.anal #BSUB -o %ECF_OUT%/gdas.anal_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:30 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=60 +export NODES=24 %include %include set -x -export ntasks=360 +export ntasks=144 export ptile=6 -export threads=2 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/ANAL.sh +${HOMEgfs}/jobs/anal.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/dump_waiter.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/dump_waiter.ecf index 0e861a2..5388ec0 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/dump_waiter.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/dump_waiter.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.dump_waiter #BSUB -o %ECF_OUT%/gdas.dump_waiter_t%CYC%z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -36,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/DUMP_WAITER.sh +${HOMEgfs}/jobs/dump_waiter.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/ecen.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/ecen.ecf index 5fcfe6a..cf96737 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/ecen.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/ecen.ecf @@ -4,17 +4,17 @@ #BSUB -J gdas.enkf.ecen #BSUB -o %ECF_OUT%/gdas.enkf.ecen_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] +#BSUB -W 0:30 +#BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] -export NODES=20 +export NODES=7 %include %include set -x -export ntasks=80 -export ptile=4 +export ntasks=84 +export ptile=12 export threads=2 export model=%MODEL_NAME% @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/ECEN.sh +${HOMEgfs}/jobs/ecen.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp1.ecf index d1ac26a..155e302 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp1.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp1.ecf @@ -4,18 +4,18 @@ #BSUB -J gdas.enkf.efcs.grp1 #BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp1_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] +#BSUB -W 1:00 +#BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] -export NODES=12 +export NODES=16 %include %include set -x -export ntasks=72 -export ptile=6 -export threads=2 +export ntasks=192 +export ptile=12 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/efcs +${HOMEgfs}/jobs/efcs.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp2.ecf index 903af95..b388b45 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp2.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp2.ecf @@ -4,18 +4,18 @@ #BSUB -J gdas.enkf.efcs.grp2 #BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp2_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] +#BSUB -W 1:00 +#BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] -export NODES=12 +export NODES=16 %include %include set -x -export ntasks=72 -export ptile=6 -export threads=2 +export ntasks=192 +export ptile=12 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/efcs +${HOMEgfs}/jobs/efcs.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eobs.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eobs.ecf index 169aec2..6f1d747 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eobs.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eobs.ecf @@ -4,7 +4,7 @@ #BSUB -J gdas.enkf.eobs #BSUB -o %ECF_OUT%/gdas.enkf.eobs_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 0:45 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/EOBS.sh +${HOMEgfs}/jobs/eobs.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp1.ecf index 7d636d9..e03099b 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp1.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp1.ecf @@ -4,7 +4,7 @@ #BSUB -J gdas.enkf.eomg.grp1 #BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp1_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/eomg +${HOMEgfs}/jobs/eomg.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp2.ecf index 8a674db..f9ae049 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp2.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp2.ecf @@ -4,7 +4,7 @@ #BSUB -J gdas.enkf.eomg.grp2 #BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp2_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/eomg +${HOMEgfs}/jobs/eomg.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/epos.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/epos.ecf index 01934e6..86f15e8 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/epos.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/epos.ecf @@ -4,17 +4,17 @@ #BSUB -J gdas.enkf.epos #BSUB -o %ECF_OUT%/gdas.enkf.epos_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 0:30 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] -export NODES=20 +export NODES=7 %include %include set -x -export ntasks=80 -export ptile=4 +export ntasks=84 +export ptile=12 export threads=2 export model=%MODEL_NAME% @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/EPOS.sh +${HOMEgfs}/jobs/epos.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eupd.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eupd.ecf index f488d54..cf4ca6c 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eupd.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eupd.ecf @@ -4,17 +4,17 @@ #BSUB -J gdas.enkf.eupd #BSUB -o %ECF_OUT%/gdas.enkf.eupd_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 0:30 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=40 +export NODES=10 %include %include set -x -export ntasks=240 -export ptile=6 +export ntasks=120 +export ptile=12 export threads=2 export model=%MODEL_NAME% @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/EUPD.sh +${HOMEgfs}/jobs/eupd.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/fcst.ecf index 679f955..eb0c4e3 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/fcst.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/fcst.ecf @@ -4,16 +4,16 @@ #BSUB -J gdas.fcst #BSUB -o %ECF_OUT%/gdas.fcst_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 0:15 #BSUB -R rusage[mem=1024] #BSUB -extsched CRAYLINUX[] -export NODES=12 +export NODES=16 %include %include set -x -export ntasks=144 +export ntasks=192 export ptile=12 # No OpenMP in use, so I am not setting $threads @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/FCST.sh +${HOMEgfs}/jobs/fcst.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g000.ecf new file mode 100644 index 0000000..ab95cde --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g000.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.g000 +#BSUB -o %ECF_OUT%/gdas.post.g000_t%CYC%z.log + +#BSUB -W 0:30 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g001.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g001.ecf new file mode 100644 index 0000000..a44dc5b --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g001.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.g001 +#BSUB -o %ECF_OUT%/gdas.post.g001_t%CYC%z.log + +#BSUB -W 0:30 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g002.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g002.ecf new file mode 100644 index 0000000..e3feb41 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g002.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.g002 +#BSUB -o %ECF_OUT%/gdas.post.g002_t%CYC%z.log + +#BSUB -W 0:30 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g003.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g003.ecf new file mode 100644 index 0000000..8e3dfca --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g003.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.g003 +#BSUB -o %ECF_OUT%/gdas.post.g003_t%CYC%z.log + +#BSUB -W 0:30 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g004.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g004.ecf new file mode 100644 index 0000000..80c0ad3 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g004.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.g004 +#BSUB -o %ECF_OUT%/gdas.post.g004_t%CYC%z.log + +#BSUB -W 0:30 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g005.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g005.ecf new file mode 100644 index 0000000..13e6303 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g005.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.g005 +#BSUB -o %ECF_OUT%/gdas.post.g005_t%CYC%z.log + +#BSUB -W 0:30 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/post_manager.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/post_manager.ecf index 8e63210..abdb368 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/post_manager.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/post_manager.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.post.post_manager #BSUB -o %ECF_OUT%/gdas.post.post_manager_t%CYC%z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/prep.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/prep.ecf index 4cb18a3..9e74c3d 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/prep.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/prep.ecf @@ -4,18 +4,18 @@ #BSUB -J gdas.prep #BSUB -o %ECF_OUT%/gdas.prep_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 0:15 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=3 +export NODES=1 %include %include set -x -export ntasks=6 -export ptile=2 -export threads=9223372036854775807 +export ntasks=12 +export ptile=24 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/PREP.sh +${HOMEgfs}/jobs/prep.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/vrfy.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/vrfy.ecf index cc0964a..c672457 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/vrfy.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/vrfy.ecf @@ -4,7 +4,7 @@ #BSUB -J gdas.vrfy #BSUB -o %ECF_OUT%/gdas.vrfy_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 3:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -15,7 +15,7 @@ set -x export ntasks=1 export ptile=1 -export threads=2 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/VRFY.sh +${HOMEgfs}/jobs/vrfy.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/anal.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/anal.ecf index dfe5721..1b8bfb8 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/anal.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/anal.ecf @@ -4,18 +4,18 @@ #BSUB -J gfs.anal #BSUB -o %ECF_OUT%/gfs.anal_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:30 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=60 +export NODES=24 %include %include set -x -export ntasks=360 +export ntasks=144 export ptile=6 -export threads=2 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/ANAL.sh +${HOMEgfs}/jobs/anal.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/dump_waiter.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/dump_waiter.ecf index 80e02cd..5896384 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/dump_waiter.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/dump_waiter.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.dump_waiter #BSUB -o %ECF_OUT%/gfs.dump_waiter_t%CYC%z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -36,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/DUMP_WAITER.sh +${HOMEgfs}/jobs/dump_waiter.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/fcst.ecf index 8f91dc8..32e078d 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/fcst.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/fcst.ecf @@ -4,16 +4,16 @@ #BSUB -J gfs.fcst #BSUB -o %ECF_OUT%/gfs.fcst_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 6:00 #BSUB -R rusage[mem=1024] #BSUB -extsched CRAYLINUX[] -export NODES=12 +export NODES=16 %include %include set -x -export ntasks=144 +export ntasks=192 export ptile=12 # No OpenMP in use, so I am not setting $threads @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/FCST.sh +${HOMEgfs}/jobs/fcst.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp000.ecf index fa1fa0a..1cd9d72 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp000.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp000.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.post.grp000 #BSUB -o %ECF_OUT%/gfs.post.grp000_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=6 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/post +${HOMEgfs}/jobs/post.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp001.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp001.ecf index a12c498..c760e1e 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp001.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp001.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.post.grp001 #BSUB -o %ECF_OUT%/gfs.post.grp001_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=6 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/post +${HOMEgfs}/jobs/post.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp002.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp002.ecf index baa0c51..f40a9e5 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp002.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp002.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.post.grp002 #BSUB -o %ECF_OUT%/gfs.post.grp002_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=6 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/post +${HOMEgfs}/jobs/post.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp003.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp003.ecf index e087d1c..bb465f5 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp003.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp003.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.post.grp003 #BSUB -o %ECF_OUT%/gfs.post.grp003_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=6 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/post +${HOMEgfs}/jobs/post.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp004.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp004.ecf index 71abb14..448c1bc 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp004.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp004.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.post.grp004 #BSUB -o %ECF_OUT%/gfs.post.grp004_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=6 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/post +${HOMEgfs}/jobs/post.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp005.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp005.ecf index c369994..5285fe4 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp005.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp005.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.post.grp005 #BSUB -o %ECF_OUT%/gfs.post.grp005_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=6 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/post +${HOMEgfs}/jobs/post.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/post_manager.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/post_manager.ecf index 14992e4..9b8776b 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/post_manager.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/post_manager.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.post_manager #BSUB -o %ECF_OUT%/gfs.post.post_manager_t%CYC%z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/prep.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/prep.ecf index 45b80c2..f71f53a 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/prep.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/prep.ecf @@ -4,18 +4,18 @@ #BSUB -J gfs.prep #BSUB -o %ECF_OUT%/gfs.prep_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 0:15 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=3 +export NODES=1 %include %include set -x -export ntasks=6 -export ptile=2 -export threads=9223372036854775807 +export ntasks=12 +export ptile=24 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/PREP.sh +${HOMEgfs}/jobs/prep.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/vrfy.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/vrfy.ecf index eae1eba..39d1f24 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/vrfy.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/vrfy.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.vrfy #BSUB -o %ECF_OUT%/gfs.vrfy_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 6:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -15,7 +15,7 @@ set -x export ntasks=1 export ptile=1 -export threads=2 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/VRFY.sh +${HOMEgfs}/jobs/vrfy.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp00.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp00.ecf new file mode 100644 index 0000000..2b2d84a --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp00.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp00 +#BSUB -o %ECF_OUT%/archive.earc.grp00_t%CYC%z.log + +#BSUB -W 6:00 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp01.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp01.ecf new file mode 100644 index 0000000..34f0d55 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp01.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp01 +#BSUB -o %ECF_OUT%/archive.earc.grp01_t%CYC%z.log + +#BSUB -W 6:00 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp02.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp02.ecf new file mode 100644 index 0000000..d4ae380 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp02.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUESERV% +#BSUB -P %PROJECT% +#BSUB -J archive.earc.grp02 +#BSUB -o %ECF_OUT%/archive.earc.grp02_t%CYC%z.log + +#BSUB -W 6:00 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +%include + +set -x + +export ntasks=1 +export ptile=1 +export threads=2 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/earc.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/gdasarch.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/gdasarch.ecf index 520dd46..4765345 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/gdasarch.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/gdasarch.ecf @@ -4,7 +4,7 @@ #BSUB -J archive.gdasarch #BSUB -o %ECF_OUT%/archive.gdasarch_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 6:00 #BSUB -R rusage[mem=3072] #BSUB -n 1 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/GDASARCH.sh +${HOMEgfs}/jobs/gdasarch.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/gfsarch.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/gfsarch.ecf index 3a71aaa..bf95afc 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/gfsarch.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/gfsarch.ecf @@ -4,7 +4,7 @@ #BSUB -J archive.gfsarch #BSUB -o %ECF_OUT%/archive.gfsarch_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 6:00 #BSUB -R rusage[mem=3072] #BSUB -n 1 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/GFSARCH.sh +${HOMEgfs}/jobs/gfsarch.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/anal.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/anal.ecf index 03363e6..1b42982 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/anal.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/anal.ecf @@ -4,18 +4,18 @@ #BSUB -J gdas.anal #BSUB -o %ECF_OUT%/gdas.anal_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:30 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=60 +export NODES=24 %include %include set -x -export ntasks=360 +export ntasks=144 export ptile=6 -export threads=2 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/ANAL.sh +${HOMEgfs}/jobs/anal.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/dump_waiter.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/dump_waiter.ecf index 0e861a2..5388ec0 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/dump_waiter.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/dump_waiter.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.dump_waiter #BSUB -o %ECF_OUT%/gdas.dump_waiter_t%CYC%z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -36,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/DUMP_WAITER.sh +${HOMEgfs}/jobs/dump_waiter.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/ecen.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/ecen.ecf index 5fcfe6a..cf96737 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/ecen.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/ecen.ecf @@ -4,17 +4,17 @@ #BSUB -J gdas.enkf.ecen #BSUB -o %ECF_OUT%/gdas.enkf.ecen_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] +#BSUB -W 0:30 +#BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] -export NODES=20 +export NODES=7 %include %include set -x -export ntasks=80 -export ptile=4 +export ntasks=84 +export ptile=12 export threads=2 export model=%MODEL_NAME% @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/ECEN.sh +${HOMEgfs}/jobs/ecen.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp1.ecf index d1ac26a..155e302 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp1.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp1.ecf @@ -4,18 +4,18 @@ #BSUB -J gdas.enkf.efcs.grp1 #BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp1_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] +#BSUB -W 1:00 +#BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] -export NODES=12 +export NODES=16 %include %include set -x -export ntasks=72 -export ptile=6 -export threads=2 +export ntasks=192 +export ptile=12 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/efcs +${HOMEgfs}/jobs/efcs.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp2.ecf index 903af95..b388b45 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp2.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp2.ecf @@ -4,18 +4,18 @@ #BSUB -J gdas.enkf.efcs.grp2 #BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp2_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] +#BSUB -W 1:00 +#BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] -export NODES=12 +export NODES=16 %include %include set -x -export ntasks=72 -export ptile=6 -export threads=2 +export ntasks=192 +export ptile=12 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/efcs +${HOMEgfs}/jobs/efcs.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eobs.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eobs.ecf index 169aec2..6f1d747 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eobs.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eobs.ecf @@ -4,7 +4,7 @@ #BSUB -J gdas.enkf.eobs #BSUB -o %ECF_OUT%/gdas.enkf.eobs_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 0:45 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/EOBS.sh +${HOMEgfs}/jobs/eobs.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp1.ecf index 7d636d9..e03099b 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp1.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp1.ecf @@ -4,7 +4,7 @@ #BSUB -J gdas.enkf.eomg.grp1 #BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp1_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/eomg +${HOMEgfs}/jobs/eomg.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp2.ecf index 8a674db..f9ae049 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp2.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp2.ecf @@ -4,7 +4,7 @@ #BSUB -J gdas.enkf.eomg.grp2 #BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp2_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=12 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/eomg +${HOMEgfs}/jobs/eomg.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/epos.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/epos.ecf index 01934e6..86f15e8 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/epos.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/epos.ecf @@ -4,17 +4,17 @@ #BSUB -J gdas.enkf.epos #BSUB -o %ECF_OUT%/gdas.enkf.epos_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 0:30 #BSUB -R rusage[mem=254] #BSUB -extsched CRAYLINUX[] -export NODES=20 +export NODES=7 %include %include set -x -export ntasks=80 -export ptile=4 +export ntasks=84 +export ptile=12 export threads=2 export model=%MODEL_NAME% @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/EPOS.sh +${HOMEgfs}/jobs/epos.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eupd.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eupd.ecf index f488d54..cf4ca6c 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eupd.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eupd.ecf @@ -4,17 +4,17 @@ #BSUB -J gdas.enkf.eupd #BSUB -o %ECF_OUT%/gdas.enkf.eupd_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 0:30 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=40 +export NODES=10 %include %include set -x -export ntasks=240 -export ptile=6 +export ntasks=120 +export ptile=12 export threads=2 export model=%MODEL_NAME% @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/EUPD.sh +${HOMEgfs}/jobs/eupd.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/fcst.ecf index 679f955..eb0c4e3 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/fcst.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/fcst.ecf @@ -4,16 +4,16 @@ #BSUB -J gdas.fcst #BSUB -o %ECF_OUT%/gdas.fcst_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 0:15 #BSUB -R rusage[mem=1024] #BSUB -extsched CRAYLINUX[] -export NODES=12 +export NODES=16 %include %include set -x -export ntasks=144 +export ntasks=192 export ptile=12 # No OpenMP in use, so I am not setting $threads @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/FCST.sh +${HOMEgfs}/jobs/fcst.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g000.ecf new file mode 100644 index 0000000..ab95cde --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g000.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.g000 +#BSUB -o %ECF_OUT%/gdas.post.g000_t%CYC%z.log + +#BSUB -W 0:30 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g001.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g001.ecf new file mode 100644 index 0000000..a44dc5b --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g001.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.g001 +#BSUB -o %ECF_OUT%/gdas.post.g001_t%CYC%z.log + +#BSUB -W 0:30 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g002.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g002.ecf new file mode 100644 index 0000000..e3feb41 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g002.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.g002 +#BSUB -o %ECF_OUT%/gdas.post.g002_t%CYC%z.log + +#BSUB -W 0:30 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g003.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g003.ecf new file mode 100644 index 0000000..8e3dfca --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g003.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.g003 +#BSUB -o %ECF_OUT%/gdas.post.g003_t%CYC%z.log + +#BSUB -W 0:30 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g004.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g004.ecf new file mode 100644 index 0000000..80c0ad3 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g004.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.g004 +#BSUB -o %ECF_OUT%/gdas.post.g004_t%CYC%z.log + +#BSUB -W 0:30 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g005.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g005.ecf new file mode 100644 index 0000000..13e6303 --- /dev/null +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g005.ecf @@ -0,0 +1,45 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gdas.post.g005 +#BSUB -o %ECF_OUT%/gdas.post.g005_t%CYC%z.log + +#BSUB -W 0:30 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +${HOMEgfs}/jobs/post.sh + +%include +%manual +# FIXME: Insert manual for this job. + diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/post_manager.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/post_manager.ecf index 8e63210..abdb368 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/post_manager.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/post_manager.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.post.post_manager #BSUB -o %ECF_OUT%/gdas.post.post_manager_t%CYC%z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/prep.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/prep.ecf index 4cb18a3..9e74c3d 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/prep.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/prep.ecf @@ -4,18 +4,18 @@ #BSUB -J gdas.prep #BSUB -o %ECF_OUT%/gdas.prep_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 0:15 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=3 +export NODES=1 %include %include set -x -export ntasks=6 -export ptile=2 -export threads=9223372036854775807 +export ntasks=12 +export ptile=24 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/PREP.sh +${HOMEgfs}/jobs/prep.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/vrfy.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/vrfy.ecf index cc0964a..c672457 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/vrfy.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/vrfy.ecf @@ -4,7 +4,7 @@ #BSUB -J gdas.vrfy #BSUB -o %ECF_OUT%/gdas.vrfy_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 3:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -15,7 +15,7 @@ set -x export ntasks=1 export ptile=1 -export threads=2 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/VRFY.sh +${HOMEgfs}/jobs/vrfy.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/anal.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/anal.ecf index dfe5721..1b8bfb8 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/anal.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/anal.ecf @@ -4,18 +4,18 @@ #BSUB -J gfs.anal #BSUB -o %ECF_OUT%/gfs.anal_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:30 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=60 +export NODES=24 %include %include set -x -export ntasks=360 +export ntasks=144 export ptile=6 -export threads=2 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/ANAL.sh +${HOMEgfs}/jobs/anal.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/dump_waiter.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/dump_waiter.ecf index 80e02cd..5896384 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/dump_waiter.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/dump_waiter.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.dump_waiter #BSUB -o %ECF_OUT%/gfs.dump_waiter_t%CYC%z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -36,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/DUMP_WAITER.sh +${HOMEgfs}/jobs/dump_waiter.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/fcst.ecf index 8f91dc8..32e078d 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/fcst.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/fcst.ecf @@ -4,16 +4,16 @@ #BSUB -J gfs.fcst #BSUB -o %ECF_OUT%/gfs.fcst_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 6:00 #BSUB -R rusage[mem=1024] #BSUB -extsched CRAYLINUX[] -export NODES=12 +export NODES=16 %include %include set -x -export ntasks=144 +export ntasks=192 export ptile=12 # No OpenMP in use, so I am not setting $threads @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/FCST.sh +${HOMEgfs}/jobs/fcst.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp000.ecf index fa1fa0a..1cd9d72 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp000.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp000.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.post.grp000 #BSUB -o %ECF_OUT%/gfs.post.grp000_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=6 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/post +${HOMEgfs}/jobs/post.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp001.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp001.ecf index a12c498..c760e1e 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp001.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp001.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.post.grp001 #BSUB -o %ECF_OUT%/gfs.post.grp001_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=6 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/post +${HOMEgfs}/jobs/post.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp002.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp002.ecf index baa0c51..f40a9e5 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp002.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp002.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.post.grp002 #BSUB -o %ECF_OUT%/gfs.post.grp002_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=6 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/post +${HOMEgfs}/jobs/post.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp003.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp003.ecf index e087d1c..bb465f5 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp003.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp003.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.post.grp003 #BSUB -o %ECF_OUT%/gfs.post.grp003_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=6 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/post +${HOMEgfs}/jobs/post.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp004.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp004.ecf index 71abb14..448c1bc 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp004.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp004.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.post.grp004 #BSUB -o %ECF_OUT%/gfs.post.grp004_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=6 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/post +${HOMEgfs}/jobs/post.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp005.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp005.ecf index c369994..5285fe4 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp005.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp005.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.post.grp005 #BSUB -o %ECF_OUT%/gfs.post.grp005_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 1:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=6 @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/post +${HOMEgfs}/jobs/post.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/post_manager.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/post_manager.ecf index 14992e4..9b8776b 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/post_manager.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/post_manager.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.post_manager #BSUB -o %ECF_OUT%/gfs.post.post_manager_t%CYC%z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/prep.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/prep.ecf index 45b80c2..f71f53a 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/prep.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/prep.ecf @@ -4,18 +4,18 @@ #BSUB -J gfs.prep #BSUB -o %ECF_OUT%/gfs.prep_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 0:15 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] -export NODES=3 +export NODES=1 %include %include set -x -export ntasks=6 -export ptile=2 -export threads=9223372036854775807 +export ntasks=12 +export ptile=24 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/PREP.sh +${HOMEgfs}/jobs/prep.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/vrfy.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/vrfy.ecf index eae1eba..39d1f24 100644 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/vrfy.ecf +++ b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/vrfy.ecf @@ -4,7 +4,7 @@ #BSUB -J gfs.vrfy #BSUB -o %ECF_OUT%/gfs.vrfy_t%CYC%z.log -#BSUB -W 0:02 +#BSUB -W 6:00 #BSUB -R rusage[mem=3072] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -15,7 +15,7 @@ set -x export ntasks=1 export ptile=1 -export threads=2 +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -37,7 +37,7 @@ module list export cyc=%CYC% # CALL executable job script here -${HOMEgfs}/jobs/VRFY.sh +${HOMEgfs}/jobs/vrfy.sh %include %manual diff --git a/model/rocoto_style_fv3gfs/settings.yaml b/model/rocoto_style_fv3gfs/settings.yaml index f5b8f19..7d1ec2f 100644 --- a/model/rocoto_style_fv3gfs/settings.yaml +++ b/model/rocoto_style_fv3gfs/settings.yaml @@ -4,23 +4,26 @@ initial_directory: !calc ( tools.realpath(tools.abspath(".")) ) settings: NMEM_ENKF: 80 - ENKF_GROUPS: 16 + ENKF_GROUPS: 2 ENKF_INNOVATE_GROUPS: !calc ENKF_GROUPS ENKF_FORECAST_GROUPS: !calc ENKF_GROUPS - ENKF_ARCHIVE_GROUPS: !calc ENKF_GROUPS + ENKF_ARCHIVE_GROUPS: 3 ECF_HOME: !calc ( doc.initial_directory ) ROCOTO_HOME: !calc ( doc.initial_directory ) HOMEgfs: "/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/snapshot_flat/gfs.v15.0.0" layout_x: 4 layout_y: 6 + WRITE_GROUP: 2 + WRTTASK_PER_GROUP: 24 experiment_name: ecfgfsfv3 - QUEUE: debug + QUEUE: dev PROJECT: GFS-T2O QUEUESERV: dev_transfer - COM: !expand '/gpfs/hps2/ptmp/emc.glopara/{experiment_name}/com' + COM: !expand '/gpfs/hps3/ptmp/Samuel.Trahan/comdir2/{experiment_name}' + EXPDIR: !expand '/gpfs/hps3/ptmp/Samuel.Trahan/expdir2/{experiment_name}' DATAROOT: !expand '/gpfs/hps2/stmp/emc.glopara/{experiment_name}' DUMPDIR: "/gpfs/tp1/emc/globaldump" diff --git a/model/rocoto_style_fv3gfs/suite_def.yaml b/model/rocoto_style_fv3gfs/suite_def.yaml index 6655214..ca46f82 100644 --- a/model/rocoto_style_fv3gfs/suite_def.yaml +++ b/model/rocoto_style_fv3gfs/suite_def.yaml @@ -76,6 +76,7 @@ suite: !Cycle + {tools.indent(" ",suite.common_metasched_vars)} ]> &LOG_DIR;/rocoto_@Y@m@d@H.log + 201801010000 201801010000 06:00:00 + 201801010000 201801021800 06:00:00 + 201801010000 201801021800 12:00:00 + 201801010000 201801021800 12:00:00 DUMMY_VALUE - &HOMEgfs;/jobs/PREP.sh + &HOMEgfs;/jobs/rocoto/prep.sh &QUEUE; &PROJECT; gdas.prep - &LOG_DIR;/gdas.prep_t@Hz.log + &LOG_DIR;/@Y@m@d/@H/gdas.prep.log - 0:02:00 + 0:15:00 3072M - 3:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - - - - - - - - - DUMMY_VALUE - - &HOMEgfs;/jobs/EOBS.sh - &QUEUE; - &PROJECT; - gdas.enkf.eobs - &LOG_DIR;/gdas.enkf.eobs_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - - - - - - - - - - DUMMY_VALUE - - &HOMEgfs;/jobs/eomg - &QUEUE; - &PROJECT; - gdas.enkf.eomg.grp1 - &LOG_DIR;/gdas.enkf.eomg.grp1_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP1 - - - - - - - &HOMEgfs;/jobs/eomg - &QUEUE; - &PROJECT; - gdas.enkf.eomg.grp2 - &LOG_DIR;/gdas.enkf.eomg.grp2_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP2 - - - - - - - &HOMEgfs;/jobs/eomg - &QUEUE; - &PROJECT; - gdas.enkf.eomg.grp3 - &LOG_DIR;/gdas.enkf.eomg.grp3_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP3 - - - - - - - &HOMEgfs;/jobs/eomg - &QUEUE; - &PROJECT; - gdas.enkf.eomg.grp4 - &LOG_DIR;/gdas.enkf.eomg.grp4_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP4 - - - - - - - &HOMEgfs;/jobs/eomg - &QUEUE; - &PROJECT; - gdas.enkf.eomg.grp5 - &LOG_DIR;/gdas.enkf.eomg.grp5_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP5 - - - - - - - &HOMEgfs;/jobs/eomg - &QUEUE; - &PROJECT; - gdas.enkf.eomg.grp6 - &LOG_DIR;/gdas.enkf.eomg.grp6_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP6 - - - - - - - &HOMEgfs;/jobs/eomg - &QUEUE; - &PROJECT; - gdas.enkf.eomg.grp7 - &LOG_DIR;/gdas.enkf.eomg.grp7_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP7 - - - - - - - &HOMEgfs;/jobs/eomg - &QUEUE; - &PROJECT; - gdas.enkf.eomg.grp8 - &LOG_DIR;/gdas.enkf.eomg.grp8_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP8 - - - - - - - &HOMEgfs;/jobs/eomg - &QUEUE; - &PROJECT; - gdas.enkf.eomg.grp9 - &LOG_DIR;/gdas.enkf.eomg.grp9_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP9 - - - - - - - &HOMEgfs;/jobs/eomg - &QUEUE; - &PROJECT; - gdas.enkf.eomg.grp10 - &LOG_DIR;/gdas.enkf.eomg.grp10_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP10 - - - - - - - &HOMEgfs;/jobs/eomg - &QUEUE; - &PROJECT; - gdas.enkf.eomg.grp11 - &LOG_DIR;/gdas.enkf.eomg.grp11_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP11 - - - - - - - &HOMEgfs;/jobs/eomg - &QUEUE; - &PROJECT; - gdas.enkf.eomg.grp12 - &LOG_DIR;/gdas.enkf.eomg.grp12_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP12 - - - - - - - &HOMEgfs;/jobs/eomg - &QUEUE; - &PROJECT; - gdas.enkf.eomg.grp13 - &LOG_DIR;/gdas.enkf.eomg.grp13_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP13 - - - - - - - &HOMEgfs;/jobs/eomg - &QUEUE; - &PROJECT; - gdas.enkf.eomg.grp14 - &LOG_DIR;/gdas.enkf.eomg.grp14_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP14 - - - - - - - &HOMEgfs;/jobs/eomg - &QUEUE; - &PROJECT; - gdas.enkf.eomg.grp15 - &LOG_DIR;/gdas.enkf.eomg.grp15_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP15 - - - - - - - &HOMEgfs;/jobs/eomg - &QUEUE; - &PROJECT; - gdas.enkf.eomg.grp16 - &LOG_DIR;/gdas.enkf.eomg.grp16_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP16 - - - - - - - - &HOMEgfs;/jobs/EUPD.sh - &QUEUE; - &PROJECT; - gdas.enkf.eupd - &LOG_DIR;/gdas.enkf.eupd_t@Hz.log - - 0:02:00 - 3072M - 40:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - - - - - - - &HOMEgfs;/jobs/ECEN.sh - &QUEUE; - &PROJECT; - gdas.enkf.ecen - &LOG_DIR;/gdas.enkf.ecen_t@Hz.log - - 0:02:00 - 3072M - 20:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - - - - - - - - - - DUMMY_VALUE - - &HOMEgfs;/jobs/efcs - &QUEUE; - &PROJECT; - gdas.enkf.efcs.grp1 - &LOG_DIR;/gdas.enkf.efcs.grp1_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP1 - - - - - - - &HOMEgfs;/jobs/efcs - &QUEUE; - &PROJECT; - gdas.enkf.efcs.grp2 - &LOG_DIR;/gdas.enkf.efcs.grp2_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP2 - - - - - - - &HOMEgfs;/jobs/efcs - &QUEUE; - &PROJECT; - gdas.enkf.efcs.grp3 - &LOG_DIR;/gdas.enkf.efcs.grp3_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP3 - - - - - - - &HOMEgfs;/jobs/efcs - &QUEUE; - &PROJECT; - gdas.enkf.efcs.grp4 - &LOG_DIR;/gdas.enkf.efcs.grp4_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP4 - - - - - - - &HOMEgfs;/jobs/efcs - &QUEUE; - &PROJECT; - gdas.enkf.efcs.grp5 - &LOG_DIR;/gdas.enkf.efcs.grp5_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP5 - - - - - - - &HOMEgfs;/jobs/efcs - &QUEUE; - &PROJECT; - gdas.enkf.efcs.grp6 - &LOG_DIR;/gdas.enkf.efcs.grp6_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP6 - - - - - - - &HOMEgfs;/jobs/efcs - &QUEUE; - &PROJECT; - gdas.enkf.efcs.grp7 - &LOG_DIR;/gdas.enkf.efcs.grp7_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP7 - - - - - - - &HOMEgfs;/jobs/efcs - &QUEUE; - &PROJECT; - gdas.enkf.efcs.grp8 - &LOG_DIR;/gdas.enkf.efcs.grp8_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP8 - - - - - - - &HOMEgfs;/jobs/efcs - &QUEUE; - &PROJECT; - gdas.enkf.efcs.grp9 - &LOG_DIR;/gdas.enkf.efcs.grp9_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP9 - - - - - - - &HOMEgfs;/jobs/efcs - &QUEUE; - &PROJECT; - gdas.enkf.efcs.grp10 - &LOG_DIR;/gdas.enkf.efcs.grp10_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP10 - - - - - - - &HOMEgfs;/jobs/efcs - &QUEUE; - &PROJECT; - gdas.enkf.efcs.grp11 - &LOG_DIR;/gdas.enkf.efcs.grp11_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP11 - - - - - - - &HOMEgfs;/jobs/efcs - &QUEUE; - &PROJECT; - gdas.enkf.efcs.grp12 - &LOG_DIR;/gdas.enkf.efcs.grp12_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP12 - - - - - - - &HOMEgfs;/jobs/efcs - &QUEUE; - &PROJECT; - gdas.enkf.efcs.grp13 - &LOG_DIR;/gdas.enkf.efcs.grp13_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP13 - - - - - - - &HOMEgfs;/jobs/efcs - &QUEUE; - &PROJECT; - gdas.enkf.efcs.grp14 - &LOG_DIR;/gdas.enkf.efcs.grp14_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP14 - - - - - - - &HOMEgfs;/jobs/efcs - &QUEUE; - &PROJECT; - gdas.enkf.efcs.grp15 - &LOG_DIR;/gdas.enkf.efcs.grp15_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP15 - - - - - - - &HOMEgfs;/jobs/efcs - &QUEUE; - &PROJECT; - gdas.enkf.efcs.grp16 - &LOG_DIR;/gdas.enkf.efcs.grp16_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - ENSGRP16 - - - - - - - - &HOMEgfs;/jobs/EPOS.sh - &QUEUE; - &PROJECT; - gdas.enkf.epos - &LOG_DIR;/gdas.enkf.epos_t@Hz.log - - 0:02:00 - 254M - 20:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgdas - - - - - - + 1:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgdas + + + + + /gpfs/tp1/emc/globaldump/@Y@m@d@H/gdas/gdas.t@Hz.updated.status.tm00.bufr_d + + + - &HOMEgfs;/jobs/ANAL.sh + &HOMEgfs;/jobs/rocoto/anal.sh &QUEUE; &PROJECT; gdas.anal - &LOG_DIR;/gdas.anal_t@Hz.log + &LOG_DIR;/@Y@m@d/@H/gdas.anal.log - 0:02:00 + 1:30:00 3072M - 60:ppn=24 + 24:ppn=6 + CDATE@Y@m@d@H - EXPDIREXPDIR + EXPDIR&EXPDIR; CDUMPgdas @@ -757,17 +70,18 @@ - &HOMEgfs;/jobs/FCST.sh + &HOMEgfs;/jobs/rocoto/fcst.sh &QUEUE; &PROJECT; gdas.fcst - &LOG_DIR;/gdas.fcst_t@Hz.log + &LOG_DIR;/@Y@m@d/@H/gdas.fcst.log - 0:02:00 + 0:15:00 1024M - 12:ppn=24 + 16:ppn=12 + CDATE@Y@m@d@H - EXPDIREXPDIR + EXPDIR&EXPDIR; CDUMPgdas @@ -780,161 +94,372 @@ - DUMMY_VALUE - - &HOMEgfs;/jobs/post + DUMMY_VALUE + + &HOMEgfs;/jobs/rocoto/post.sh &QUEUE; &PROJECT; - gdas.post.f000 - &LOG_DIR;/gdas.post.f000_t@Hz.log + gdas.post.g000 + &LOG_DIR;/@Y@m@d/@H/gdas.post.g000.log + + 0:30:00 + 3072M + 6:ppn=12 - 300M - 1:ppn=24 CDATE@Y@m@d@H - EXPDIREXPDIR + EXPDIR&EXPDIR; CDUMPgdas - FHRGRPf000 + FHRGRP000 FHRLSTanl - + + &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf000.nemsio + - - &HOMEgfs;/jobs/post + + &HOMEgfs;/jobs/rocoto/post.sh &QUEUE; &PROJECT; - gdas.post.f006 - &LOG_DIR;/gdas.post.f006_t@Hz.log + gdas.post.g001 + &LOG_DIR;/@Y@m@d/@H/gdas.post.g001.log + + 0:30:00 + 3072M + 6:ppn=12 - 300M - 1:ppn=24 CDATE@Y@m@d@H - EXPDIREXPDIR + EXPDIR&EXPDIR; CDUMPgdas - FHRGRPf006 - FHRLSTf006 + FHRGRP001 + FHRLSTf000 - + + &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf001.nemsio + - - &HOMEgfs;/jobs/post + + &HOMEgfs;/jobs/rocoto/post.sh &QUEUE; &PROJECT; - gdas.post.f012 - &LOG_DIR;/gdas.post.f012_t@Hz.log + gdas.post.g002 + &LOG_DIR;/@Y@m@d/@H/gdas.post.g002.log + + 0:30:00 + 3072M + 6:ppn=12 - 300M - 1:ppn=24 CDATE@Y@m@d@H - EXPDIREXPDIR + EXPDIR&EXPDIR; CDUMPgdas - FHRGRPf012 - FHRLSTf012 + FHRGRP002 + FHRLSTf003 - + + &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf002.nemsio + - - &HOMEgfs;/jobs/post + + &HOMEgfs;/jobs/rocoto/post.sh &QUEUE; &PROJECT; - gdas.post.f018 - &LOG_DIR;/gdas.post.f018_t@Hz.log + gdas.post.g003 + &LOG_DIR;/@Y@m@d/@H/gdas.post.g003.log + + 0:30:00 + 3072M + 6:ppn=12 - 300M - 1:ppn=24 CDATE@Y@m@d@H - EXPDIREXPDIR + EXPDIR&EXPDIR; CDUMPgdas - FHRGRPf018 - FHRLSTf018 + FHRGRP003 + FHRLSTf006 - + + &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf003.nemsio + - - &HOMEgfs;/jobs/post + + &HOMEgfs;/jobs/rocoto/post.sh &QUEUE; &PROJECT; - gdas.post.f024 - &LOG_DIR;/gdas.post.f024_t@Hz.log + gdas.post.g004 + &LOG_DIR;/@Y@m@d/@H/gdas.post.g004.log + + 0:30:00 + 3072M + 6:ppn=12 - 300M - 1:ppn=24 CDATE@Y@m@d@H - EXPDIREXPDIR + EXPDIR&EXPDIR; CDUMPgdas - FHRGRPf024 - FHRLSTf024 + FHRGRP004 + FHRLSTf009 - + + &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf004.nemsio + - - &HOMEgfs;/jobs/post + + &HOMEgfs;/jobs/rocoto/post.sh &QUEUE; &PROJECT; - gdas.post.f030 - &LOG_DIR;/gdas.post.f030_t@Hz.log + gdas.post.g005 + &LOG_DIR;/@Y@m@d/@H/gdas.post.g005.log + + 0:30:00 + 3072M + 6:ppn=12 - 300M - 1:ppn=24 CDATE@Y@m@d@H - EXPDIREXPDIR + EXPDIR&EXPDIR; CDUMPgdas - FHRGRPf030 - FHRLSTf030 + FHRGRP005 + FHRLSTf012 - + + &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf005.nemsio + - &HOMEgfs;/jobs/VRFY.sh + &HOMEgfs;/jobs/rocoto/vrfy.sh &QUEUE; &PROJECT; gdas.vrfy - &LOG_DIR;/gdas.vrfy_t@Hz.log + &LOG_DIR;/@Y@m@d/@H/gdas.vrfy.log - 0:02:00 + 3:00:00 3072M - 1:ppn=24 + 1:ppn=1 + CDATE@Y@m@d@H - EXPDIREXPDIR + EXPDIR&EXPDIR; CDUMPgdas + + DUMMY_VALUE + + &HOMEgfs;/jobs/rocoto/eobs.sh + &QUEUE; + &PROJECT; + gdas.enkf.eobs + &LOG_DIR;/@Y@m@d/@H/gdas.enkf.eobs.log + + 0:45:00 + 3072M + 12:ppn=6 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgdas + + + + + + + + + + DUMMY_VALUE + + &HOMEgfs;/jobs/rocoto/eomg.sh + &QUEUE; + &PROJECT; + gdas.enkf.eomg.grp1 + &LOG_DIR;/@Y@m@d/@H/gdas.enkf.eomg.grp1.log + + 1:00:00 + 3072M + 12:ppn=6 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgdas + ENSGRP01 + + + + + + + &HOMEgfs;/jobs/rocoto/eomg.sh + &QUEUE; + &PROJECT; + gdas.enkf.eomg.grp2 + &LOG_DIR;/@Y@m@d/@H/gdas.enkf.eomg.grp2.log + + 1:00:00 + 3072M + 12:ppn=6 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgdas + ENSGRP02 + + + + + + + + &HOMEgfs;/jobs/rocoto/eupd.sh + &QUEUE; + &PROJECT; + gdas.enkf.eupd + &LOG_DIR;/@Y@m@d/@H/gdas.enkf.eupd.log + + 0:30:00 + 3072M + 10:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgdas + + + + + + + &HOMEgfs;/jobs/rocoto/ecen.sh + &QUEUE; + &PROJECT; + gdas.enkf.ecen + &LOG_DIR;/@Y@m@d/@H/gdas.enkf.ecen.log + + 0:30:00 + 254M + 7:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgdas + + + + + + + + + + DUMMY_VALUE + + &HOMEgfs;/jobs/rocoto/efcs.sh + &QUEUE; + &PROJECT; + gdas.enkf.efcs.grp1 + &LOG_DIR;/@Y@m@d/@H/gdas.enkf.efcs.grp1.log + + 1:00:00 + 254M + 16:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgdas + ENSGRP01 + + + + + + + + + + + + &HOMEgfs;/jobs/rocoto/efcs.sh + &QUEUE; + &PROJECT; + gdas.enkf.efcs.grp2 + &LOG_DIR;/@Y@m@d/@H/gdas.enkf.efcs.grp2.log + + 1:00:00 + 254M + 16:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgdas + ENSGRP02 + + + + + + + + + + + + + &HOMEgfs;/jobs/rocoto/epos.sh + &QUEUE; + &PROJECT; + gdas.enkf.epos + &LOG_DIR;/@Y@m@d/@H/gdas.enkf.epos.log + + 0:30:00 + 254M + 7:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgdas + + + + + + DUMMY_VALUE - &HOMEgfs;/jobs/PREP.sh + &HOMEgfs;/jobs/rocoto/prep.sh &QUEUE; &PROJECT; gfs.prep - &LOG_DIR;/gfs.prep_t@Hz.log + &LOG_DIR;/@Y@m@d/@H/gfs.prep.log - 0:02:00 + 0:15:00 3072M - 3:ppn=24 + 1:ppn=12 + CDATE@Y@m@d@H - EXPDIREXPDIR + EXPDIR&EXPDIR; CDUMPgfs - + + + /gpfs/tp1/emc/globaldump/@Y@m@d@H/gfs/gfs.t@Hz.updated.status.tm00.bufr_d + - &HOMEgfs;/jobs/ANAL.sh + &HOMEgfs;/jobs/rocoto/anal.sh &QUEUE; &PROJECT; gfs.anal - &LOG_DIR;/gfs.anal_t@Hz.log + &LOG_DIR;/@Y@m@d/@H/gfs.anal.log - 0:02:00 + 1:30:00 3072M - 60:ppn=24 + 24:ppn=6 + CDATE@Y@m@d@H - EXPDIREXPDIR + EXPDIR&EXPDIR; CDUMPgfs @@ -945,17 +470,18 @@ - &HOMEgfs;/jobs/FCST.sh + &HOMEgfs;/jobs/rocoto/fcst.sh &QUEUE; &PROJECT; gfs.fcst - &LOG_DIR;/gfs.fcst_t@Hz.log + &LOG_DIR;/@Y@m@d/@H/gfs.fcst.log - 0:02:00 + 6:00:00 1024M - 12:ppn=24 + 16:ppn=12 + CDATE@Y@m@d@H - EXPDIREXPDIR + EXPDIR&EXPDIR; CDUMPgfs @@ -964,38 +490,19 @@ DUMMY_VALUE - - &HOMEgfs;/jobs/post_manager - &QUEUE; - &PROJECT; - gfs.post.post_manager - &LOG_DIR;/gfs.post.post_manager_t@Hz.log - - 300M - 1:ppn=24 - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPgfs - - - - - - - - - &HOMEgfs;/jobs/post + &HOMEgfs;/jobs/rocoto/post.sh &QUEUE; &PROJECT; gfs.post.grp000 - &LOG_DIR;/gfs.post.grp000_t@Hz.log + &LOG_DIR;/@Y@m@d/@H/gfs.post.grp000.log - 0:02:00 + 1:00:00 3072M - 6:ppn=24 + 6:ppn=12 + CDATE@Y@m@d@H - EXPDIREXPDIR + EXPDIR&EXPDIR; CDUMPgfs FHRGRP000 FHRLSTanl @@ -1005,118 +512,124 @@ - &HOMEgfs;/jobs/post + &HOMEgfs;/jobs/rocoto/post.sh &QUEUE; &PROJECT; gfs.post.grp001 - &LOG_DIR;/gfs.post.grp001_t@Hz.log + &LOG_DIR;/@Y@m@d/@H/gfs.post.grp001.log - 0:02:00 + 1:00:00 3072M - 6:ppn=24 + 6:ppn=12 + CDATE@Y@m@d@H - EXPDIREXPDIR + EXPDIR&EXPDIR; CDUMPgfs FHRGRP001 FHRLSTf000 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf001.nemsio + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf000.nemsio - &HOMEgfs;/jobs/post + &HOMEgfs;/jobs/rocoto/post.sh &QUEUE; &PROJECT; gfs.post.grp002 - &LOG_DIR;/gfs.post.grp002_t@Hz.log + &LOG_DIR;/@Y@m@d/@H/gfs.post.grp002.log - 0:02:00 + 1:00:00 3072M - 6:ppn=24 + 6:ppn=12 + CDATE@Y@m@d@H - EXPDIREXPDIR + EXPDIR&EXPDIR; CDUMPgfs FHRGRP002 FHRLSTf006 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf002.nemsio + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf006.nemsio - &HOMEgfs;/jobs/post + &HOMEgfs;/jobs/rocoto/post.sh &QUEUE; &PROJECT; gfs.post.grp003 - &LOG_DIR;/gfs.post.grp003_t@Hz.log + &LOG_DIR;/@Y@m@d/@H/gfs.post.grp003.log - 0:02:00 + 1:00:00 3072M - 6:ppn=24 + 6:ppn=12 + CDATE@Y@m@d@H - EXPDIREXPDIR + EXPDIR&EXPDIR; CDUMPgfs FHRGRP003 FHRLSTf012 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf003.nemsio + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf012.nemsio - &HOMEgfs;/jobs/post + &HOMEgfs;/jobs/rocoto/post.sh &QUEUE; &PROJECT; gfs.post.grp004 - &LOG_DIR;/gfs.post.grp004_t@Hz.log + &LOG_DIR;/@Y@m@d/@H/gfs.post.grp004.log - 0:02:00 + 1:00:00 3072M - 6:ppn=24 + 6:ppn=12 + CDATE@Y@m@d@H - EXPDIREXPDIR + EXPDIR&EXPDIR; CDUMPgfs FHRGRP004 FHRLSTf018 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf004.nemsio + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf018.nemsio - &HOMEgfs;/jobs/post + &HOMEgfs;/jobs/rocoto/post.sh &QUEUE; &PROJECT; gfs.post.grp005 - &LOG_DIR;/gfs.post.grp005_t@Hz.log + &LOG_DIR;/@Y@m@d/@H/gfs.post.grp005.log - 0:02:00 + 1:00:00 3072M - 6:ppn=24 + 6:ppn=12 + CDATE@Y@m@d@H - EXPDIREXPDIR + EXPDIR&EXPDIR; CDUMPgfs FHRGRP005 FHRLSTf024 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf005.nemsio + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf024.nemsio - &HOMEgfs;/jobs/VRFY.sh + &HOMEgfs;/jobs/rocoto/vrfy.sh &QUEUE; &PROJECT; gfs.vrfy - &LOG_DIR;/gfs.vrfy_t@Hz.log + &LOG_DIR;/@Y@m@d/@H/gfs.vrfy.log - 0:02:00 + 6:00:00 3072M - 1:ppn=24 + 1:ppn=1 + CDATE@Y@m@d@H - EXPDIREXPDIR + EXPDIR&EXPDIR; CDUMPgfs @@ -1127,421 +640,146 @@ DUMMY_VALUE - &HOMEgfs;/jobs/GDASARCH.sh + &HOMEgfs;/jobs/rocoto/gdasarch.sh &QUEUESERV; &PROJECT; archive.gdasarch - &LOG_DIR;/archive.gdasarch_t@Hz.log + &LOG_DIR;/@Y@m@d/@H/archive.gdasarch.log - 0:02:00 + 6:00:00 3072M 1 CDATE@Y@m@d@H - EXPDIREXPDIR + EXPDIR&EXPDIR; CDUMParchive - - &HOMEgfs;/jobs/GFSARCH.sh - &QUEUESERV; - &PROJECT; - archive.gfsarch - &LOG_DIR;/archive.gfsarch_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMParchive - - - - - DUMMY_VALUE - - &HOMEgfs;/jobs/earc - &QUEUESERV; - &PROJECT; - archive.earc.grp1 - &LOG_DIR;/archive.earc.grp1_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMParchive - ENSGRP1 - - - - - - - &HOMEgfs;/jobs/earc - &QUEUESERV; - &PROJECT; - archive.earc.grp2 - &LOG_DIR;/archive.earc.grp2_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMParchive - ENSGRP2 - - - - - - - &HOMEgfs;/jobs/earc - &QUEUESERV; - &PROJECT; - archive.earc.grp3 - &LOG_DIR;/archive.earc.grp3_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMParchive - ENSGRP3 - - - - - - - &HOMEgfs;/jobs/earc - &QUEUESERV; - &PROJECT; - archive.earc.grp4 - &LOG_DIR;/archive.earc.grp4_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMParchive - ENSGRP4 - - - - - - - &HOMEgfs;/jobs/earc - &QUEUESERV; - &PROJECT; - archive.earc.grp5 - &LOG_DIR;/archive.earc.grp5_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMParchive - ENSGRP5 - - - - - - - &HOMEgfs;/jobs/earc - &QUEUESERV; - &PROJECT; - archive.earc.grp6 - &LOG_DIR;/archive.earc.grp6_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMParchive - ENSGRP6 - - - - - - - &HOMEgfs;/jobs/earc - &QUEUESERV; - &PROJECT; - archive.earc.grp7 - &LOG_DIR;/archive.earc.grp7_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMParchive - ENSGRP7 - - - - - - - &HOMEgfs;/jobs/earc - &QUEUESERV; - &PROJECT; - archive.earc.grp8 - &LOG_DIR;/archive.earc.grp8_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMParchive - ENSGRP8 - - - - - - - &HOMEgfs;/jobs/earc - &QUEUESERV; - &PROJECT; - archive.earc.grp9 - &LOG_DIR;/archive.earc.grp9_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMParchive - ENSGRP9 - - - - - - - &HOMEgfs;/jobs/earc - &QUEUESERV; - &PROJECT; - archive.earc.grp10 - &LOG_DIR;/archive.earc.grp10_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMParchive - ENSGRP10 - - - - - - - &HOMEgfs;/jobs/earc - &QUEUESERV; - &PROJECT; - archive.earc.grp11 - &LOG_DIR;/archive.earc.grp11_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMParchive - ENSGRP11 - - - - - - - &HOMEgfs;/jobs/earc - &QUEUESERV; - &PROJECT; - archive.earc.grp12 - &LOG_DIR;/archive.earc.grp12_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMParchive - ENSGRP12 - - - - - - - &HOMEgfs;/jobs/earc + + &HOMEgfs;/jobs/rocoto/earc.sh &QUEUESERV; &PROJECT; - archive.earc.grp13 - &LOG_DIR;/archive.earc.grp13_t@Hz.log + archive.earc.grp00 + &LOG_DIR;/@Y@m@d/@H/archive.earc.grp00.log - 0:02:00 + 6:00:00 3072M 1 CDATE@Y@m@d@H - EXPDIREXPDIR + EXPDIR&EXPDIR; CDUMParchive - ENSGRP13 + ENSGRP00 - - &HOMEgfs;/jobs/earc + + &HOMEgfs;/jobs/rocoto/earc.sh &QUEUESERV; &PROJECT; - archive.earc.grp14 - &LOG_DIR;/archive.earc.grp14_t@Hz.log + archive.earc.grp01 + &LOG_DIR;/@Y@m@d/@H/archive.earc.grp01.log - 0:02:00 + 6:00:00 3072M 1 CDATE@Y@m@d@H - EXPDIREXPDIR + EXPDIR&EXPDIR; CDUMParchive - ENSGRP14 + ENSGRP01 - - &HOMEgfs;/jobs/earc + + &HOMEgfs;/jobs/rocoto/earc.sh &QUEUESERV; &PROJECT; - archive.earc.grp15 - &LOG_DIR;/archive.earc.grp15_t@Hz.log + archive.earc.grp02 + &LOG_DIR;/@Y@m@d/@H/archive.earc.grp02.log - 0:02:00 + 6:00:00 3072M 1 CDATE@Y@m@d@H - EXPDIREXPDIR + EXPDIR&EXPDIR; CDUMParchive - ENSGRP15 - - - - - - - &HOMEgfs;/jobs/earc - &QUEUESERV; - &PROJECT; - archive.earc.grp16 - &LOG_DIR;/archive.earc.grp16_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMParchive - ENSGRP16 + ENSGRP02 + + &HOMEgfs;/jobs/rocoto/gfsarch.sh + &QUEUESERV; + &PROJECT; + archive.gfsarch + &LOG_DIR;/@Y@m@d/@H/archive.gfsarch.log + + 6:00:00 + 3072M + 1 + + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMParchive + + + + + - - &HOMEgfs;/jobs/FINAL.sh + + /bin/true &QUEUESERV; &PROJECT; - final - &LOG_DIR;/final_t@Hz.log + final_no_alarm + &LOG_DIR;/@Y@m@d/@H/final_no_alarm.log + 0:02:00 300M - 1:ppn=24 + 1:ppn=1 + CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPfinal + EXPDIR&EXPDIR; + CDUMPfinal_no_alarm - - - - - - - + - - &HOMEgfs;/jobs/FINAL.sh + + /bin/true &QUEUESERV; &PROJECT; - final - &LOG_DIR;/final_t@Hz.log + final_for_gfs + &LOG_DIR;/@Y@m@d/@H/final_for_gfs.log + 0:02:00 300M - 1:ppn=24 + 1:ppn=1 + CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPfinal + EXPDIR&EXPDIR; + CDUMPfinal_for_gfs @@ -1550,48 +788,58 @@ - - &HOMEgfs;/jobs/FINAL.sh + + /bin/true &QUEUESERV; &PROJECT; - final - &LOG_DIR;/final_t@Hz.log + final_for_gdas + &LOG_DIR;/@Y@m@d/@H/final_for_gdas.log + 0:02:00 300M - 1:ppn=24 + 1:ppn=1 + CDATE@Y@m@d@H - EXPDIREXPDIR - CDUMPfinal + EXPDIR&EXPDIR; + CDUMPfinal_for_gdas - + + + + + + + - &HOMEgfs;/jobs/FINAL.sh + /bin/true &QUEUESERV; &PROJECT; final - &LOG_DIR;/final_t@Hz.log + &LOG_DIR;/@Y@m@d/@H/final.log + 0:02:00 300M - 1:ppn=24 + 1:ppn=1 + CDATE@Y@m@d@H - EXPDIREXPDIR + EXPDIR&EXPDIR; CDUMPfinal - - + + - - + + From 1fd256ae013d899e0c99bdcdfabd9b830e66e68d Mon Sep 17 00:00:00 2001 From: wx20st Date: Thu, 15 Feb 2018 13:58:33 +0000 Subject: [PATCH 337/487] bug fixes to get workflow 50% working in rocoto. ecflow is willing to accept the suite but not run it yet --- crow/sysenv/schedulers/LSFAlps.py | 2 +- model/rocoto_style_fv3gfs/envir-p1.h | 1 + model/rocoto_style_fv3gfs/envir-p2.h | 1 + model/rocoto_style_fv3gfs/envir-xc40.h | 1 + model/rocoto_style_fv3gfs/head.h | 1 + .../rocoto_style_fv3gfs/make-ecflow-suite.py | 2 +- model/rocoto_style_fv3gfs/model_ver.h | 1 + .../resources_C192_C192.yaml | 1 + model/rocoto_style_fv3gfs/settings.yaml | 4 +- model/rocoto_style_fv3gfs/suite_def.yaml | 65 ++-- model/rocoto_style_fv3gfs/tail.h | 1 + model/rocoto_style_fv3gfs/task_template.yaml | 3 +- model/rocoto_style_fv3gfs/workflow.xml | 344 ++++++++++++++---- 13 files changed, 319 insertions(+), 108 deletions(-) create mode 120000 model/rocoto_style_fv3gfs/envir-p1.h create mode 120000 model/rocoto_style_fv3gfs/envir-p2.h create mode 120000 model/rocoto_style_fv3gfs/envir-xc40.h create mode 120000 model/rocoto_style_fv3gfs/head.h create mode 120000 model/rocoto_style_fv3gfs/model_ver.h create mode 120000 model/rocoto_style_fv3gfs/tail.h diff --git a/crow/sysenv/schedulers/LSFAlps.py b/crow/sysenv/schedulers/LSFAlps.py index 74edc09..ce5afa2 100644 --- a/crow/sysenv/schedulers/LSFAlps.py +++ b/crow/sysenv/schedulers/LSFAlps.py @@ -184,7 +184,7 @@ def rocoto_resources(self,spec,indent=0): if spec[0].is_exclusive() is False: # Shared program. This requires a different batch card syntax nranks=max(1,spec.total_ranks()) - sio.write(f'{indent*space}{spec.total_ranks()}\n' + sio.write(f'{indent*space}{max(1,spec.total_ranks())}\n' f'{indent*space}\n') else: if not spec.is_pure_serial() and not spec.is_pure_openmp(): diff --git a/model/rocoto_style_fv3gfs/envir-p1.h b/model/rocoto_style_fv3gfs/envir-p1.h new file mode 120000 index 0000000..81dc6f5 --- /dev/null +++ b/model/rocoto_style_fv3gfs/envir-p1.h @@ -0,0 +1 @@ +/ecf/ecfnets/include/envir-p1.h \ No newline at end of file diff --git a/model/rocoto_style_fv3gfs/envir-p2.h b/model/rocoto_style_fv3gfs/envir-p2.h new file mode 120000 index 0000000..aaddd00 --- /dev/null +++ b/model/rocoto_style_fv3gfs/envir-p2.h @@ -0,0 +1 @@ +/ecf/ecfnets/include/envir-p2.h \ No newline at end of file diff --git a/model/rocoto_style_fv3gfs/envir-xc40.h b/model/rocoto_style_fv3gfs/envir-xc40.h new file mode 120000 index 0000000..4109d97 --- /dev/null +++ b/model/rocoto_style_fv3gfs/envir-xc40.h @@ -0,0 +1 @@ +/ecf/ecfnets/include/envir-xc40.h \ No newline at end of file diff --git a/model/rocoto_style_fv3gfs/head.h b/model/rocoto_style_fv3gfs/head.h new file mode 120000 index 0000000..46423de --- /dev/null +++ b/model/rocoto_style_fv3gfs/head.h @@ -0,0 +1 @@ +/ecf/ecfnets/include/head.h \ No newline at end of file diff --git a/model/rocoto_style_fv3gfs/make-ecflow-suite.py b/model/rocoto_style_fv3gfs/make-ecflow-suite.py index 2f6b82c..908cf1b 100755 --- a/model/rocoto_style_fv3gfs/make-ecflow-suite.py +++ b/model/rocoto_style_fv3gfs/make-ecflow-suite.py @@ -26,7 +26,7 @@ def make_parent_dir(filename): for setname in ecf_files: print(f'ecf file set {setname}:') for filename in ecf_files[setname]: - full_fn=os.path.join('scripts',defname,filename)+'.ecf' + full_fn=os.path.join(defname,filename)+'.ecf' print(f' file {full_fn}') make_parent_dir(full_fn) with open(full_fn,'wt') as fd: diff --git a/model/rocoto_style_fv3gfs/model_ver.h b/model/rocoto_style_fv3gfs/model_ver.h new file mode 120000 index 0000000..06c8e27 --- /dev/null +++ b/model/rocoto_style_fv3gfs/model_ver.h @@ -0,0 +1 @@ +/ecf/ecfnets/include/model_ver.h \ No newline at end of file diff --git a/model/rocoto_style_fv3gfs/resources_C192_C192.yaml b/model/rocoto_style_fv3gfs/resources_C192_C192.yaml index 2230a2c..5a2c160 100644 --- a/model/rocoto_style_fv3gfs/resources_C192_C192.yaml +++ b/model/rocoto_style_fv3gfs/resources_C192_C192.yaml @@ -24,6 +24,7 @@ resources: - memory: "300M" exe: nothing walltime: !timedelta "00:02:00" + exclusive: false run_eobs: !JobRequest - memory: "3072M" diff --git a/model/rocoto_style_fv3gfs/settings.yaml b/model/rocoto_style_fv3gfs/settings.yaml index 1b0180d..dc81d6a 100644 --- a/model/rocoto_style_fv3gfs/settings.yaml +++ b/model/rocoto_style_fv3gfs/settings.yaml @@ -7,7 +7,7 @@ settings: COM: !expand '/gpfs/hps3/ptmp/Samuel.Trahan/comdir2/{experiment_name}' EXPDIR: !expand '/gpfs/hps3/ptmp/Samuel.Trahan/expdir2/{experiment_name}' DATAROOT: !expand '/gpfs/hps2/stmp/emc.glopara/{experiment_name}' - experiment_name: ecfgfsfv3 + experiment_name: QSLOT NMEM_ENKF: 80 ENKF_GROUPS: 2 @@ -30,7 +30,7 @@ settings: start_date: 2018-01-05t00:00:00 end_date: 2018-01-05t06:00:00 - start_date_gfs: !calc start_date + start_date_gfs: !calc ( start_date+tools.to_timedelta('6:00:00') ) end_date_gfs: !calc end_date interval_gfs: !timedelta "12:00:00" forecast_hours: !calc tools.seq(0,120,1)+tools.seq(123,240,3)+tools.seq(240,384,12) diff --git a/model/rocoto_style_fv3gfs/suite_def.yaml b/model/rocoto_style_fv3gfs/suite_def.yaml index b985b8c..1baef49 100644 --- a/model/rocoto_style_fv3gfs/suite_def.yaml +++ b/model/rocoto_style_fv3gfs/suite_def.yaml @@ -3,8 +3,8 @@ scheduler: !calc | doc.scheduler_settings) suite: !Cycle Clock: !Clock - start: 2018-01-01T00:00:00 - end: 2018-01-02T18:00:00 + start: !calc doc.settings.start_date + end: !calc doc.settings.end_date step: !timedelta "6:00:00" Alarms: @@ -17,22 +17,28 @@ suite: !Cycle end: !calc suite.Clock.end step: !calc suite.Clock.step gfs: !Clock - start: !calc suite.Clock.start - end: !calc suite.Clock.end - step: !calc suite.Clock.step*2 + start: !calc doc.settings.start_date_gfs + end: !calc doc.settings.end_date_gfs + step: !calc doc.settings.interval_gfs ecFlow: suite_def_filename: "prod%Y%m%d%H.def" suite_name: "prod%Y%m%d%H" scheduler: !calc doc.scheduler - write_cycles: !Clock - start: 2018-01-02T00:00:00 - end: 2018-01-02T18:00:00 - step: !timedelta "6:00:00" - analyze_cycles: !Clock - start: 2018-01-02T00:00:00 - end: 2018-01-02T18:00:00 - step: !timedelta "6:00:00" + + # write_cycles/analyze_cycles: Use these to generate a subset of + # the cycles in ecFlow. Make sure analyze_cycles starts at least + # one cycle before write_cycles so that dependencies are + # processed. + + # write_cycles: !Clock + # start: 2018-01-02T00:00:00 + # end: 2018-01-02T18:00:00 + # step: !timedelta "6:00:00" + # analyze_cycles: !Clock + # start: 2018-01-02T00:00:00 + # end: 2018-01-02T18:00:00 + # step: !timedelta "6:00:00" ecflow_def: !expand | # This ecflow suite definition is automatically generated. @@ -40,8 +46,8 @@ suite: !Cycle #repeat day 1 edit ECF_TRIES '{doc.settings.max_job_tries}' #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' - edit ECF_KILL_CMD 'lkill %ECF_NAME% %ECF_JOBOUT%' + #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' + #edit ECF_KILL_CMD 'lkill %ECF_NAME% %ECF_JOBOUT%' edit ECF_HOME '{doc.settings.ECF_HOME}' #edit ECF_HOME '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3' edit CYC '{tools.strftime(suite.Clock.now,"%H")}' @@ -49,11 +55,12 @@ suite: !Cycle edit ENVIR 'prod' edit E 'jecffv3' #edit QUEUE 'dev' - edit PROJENVIR '{doc.settings.PROJECT}' + edit PROJECT '{doc.settings.PROJECT}' edit EMCPEN '{doc.settings.experiment_name}' edit DATAROOT '{doc.settings.DATAROOT}' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - edit ECF_OUT '{doc.settings.ECF_HOME}/output' + edit ECF_FILES '{doc.settings.ECF_HOME}' + #edit ECF_JOBOUT '%ECF_HOME%/%ECF_NAME%.%ECF_TRYNO%' + edit ECF_OUT '{doc.settings.ECF_HOME}' edit ECF_LOG '{doc.settings.ECF_HOME}/ecf.log' edit MODEL_NAME 'gfs' @@ -72,7 +79,7 @@ suite: !Cycle - + @@ -98,17 +105,18 @@ suite: !Cycle ecflow_def: | edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - edit PROJ '%PROJENVIR%' edit MODEL_NAME 'gdas' prep: !Task <<: *exclusive_task_template Trigger: !Depend up.gdas.post.at('-6:00:00') & dump_waiter.updated_status + Complete: !Depend ~ suite.has_cycle('-6:00:00') resources: !calc ( doc.resources.run_prep ) anal: !Task <<: *exclusive_task_template Trigger: !Depend ( prep & enkf.epos.at('-6:00:00') ) + Complete: !Depend ~ suite.has_cycle('-6:00:00') resources: !calc ( doc.resources.run_anal ) fcst: !Task @@ -118,7 +126,7 @@ suite: !Cycle post: !TaskArray Dimensions: - groupid: !calc tools.seq(0,5,1) + groupid: !calc tools.seq(0,4,1) fhr: !calc tools.seq(0,9,1) post_manager_el: !TaskElement <<: *exclusive_task_template @@ -151,7 +159,11 @@ suite: !Cycle more_vars: !expand | {metasched.defenvar("FHRGRP",fhrgrp)} {metasched.defenvar("FHRLST",fhrlst)} - Trigger: !Depend post_manager.depend("release_post{F:02d}",F=[idx.groupid]) + release_id: !FirstTrue + - when: !calc idx.groupid==0 + do: "anl" + - otherwise: !expand "{(idx.groupid-1)*3:02d}" + Trigger: !Depend post_manager.depend("release_post{F}",F=[release_id]) resources: !calc doc.resources.run_gdaspost vrfy: !Task @@ -163,10 +175,12 @@ suite: !Cycle eobs: !Task <<: *exclusive_task_template Trigger: !Depend ( up.prep & epos.at('-6:00:00') ) + Complete: !Depend ~ suite.has_cycle('-6:00:00') resources: !calc ( doc.resources.run_eobs ) eomg: !TaskArray Trigger: !Depend eobs + Complete: !Depend ~ suite.has_cycle('-6:00:00') Dimensions: groupid: !calc tools.seq(1,doc.settings.ENKF_INNOVATE_GROUPS,1) grp: !TaskElement @@ -184,11 +198,13 @@ suite: !Cycle eupd: !Task <<: *exclusive_task_template Trigger: !Depend eomg + Complete: !Depend ~ suite.has_cycle('-6:00:00') resources: !calc ( doc.resources.run_eupd ) ecen: !Task <<: *exclusive_task_template Trigger: !Depend ( eupd & up.anal ) + Complete: !Depend ~ suite.has_cycle('-6:00:00') resources: !calc ( doc.resources.run_ecen ) efcs: !TaskArray @@ -213,11 +229,11 @@ suite: !Cycle resources: !calc ( doc.resources.run_epos ) gfs: !Family + Complete: !Depend ~ suite.has_cycle('-6:00:00') AlarmName: gfs ecflow_def: | edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - edit PROJ '%PROJENVIR%' edit MODEL_NAME 'gfs' dump_waiter: *dump_waiter_task @@ -292,6 +308,7 @@ suite: !Cycle Trigger: !Depend up.gdas.vrfy resources: !calc ( doc.resources.run_arch ) Disable: !calc not doc.settings.archive_to_hpss + J_JOB: arch.sh earc: !TaskArray AlarmName: gdas @@ -313,10 +330,12 @@ suite: !Cycle gfsarch: !Task <<: *shared_task_template + Complete: !Depend ~ suite.has_cycle('-6:00:00') AlarmName: gfs Trigger: !Depend up.gfs.vrfy resources: !calc ( doc.resources.run_arch ) Disable: !calc not doc.settings.archive_to_hpss + J_JOB: arch.sh final: !Task <<: *shared_task_template diff --git a/model/rocoto_style_fv3gfs/tail.h b/model/rocoto_style_fv3gfs/tail.h new file mode 120000 index 0000000..79d1a3b --- /dev/null +++ b/model/rocoto_style_fv3gfs/tail.h @@ -0,0 +1 @@ +/ecf/ecfnets/include/tail.h \ No newline at end of file diff --git a/model/rocoto_style_fv3gfs/task_template.yaml b/model/rocoto_style_fv3gfs/task_template.yaml index 1521d3a..0843a5e 100644 --- a/model/rocoto_style_fv3gfs/task_template.yaml +++ b/model/rocoto_style_fv3gfs/task_template.yaml @@ -56,6 +56,7 @@ task_template: &task_template %include %manual {ecf_manual} + %end ecf_manual: | # FIXME: Insert manual for this job. @@ -67,7 +68,7 @@ task_template: &task_template # Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ecf_batch_resources: !expand |- - {sched.batch_accounting(accounting,jobname=task_path_var,outerr="%ECF_OUT%/%PDY%/%CYC%/"+task_path_var+".log")} + {sched.batch_accounting(accounting,jobname=task_path_var,outerr="%ECF_OUT%/%PDY%%CYC%_"+task_path_var+".log")} {sched.batch_resources(resources)} ecf_resource_more: !expand |- diff --git a/model/rocoto_style_fv3gfs/workflow.xml b/model/rocoto_style_fv3gfs/workflow.xml index dfcd842..df209b8 100644 --- a/model/rocoto_style_fv3gfs/workflow.xml +++ b/model/rocoto_style_fv3gfs/workflow.xml @@ -1,14 +1,14 @@ - + - + - + ]> - - /bin/true - &QUEUESERV; - &PROJECT; - final_no_alarm - &LOG_DIR;/@Y@m@d/@H/final_no_alarm.log - - 0:02:00 - 300M - 1:ppn=1 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPfinal_no_alarm - - - /bin/true &QUEUESERV; @@ -775,17 +841,32 @@ 0:02:00 300M - 1:ppn=1 + 1 + CDATE@Y@m@d@H EXPDIR&EXPDIR; CDUMPfinal_for_gfs - - - - + + + + + + + + + + + + + + + + + + @@ -797,7 +878,8 @@ 0:02:00 300M - 1:ppn=1 + 1 + CDATE@Y@m@d@H EXPDIR&EXPDIR; @@ -805,12 +887,113 @@ - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + /bin/true + &QUEUESERV; + &PROJECT; + final_no_alarm + &LOG_DIR;/@Y@m@d/@H/final_no_alarm.log + + 0:02:00 + 300M + 1 + + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPfinal_no_alarm + + + /bin/true &QUEUESERV; @@ -820,7 +1003,8 @@ 0:02:00 300M - 1:ppn=1 + 1 + CDATE@Y@m@d@H EXPDIR&EXPDIR; @@ -829,10 +1013,6 @@ - - - - @@ -841,6 +1021,10 @@ + + + + From 8145d206f66309cac18e8bd24318a8910a68868c Mon Sep 17 00:00:00 2001 From: wx20st Date: Thu, 15 Feb 2018 13:59:51 +0000 Subject: [PATCH 338/487] bug fixes to get workflow 50% working in rocoto. ecflow is willing to accept the suite but not run it yet --- .../defs/prod2018010200.def | 343 ------------------ .../defs/prod2018010206.def | 123 ------- .../defs/prod2018010212.def | 343 ------------------ .../defs/prod2018010218.def | 123 ------- .../prod2018010200/archive/earc/grp00.ecf | 45 --- .../prod2018010200/archive/earc/grp01.ecf | 45 --- .../prod2018010200/archive/earc/grp02.ecf | 45 --- .../prod2018010200/archive/earc/grp1.ecf | 45 --- .../prod2018010200/archive/earc/grp10.ecf | 45 --- .../prod2018010200/archive/earc/grp11.ecf | 45 --- .../prod2018010200/archive/earc/grp12.ecf | 45 --- .../prod2018010200/archive/earc/grp13.ecf | 45 --- .../prod2018010200/archive/earc/grp14.ecf | 45 --- .../prod2018010200/archive/earc/grp15.ecf | 45 --- .../prod2018010200/archive/earc/grp16.ecf | 45 --- .../prod2018010200/archive/earc/grp2.ecf | 45 --- .../prod2018010200/archive/earc/grp3.ecf | 45 --- .../prod2018010200/archive/earc/grp4.ecf | 45 --- .../prod2018010200/archive/earc/grp5.ecf | 45 --- .../prod2018010200/archive/earc/grp6.ecf | 45 --- .../prod2018010200/archive/earc/grp7.ecf | 45 --- .../prod2018010200/archive/earc/grp8.ecf | 45 --- .../prod2018010200/archive/earc/grp9.ecf | 45 --- .../prod2018010200/archive/gdasarch.ecf | 45 --- .../prod2018010200/archive/gfsarch.ecf | 45 --- .../scripts/prod2018010200/gdas/anal.ecf | 45 --- .../prod2018010200/gdas/dump_waiter.ecf | 45 --- .../scripts/prod2018010200/gdas/enkf/ecen.ecf | 45 --- .../prod2018010200/gdas/enkf/efcs/grp1.ecf | 45 --- .../prod2018010200/gdas/enkf/efcs/grp10.ecf | 45 --- .../prod2018010200/gdas/enkf/efcs/grp11.ecf | 45 --- .../prod2018010200/gdas/enkf/efcs/grp12.ecf | 45 --- .../prod2018010200/gdas/enkf/efcs/grp13.ecf | 45 --- .../prod2018010200/gdas/enkf/efcs/grp14.ecf | 45 --- .../prod2018010200/gdas/enkf/efcs/grp15.ecf | 45 --- .../prod2018010200/gdas/enkf/efcs/grp16.ecf | 45 --- .../prod2018010200/gdas/enkf/efcs/grp2.ecf | 45 --- .../prod2018010200/gdas/enkf/efcs/grp3.ecf | 45 --- .../prod2018010200/gdas/enkf/efcs/grp4.ecf | 45 --- .../prod2018010200/gdas/enkf/efcs/grp5.ecf | 45 --- .../prod2018010200/gdas/enkf/efcs/grp6.ecf | 45 --- .../prod2018010200/gdas/enkf/efcs/grp7.ecf | 45 --- .../prod2018010200/gdas/enkf/efcs/grp8.ecf | 45 --- .../prod2018010200/gdas/enkf/efcs/grp9.ecf | 45 --- .../scripts/prod2018010200/gdas/enkf/eobs.ecf | 45 --- .../prod2018010200/gdas/enkf/eomg/grp1.ecf | 45 --- .../prod2018010200/gdas/enkf/eomg/grp10.ecf | 45 --- .../prod2018010200/gdas/enkf/eomg/grp11.ecf | 45 --- .../prod2018010200/gdas/enkf/eomg/grp12.ecf | 45 --- .../prod2018010200/gdas/enkf/eomg/grp13.ecf | 45 --- .../prod2018010200/gdas/enkf/eomg/grp14.ecf | 45 --- .../prod2018010200/gdas/enkf/eomg/grp15.ecf | 45 --- .../prod2018010200/gdas/enkf/eomg/grp16.ecf | 45 --- .../prod2018010200/gdas/enkf/eomg/grp2.ecf | 45 --- .../prod2018010200/gdas/enkf/eomg/grp3.ecf | 45 --- .../prod2018010200/gdas/enkf/eomg/grp4.ecf | 45 --- .../prod2018010200/gdas/enkf/eomg/grp5.ecf | 45 --- .../prod2018010200/gdas/enkf/eomg/grp6.ecf | 45 --- .../prod2018010200/gdas/enkf/eomg/grp7.ecf | 45 --- .../prod2018010200/gdas/enkf/eomg/grp8.ecf | 45 --- .../prod2018010200/gdas/enkf/eomg/grp9.ecf | 45 --- .../scripts/prod2018010200/gdas/enkf/epos.ecf | 45 --- .../scripts/prod2018010200/gdas/enkf/eupd.ecf | 45 --- .../scripts/prod2018010200/gdas/fcst.ecf | 45 --- .../scripts/prod2018010200/gdas/post/f000.ecf | 44 --- .../scripts/prod2018010200/gdas/post/f006.ecf | 44 --- .../scripts/prod2018010200/gdas/post/f012.ecf | 44 --- .../scripts/prod2018010200/gdas/post/f018.ecf | 44 --- .../scripts/prod2018010200/gdas/post/f024.ecf | 44 --- .../scripts/prod2018010200/gdas/post/f030.ecf | 44 --- .../scripts/prod2018010200/gdas/post/g000.ecf | 45 --- .../scripts/prod2018010200/gdas/post/g001.ecf | 45 --- .../scripts/prod2018010200/gdas/post/g002.ecf | 45 --- .../scripts/prod2018010200/gdas/post/g003.ecf | 45 --- .../scripts/prod2018010200/gdas/post/g004.ecf | 45 --- .../scripts/prod2018010200/gdas/post/g005.ecf | 45 --- .../prod2018010200/gdas/post/post_manager.ecf | 45 --- .../scripts/prod2018010200/gdas/prep.ecf | 45 --- .../scripts/prod2018010200/gdas/vrfy.ecf | 45 --- .../scripts/prod2018010200/gfs/anal.ecf | 45 --- .../prod2018010200/gfs/dump_waiter.ecf | 45 --- .../scripts/prod2018010200/gfs/fcst.ecf | 45 --- .../scripts/prod2018010200/gfs/post/f000.ecf | 44 --- .../scripts/prod2018010200/gfs/post/f006.ecf | 44 --- .../scripts/prod2018010200/gfs/post/f012.ecf | 44 --- .../scripts/prod2018010200/gfs/post/f018.ecf | 44 --- .../scripts/prod2018010200/gfs/post/f024.ecf | 44 --- .../scripts/prod2018010200/gfs/post/f030.ecf | 44 --- .../prod2018010200/gfs/post/grp000.ecf | 45 --- .../prod2018010200/gfs/post/grp001.ecf | 45 --- .../prod2018010200/gfs/post/grp002.ecf | 45 --- .../prod2018010200/gfs/post/grp003.ecf | 45 --- .../prod2018010200/gfs/post/grp004.ecf | 45 --- .../prod2018010200/gfs/post/grp005.ecf | 45 --- .../prod2018010200/gfs/post/post_manager.ecf | 45 --- .../scripts/prod2018010200/gfs/prep.ecf | 45 --- .../scripts/prod2018010200/gfs/vrfy.ecf | 45 --- .../prod2018010206/archive/earc/grp00.ecf | 45 --- .../prod2018010206/archive/earc/grp01.ecf | 45 --- .../prod2018010206/archive/earc/grp02.ecf | 45 --- .../prod2018010206/archive/earc/grp1.ecf | 45 --- .../prod2018010206/archive/earc/grp10.ecf | 45 --- .../prod2018010206/archive/earc/grp11.ecf | 45 --- .../prod2018010206/archive/earc/grp12.ecf | 45 --- .../prod2018010206/archive/earc/grp13.ecf | 45 --- .../prod2018010206/archive/earc/grp14.ecf | 45 --- .../prod2018010206/archive/earc/grp15.ecf | 45 --- .../prod2018010206/archive/earc/grp16.ecf | 45 --- .../prod2018010206/archive/earc/grp2.ecf | 45 --- .../prod2018010206/archive/earc/grp3.ecf | 45 --- .../prod2018010206/archive/earc/grp4.ecf | 45 --- .../prod2018010206/archive/earc/grp5.ecf | 45 --- .../prod2018010206/archive/earc/grp6.ecf | 45 --- .../prod2018010206/archive/earc/grp7.ecf | 45 --- .../prod2018010206/archive/earc/grp8.ecf | 45 --- .../prod2018010206/archive/earc/grp9.ecf | 45 --- .../prod2018010206/archive/gdasarch.ecf | 45 --- .../prod2018010206/archive/gfsarch.ecf | 45 --- .../scripts/prod2018010206/gdas/anal.ecf | 45 --- .../prod2018010206/gdas/dump_waiter.ecf | 45 --- .../scripts/prod2018010206/gdas/enkf/ecen.ecf | 45 --- .../prod2018010206/gdas/enkf/efcs/grp1.ecf | 45 --- .../prod2018010206/gdas/enkf/efcs/grp10.ecf | 45 --- .../prod2018010206/gdas/enkf/efcs/grp11.ecf | 45 --- .../prod2018010206/gdas/enkf/efcs/grp12.ecf | 45 --- .../prod2018010206/gdas/enkf/efcs/grp13.ecf | 45 --- .../prod2018010206/gdas/enkf/efcs/grp14.ecf | 45 --- .../prod2018010206/gdas/enkf/efcs/grp15.ecf | 45 --- .../prod2018010206/gdas/enkf/efcs/grp16.ecf | 45 --- .../prod2018010206/gdas/enkf/efcs/grp2.ecf | 45 --- .../prod2018010206/gdas/enkf/efcs/grp3.ecf | 45 --- .../prod2018010206/gdas/enkf/efcs/grp4.ecf | 45 --- .../prod2018010206/gdas/enkf/efcs/grp5.ecf | 45 --- .../prod2018010206/gdas/enkf/efcs/grp6.ecf | 45 --- .../prod2018010206/gdas/enkf/efcs/grp7.ecf | 45 --- .../prod2018010206/gdas/enkf/efcs/grp8.ecf | 45 --- .../prod2018010206/gdas/enkf/efcs/grp9.ecf | 45 --- .../scripts/prod2018010206/gdas/enkf/eobs.ecf | 45 --- .../prod2018010206/gdas/enkf/eomg/grp1.ecf | 45 --- .../prod2018010206/gdas/enkf/eomg/grp10.ecf | 45 --- .../prod2018010206/gdas/enkf/eomg/grp11.ecf | 45 --- .../prod2018010206/gdas/enkf/eomg/grp12.ecf | 45 --- .../prod2018010206/gdas/enkf/eomg/grp13.ecf | 45 --- .../prod2018010206/gdas/enkf/eomg/grp14.ecf | 45 --- .../prod2018010206/gdas/enkf/eomg/grp15.ecf | 45 --- .../prod2018010206/gdas/enkf/eomg/grp16.ecf | 45 --- .../prod2018010206/gdas/enkf/eomg/grp2.ecf | 45 --- .../prod2018010206/gdas/enkf/eomg/grp3.ecf | 45 --- .../prod2018010206/gdas/enkf/eomg/grp4.ecf | 45 --- .../prod2018010206/gdas/enkf/eomg/grp5.ecf | 45 --- .../prod2018010206/gdas/enkf/eomg/grp6.ecf | 45 --- .../prod2018010206/gdas/enkf/eomg/grp7.ecf | 45 --- .../prod2018010206/gdas/enkf/eomg/grp8.ecf | 45 --- .../prod2018010206/gdas/enkf/eomg/grp9.ecf | 45 --- .../scripts/prod2018010206/gdas/enkf/epos.ecf | 45 --- .../scripts/prod2018010206/gdas/enkf/eupd.ecf | 45 --- .../scripts/prod2018010206/gdas/fcst.ecf | 45 --- .../scripts/prod2018010206/gdas/post/f000.ecf | 44 --- .../scripts/prod2018010206/gdas/post/f006.ecf | 44 --- .../scripts/prod2018010206/gdas/post/f012.ecf | 44 --- .../scripts/prod2018010206/gdas/post/f018.ecf | 44 --- .../scripts/prod2018010206/gdas/post/f024.ecf | 44 --- .../scripts/prod2018010206/gdas/post/f030.ecf | 44 --- .../scripts/prod2018010206/gdas/post/g000.ecf | 45 --- .../scripts/prod2018010206/gdas/post/g001.ecf | 45 --- .../scripts/prod2018010206/gdas/post/g002.ecf | 45 --- .../scripts/prod2018010206/gdas/post/g003.ecf | 45 --- .../scripts/prod2018010206/gdas/post/g004.ecf | 45 --- .../scripts/prod2018010206/gdas/post/g005.ecf | 45 --- .../prod2018010206/gdas/post/post_manager.ecf | 45 --- .../scripts/prod2018010206/gdas/prep.ecf | 45 --- .../scripts/prod2018010206/gdas/vrfy.ecf | 45 --- .../scripts/prod2018010206/gfs/anal.ecf | 45 --- .../prod2018010206/gfs/dump_waiter.ecf | 45 --- .../scripts/prod2018010206/gfs/fcst.ecf | 45 --- .../scripts/prod2018010206/gfs/post/f000.ecf | 44 --- .../scripts/prod2018010206/gfs/post/f006.ecf | 44 --- .../scripts/prod2018010206/gfs/post/f012.ecf | 44 --- .../scripts/prod2018010206/gfs/post/f018.ecf | 44 --- .../scripts/prod2018010206/gfs/post/f024.ecf | 44 --- .../scripts/prod2018010206/gfs/post/f030.ecf | 44 --- .../prod2018010206/gfs/post/grp000.ecf | 45 --- .../prod2018010206/gfs/post/grp001.ecf | 45 --- .../prod2018010206/gfs/post/grp002.ecf | 45 --- .../prod2018010206/gfs/post/grp003.ecf | 45 --- .../prod2018010206/gfs/post/grp004.ecf | 45 --- .../prod2018010206/gfs/post/grp005.ecf | 45 --- .../prod2018010206/gfs/post/post_manager.ecf | 45 --- .../scripts/prod2018010206/gfs/prep.ecf | 45 --- .../scripts/prod2018010206/gfs/vrfy.ecf | 45 --- .../prod2018010212/archive/earc/grp00.ecf | 45 --- .../prod2018010212/archive/earc/grp01.ecf | 45 --- .../prod2018010212/archive/earc/grp02.ecf | 45 --- .../prod2018010212/archive/earc/grp1.ecf | 45 --- .../prod2018010212/archive/earc/grp10.ecf | 45 --- .../prod2018010212/archive/earc/grp11.ecf | 45 --- .../prod2018010212/archive/earc/grp12.ecf | 45 --- .../prod2018010212/archive/earc/grp13.ecf | 45 --- .../prod2018010212/archive/earc/grp14.ecf | 45 --- .../prod2018010212/archive/earc/grp15.ecf | 45 --- .../prod2018010212/archive/earc/grp16.ecf | 45 --- .../prod2018010212/archive/earc/grp2.ecf | 45 --- .../prod2018010212/archive/earc/grp3.ecf | 45 --- .../prod2018010212/archive/earc/grp4.ecf | 45 --- .../prod2018010212/archive/earc/grp5.ecf | 45 --- .../prod2018010212/archive/earc/grp6.ecf | 45 --- .../prod2018010212/archive/earc/grp7.ecf | 45 --- .../prod2018010212/archive/earc/grp8.ecf | 45 --- .../prod2018010212/archive/earc/grp9.ecf | 45 --- .../prod2018010212/archive/gdasarch.ecf | 45 --- .../prod2018010212/archive/gfsarch.ecf | 45 --- .../scripts/prod2018010212/gdas/anal.ecf | 45 --- .../prod2018010212/gdas/dump_waiter.ecf | 45 --- .../scripts/prod2018010212/gdas/enkf/ecen.ecf | 45 --- .../prod2018010212/gdas/enkf/efcs/grp1.ecf | 45 --- .../prod2018010212/gdas/enkf/efcs/grp10.ecf | 45 --- .../prod2018010212/gdas/enkf/efcs/grp11.ecf | 45 --- .../prod2018010212/gdas/enkf/efcs/grp12.ecf | 45 --- .../prod2018010212/gdas/enkf/efcs/grp13.ecf | 45 --- .../prod2018010212/gdas/enkf/efcs/grp14.ecf | 45 --- .../prod2018010212/gdas/enkf/efcs/grp15.ecf | 45 --- .../prod2018010212/gdas/enkf/efcs/grp16.ecf | 45 --- .../prod2018010212/gdas/enkf/efcs/grp2.ecf | 45 --- .../prod2018010212/gdas/enkf/efcs/grp3.ecf | 45 --- .../prod2018010212/gdas/enkf/efcs/grp4.ecf | 45 --- .../prod2018010212/gdas/enkf/efcs/grp5.ecf | 45 --- .../prod2018010212/gdas/enkf/efcs/grp6.ecf | 45 --- .../prod2018010212/gdas/enkf/efcs/grp7.ecf | 45 --- .../prod2018010212/gdas/enkf/efcs/grp8.ecf | 45 --- .../prod2018010212/gdas/enkf/efcs/grp9.ecf | 45 --- .../scripts/prod2018010212/gdas/enkf/eobs.ecf | 45 --- .../prod2018010212/gdas/enkf/eomg/grp1.ecf | 45 --- .../prod2018010212/gdas/enkf/eomg/grp10.ecf | 45 --- .../prod2018010212/gdas/enkf/eomg/grp11.ecf | 45 --- .../prod2018010212/gdas/enkf/eomg/grp12.ecf | 45 --- .../prod2018010212/gdas/enkf/eomg/grp13.ecf | 45 --- .../prod2018010212/gdas/enkf/eomg/grp14.ecf | 45 --- .../prod2018010212/gdas/enkf/eomg/grp15.ecf | 45 --- .../prod2018010212/gdas/enkf/eomg/grp16.ecf | 45 --- .../prod2018010212/gdas/enkf/eomg/grp2.ecf | 45 --- .../prod2018010212/gdas/enkf/eomg/grp3.ecf | 45 --- .../prod2018010212/gdas/enkf/eomg/grp4.ecf | 45 --- .../prod2018010212/gdas/enkf/eomg/grp5.ecf | 45 --- .../prod2018010212/gdas/enkf/eomg/grp6.ecf | 45 --- .../prod2018010212/gdas/enkf/eomg/grp7.ecf | 45 --- .../prod2018010212/gdas/enkf/eomg/grp8.ecf | 45 --- .../prod2018010212/gdas/enkf/eomg/grp9.ecf | 45 --- .../scripts/prod2018010212/gdas/enkf/epos.ecf | 45 --- .../scripts/prod2018010212/gdas/enkf/eupd.ecf | 45 --- .../scripts/prod2018010212/gdas/fcst.ecf | 45 --- .../scripts/prod2018010212/gdas/post/f000.ecf | 44 --- .../scripts/prod2018010212/gdas/post/f006.ecf | 44 --- .../scripts/prod2018010212/gdas/post/f012.ecf | 44 --- .../scripts/prod2018010212/gdas/post/f018.ecf | 44 --- .../scripts/prod2018010212/gdas/post/f024.ecf | 44 --- .../scripts/prod2018010212/gdas/post/f030.ecf | 44 --- .../scripts/prod2018010212/gdas/post/g000.ecf | 45 --- .../scripts/prod2018010212/gdas/post/g001.ecf | 45 --- .../scripts/prod2018010212/gdas/post/g002.ecf | 45 --- .../scripts/prod2018010212/gdas/post/g003.ecf | 45 --- .../scripts/prod2018010212/gdas/post/g004.ecf | 45 --- .../scripts/prod2018010212/gdas/post/g005.ecf | 45 --- .../prod2018010212/gdas/post/post_manager.ecf | 45 --- .../scripts/prod2018010212/gdas/prep.ecf | 45 --- .../scripts/prod2018010212/gdas/vrfy.ecf | 45 --- .../scripts/prod2018010212/gfs/anal.ecf | 45 --- .../prod2018010212/gfs/dump_waiter.ecf | 45 --- .../scripts/prod2018010212/gfs/fcst.ecf | 45 --- .../scripts/prod2018010212/gfs/post/f000.ecf | 44 --- .../scripts/prod2018010212/gfs/post/f006.ecf | 44 --- .../scripts/prod2018010212/gfs/post/f012.ecf | 44 --- .../scripts/prod2018010212/gfs/post/f018.ecf | 44 --- .../scripts/prod2018010212/gfs/post/f024.ecf | 44 --- .../scripts/prod2018010212/gfs/post/f030.ecf | 44 --- .../prod2018010212/gfs/post/grp000.ecf | 45 --- .../prod2018010212/gfs/post/grp001.ecf | 45 --- .../prod2018010212/gfs/post/grp002.ecf | 45 --- .../prod2018010212/gfs/post/grp003.ecf | 45 --- .../prod2018010212/gfs/post/grp004.ecf | 45 --- .../prod2018010212/gfs/post/grp005.ecf | 45 --- .../prod2018010212/gfs/post/post_manager.ecf | 45 --- .../scripts/prod2018010212/gfs/prep.ecf | 45 --- .../scripts/prod2018010212/gfs/vrfy.ecf | 45 --- .../prod2018010218/archive/earc/grp00.ecf | 45 --- .../prod2018010218/archive/earc/grp01.ecf | 45 --- .../prod2018010218/archive/earc/grp02.ecf | 45 --- .../prod2018010218/archive/earc/grp1.ecf | 45 --- .../prod2018010218/archive/earc/grp10.ecf | 45 --- .../prod2018010218/archive/earc/grp11.ecf | 45 --- .../prod2018010218/archive/earc/grp12.ecf | 45 --- .../prod2018010218/archive/earc/grp13.ecf | 45 --- .../prod2018010218/archive/earc/grp14.ecf | 45 --- .../prod2018010218/archive/earc/grp15.ecf | 45 --- .../prod2018010218/archive/earc/grp16.ecf | 45 --- .../prod2018010218/archive/earc/grp2.ecf | 45 --- .../prod2018010218/archive/earc/grp3.ecf | 45 --- .../prod2018010218/archive/earc/grp4.ecf | 45 --- .../prod2018010218/archive/earc/grp5.ecf | 45 --- .../prod2018010218/archive/earc/grp6.ecf | 45 --- .../prod2018010218/archive/earc/grp7.ecf | 45 --- .../prod2018010218/archive/earc/grp8.ecf | 45 --- .../prod2018010218/archive/earc/grp9.ecf | 45 --- .../prod2018010218/archive/gdasarch.ecf | 45 --- .../prod2018010218/archive/gfsarch.ecf | 45 --- .../scripts/prod2018010218/gdas/anal.ecf | 45 --- .../prod2018010218/gdas/dump_waiter.ecf | 45 --- .../scripts/prod2018010218/gdas/enkf/ecen.ecf | 45 --- .../prod2018010218/gdas/enkf/efcs/grp1.ecf | 45 --- .../prod2018010218/gdas/enkf/efcs/grp10.ecf | 45 --- .../prod2018010218/gdas/enkf/efcs/grp11.ecf | 45 --- .../prod2018010218/gdas/enkf/efcs/grp12.ecf | 45 --- .../prod2018010218/gdas/enkf/efcs/grp13.ecf | 45 --- .../prod2018010218/gdas/enkf/efcs/grp14.ecf | 45 --- .../prod2018010218/gdas/enkf/efcs/grp15.ecf | 45 --- .../prod2018010218/gdas/enkf/efcs/grp16.ecf | 45 --- .../prod2018010218/gdas/enkf/efcs/grp2.ecf | 45 --- .../prod2018010218/gdas/enkf/efcs/grp3.ecf | 45 --- .../prod2018010218/gdas/enkf/efcs/grp4.ecf | 45 --- .../prod2018010218/gdas/enkf/efcs/grp5.ecf | 45 --- .../prod2018010218/gdas/enkf/efcs/grp6.ecf | 45 --- .../prod2018010218/gdas/enkf/efcs/grp7.ecf | 45 --- .../prod2018010218/gdas/enkf/efcs/grp8.ecf | 45 --- .../prod2018010218/gdas/enkf/efcs/grp9.ecf | 45 --- .../scripts/prod2018010218/gdas/enkf/eobs.ecf | 45 --- .../prod2018010218/gdas/enkf/eomg/grp1.ecf | 45 --- .../prod2018010218/gdas/enkf/eomg/grp10.ecf | 45 --- .../prod2018010218/gdas/enkf/eomg/grp11.ecf | 45 --- .../prod2018010218/gdas/enkf/eomg/grp12.ecf | 45 --- .../prod2018010218/gdas/enkf/eomg/grp13.ecf | 45 --- .../prod2018010218/gdas/enkf/eomg/grp14.ecf | 45 --- .../prod2018010218/gdas/enkf/eomg/grp15.ecf | 45 --- .../prod2018010218/gdas/enkf/eomg/grp16.ecf | 45 --- .../prod2018010218/gdas/enkf/eomg/grp2.ecf | 45 --- .../prod2018010218/gdas/enkf/eomg/grp3.ecf | 45 --- .../prod2018010218/gdas/enkf/eomg/grp4.ecf | 45 --- .../prod2018010218/gdas/enkf/eomg/grp5.ecf | 45 --- .../prod2018010218/gdas/enkf/eomg/grp6.ecf | 45 --- .../prod2018010218/gdas/enkf/eomg/grp7.ecf | 45 --- .../prod2018010218/gdas/enkf/eomg/grp8.ecf | 45 --- .../prod2018010218/gdas/enkf/eomg/grp9.ecf | 45 --- .../scripts/prod2018010218/gdas/enkf/epos.ecf | 45 --- .../scripts/prod2018010218/gdas/enkf/eupd.ecf | 45 --- .../scripts/prod2018010218/gdas/fcst.ecf | 45 --- .../scripts/prod2018010218/gdas/post/f000.ecf | 44 --- .../scripts/prod2018010218/gdas/post/f006.ecf | 44 --- .../scripts/prod2018010218/gdas/post/f012.ecf | 44 --- .../scripts/prod2018010218/gdas/post/f018.ecf | 44 --- .../scripts/prod2018010218/gdas/post/f024.ecf | 44 --- .../scripts/prod2018010218/gdas/post/f030.ecf | 44 --- .../scripts/prod2018010218/gdas/post/g000.ecf | 45 --- .../scripts/prod2018010218/gdas/post/g001.ecf | 45 --- .../scripts/prod2018010218/gdas/post/g002.ecf | 45 --- .../scripts/prod2018010218/gdas/post/g003.ecf | 45 --- .../scripts/prod2018010218/gdas/post/g004.ecf | 45 --- .../scripts/prod2018010218/gdas/post/g005.ecf | 45 --- .../prod2018010218/gdas/post/post_manager.ecf | 45 --- .../scripts/prod2018010218/gdas/prep.ecf | 45 --- .../scripts/prod2018010218/gdas/vrfy.ecf | 45 --- .../scripts/prod2018010218/gfs/anal.ecf | 45 --- .../prod2018010218/gfs/dump_waiter.ecf | 45 --- .../scripts/prod2018010218/gfs/fcst.ecf | 45 --- .../scripts/prod2018010218/gfs/post/f000.ecf | 44 --- .../scripts/prod2018010218/gfs/post/f006.ecf | 44 --- .../scripts/prod2018010218/gfs/post/f012.ecf | 44 --- .../scripts/prod2018010218/gfs/post/f018.ecf | 44 --- .../scripts/prod2018010218/gfs/post/f024.ecf | 44 --- .../scripts/prod2018010218/gfs/post/f030.ecf | 44 --- .../prod2018010218/gfs/post/grp000.ecf | 45 --- .../prod2018010218/gfs/post/grp001.ecf | 45 --- .../prod2018010218/gfs/post/grp002.ecf | 45 --- .../prod2018010218/gfs/post/grp003.ecf | 45 --- .../prod2018010218/gfs/post/grp004.ecf | 45 --- .../prod2018010218/gfs/post/grp005.ecf | 45 --- .../prod2018010218/gfs/post/post_manager.ecf | 45 --- .../scripts/prod2018010218/gfs/prep.ecf | 45 --- .../scripts/prod2018010218/gfs/vrfy.ecf | 45 --- 376 files changed, 17624 deletions(-) delete mode 100644 model/rocoto_style_fv3gfs/defs/prod2018010200.def delete mode 100644 model/rocoto_style_fv3gfs/defs/prod2018010206.def delete mode 100644 model/rocoto_style_fv3gfs/defs/prod2018010212.def delete mode 100644 model/rocoto_style_fv3gfs/defs/prod2018010218.def delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp00.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp01.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp02.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp1.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp10.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp11.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp12.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp13.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp14.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp15.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp16.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp2.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp3.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp4.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp5.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp6.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp7.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp8.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp9.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/gdasarch.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/gfsarch.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/anal.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/dump_waiter.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/ecen.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp1.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp10.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp11.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp12.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp13.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp14.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp15.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp16.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp2.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp3.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp4.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp5.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp6.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp7.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp8.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp9.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eobs.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp1.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp10.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp11.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp12.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp13.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp14.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp15.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp16.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp2.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp3.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp4.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp5.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp6.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp7.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp8.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp9.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/epos.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eupd.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/fcst.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f000.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f006.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f012.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f018.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f024.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f030.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g000.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g001.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g002.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g003.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g004.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g005.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/post_manager.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/prep.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/vrfy.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/anal.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/dump_waiter.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/fcst.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f000.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f006.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f012.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f018.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f024.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f030.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp000.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp001.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp002.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp003.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp004.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp005.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/post_manager.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/prep.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/vrfy.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp00.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp01.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp02.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp1.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp10.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp11.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp12.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp13.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp14.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp15.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp16.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp2.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp3.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp4.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp5.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp6.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp7.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp8.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp9.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/gdasarch.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/gfsarch.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/anal.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/dump_waiter.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/ecen.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp1.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp10.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp11.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp12.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp13.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp14.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp15.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp16.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp2.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp3.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp4.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp5.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp6.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp7.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp8.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp9.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eobs.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp1.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp10.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp11.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp12.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp13.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp14.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp15.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp16.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp2.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp3.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp4.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp5.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp6.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp7.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp8.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp9.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/epos.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eupd.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/fcst.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f000.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f006.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f012.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f018.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f024.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f030.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g000.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g001.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g002.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g003.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g004.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g005.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/post_manager.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/prep.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/vrfy.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/anal.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/dump_waiter.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/fcst.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f000.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f006.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f012.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f018.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f024.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f030.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp000.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp001.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp002.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp003.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp004.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp005.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/post_manager.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/prep.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/vrfy.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp00.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp01.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp02.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp1.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp10.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp11.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp12.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp13.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp14.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp15.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp16.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp2.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp3.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp4.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp5.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp6.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp7.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp8.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp9.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/gdasarch.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/gfsarch.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/anal.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/dump_waiter.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/ecen.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp1.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp10.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp11.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp12.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp13.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp14.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp15.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp16.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp2.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp3.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp4.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp5.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp6.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp7.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp8.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp9.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eobs.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp1.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp10.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp11.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp12.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp13.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp14.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp15.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp16.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp2.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp3.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp4.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp5.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp6.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp7.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp8.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp9.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/epos.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eupd.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/fcst.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f000.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f006.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f012.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f018.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f024.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f030.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g000.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g001.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g002.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g003.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g004.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g005.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/post_manager.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/prep.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/vrfy.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/anal.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/dump_waiter.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/fcst.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f000.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f006.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f012.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f018.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f024.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f030.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp000.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp001.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp002.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp003.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp004.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp005.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/post_manager.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/prep.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/vrfy.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp00.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp01.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp02.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp1.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp10.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp11.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp12.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp13.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp14.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp15.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp16.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp2.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp3.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp4.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp5.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp6.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp7.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp8.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp9.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/gdasarch.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/gfsarch.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/anal.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/dump_waiter.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/ecen.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp1.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp10.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp11.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp12.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp13.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp14.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp15.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp16.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp2.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp3.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp4.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp5.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp6.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp7.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp8.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp9.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eobs.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp1.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp10.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp11.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp12.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp13.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp14.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp15.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp16.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp2.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp3.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp4.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp5.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp6.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp7.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp8.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp9.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/epos.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eupd.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/fcst.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f000.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f006.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f012.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f018.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f024.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f030.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g000.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g001.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g002.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g003.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g004.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g005.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/post_manager.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/prep.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/vrfy.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/anal.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/dump_waiter.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/fcst.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f000.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f006.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f012.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f018.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f024.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f030.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp000.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp001.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp002.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp003.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp004.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp005.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/post_manager.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/prep.ecf delete mode 100644 model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/vrfy.ecf diff --git a/model/rocoto_style_fv3gfs/defs/prod2018010200.def b/model/rocoto_style_fv3gfs/defs/prod2018010200.def deleted file mode 100644 index d6cb5b3..0000000 --- a/model/rocoto_style_fv3gfs/defs/prod2018010200.def +++ /dev/null @@ -1,343 +0,0 @@ -extern /prod2018010118/gdas/post -extern /prod2018010118/gdas/enkf/epos -suite prod2018010200 - # This ecflow suite definition is automatically generated. - # Changes will be overwritten. Please edit suite_def.yaml instead. - #repeat day 1 - edit ECF_TRIES '1' - #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' - edit ECF_KILL_CMD 'lkill %ECF_NAME% %ECF_JOBOUT%' - edit ECF_HOME '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs' - #edit ECF_HOME '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3' - edit CYC '00' - edit PDY '20180102' - edit ENVIR 'prod' - edit E 'jecffv3' - #edit QUEUE 'dev' - edit PROJENVIR 'GFS-T2O' - edit EMCPEN 'ecfgfsfv3' - edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/ecfgfsfv3' - edit ECF_FILES '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs/scripts' - edit ECF_OUT '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs/output' - edit ECF_LOG '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs/ecf.log' - edit MODEL_NAME 'gfs' - - edit DUMPDIR '/gpfs/tp1/emc/globaldump' - edit QUEUE 'dev' - edit COM '/gpfs/hps3/ptmp/Samuel.Trahan/comdir2/ecfgfsfv3' - edit QUEUESERV 'dev_transfer' - - family gdas # /prod2018010200/gdas - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - edit PROJ '%PROJENVIR%' - edit MODEL_NAME 'gdas' - task dump_waiter - event 1 updated_status - task prep - trigger /prod2018010118/gdas/post == complete and ./dump_waiter:updated_status - task anal - trigger ./prep == complete and /prod2018010118/gdas/enkf/epos == complete - task fcst - trigger ./anal == complete - family post # /prod2018010200/gdas/post - task post_manager - trigger ../fcst == active or ../fcst == complete - event 1 release_postanl - event 2 release_post00 - event 3 release_post01 - event 4 release_post02 - event 5 release_post03 - event 6 release_post04 - event 7 release_post05 - event 8 release_post06 - event 9 release_post07 - event 10 release_post08 - event 11 release_post09 - task g000 - edit FHRGRP '000' - edit FHRLST 'anl' - trigger ./post_manager:release_post00 - task g001 - edit FHRGRP '001' - edit FHRLST 'f000' - trigger ./post_manager:release_post01 - task g002 - edit FHRGRP '002' - edit FHRLST 'f003' - trigger ./post_manager:release_post02 - task g003 - edit FHRGRP '003' - edit FHRLST 'f006' - trigger ./post_manager:release_post03 - task g004 - edit FHRGRP '004' - edit FHRLST 'f009' - trigger ./post_manager:release_post04 - task g005 - edit FHRGRP '005' - edit FHRLST 'f012' - trigger ./post_manager:release_post05 - endfamily # /prod2018010200/gdas/post - task vrfy - trigger ./post == complete - family enkf # /prod2018010200/gdas/enkf - task eobs - trigger ../prep == complete and /prod2018010118/gdas/enkf/epos == complete - family eomg # /prod2018010200/gdas/enkf/eomg - trigger ./eobs == complete - task grp1 - edit ENSGRP '01' - task grp2 - edit ENSGRP '02' - endfamily # /prod2018010200/gdas/enkf/eomg - task eupd - trigger ./eomg == complete - task ecen - trigger ./eupd == complete and ../anal == complete - family efcs # /prod2018010200/gdas/enkf/efcs - trigger ./ecen == complete - task grp1 - edit ENSGRP '01' - task grp2 - edit ENSGRP '02' - endfamily # /prod2018010200/gdas/enkf/efcs - task epos - trigger ./efcs == complete - endfamily # /prod2018010200/gdas/enkf - endfamily # /prod2018010200/gdas - family gfs # /prod2018010200/gfs - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - edit PROJ '%PROJENVIR%' - edit MODEL_NAME 'gfs' - task dump_waiter - event 1 updated_status - task prep - trigger /prod2018010118/gdas/post == complete and ./dump_waiter:updated_status - task anal - trigger ./prep == complete and /prod2018010118/gdas/enkf/epos == complete - task fcst - trigger ./anal == complete - family post # /prod2018010200/gfs/post - task post_manager - trigger ../fcst == active or ../fcst == complete - event 1 release_postanl - event 2 release_post00 - event 3 release_post01 - event 4 release_post02 - event 5 release_post03 - event 6 release_post04 - event 7 release_post05 - event 8 release_post06 - event 9 release_post07 - event 10 release_post08 - event 11 release_post09 - event 12 release_post10 - event 13 release_post11 - event 14 release_post12 - event 15 release_post13 - event 16 release_post14 - event 17 release_post15 - event 18 release_post16 - event 19 release_post17 - event 20 release_post18 - event 21 release_post19 - event 22 release_post20 - event 23 release_post21 - event 24 release_post22 - event 25 release_post23 - event 26 release_post24 - event 27 release_post25 - event 28 release_post26 - event 29 release_post27 - event 30 release_post28 - event 31 release_post29 - event 32 release_post30 - event 33 release_post31 - event 34 release_post32 - event 35 release_post33 - event 36 release_post34 - event 37 release_post35 - event 38 release_post36 - event 39 release_post37 - event 40 release_post38 - event 41 release_post39 - event 42 release_post40 - event 43 release_post41 - event 44 release_post42 - event 45 release_post43 - event 46 release_post44 - event 47 release_post45 - event 48 release_post46 - event 49 release_post47 - event 50 release_post48 - event 51 release_post49 - event 52 release_post50 - event 53 release_post51 - event 54 release_post52 - event 55 release_post53 - event 56 release_post54 - event 57 release_post55 - event 58 release_post56 - event 59 release_post57 - event 60 release_post58 - event 61 release_post59 - event 62 release_post60 - event 63 release_post61 - event 64 release_post62 - event 65 release_post63 - event 66 release_post64 - event 67 release_post65 - event 68 release_post66 - event 69 release_post67 - event 70 release_post68 - event 71 release_post69 - event 72 release_post70 - event 73 release_post71 - event 74 release_post72 - event 75 release_post73 - event 76 release_post74 - event 77 release_post75 - event 78 release_post76 - event 79 release_post77 - event 80 release_post78 - event 81 release_post79 - event 82 release_post80 - event 83 release_post81 - event 84 release_post82 - event 85 release_post83 - event 86 release_post84 - event 87 release_post85 - event 88 release_post86 - event 89 release_post87 - event 90 release_post88 - event 91 release_post89 - event 92 release_post90 - event 93 release_post91 - event 94 release_post92 - event 95 release_post93 - event 96 release_post94 - event 97 release_post95 - event 98 release_post96 - event 99 release_post97 - event 100 release_post98 - event 101 release_post99 - event 102 release_post100 - event 103 release_post101 - event 104 release_post102 - event 105 release_post103 - event 106 release_post104 - event 107 release_post105 - event 108 release_post106 - event 109 release_post107 - event 110 release_post108 - event 111 release_post109 - event 112 release_post110 - event 113 release_post111 - event 114 release_post112 - event 115 release_post113 - event 116 release_post114 - event 117 release_post115 - event 118 release_post116 - event 119 release_post117 - event 120 release_post118 - event 121 release_post119 - event 122 release_post120 - event 123 release_post123 - event 124 release_post126 - event 125 release_post129 - event 126 release_post132 - event 127 release_post135 - event 128 release_post138 - event 129 release_post141 - event 130 release_post144 - event 131 release_post147 - event 132 release_post150 - event 133 release_post153 - event 134 release_post156 - event 135 release_post159 - event 136 release_post162 - event 137 release_post165 - event 138 release_post168 - event 139 release_post171 - event 140 release_post174 - event 141 release_post177 - event 142 release_post180 - event 143 release_post183 - event 144 release_post186 - event 145 release_post189 - event 146 release_post192 - event 147 release_post195 - event 148 release_post198 - event 149 release_post201 - event 150 release_post204 - event 151 release_post207 - event 152 release_post210 - event 153 release_post213 - event 154 release_post216 - event 155 release_post219 - event 156 release_post222 - event 157 release_post225 - event 158 release_post228 - event 159 release_post231 - event 160 release_post234 - event 161 release_post237 - event 162 release_post240 - event 163 release_post252 - event 164 release_post264 - event 165 release_post276 - event 166 release_post288 - event 167 release_post300 - event 168 release_post312 - event 169 release_post324 - event 170 release_post336 - event 171 release_post348 - event 172 release_post360 - event 173 release_post372 - event 174 release_post384 - task grp000 - edit FHRGRP '000' - edit FHRLST 'anl' - trigger ./post_manager:release_post00 - task grp001 - edit FHRGRP '001' - edit FHRLST 'f000' - trigger ./post_manager:release_post00 - task grp002 - edit FHRGRP '002' - edit FHRLST 'f006' - trigger ./post_manager:release_post06 - task grp003 - edit FHRGRP '003' - edit FHRLST 'f012' - trigger ./post_manager:release_post12 - task grp004 - edit FHRGRP '004' - edit FHRLST 'f018' - trigger ./post_manager:release_post18 - task grp005 - edit FHRGRP '005' - edit FHRLST 'f024' - trigger ./post_manager:release_post24 - endfamily # /prod2018010200/gfs/post - task vrfy - trigger ./post == complete - endfamily # /prod2018010200/gfs - family archive # /prod2018010200/archive - task gdasarch - trigger ../gdas/vrfy == complete - family earc # /prod2018010200/archive/earc - trigger ../gdas/enkf/epos == complete - task grp00 - edit ENSGRP '00' - task grp01 - edit ENSGRP '01' - task grp02 - edit ENSGRP '02' - endfamily # /prod2018010200/archive/earc - task gfsarch - trigger ../gfs/vrfy == complete - endfamily # /prod2018010200/archive -endsuite # /prod2018010200 diff --git a/model/rocoto_style_fv3gfs/defs/prod2018010206.def b/model/rocoto_style_fv3gfs/defs/prod2018010206.def deleted file mode 100644 index de3b144..0000000 --- a/model/rocoto_style_fv3gfs/defs/prod2018010206.def +++ /dev/null @@ -1,123 +0,0 @@ -extern /prod2018010200/gdas/post -extern /prod2018010200/gdas/enkf/epos -suite prod2018010206 - # This ecflow suite definition is automatically generated. - # Changes will be overwritten. Please edit suite_def.yaml instead. - #repeat day 1 - edit ECF_TRIES '1' - #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' - edit ECF_KILL_CMD 'lkill %ECF_NAME% %ECF_JOBOUT%' - edit ECF_HOME '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs' - #edit ECF_HOME '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3' - edit CYC '06' - edit PDY '20180102' - edit ENVIR 'prod' - edit E 'jecffv3' - #edit QUEUE 'dev' - edit PROJENVIR 'GFS-T2O' - edit EMCPEN 'ecfgfsfv3' - edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/ecfgfsfv3' - edit ECF_FILES '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs/scripts' - edit ECF_OUT '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs/output' - edit ECF_LOG '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs/ecf.log' - edit MODEL_NAME 'gfs' - - edit DUMPDIR '/gpfs/tp1/emc/globaldump' - edit QUEUE 'dev' - edit COM '/gpfs/hps3/ptmp/Samuel.Trahan/comdir2/ecfgfsfv3' - edit QUEUESERV 'dev_transfer' - - family gdas # /prod2018010206/gdas - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - edit PROJ '%PROJENVIR%' - edit MODEL_NAME 'gdas' - task dump_waiter - event 1 updated_status - task prep - trigger /prod2018010200/gdas/post == complete and ./dump_waiter:updated_status - task anal - trigger ./prep == complete and /prod2018010200/gdas/enkf/epos == complete - task fcst - trigger ./anal == complete - family post # /prod2018010206/gdas/post - task post_manager - trigger ../fcst == active or ../fcst == complete - event 1 release_postanl - event 2 release_post00 - event 3 release_post01 - event 4 release_post02 - event 5 release_post03 - event 6 release_post04 - event 7 release_post05 - event 8 release_post06 - event 9 release_post07 - event 10 release_post08 - event 11 release_post09 - task g000 - edit FHRGRP '000' - edit FHRLST 'anl' - trigger ./post_manager:release_post00 - task g001 - edit FHRGRP '001' - edit FHRLST 'f000' - trigger ./post_manager:release_post01 - task g002 - edit FHRGRP '002' - edit FHRLST 'f003' - trigger ./post_manager:release_post02 - task g003 - edit FHRGRP '003' - edit FHRLST 'f006' - trigger ./post_manager:release_post03 - task g004 - edit FHRGRP '004' - edit FHRLST 'f009' - trigger ./post_manager:release_post04 - task g005 - edit FHRGRP '005' - edit FHRLST 'f012' - trigger ./post_manager:release_post05 - endfamily # /prod2018010206/gdas/post - task vrfy - trigger ./post == complete - family enkf # /prod2018010206/gdas/enkf - task eobs - trigger ../prep == complete and /prod2018010200/gdas/enkf/epos == complete - family eomg # /prod2018010206/gdas/enkf/eomg - trigger ./eobs == complete - task grp1 - edit ENSGRP '01' - task grp2 - edit ENSGRP '02' - endfamily # /prod2018010206/gdas/enkf/eomg - task eupd - trigger ./eomg == complete - task ecen - trigger ./eupd == complete and ../anal == complete - family efcs # /prod2018010206/gdas/enkf/efcs - trigger ./ecen == complete - task grp1 - edit ENSGRP '01' - task grp2 - edit ENSGRP '02' - endfamily # /prod2018010206/gdas/enkf/efcs - task epos - trigger ./efcs == complete - endfamily # /prod2018010206/gdas/enkf - endfamily # /prod2018010206/gdas - family archive # /prod2018010206/archive - task gdasarch - trigger ../gdas/vrfy == complete - family earc # /prod2018010206/archive/earc - trigger ../gdas/enkf/epos == complete - task grp00 - edit ENSGRP '00' - task grp01 - edit ENSGRP '01' - task grp02 - edit ENSGRP '02' - endfamily # /prod2018010206/archive/earc - endfamily # /prod2018010206/archive -endsuite # /prod2018010206 diff --git a/model/rocoto_style_fv3gfs/defs/prod2018010212.def b/model/rocoto_style_fv3gfs/defs/prod2018010212.def deleted file mode 100644 index cabbd35..0000000 --- a/model/rocoto_style_fv3gfs/defs/prod2018010212.def +++ /dev/null @@ -1,343 +0,0 @@ -extern /prod2018010206/gdas/post -extern /prod2018010206/gdas/enkf/epos -suite prod2018010212 - # This ecflow suite definition is automatically generated. - # Changes will be overwritten. Please edit suite_def.yaml instead. - #repeat day 1 - edit ECF_TRIES '1' - #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' - edit ECF_KILL_CMD 'lkill %ECF_NAME% %ECF_JOBOUT%' - edit ECF_HOME '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs' - #edit ECF_HOME '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3' - edit CYC '12' - edit PDY '20180102' - edit ENVIR 'prod' - edit E 'jecffv3' - #edit QUEUE 'dev' - edit PROJENVIR 'GFS-T2O' - edit EMCPEN 'ecfgfsfv3' - edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/ecfgfsfv3' - edit ECF_FILES '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs/scripts' - edit ECF_OUT '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs/output' - edit ECF_LOG '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs/ecf.log' - edit MODEL_NAME 'gfs' - - edit DUMPDIR '/gpfs/tp1/emc/globaldump' - edit QUEUE 'dev' - edit COM '/gpfs/hps3/ptmp/Samuel.Trahan/comdir2/ecfgfsfv3' - edit QUEUESERV 'dev_transfer' - - family gdas # /prod2018010212/gdas - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - edit PROJ '%PROJENVIR%' - edit MODEL_NAME 'gdas' - task dump_waiter - event 1 updated_status - task prep - trigger /prod2018010206/gdas/post == complete and ./dump_waiter:updated_status - task anal - trigger ./prep == complete and /prod2018010206/gdas/enkf/epos == complete - task fcst - trigger ./anal == complete - family post # /prod2018010212/gdas/post - task post_manager - trigger ../fcst == active or ../fcst == complete - event 1 release_postanl - event 2 release_post00 - event 3 release_post01 - event 4 release_post02 - event 5 release_post03 - event 6 release_post04 - event 7 release_post05 - event 8 release_post06 - event 9 release_post07 - event 10 release_post08 - event 11 release_post09 - task g000 - edit FHRGRP '000' - edit FHRLST 'anl' - trigger ./post_manager:release_post00 - task g001 - edit FHRGRP '001' - edit FHRLST 'f000' - trigger ./post_manager:release_post01 - task g002 - edit FHRGRP '002' - edit FHRLST 'f003' - trigger ./post_manager:release_post02 - task g003 - edit FHRGRP '003' - edit FHRLST 'f006' - trigger ./post_manager:release_post03 - task g004 - edit FHRGRP '004' - edit FHRLST 'f009' - trigger ./post_manager:release_post04 - task g005 - edit FHRGRP '005' - edit FHRLST 'f012' - trigger ./post_manager:release_post05 - endfamily # /prod2018010212/gdas/post - task vrfy - trigger ./post == complete - family enkf # /prod2018010212/gdas/enkf - task eobs - trigger ../prep == complete and /prod2018010206/gdas/enkf/epos == complete - family eomg # /prod2018010212/gdas/enkf/eomg - trigger ./eobs == complete - task grp1 - edit ENSGRP '01' - task grp2 - edit ENSGRP '02' - endfamily # /prod2018010212/gdas/enkf/eomg - task eupd - trigger ./eomg == complete - task ecen - trigger ./eupd == complete and ../anal == complete - family efcs # /prod2018010212/gdas/enkf/efcs - trigger ./ecen == complete - task grp1 - edit ENSGRP '01' - task grp2 - edit ENSGRP '02' - endfamily # /prod2018010212/gdas/enkf/efcs - task epos - trigger ./efcs == complete - endfamily # /prod2018010212/gdas/enkf - endfamily # /prod2018010212/gdas - family gfs # /prod2018010212/gfs - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - edit PROJ '%PROJENVIR%' - edit MODEL_NAME 'gfs' - task dump_waiter - event 1 updated_status - task prep - trigger /prod2018010206/gdas/post == complete and ./dump_waiter:updated_status - task anal - trigger ./prep == complete and /prod2018010206/gdas/enkf/epos == complete - task fcst - trigger ./anal == complete - family post # /prod2018010212/gfs/post - task post_manager - trigger ../fcst == active or ../fcst == complete - event 1 release_postanl - event 2 release_post00 - event 3 release_post01 - event 4 release_post02 - event 5 release_post03 - event 6 release_post04 - event 7 release_post05 - event 8 release_post06 - event 9 release_post07 - event 10 release_post08 - event 11 release_post09 - event 12 release_post10 - event 13 release_post11 - event 14 release_post12 - event 15 release_post13 - event 16 release_post14 - event 17 release_post15 - event 18 release_post16 - event 19 release_post17 - event 20 release_post18 - event 21 release_post19 - event 22 release_post20 - event 23 release_post21 - event 24 release_post22 - event 25 release_post23 - event 26 release_post24 - event 27 release_post25 - event 28 release_post26 - event 29 release_post27 - event 30 release_post28 - event 31 release_post29 - event 32 release_post30 - event 33 release_post31 - event 34 release_post32 - event 35 release_post33 - event 36 release_post34 - event 37 release_post35 - event 38 release_post36 - event 39 release_post37 - event 40 release_post38 - event 41 release_post39 - event 42 release_post40 - event 43 release_post41 - event 44 release_post42 - event 45 release_post43 - event 46 release_post44 - event 47 release_post45 - event 48 release_post46 - event 49 release_post47 - event 50 release_post48 - event 51 release_post49 - event 52 release_post50 - event 53 release_post51 - event 54 release_post52 - event 55 release_post53 - event 56 release_post54 - event 57 release_post55 - event 58 release_post56 - event 59 release_post57 - event 60 release_post58 - event 61 release_post59 - event 62 release_post60 - event 63 release_post61 - event 64 release_post62 - event 65 release_post63 - event 66 release_post64 - event 67 release_post65 - event 68 release_post66 - event 69 release_post67 - event 70 release_post68 - event 71 release_post69 - event 72 release_post70 - event 73 release_post71 - event 74 release_post72 - event 75 release_post73 - event 76 release_post74 - event 77 release_post75 - event 78 release_post76 - event 79 release_post77 - event 80 release_post78 - event 81 release_post79 - event 82 release_post80 - event 83 release_post81 - event 84 release_post82 - event 85 release_post83 - event 86 release_post84 - event 87 release_post85 - event 88 release_post86 - event 89 release_post87 - event 90 release_post88 - event 91 release_post89 - event 92 release_post90 - event 93 release_post91 - event 94 release_post92 - event 95 release_post93 - event 96 release_post94 - event 97 release_post95 - event 98 release_post96 - event 99 release_post97 - event 100 release_post98 - event 101 release_post99 - event 102 release_post100 - event 103 release_post101 - event 104 release_post102 - event 105 release_post103 - event 106 release_post104 - event 107 release_post105 - event 108 release_post106 - event 109 release_post107 - event 110 release_post108 - event 111 release_post109 - event 112 release_post110 - event 113 release_post111 - event 114 release_post112 - event 115 release_post113 - event 116 release_post114 - event 117 release_post115 - event 118 release_post116 - event 119 release_post117 - event 120 release_post118 - event 121 release_post119 - event 122 release_post120 - event 123 release_post123 - event 124 release_post126 - event 125 release_post129 - event 126 release_post132 - event 127 release_post135 - event 128 release_post138 - event 129 release_post141 - event 130 release_post144 - event 131 release_post147 - event 132 release_post150 - event 133 release_post153 - event 134 release_post156 - event 135 release_post159 - event 136 release_post162 - event 137 release_post165 - event 138 release_post168 - event 139 release_post171 - event 140 release_post174 - event 141 release_post177 - event 142 release_post180 - event 143 release_post183 - event 144 release_post186 - event 145 release_post189 - event 146 release_post192 - event 147 release_post195 - event 148 release_post198 - event 149 release_post201 - event 150 release_post204 - event 151 release_post207 - event 152 release_post210 - event 153 release_post213 - event 154 release_post216 - event 155 release_post219 - event 156 release_post222 - event 157 release_post225 - event 158 release_post228 - event 159 release_post231 - event 160 release_post234 - event 161 release_post237 - event 162 release_post240 - event 163 release_post252 - event 164 release_post264 - event 165 release_post276 - event 166 release_post288 - event 167 release_post300 - event 168 release_post312 - event 169 release_post324 - event 170 release_post336 - event 171 release_post348 - event 172 release_post360 - event 173 release_post372 - event 174 release_post384 - task grp000 - edit FHRGRP '000' - edit FHRLST 'anl' - trigger ./post_manager:release_post00 - task grp001 - edit FHRGRP '001' - edit FHRLST 'f000' - trigger ./post_manager:release_post00 - task grp002 - edit FHRGRP '002' - edit FHRLST 'f006' - trigger ./post_manager:release_post06 - task grp003 - edit FHRGRP '003' - edit FHRLST 'f012' - trigger ./post_manager:release_post12 - task grp004 - edit FHRGRP '004' - edit FHRLST 'f018' - trigger ./post_manager:release_post18 - task grp005 - edit FHRGRP '005' - edit FHRLST 'f024' - trigger ./post_manager:release_post24 - endfamily # /prod2018010212/gfs/post - task vrfy - trigger ./post == complete - endfamily # /prod2018010212/gfs - family archive # /prod2018010212/archive - task gdasarch - trigger ../gdas/vrfy == complete - family earc # /prod2018010212/archive/earc - trigger ../gdas/enkf/epos == complete - task grp00 - edit ENSGRP '00' - task grp01 - edit ENSGRP '01' - task grp02 - edit ENSGRP '02' - endfamily # /prod2018010212/archive/earc - task gfsarch - trigger ../gfs/vrfy == complete - endfamily # /prod2018010212/archive -endsuite # /prod2018010212 diff --git a/model/rocoto_style_fv3gfs/defs/prod2018010218.def b/model/rocoto_style_fv3gfs/defs/prod2018010218.def deleted file mode 100644 index 3b28f5a..0000000 --- a/model/rocoto_style_fv3gfs/defs/prod2018010218.def +++ /dev/null @@ -1,123 +0,0 @@ -extern /prod2018010212/gdas/post -extern /prod2018010212/gdas/enkf/epos -suite prod2018010218 - # This ecflow suite definition is automatically generated. - # Changes will be overwritten. Please edit suite_def.yaml instead. - #repeat day 1 - edit ECF_TRIES '1' - #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' - edit ECF_KILL_CMD 'lkill %ECF_NAME% %ECF_JOBOUT%' - edit ECF_HOME '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs' - #edit ECF_HOME '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3' - edit CYC '18' - edit PDY '20180102' - edit ENVIR 'prod' - edit E 'jecffv3' - #edit QUEUE 'dev' - edit PROJENVIR 'GFS-T2O' - edit EMCPEN 'ecfgfsfv3' - edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/ecfgfsfv3' - edit ECF_FILES '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs/scripts' - edit ECF_OUT '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs/output' - edit ECF_LOG '/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/blah/model/rocoto_style_fv3gfs/ecf.log' - edit MODEL_NAME 'gfs' - - edit DUMPDIR '/gpfs/tp1/emc/globaldump' - edit QUEUE 'dev' - edit COM '/gpfs/hps3/ptmp/Samuel.Trahan/comdir2/ecfgfsfv3' - edit QUEUESERV 'dev_transfer' - - family gdas # /prod2018010218/gdas - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - edit PROJ '%PROJENVIR%' - edit MODEL_NAME 'gdas' - task dump_waiter - event 1 updated_status - task prep - trigger /prod2018010212/gdas/post == complete and ./dump_waiter:updated_status - task anal - trigger ./prep == complete and /prod2018010212/gdas/enkf/epos == complete - task fcst - trigger ./anal == complete - family post # /prod2018010218/gdas/post - task post_manager - trigger ../fcst == active or ../fcst == complete - event 1 release_postanl - event 2 release_post00 - event 3 release_post01 - event 4 release_post02 - event 5 release_post03 - event 6 release_post04 - event 7 release_post05 - event 8 release_post06 - event 9 release_post07 - event 10 release_post08 - event 11 release_post09 - task g000 - edit FHRGRP '000' - edit FHRLST 'anl' - trigger ./post_manager:release_post00 - task g001 - edit FHRGRP '001' - edit FHRLST 'f000' - trigger ./post_manager:release_post01 - task g002 - edit FHRGRP '002' - edit FHRLST 'f003' - trigger ./post_manager:release_post02 - task g003 - edit FHRGRP '003' - edit FHRLST 'f006' - trigger ./post_manager:release_post03 - task g004 - edit FHRGRP '004' - edit FHRLST 'f009' - trigger ./post_manager:release_post04 - task g005 - edit FHRGRP '005' - edit FHRLST 'f012' - trigger ./post_manager:release_post05 - endfamily # /prod2018010218/gdas/post - task vrfy - trigger ./post == complete - family enkf # /prod2018010218/gdas/enkf - task eobs - trigger ../prep == complete and /prod2018010212/gdas/enkf/epos == complete - family eomg # /prod2018010218/gdas/enkf/eomg - trigger ./eobs == complete - task grp1 - edit ENSGRP '01' - task grp2 - edit ENSGRP '02' - endfamily # /prod2018010218/gdas/enkf/eomg - task eupd - trigger ./eomg == complete - task ecen - trigger ./eupd == complete and ../anal == complete - family efcs # /prod2018010218/gdas/enkf/efcs - trigger ./ecen == complete - task grp1 - edit ENSGRP '01' - task grp2 - edit ENSGRP '02' - endfamily # /prod2018010218/gdas/enkf/efcs - task epos - trigger ./efcs == complete - endfamily # /prod2018010218/gdas/enkf - endfamily # /prod2018010218/gdas - family archive # /prod2018010218/archive - task gdasarch - trigger ../gdas/vrfy == complete - family earc # /prod2018010218/archive/earc - trigger ../gdas/enkf/epos == complete - task grp00 - edit ENSGRP '00' - task grp01 - edit ENSGRP '01' - task grp02 - edit ENSGRP '02' - endfamily # /prod2018010218/archive/earc - endfamily # /prod2018010218/archive -endsuite # /prod2018010218 diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp00.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp00.ecf deleted file mode 100644 index b57ae2b..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp00.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp00 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/archive.earc.grp00.log - -#BSUB -W 6:00 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp01.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp01.ecf deleted file mode 100644 index b3d8fb2..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp01.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp01 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/archive.earc.grp01.log - -#BSUB -W 6:00 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp02.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp02.ecf deleted file mode 100644 index 0269171..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp02.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp02 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/archive.earc.grp02.log - -#BSUB -W 6:00 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp1.ecf deleted file mode 100644 index 3456a5c..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp1.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp1 -#BSUB -o %ECF_OUT%/archive.earc.grp1_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp10.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp10.ecf deleted file mode 100644 index 6877711..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp10.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp10 -#BSUB -o %ECF_OUT%/archive.earc.grp10_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp11.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp11.ecf deleted file mode 100644 index e9a7ca7..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp11.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp11 -#BSUB -o %ECF_OUT%/archive.earc.grp11_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp12.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp12.ecf deleted file mode 100644 index 0985174..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp12.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp12 -#BSUB -o %ECF_OUT%/archive.earc.grp12_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp13.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp13.ecf deleted file mode 100644 index 09c3850..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp13.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp13 -#BSUB -o %ECF_OUT%/archive.earc.grp13_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp14.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp14.ecf deleted file mode 100644 index df74ae0..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp14.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp14 -#BSUB -o %ECF_OUT%/archive.earc.grp14_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp15.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp15.ecf deleted file mode 100644 index 348102d..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp15.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp15 -#BSUB -o %ECF_OUT%/archive.earc.grp15_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp16.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp16.ecf deleted file mode 100644 index 42cb852..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp16.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp16 -#BSUB -o %ECF_OUT%/archive.earc.grp16_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp2.ecf deleted file mode 100644 index a97c33c..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp2.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp2 -#BSUB -o %ECF_OUT%/archive.earc.grp2_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp3.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp3.ecf deleted file mode 100644 index fa3f20b..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp3.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp3 -#BSUB -o %ECF_OUT%/archive.earc.grp3_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp4.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp4.ecf deleted file mode 100644 index 5cae010..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp4.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp4 -#BSUB -o %ECF_OUT%/archive.earc.grp4_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp5.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp5.ecf deleted file mode 100644 index 537272f..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp5.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp5 -#BSUB -o %ECF_OUT%/archive.earc.grp5_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp6.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp6.ecf deleted file mode 100644 index 1740688..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp6.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp6 -#BSUB -o %ECF_OUT%/archive.earc.grp6_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp7.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp7.ecf deleted file mode 100644 index 8849816..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp7.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp7 -#BSUB -o %ECF_OUT%/archive.earc.grp7_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp8.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp8.ecf deleted file mode 100644 index d328623..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp8.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp8 -#BSUB -o %ECF_OUT%/archive.earc.grp8_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp9.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp9.ecf deleted file mode 100644 index 04c066f..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/earc/grp9.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp9 -#BSUB -o %ECF_OUT%/archive.earc.grp9_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/gdasarch.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/gdasarch.ecf deleted file mode 100644 index 450f2b3..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/gdasarch.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.gdasarch -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/archive.gdasarch.log - -#BSUB -W 6:00 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/gdasarch.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/gfsarch.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/gfsarch.ecf deleted file mode 100644 index eec8ed7..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/archive/gfsarch.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.gfsarch -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/archive.gfsarch.log - -#BSUB -W 6:00 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/gfsarch.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/anal.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/anal.ecf deleted file mode 100644 index 44fd7fa..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/anal.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.anal -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.anal.log - -#BSUB -W 1:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=24 -%include -%include - -set -x - -export ntasks=144 -export ptile=6 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/anal.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/dump_waiter.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/dump_waiter.ecf deleted file mode 100644 index 100a61a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/dump_waiter.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.dump_waiter -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.dump_waiter.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/dump_waiter.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/ecen.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/ecen.ecf deleted file mode 100644 index 7ca98c6..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/ecen.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.ecen -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.ecen.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=7 -%include -%include - -set -x - -export ntasks=84 -export ptile=12 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/ecen.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp1.ecf deleted file mode 100644 index 5804456..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp1.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp1 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.efcs.grp1.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=16 -%include -%include - -set -x - -export ntasks=192 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp10.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp10.ecf deleted file mode 100644 index 53b83c7..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp10.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp10 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp10_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp11.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp11.ecf deleted file mode 100644 index 006541b..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp11.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp11 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp11_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp12.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp12.ecf deleted file mode 100644 index 3fbefd9..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp12.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp12 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp12_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp13.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp13.ecf deleted file mode 100644 index 57bd7a1..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp13.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp13 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp13_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp14.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp14.ecf deleted file mode 100644 index 3c8b0b4..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp14.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp14 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp14_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp15.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp15.ecf deleted file mode 100644 index 3fcbeb0..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp15.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp15 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp15_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp16.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp16.ecf deleted file mode 100644 index f6741e2..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp16.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp16 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp16_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp2.ecf deleted file mode 100644 index ddf2895..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp2.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp2 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.efcs.grp2.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=16 -%include -%include - -set -x - -export ntasks=192 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp3.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp3.ecf deleted file mode 100644 index 4dcb5a7..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp3.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp3_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp4.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp4.ecf deleted file mode 100644 index c12b02b..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp4.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp4_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp5.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp5.ecf deleted file mode 100644 index 751b6b8..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp5.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp5_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp6.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp6.ecf deleted file mode 100644 index 9908c1b..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp6.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp6_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp7.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp7.ecf deleted file mode 100644 index 2389245..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp7.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp7_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp8.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp8.ecf deleted file mode 100644 index 4d850f0..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp8.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp8_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp9.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp9.ecf deleted file mode 100644 index 09d0a49..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/efcs/grp9.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp9 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp9_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eobs.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eobs.ecf deleted file mode 100644 index e768d9a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eobs.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eobs -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.eobs.log - -#BSUB -W 0:45 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=4 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eobs.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp1.ecf deleted file mode 100644 index abde1bb..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp1.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp1 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.eomg.grp1.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp10.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp10.ecf deleted file mode 100644 index fd7ce14..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp10.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp10 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp10_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp11.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp11.ecf deleted file mode 100644 index f2c94fb..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp11.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp11 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp11_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp12.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp12.ecf deleted file mode 100644 index 254bcd3..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp12.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp12 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp12_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp13.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp13.ecf deleted file mode 100644 index e8ed8b1..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp13.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp13 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp13_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp14.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp14.ecf deleted file mode 100644 index 38d4a50..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp14.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp14 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp14_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp15.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp15.ecf deleted file mode 100644 index 507d36d..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp15.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp15 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp15_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp16.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp16.ecf deleted file mode 100644 index 1991a92..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp16.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp16 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp16_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp2.ecf deleted file mode 100644 index 866b99a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp2.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp2 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.eomg.grp2.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp3.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp3.ecf deleted file mode 100644 index 80313c6..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp3.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp3_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp4.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp4.ecf deleted file mode 100644 index c0056f3..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp4.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp4_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp5.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp5.ecf deleted file mode 100644 index 017f39c..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp5.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp5_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp6.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp6.ecf deleted file mode 100644 index 9ce4f49..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp6.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp6_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp7.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp7.ecf deleted file mode 100644 index cc4497f..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp7.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp7_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp8.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp8.ecf deleted file mode 100644 index 5eb857a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp8.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp8_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp9.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp9.ecf deleted file mode 100644 index aba847b..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eomg/grp9.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp9 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp9_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/epos.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/epos.ecf deleted file mode 100644 index 8b69812..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/epos.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.epos -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.epos.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=7 -%include -%include - -set -x - -export ntasks=84 -export ptile=12 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/epos.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eupd.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eupd.ecf deleted file mode 100644 index dd1b85b..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/enkf/eupd.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eupd -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.eupd.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=10 -%include -%include - -set -x - -export ntasks=120 -export ptile=12 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eupd.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/fcst.ecf deleted file mode 100644 index b082a1e..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/fcst.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.fcst -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.fcst.log - -#BSUB -W 0:15 -#BSUB -R rusage[mem=1024] -#BSUB -extsched CRAYLINUX[] -export NODES=16 -%include -%include - -set -x - -export ntasks=192 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/fcst.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f000.ecf deleted file mode 100644 index 5789e8a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f000.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.f000 -#BSUB -o %ECF_OUT%/gdas.post.f000_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f006.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f006.ecf deleted file mode 100644 index fdaf9e5..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f006.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.f006 -#BSUB -o %ECF_OUT%/gdas.post.f006_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f012.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f012.ecf deleted file mode 100644 index 2fc679f..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f012.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.f012 -#BSUB -o %ECF_OUT%/gdas.post.f012_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f018.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f018.ecf deleted file mode 100644 index 09efdf7..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f018.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.f018 -#BSUB -o %ECF_OUT%/gdas.post.f018_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f024.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f024.ecf deleted file mode 100644 index 640061a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f024.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.f024 -#BSUB -o %ECF_OUT%/gdas.post.f024_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f030.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f030.ecf deleted file mode 100644 index 85c165f..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/f030.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.f030 -#BSUB -o %ECF_OUT%/gdas.post.f030_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g000.ecf deleted file mode 100644 index 254320a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g000.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.g000 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.post.g000.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g001.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g001.ecf deleted file mode 100644 index 96c0c80..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g001.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.g001 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.post.g001.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g002.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g002.ecf deleted file mode 100644 index 1786609..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g002.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.g002 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.post.g002.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g003.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g003.ecf deleted file mode 100644 index 1901cb8..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g003.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.g003 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.post.g003.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g004.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g004.ecf deleted file mode 100644 index e7216aa..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g004.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.g004 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.post.g004.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g005.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g005.ecf deleted file mode 100644 index 3b52dc2..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/g005.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.g005 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.post.g005.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/post_manager.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/post_manager.ecf deleted file mode 100644 index 0ccf1b1..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/post/post_manager.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.post_manager -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.post.post_manager.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post_manager - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/prep.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/prep.ecf deleted file mode 100644 index c643bc3..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/prep.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.prep.log - -#BSUB -W 0:15 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -export ntasks=12 -export ptile=24 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/prep.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/vrfy.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/vrfy.ecf deleted file mode 100644 index be10f3f..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gdas/vrfy.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.vrfy -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.vrfy.log - -#BSUB -W 3:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/vrfy.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/anal.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/anal.ecf deleted file mode 100644 index c81ee95..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/anal.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.anal -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.anal.log - -#BSUB -W 1:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=24 -%include -%include - -set -x - -export ntasks=144 -export ptile=6 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/anal.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/dump_waiter.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/dump_waiter.ecf deleted file mode 100644 index abee8f4..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/dump_waiter.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.dump_waiter -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.dump_waiter.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/dump_waiter.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/fcst.ecf deleted file mode 100644 index c57d6fd..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/fcst.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.fcst -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.fcst.log - -#BSUB -W 6:00 -#BSUB -R rusage[mem=1024] -#BSUB -extsched CRAYLINUX[] -export NODES=16 -%include -%include - -set -x - -export ntasks=192 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/fcst.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f000.ecf deleted file mode 100644 index 1814d60..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f000.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.f000 -#BSUB -o %ECF_OUT%/gfs.post.f000_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f006.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f006.ecf deleted file mode 100644 index 62f76c6..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f006.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.f006 -#BSUB -o %ECF_OUT%/gfs.post.f006_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f012.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f012.ecf deleted file mode 100644 index fda50ea..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f012.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.f012 -#BSUB -o %ECF_OUT%/gfs.post.f012_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f018.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f018.ecf deleted file mode 100644 index 3ff3f29..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f018.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.f018 -#BSUB -o %ECF_OUT%/gfs.post.f018_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f024.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f024.ecf deleted file mode 100644 index 410418d..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f024.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.f024 -#BSUB -o %ECF_OUT%/gfs.post.f024_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f030.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f030.ecf deleted file mode 100644 index c91eaf9..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/f030.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.f030 -#BSUB -o %ECF_OUT%/gfs.post.f030_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp000.ecf deleted file mode 100644 index 097476a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp000.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.grp000 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.post.grp000.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp001.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp001.ecf deleted file mode 100644 index 6fff2f4..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp001.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.grp001 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.post.grp001.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp002.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp002.ecf deleted file mode 100644 index a69648a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp002.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.grp002 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.post.grp002.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp003.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp003.ecf deleted file mode 100644 index 135acad..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp003.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.grp003 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.post.grp003.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp004.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp004.ecf deleted file mode 100644 index 8788ca6..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp004.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.grp004 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.post.grp004.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp005.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp005.ecf deleted file mode 100644 index 21d35a7..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/grp005.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.grp005 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.post.grp005.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/post_manager.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/post_manager.ecf deleted file mode 100644 index 0940c5e..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/post/post_manager.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.post_manager -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.post.post_manager.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post_manager - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/prep.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/prep.ecf deleted file mode 100644 index c366efe..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/prep.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.prep.log - -#BSUB -W 0:15 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -export ntasks=12 -export ptile=24 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/prep.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/vrfy.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/vrfy.ecf deleted file mode 100644 index 416f279..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010200/gfs/vrfy.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.vrfy -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.vrfy.log - -#BSUB -W 6:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/vrfy.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp00.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp00.ecf deleted file mode 100644 index b57ae2b..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp00.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp00 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/archive.earc.grp00.log - -#BSUB -W 6:00 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp01.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp01.ecf deleted file mode 100644 index b3d8fb2..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp01.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp01 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/archive.earc.grp01.log - -#BSUB -W 6:00 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp02.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp02.ecf deleted file mode 100644 index 0269171..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp02.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp02 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/archive.earc.grp02.log - -#BSUB -W 6:00 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp1.ecf deleted file mode 100644 index 3456a5c..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp1.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp1 -#BSUB -o %ECF_OUT%/archive.earc.grp1_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp10.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp10.ecf deleted file mode 100644 index 6877711..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp10.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp10 -#BSUB -o %ECF_OUT%/archive.earc.grp10_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp11.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp11.ecf deleted file mode 100644 index e9a7ca7..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp11.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp11 -#BSUB -o %ECF_OUT%/archive.earc.grp11_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp12.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp12.ecf deleted file mode 100644 index 0985174..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp12.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp12 -#BSUB -o %ECF_OUT%/archive.earc.grp12_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp13.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp13.ecf deleted file mode 100644 index 09c3850..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp13.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp13 -#BSUB -o %ECF_OUT%/archive.earc.grp13_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp14.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp14.ecf deleted file mode 100644 index df74ae0..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp14.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp14 -#BSUB -o %ECF_OUT%/archive.earc.grp14_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp15.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp15.ecf deleted file mode 100644 index 348102d..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp15.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp15 -#BSUB -o %ECF_OUT%/archive.earc.grp15_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp16.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp16.ecf deleted file mode 100644 index 42cb852..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp16.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp16 -#BSUB -o %ECF_OUT%/archive.earc.grp16_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp2.ecf deleted file mode 100644 index a97c33c..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp2.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp2 -#BSUB -o %ECF_OUT%/archive.earc.grp2_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp3.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp3.ecf deleted file mode 100644 index fa3f20b..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp3.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp3 -#BSUB -o %ECF_OUT%/archive.earc.grp3_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp4.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp4.ecf deleted file mode 100644 index 5cae010..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp4.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp4 -#BSUB -o %ECF_OUT%/archive.earc.grp4_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp5.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp5.ecf deleted file mode 100644 index 537272f..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp5.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp5 -#BSUB -o %ECF_OUT%/archive.earc.grp5_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp6.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp6.ecf deleted file mode 100644 index 1740688..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp6.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp6 -#BSUB -o %ECF_OUT%/archive.earc.grp6_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp7.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp7.ecf deleted file mode 100644 index 8849816..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp7.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp7 -#BSUB -o %ECF_OUT%/archive.earc.grp7_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp8.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp8.ecf deleted file mode 100644 index d328623..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp8.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp8 -#BSUB -o %ECF_OUT%/archive.earc.grp8_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp9.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp9.ecf deleted file mode 100644 index 04c066f..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/earc/grp9.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp9 -#BSUB -o %ECF_OUT%/archive.earc.grp9_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/gdasarch.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/gdasarch.ecf deleted file mode 100644 index 450f2b3..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/gdasarch.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.gdasarch -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/archive.gdasarch.log - -#BSUB -W 6:00 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/gdasarch.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/gfsarch.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/gfsarch.ecf deleted file mode 100644 index eec8ed7..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/archive/gfsarch.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.gfsarch -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/archive.gfsarch.log - -#BSUB -W 6:00 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/gfsarch.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/anal.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/anal.ecf deleted file mode 100644 index 44fd7fa..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/anal.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.anal -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.anal.log - -#BSUB -W 1:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=24 -%include -%include - -set -x - -export ntasks=144 -export ptile=6 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/anal.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/dump_waiter.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/dump_waiter.ecf deleted file mode 100644 index 100a61a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/dump_waiter.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.dump_waiter -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.dump_waiter.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/dump_waiter.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/ecen.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/ecen.ecf deleted file mode 100644 index 7ca98c6..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/ecen.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.ecen -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.ecen.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=7 -%include -%include - -set -x - -export ntasks=84 -export ptile=12 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/ecen.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp1.ecf deleted file mode 100644 index 5804456..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp1.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp1 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.efcs.grp1.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=16 -%include -%include - -set -x - -export ntasks=192 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp10.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp10.ecf deleted file mode 100644 index 53b83c7..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp10.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp10 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp10_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp11.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp11.ecf deleted file mode 100644 index 006541b..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp11.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp11 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp11_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp12.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp12.ecf deleted file mode 100644 index 3fbefd9..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp12.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp12 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp12_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp13.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp13.ecf deleted file mode 100644 index 57bd7a1..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp13.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp13 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp13_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp14.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp14.ecf deleted file mode 100644 index 3c8b0b4..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp14.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp14 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp14_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp15.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp15.ecf deleted file mode 100644 index 3fcbeb0..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp15.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp15 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp15_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp16.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp16.ecf deleted file mode 100644 index f6741e2..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp16.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp16 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp16_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp2.ecf deleted file mode 100644 index ddf2895..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp2.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp2 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.efcs.grp2.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=16 -%include -%include - -set -x - -export ntasks=192 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp3.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp3.ecf deleted file mode 100644 index 4dcb5a7..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp3.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp3_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp4.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp4.ecf deleted file mode 100644 index c12b02b..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp4.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp4_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp5.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp5.ecf deleted file mode 100644 index 751b6b8..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp5.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp5_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp6.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp6.ecf deleted file mode 100644 index 9908c1b..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp6.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp6_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp7.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp7.ecf deleted file mode 100644 index 2389245..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp7.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp7_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp8.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp8.ecf deleted file mode 100644 index 4d850f0..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp8.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp8_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp9.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp9.ecf deleted file mode 100644 index 09d0a49..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/efcs/grp9.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp9 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp9_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eobs.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eobs.ecf deleted file mode 100644 index e768d9a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eobs.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eobs -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.eobs.log - -#BSUB -W 0:45 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=4 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eobs.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp1.ecf deleted file mode 100644 index abde1bb..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp1.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp1 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.eomg.grp1.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp10.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp10.ecf deleted file mode 100644 index fd7ce14..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp10.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp10 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp10_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp11.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp11.ecf deleted file mode 100644 index f2c94fb..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp11.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp11 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp11_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp12.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp12.ecf deleted file mode 100644 index 254bcd3..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp12.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp12 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp12_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp13.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp13.ecf deleted file mode 100644 index e8ed8b1..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp13.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp13 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp13_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp14.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp14.ecf deleted file mode 100644 index 38d4a50..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp14.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp14 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp14_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp15.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp15.ecf deleted file mode 100644 index 507d36d..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp15.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp15 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp15_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp16.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp16.ecf deleted file mode 100644 index 1991a92..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp16.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp16 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp16_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp2.ecf deleted file mode 100644 index 866b99a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp2.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp2 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.eomg.grp2.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp3.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp3.ecf deleted file mode 100644 index 80313c6..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp3.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp3_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp4.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp4.ecf deleted file mode 100644 index c0056f3..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp4.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp4_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp5.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp5.ecf deleted file mode 100644 index 017f39c..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp5.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp5_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp6.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp6.ecf deleted file mode 100644 index 9ce4f49..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp6.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp6_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp7.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp7.ecf deleted file mode 100644 index cc4497f..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp7.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp7_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp8.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp8.ecf deleted file mode 100644 index 5eb857a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp8.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp8_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp9.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp9.ecf deleted file mode 100644 index aba847b..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eomg/grp9.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp9 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp9_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/epos.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/epos.ecf deleted file mode 100644 index 8b69812..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/epos.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.epos -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.epos.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=7 -%include -%include - -set -x - -export ntasks=84 -export ptile=12 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/epos.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eupd.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eupd.ecf deleted file mode 100644 index dd1b85b..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/enkf/eupd.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eupd -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.eupd.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=10 -%include -%include - -set -x - -export ntasks=120 -export ptile=12 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eupd.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/fcst.ecf deleted file mode 100644 index b082a1e..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/fcst.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.fcst -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.fcst.log - -#BSUB -W 0:15 -#BSUB -R rusage[mem=1024] -#BSUB -extsched CRAYLINUX[] -export NODES=16 -%include -%include - -set -x - -export ntasks=192 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/fcst.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f000.ecf deleted file mode 100644 index 5789e8a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f000.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.f000 -#BSUB -o %ECF_OUT%/gdas.post.f000_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f006.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f006.ecf deleted file mode 100644 index fdaf9e5..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f006.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.f006 -#BSUB -o %ECF_OUT%/gdas.post.f006_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f012.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f012.ecf deleted file mode 100644 index 2fc679f..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f012.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.f012 -#BSUB -o %ECF_OUT%/gdas.post.f012_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f018.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f018.ecf deleted file mode 100644 index 09efdf7..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f018.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.f018 -#BSUB -o %ECF_OUT%/gdas.post.f018_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f024.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f024.ecf deleted file mode 100644 index 640061a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f024.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.f024 -#BSUB -o %ECF_OUT%/gdas.post.f024_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f030.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f030.ecf deleted file mode 100644 index 85c165f..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/f030.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.f030 -#BSUB -o %ECF_OUT%/gdas.post.f030_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g000.ecf deleted file mode 100644 index 254320a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g000.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.g000 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.post.g000.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g001.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g001.ecf deleted file mode 100644 index 96c0c80..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g001.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.g001 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.post.g001.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g002.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g002.ecf deleted file mode 100644 index 1786609..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g002.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.g002 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.post.g002.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g003.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g003.ecf deleted file mode 100644 index 1901cb8..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g003.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.g003 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.post.g003.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g004.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g004.ecf deleted file mode 100644 index e7216aa..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g004.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.g004 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.post.g004.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g005.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g005.ecf deleted file mode 100644 index 3b52dc2..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/g005.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.g005 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.post.g005.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/post_manager.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/post_manager.ecf deleted file mode 100644 index 0ccf1b1..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/post/post_manager.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.post_manager -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.post.post_manager.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post_manager - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/prep.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/prep.ecf deleted file mode 100644 index c643bc3..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/prep.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.prep.log - -#BSUB -W 0:15 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -export ntasks=12 -export ptile=24 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/prep.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/vrfy.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/vrfy.ecf deleted file mode 100644 index be10f3f..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gdas/vrfy.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.vrfy -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.vrfy.log - -#BSUB -W 3:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/vrfy.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/anal.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/anal.ecf deleted file mode 100644 index c81ee95..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/anal.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.anal -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.anal.log - -#BSUB -W 1:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=24 -%include -%include - -set -x - -export ntasks=144 -export ptile=6 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/anal.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/dump_waiter.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/dump_waiter.ecf deleted file mode 100644 index abee8f4..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/dump_waiter.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.dump_waiter -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.dump_waiter.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/dump_waiter.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/fcst.ecf deleted file mode 100644 index c57d6fd..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/fcst.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.fcst -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.fcst.log - -#BSUB -W 6:00 -#BSUB -R rusage[mem=1024] -#BSUB -extsched CRAYLINUX[] -export NODES=16 -%include -%include - -set -x - -export ntasks=192 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/fcst.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f000.ecf deleted file mode 100644 index 1814d60..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f000.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.f000 -#BSUB -o %ECF_OUT%/gfs.post.f000_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f006.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f006.ecf deleted file mode 100644 index 62f76c6..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f006.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.f006 -#BSUB -o %ECF_OUT%/gfs.post.f006_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f012.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f012.ecf deleted file mode 100644 index fda50ea..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f012.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.f012 -#BSUB -o %ECF_OUT%/gfs.post.f012_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f018.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f018.ecf deleted file mode 100644 index 3ff3f29..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f018.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.f018 -#BSUB -o %ECF_OUT%/gfs.post.f018_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f024.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f024.ecf deleted file mode 100644 index 410418d..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f024.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.f024 -#BSUB -o %ECF_OUT%/gfs.post.f024_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f030.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f030.ecf deleted file mode 100644 index c91eaf9..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/f030.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.f030 -#BSUB -o %ECF_OUT%/gfs.post.f030_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp000.ecf deleted file mode 100644 index 097476a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp000.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.grp000 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.post.grp000.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp001.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp001.ecf deleted file mode 100644 index 6fff2f4..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp001.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.grp001 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.post.grp001.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp002.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp002.ecf deleted file mode 100644 index a69648a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp002.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.grp002 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.post.grp002.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp003.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp003.ecf deleted file mode 100644 index 135acad..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp003.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.grp003 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.post.grp003.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp004.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp004.ecf deleted file mode 100644 index 8788ca6..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp004.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.grp004 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.post.grp004.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp005.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp005.ecf deleted file mode 100644 index 21d35a7..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/grp005.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.grp005 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.post.grp005.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/post_manager.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/post_manager.ecf deleted file mode 100644 index 0940c5e..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/post/post_manager.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.post_manager -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.post.post_manager.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post_manager - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/prep.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/prep.ecf deleted file mode 100644 index c366efe..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/prep.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.prep.log - -#BSUB -W 0:15 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -export ntasks=12 -export ptile=24 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/prep.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/vrfy.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/vrfy.ecf deleted file mode 100644 index 416f279..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010206/gfs/vrfy.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.vrfy -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.vrfy.log - -#BSUB -W 6:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/vrfy.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp00.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp00.ecf deleted file mode 100644 index b57ae2b..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp00.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp00 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/archive.earc.grp00.log - -#BSUB -W 6:00 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp01.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp01.ecf deleted file mode 100644 index b3d8fb2..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp01.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp01 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/archive.earc.grp01.log - -#BSUB -W 6:00 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp02.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp02.ecf deleted file mode 100644 index 0269171..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp02.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp02 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/archive.earc.grp02.log - -#BSUB -W 6:00 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp1.ecf deleted file mode 100644 index 3456a5c..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp1.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp1 -#BSUB -o %ECF_OUT%/archive.earc.grp1_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp10.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp10.ecf deleted file mode 100644 index 6877711..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp10.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp10 -#BSUB -o %ECF_OUT%/archive.earc.grp10_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp11.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp11.ecf deleted file mode 100644 index e9a7ca7..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp11.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp11 -#BSUB -o %ECF_OUT%/archive.earc.grp11_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp12.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp12.ecf deleted file mode 100644 index 0985174..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp12.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp12 -#BSUB -o %ECF_OUT%/archive.earc.grp12_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp13.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp13.ecf deleted file mode 100644 index 09c3850..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp13.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp13 -#BSUB -o %ECF_OUT%/archive.earc.grp13_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp14.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp14.ecf deleted file mode 100644 index df74ae0..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp14.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp14 -#BSUB -o %ECF_OUT%/archive.earc.grp14_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp15.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp15.ecf deleted file mode 100644 index 348102d..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp15.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp15 -#BSUB -o %ECF_OUT%/archive.earc.grp15_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp16.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp16.ecf deleted file mode 100644 index 42cb852..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp16.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp16 -#BSUB -o %ECF_OUT%/archive.earc.grp16_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp2.ecf deleted file mode 100644 index a97c33c..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp2.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp2 -#BSUB -o %ECF_OUT%/archive.earc.grp2_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp3.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp3.ecf deleted file mode 100644 index fa3f20b..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp3.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp3 -#BSUB -o %ECF_OUT%/archive.earc.grp3_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp4.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp4.ecf deleted file mode 100644 index 5cae010..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp4.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp4 -#BSUB -o %ECF_OUT%/archive.earc.grp4_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp5.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp5.ecf deleted file mode 100644 index 537272f..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp5.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp5 -#BSUB -o %ECF_OUT%/archive.earc.grp5_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp6.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp6.ecf deleted file mode 100644 index 1740688..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp6.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp6 -#BSUB -o %ECF_OUT%/archive.earc.grp6_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp7.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp7.ecf deleted file mode 100644 index 8849816..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp7.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp7 -#BSUB -o %ECF_OUT%/archive.earc.grp7_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp8.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp8.ecf deleted file mode 100644 index d328623..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp8.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp8 -#BSUB -o %ECF_OUT%/archive.earc.grp8_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp9.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp9.ecf deleted file mode 100644 index 04c066f..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/earc/grp9.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp9 -#BSUB -o %ECF_OUT%/archive.earc.grp9_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/gdasarch.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/gdasarch.ecf deleted file mode 100644 index 450f2b3..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/gdasarch.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.gdasarch -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/archive.gdasarch.log - -#BSUB -W 6:00 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/gdasarch.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/gfsarch.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/gfsarch.ecf deleted file mode 100644 index eec8ed7..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/archive/gfsarch.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.gfsarch -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/archive.gfsarch.log - -#BSUB -W 6:00 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/gfsarch.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/anal.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/anal.ecf deleted file mode 100644 index 44fd7fa..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/anal.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.anal -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.anal.log - -#BSUB -W 1:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=24 -%include -%include - -set -x - -export ntasks=144 -export ptile=6 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/anal.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/dump_waiter.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/dump_waiter.ecf deleted file mode 100644 index 100a61a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/dump_waiter.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.dump_waiter -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.dump_waiter.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/dump_waiter.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/ecen.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/ecen.ecf deleted file mode 100644 index 7ca98c6..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/ecen.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.ecen -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.ecen.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=7 -%include -%include - -set -x - -export ntasks=84 -export ptile=12 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/ecen.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp1.ecf deleted file mode 100644 index 5804456..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp1.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp1 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.efcs.grp1.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=16 -%include -%include - -set -x - -export ntasks=192 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp10.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp10.ecf deleted file mode 100644 index 53b83c7..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp10.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp10 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp10_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp11.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp11.ecf deleted file mode 100644 index 006541b..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp11.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp11 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp11_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp12.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp12.ecf deleted file mode 100644 index 3fbefd9..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp12.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp12 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp12_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp13.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp13.ecf deleted file mode 100644 index 57bd7a1..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp13.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp13 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp13_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp14.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp14.ecf deleted file mode 100644 index 3c8b0b4..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp14.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp14 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp14_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp15.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp15.ecf deleted file mode 100644 index 3fcbeb0..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp15.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp15 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp15_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp16.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp16.ecf deleted file mode 100644 index f6741e2..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp16.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp16 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp16_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp2.ecf deleted file mode 100644 index ddf2895..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp2.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp2 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.efcs.grp2.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=16 -%include -%include - -set -x - -export ntasks=192 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp3.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp3.ecf deleted file mode 100644 index 4dcb5a7..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp3.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp3_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp4.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp4.ecf deleted file mode 100644 index c12b02b..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp4.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp4_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp5.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp5.ecf deleted file mode 100644 index 751b6b8..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp5.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp5_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp6.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp6.ecf deleted file mode 100644 index 9908c1b..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp6.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp6_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp7.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp7.ecf deleted file mode 100644 index 2389245..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp7.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp7_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp8.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp8.ecf deleted file mode 100644 index 4d850f0..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp8.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp8_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp9.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp9.ecf deleted file mode 100644 index 09d0a49..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/efcs/grp9.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp9 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp9_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eobs.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eobs.ecf deleted file mode 100644 index e768d9a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eobs.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eobs -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.eobs.log - -#BSUB -W 0:45 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=4 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eobs.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp1.ecf deleted file mode 100644 index abde1bb..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp1.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp1 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.eomg.grp1.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp10.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp10.ecf deleted file mode 100644 index fd7ce14..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp10.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp10 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp10_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp11.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp11.ecf deleted file mode 100644 index f2c94fb..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp11.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp11 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp11_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp12.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp12.ecf deleted file mode 100644 index 254bcd3..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp12.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp12 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp12_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp13.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp13.ecf deleted file mode 100644 index e8ed8b1..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp13.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp13 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp13_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp14.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp14.ecf deleted file mode 100644 index 38d4a50..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp14.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp14 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp14_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp15.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp15.ecf deleted file mode 100644 index 507d36d..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp15.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp15 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp15_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp16.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp16.ecf deleted file mode 100644 index 1991a92..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp16.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp16 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp16_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp2.ecf deleted file mode 100644 index 866b99a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp2.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp2 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.eomg.grp2.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp3.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp3.ecf deleted file mode 100644 index 80313c6..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp3.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp3_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp4.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp4.ecf deleted file mode 100644 index c0056f3..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp4.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp4_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp5.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp5.ecf deleted file mode 100644 index 017f39c..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp5.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp5_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp6.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp6.ecf deleted file mode 100644 index 9ce4f49..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp6.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp6_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp7.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp7.ecf deleted file mode 100644 index cc4497f..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp7.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp7_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp8.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp8.ecf deleted file mode 100644 index 5eb857a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp8.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp8_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp9.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp9.ecf deleted file mode 100644 index aba847b..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eomg/grp9.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp9 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp9_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/epos.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/epos.ecf deleted file mode 100644 index 8b69812..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/epos.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.epos -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.epos.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=7 -%include -%include - -set -x - -export ntasks=84 -export ptile=12 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/epos.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eupd.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eupd.ecf deleted file mode 100644 index dd1b85b..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/enkf/eupd.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eupd -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.eupd.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=10 -%include -%include - -set -x - -export ntasks=120 -export ptile=12 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eupd.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/fcst.ecf deleted file mode 100644 index b082a1e..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/fcst.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.fcst -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.fcst.log - -#BSUB -W 0:15 -#BSUB -R rusage[mem=1024] -#BSUB -extsched CRAYLINUX[] -export NODES=16 -%include -%include - -set -x - -export ntasks=192 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/fcst.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f000.ecf deleted file mode 100644 index 5789e8a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f000.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.f000 -#BSUB -o %ECF_OUT%/gdas.post.f000_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f006.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f006.ecf deleted file mode 100644 index fdaf9e5..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f006.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.f006 -#BSUB -o %ECF_OUT%/gdas.post.f006_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f012.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f012.ecf deleted file mode 100644 index 2fc679f..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f012.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.f012 -#BSUB -o %ECF_OUT%/gdas.post.f012_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f018.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f018.ecf deleted file mode 100644 index 09efdf7..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f018.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.f018 -#BSUB -o %ECF_OUT%/gdas.post.f018_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f024.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f024.ecf deleted file mode 100644 index 640061a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f024.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.f024 -#BSUB -o %ECF_OUT%/gdas.post.f024_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f030.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f030.ecf deleted file mode 100644 index 85c165f..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/f030.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.f030 -#BSUB -o %ECF_OUT%/gdas.post.f030_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g000.ecf deleted file mode 100644 index 254320a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g000.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.g000 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.post.g000.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g001.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g001.ecf deleted file mode 100644 index 96c0c80..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g001.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.g001 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.post.g001.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g002.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g002.ecf deleted file mode 100644 index 1786609..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g002.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.g002 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.post.g002.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g003.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g003.ecf deleted file mode 100644 index 1901cb8..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g003.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.g003 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.post.g003.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g004.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g004.ecf deleted file mode 100644 index e7216aa..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g004.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.g004 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.post.g004.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g005.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g005.ecf deleted file mode 100644 index 3b52dc2..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/g005.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.g005 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.post.g005.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/post_manager.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/post_manager.ecf deleted file mode 100644 index 0ccf1b1..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/post/post_manager.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.post_manager -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.post.post_manager.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post_manager - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/prep.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/prep.ecf deleted file mode 100644 index c643bc3..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/prep.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.prep.log - -#BSUB -W 0:15 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -export ntasks=12 -export ptile=24 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/prep.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/vrfy.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/vrfy.ecf deleted file mode 100644 index be10f3f..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gdas/vrfy.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.vrfy -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.vrfy.log - -#BSUB -W 3:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/vrfy.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/anal.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/anal.ecf deleted file mode 100644 index c81ee95..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/anal.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.anal -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.anal.log - -#BSUB -W 1:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=24 -%include -%include - -set -x - -export ntasks=144 -export ptile=6 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/anal.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/dump_waiter.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/dump_waiter.ecf deleted file mode 100644 index abee8f4..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/dump_waiter.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.dump_waiter -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.dump_waiter.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/dump_waiter.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/fcst.ecf deleted file mode 100644 index c57d6fd..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/fcst.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.fcst -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.fcst.log - -#BSUB -W 6:00 -#BSUB -R rusage[mem=1024] -#BSUB -extsched CRAYLINUX[] -export NODES=16 -%include -%include - -set -x - -export ntasks=192 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/fcst.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f000.ecf deleted file mode 100644 index 1814d60..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f000.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.f000 -#BSUB -o %ECF_OUT%/gfs.post.f000_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f006.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f006.ecf deleted file mode 100644 index 62f76c6..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f006.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.f006 -#BSUB -o %ECF_OUT%/gfs.post.f006_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f012.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f012.ecf deleted file mode 100644 index fda50ea..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f012.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.f012 -#BSUB -o %ECF_OUT%/gfs.post.f012_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f018.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f018.ecf deleted file mode 100644 index 3ff3f29..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f018.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.f018 -#BSUB -o %ECF_OUT%/gfs.post.f018_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f024.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f024.ecf deleted file mode 100644 index 410418d..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f024.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.f024 -#BSUB -o %ECF_OUT%/gfs.post.f024_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f030.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f030.ecf deleted file mode 100644 index c91eaf9..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/f030.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.f030 -#BSUB -o %ECF_OUT%/gfs.post.f030_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp000.ecf deleted file mode 100644 index 097476a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp000.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.grp000 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.post.grp000.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp001.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp001.ecf deleted file mode 100644 index 6fff2f4..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp001.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.grp001 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.post.grp001.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp002.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp002.ecf deleted file mode 100644 index a69648a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp002.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.grp002 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.post.grp002.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp003.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp003.ecf deleted file mode 100644 index 135acad..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp003.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.grp003 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.post.grp003.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp004.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp004.ecf deleted file mode 100644 index 8788ca6..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp004.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.grp004 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.post.grp004.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp005.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp005.ecf deleted file mode 100644 index 21d35a7..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/grp005.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.grp005 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.post.grp005.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/post_manager.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/post_manager.ecf deleted file mode 100644 index 0940c5e..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/post/post_manager.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.post_manager -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.post.post_manager.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post_manager - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/prep.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/prep.ecf deleted file mode 100644 index c366efe..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/prep.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.prep.log - -#BSUB -W 0:15 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -export ntasks=12 -export ptile=24 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/prep.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/vrfy.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/vrfy.ecf deleted file mode 100644 index 416f279..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010212/gfs/vrfy.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.vrfy -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.vrfy.log - -#BSUB -W 6:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/vrfy.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp00.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp00.ecf deleted file mode 100644 index b57ae2b..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp00.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp00 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/archive.earc.grp00.log - -#BSUB -W 6:00 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp01.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp01.ecf deleted file mode 100644 index b3d8fb2..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp01.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp01 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/archive.earc.grp01.log - -#BSUB -W 6:00 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp02.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp02.ecf deleted file mode 100644 index 0269171..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp02.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp02 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/archive.earc.grp02.log - -#BSUB -W 6:00 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp1.ecf deleted file mode 100644 index 3456a5c..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp1.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp1 -#BSUB -o %ECF_OUT%/archive.earc.grp1_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp10.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp10.ecf deleted file mode 100644 index 6877711..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp10.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp10 -#BSUB -o %ECF_OUT%/archive.earc.grp10_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp11.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp11.ecf deleted file mode 100644 index e9a7ca7..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp11.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp11 -#BSUB -o %ECF_OUT%/archive.earc.grp11_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp12.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp12.ecf deleted file mode 100644 index 0985174..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp12.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp12 -#BSUB -o %ECF_OUT%/archive.earc.grp12_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp13.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp13.ecf deleted file mode 100644 index 09c3850..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp13.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp13 -#BSUB -o %ECF_OUT%/archive.earc.grp13_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp14.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp14.ecf deleted file mode 100644 index df74ae0..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp14.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp14 -#BSUB -o %ECF_OUT%/archive.earc.grp14_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp15.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp15.ecf deleted file mode 100644 index 348102d..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp15.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp15 -#BSUB -o %ECF_OUT%/archive.earc.grp15_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp16.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp16.ecf deleted file mode 100644 index 42cb852..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp16.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp16 -#BSUB -o %ECF_OUT%/archive.earc.grp16_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp2.ecf deleted file mode 100644 index a97c33c..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp2.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp2 -#BSUB -o %ECF_OUT%/archive.earc.grp2_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp3.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp3.ecf deleted file mode 100644 index fa3f20b..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp3.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp3 -#BSUB -o %ECF_OUT%/archive.earc.grp3_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp4.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp4.ecf deleted file mode 100644 index 5cae010..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp4.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp4 -#BSUB -o %ECF_OUT%/archive.earc.grp4_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp5.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp5.ecf deleted file mode 100644 index 537272f..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp5.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp5 -#BSUB -o %ECF_OUT%/archive.earc.grp5_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp6.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp6.ecf deleted file mode 100644 index 1740688..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp6.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp6 -#BSUB -o %ECF_OUT%/archive.earc.grp6_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp7.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp7.ecf deleted file mode 100644 index 8849816..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp7.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp7 -#BSUB -o %ECF_OUT%/archive.earc.grp7_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp8.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp8.ecf deleted file mode 100644 index d328623..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp8.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp8 -#BSUB -o %ECF_OUT%/archive.earc.grp8_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp9.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp9.ecf deleted file mode 100644 index 04c066f..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/earc/grp9.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.earc.grp9 -#BSUB -o %ECF_OUT%/archive.earc.grp9_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/earc - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/gdasarch.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/gdasarch.ecf deleted file mode 100644 index 450f2b3..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/gdasarch.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.gdasarch -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/archive.gdasarch.log - -#BSUB -W 6:00 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/gdasarch.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/gfsarch.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/gfsarch.ecf deleted file mode 100644 index eec8ed7..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/archive/gfsarch.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUESERV% -#BSUB -P %PROJECT% -#BSUB -J archive.gfsarch -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/archive.gfsarch.log - -#BSUB -W 6:00 -#BSUB -R rusage[mem=3072] -#BSUB -n 1 - -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/gfsarch.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/anal.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/anal.ecf deleted file mode 100644 index 44fd7fa..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/anal.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.anal -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.anal.log - -#BSUB -W 1:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=24 -%include -%include - -set -x - -export ntasks=144 -export ptile=6 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/anal.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/dump_waiter.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/dump_waiter.ecf deleted file mode 100644 index 100a61a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/dump_waiter.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.dump_waiter -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.dump_waiter.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/dump_waiter.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/ecen.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/ecen.ecf deleted file mode 100644 index 7ca98c6..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/ecen.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.ecen -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.ecen.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=7 -%include -%include - -set -x - -export ntasks=84 -export ptile=12 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/ecen.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp1.ecf deleted file mode 100644 index 5804456..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp1.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp1 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.efcs.grp1.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=16 -%include -%include - -set -x - -export ntasks=192 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp10.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp10.ecf deleted file mode 100644 index 53b83c7..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp10.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp10 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp10_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp11.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp11.ecf deleted file mode 100644 index 006541b..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp11.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp11 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp11_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp12.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp12.ecf deleted file mode 100644 index 3fbefd9..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp12.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp12 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp12_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp13.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp13.ecf deleted file mode 100644 index 57bd7a1..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp13.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp13 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp13_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp14.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp14.ecf deleted file mode 100644 index 3c8b0b4..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp14.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp14 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp14_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp15.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp15.ecf deleted file mode 100644 index 3fcbeb0..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp15.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp15 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp15_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp16.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp16.ecf deleted file mode 100644 index f6741e2..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp16.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp16 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp16_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp2.ecf deleted file mode 100644 index ddf2895..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp2.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp2 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.efcs.grp2.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=16 -%include -%include - -set -x - -export ntasks=192 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp3.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp3.ecf deleted file mode 100644 index 4dcb5a7..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp3.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp3_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp4.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp4.ecf deleted file mode 100644 index c12b02b..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp4.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp4_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp5.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp5.ecf deleted file mode 100644 index 751b6b8..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp5.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp5_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp6.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp6.ecf deleted file mode 100644 index 9908c1b..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp6.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp6_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp7.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp7.ecf deleted file mode 100644 index 2389245..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp7.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp7_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp8.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp8.ecf deleted file mode 100644 index 4d850f0..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp8.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp8_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp9.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp9.ecf deleted file mode 100644 index 09d0a49..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/efcs/grp9.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.efcs.grp9 -#BSUB -o %ECF_OUT%/gdas.enkf.efcs.grp9_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/efcs - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eobs.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eobs.ecf deleted file mode 100644 index e768d9a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eobs.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eobs -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.eobs.log - -#BSUB -W 0:45 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=4 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eobs.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp1.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp1.ecf deleted file mode 100644 index abde1bb..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp1.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp1 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.eomg.grp1.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp10.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp10.ecf deleted file mode 100644 index fd7ce14..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp10.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp10 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp10_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp11.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp11.ecf deleted file mode 100644 index f2c94fb..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp11.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp11 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp11_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp12.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp12.ecf deleted file mode 100644 index 254bcd3..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp12.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp12 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp12_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp13.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp13.ecf deleted file mode 100644 index e8ed8b1..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp13.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp13 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp13_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp14.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp14.ecf deleted file mode 100644 index 38d4a50..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp14.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp14 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp14_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp15.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp15.ecf deleted file mode 100644 index 507d36d..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp15.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp15 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp15_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp16.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp16.ecf deleted file mode 100644 index 1991a92..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp16.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp16 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp16_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp2.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp2.ecf deleted file mode 100644 index 866b99a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp2.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp2 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.eomg.grp2.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp3.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp3.ecf deleted file mode 100644 index 80313c6..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp3.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp3_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp4.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp4.ecf deleted file mode 100644 index c0056f3..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp4.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp4_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp5.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp5.ecf deleted file mode 100644 index 017f39c..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp5.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp5_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp6.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp6.ecf deleted file mode 100644 index 9ce4f49..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp6.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp6_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp7.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp7.ecf deleted file mode 100644 index cc4497f..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp7.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp7_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp8.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp8.ecf deleted file mode 100644 index 5eb857a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp8.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp8_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp9.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp9.ecf deleted file mode 100644 index aba847b..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eomg/grp9.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eomg.grp9 -#BSUB -o %ECF_OUT%/gdas.enkf.eomg.grp9_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eomg - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/epos.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/epos.ecf deleted file mode 100644 index 8b69812..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/epos.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.epos -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.epos.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=7 -%include -%include - -set -x - -export ntasks=84 -export ptile=12 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/epos.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eupd.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eupd.ecf deleted file mode 100644 index dd1b85b..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/enkf/eupd.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.eupd -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.enkf.eupd.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=10 -%include -%include - -set -x - -export ntasks=120 -export ptile=12 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/eupd.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/fcst.ecf deleted file mode 100644 index b082a1e..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/fcst.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.fcst -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.fcst.log - -#BSUB -W 0:15 -#BSUB -R rusage[mem=1024] -#BSUB -extsched CRAYLINUX[] -export NODES=16 -%include -%include - -set -x - -export ntasks=192 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/fcst.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f000.ecf deleted file mode 100644 index 5789e8a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f000.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.f000 -#BSUB -o %ECF_OUT%/gdas.post.f000_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f006.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f006.ecf deleted file mode 100644 index fdaf9e5..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f006.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.f006 -#BSUB -o %ECF_OUT%/gdas.post.f006_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f012.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f012.ecf deleted file mode 100644 index 2fc679f..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f012.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.f012 -#BSUB -o %ECF_OUT%/gdas.post.f012_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f018.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f018.ecf deleted file mode 100644 index 09efdf7..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f018.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.f018 -#BSUB -o %ECF_OUT%/gdas.post.f018_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f024.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f024.ecf deleted file mode 100644 index 640061a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f024.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.f024 -#BSUB -o %ECF_OUT%/gdas.post.f024_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f030.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f030.ecf deleted file mode 100644 index 85c165f..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/f030.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.f030 -#BSUB -o %ECF_OUT%/gdas.post.f030_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g000.ecf deleted file mode 100644 index 254320a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g000.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.g000 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.post.g000.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g001.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g001.ecf deleted file mode 100644 index 96c0c80..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g001.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.g001 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.post.g001.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g002.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g002.ecf deleted file mode 100644 index 1786609..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g002.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.g002 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.post.g002.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g003.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g003.ecf deleted file mode 100644 index 1901cb8..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g003.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.g003 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.post.g003.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g004.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g004.ecf deleted file mode 100644 index e7216aa..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g004.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.g004 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.post.g004.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g005.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g005.ecf deleted file mode 100644 index 3b52dc2..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/g005.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.g005 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.post.g005.log - -#BSUB -W 0:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/post_manager.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/post_manager.ecf deleted file mode 100644 index 0ccf1b1..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/post/post_manager.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.post_manager -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.post.post_manager.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post_manager - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/prep.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/prep.ecf deleted file mode 100644 index c643bc3..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/prep.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.prep.log - -#BSUB -W 0:15 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -export ntasks=12 -export ptile=24 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/prep.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/vrfy.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/vrfy.ecf deleted file mode 100644 index be10f3f..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gdas/vrfy.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.vrfy -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gdas.vrfy.log - -#BSUB -W 3:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/vrfy.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/anal.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/anal.ecf deleted file mode 100644 index c81ee95..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/anal.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.anal -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.anal.log - -#BSUB -W 1:30 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=24 -%include -%include - -set -x - -export ntasks=144 -export ptile=6 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/anal.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/dump_waiter.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/dump_waiter.ecf deleted file mode 100644 index abee8f4..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/dump_waiter.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.dump_waiter -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.dump_waiter.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/dump_waiter.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/fcst.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/fcst.ecf deleted file mode 100644 index c57d6fd..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/fcst.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.fcst -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.fcst.log - -#BSUB -W 6:00 -#BSUB -R rusage[mem=1024] -#BSUB -extsched CRAYLINUX[] -export NODES=16 -%include -%include - -set -x - -export ntasks=192 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/fcst.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f000.ecf deleted file mode 100644 index 1814d60..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f000.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.f000 -#BSUB -o %ECF_OUT%/gfs.post.f000_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f006.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f006.ecf deleted file mode 100644 index 62f76c6..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f006.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.f006 -#BSUB -o %ECF_OUT%/gfs.post.f006_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f012.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f012.ecf deleted file mode 100644 index fda50ea..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f012.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.f012 -#BSUB -o %ECF_OUT%/gfs.post.f012_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f018.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f018.ecf deleted file mode 100644 index 3ff3f29..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f018.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.f018 -#BSUB -o %ECF_OUT%/gfs.post.f018_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f024.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f024.ecf deleted file mode 100644 index 410418d..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f024.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.f024 -#BSUB -o %ECF_OUT%/gfs.post.f024_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f030.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f030.ecf deleted file mode 100644 index c91eaf9..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/f030.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.f030 -#BSUB -o %ECF_OUT%/gfs.post.f030_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp000.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp000.ecf deleted file mode 100644 index 097476a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp000.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.grp000 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.post.grp000.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp001.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp001.ecf deleted file mode 100644 index 6fff2f4..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp001.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.grp001 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.post.grp001.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp002.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp002.ecf deleted file mode 100644 index a69648a..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp002.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.grp002 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.post.grp002.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp003.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp003.ecf deleted file mode 100644 index 135acad..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp003.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.grp003 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.post.grp003.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp004.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp004.ecf deleted file mode 100644 index 8788ca6..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp004.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.grp004 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.post.grp004.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp005.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp005.ecf deleted file mode 100644 index 21d35a7..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/grp005.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.grp005 -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.post.grp005.log - -#BSUB -W 1:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/post_manager.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/post_manager.ecf deleted file mode 100644 index 0940c5e..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/post/post_manager.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.post_manager -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.post.post_manager.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/post_manager - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/prep.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/prep.ecf deleted file mode 100644 index c366efe..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/prep.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.prep.log - -#BSUB -W 0:15 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -export ntasks=12 -export ptile=24 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/prep.sh - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/vrfy.ecf b/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/vrfy.ecf deleted file mode 100644 index 416f279..0000000 --- a/model/rocoto_style_fv3gfs/scripts/prod2018010218/gfs/vrfy.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.vrfy -#BSUB -o %ECF_OUT%/%PDY%/%CYC%/gfs.vrfy.log - -#BSUB -W 6:00 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -export ntasks=1 -export ptile=1 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/vrfy.sh - -%include -%manual -# FIXME: Insert manual for this job. - From 7f47dfdf705fa522390a940c616144238507ffa6 Mon Sep 17 00:00:00 2001 From: wx20st Date: Thu, 15 Feb 2018 15:29:12 +0000 Subject: [PATCH 339/487] yet more bug fixes for rocoto and ecflow support --- model/rocoto_style_fv3gfs/dump_waiter.yaml | 4 +- model/rocoto_style_fv3gfs/settings.yaml | 1 + model/rocoto_style_fv3gfs/suite_def.yaml | 20 ++++---- model/rocoto_style_fv3gfs/task_template.yaml | 21 ++++++++- model/rocoto_style_fv3gfs/workflow.xml | 49 ++++++++++---------- 5 files changed, 56 insertions(+), 39 deletions(-) diff --git a/model/rocoto_style_fv3gfs/dump_waiter.yaml b/model/rocoto_style_fv3gfs/dump_waiter.yaml index 1db636e..299de71 100644 --- a/model/rocoto_style_fv3gfs/dump_waiter.yaml +++ b/model/rocoto_style_fv3gfs/dump_waiter.yaml @@ -1,5 +1,5 @@ dump_waiter: &dump_waiter_task !Task - <<: *exclusive_task_template + <<: *shared_task_template manual: | The dump_waiter task is a special job needed to run this workflow outside of the production suite. It handles the @@ -30,7 +30,7 @@ dump_waiter: &dump_waiter_task !Task # The batch_job_command is dumped into the ecf file where the # J-Job would normally be called. This job is never run by # Rocoto, so Rocoto never uses this code block. - batch_job_command: !expand | + ecflow_command: !expand | setpdy.sh source ./PDY WAITFILE=%DUMPDIR%/${{PDY}}%CYC%/{CDUMP}/{CDUMP}.t%CYC%z.updated.status.tm00.bufr_d diff --git a/model/rocoto_style_fv3gfs/settings.yaml b/model/rocoto_style_fv3gfs/settings.yaml index dc81d6a..3b0f287 100644 --- a/model/rocoto_style_fv3gfs/settings.yaml +++ b/model/rocoto_style_fv3gfs/settings.yaml @@ -25,6 +25,7 @@ settings: QUEUE: dev PROJECT: GFS-T2O QUEUESERV: dev_transfer + QUEUESHARED: dev_shared DUMPDIR: "/gpfs/tp1/emc/globaldump" diff --git a/model/rocoto_style_fv3gfs/suite_def.yaml b/model/rocoto_style_fv3gfs/suite_def.yaml index 1baef49..18e4232 100644 --- a/model/rocoto_style_fv3gfs/suite_def.yaml +++ b/model/rocoto_style_fv3gfs/suite_def.yaml @@ -49,13 +49,13 @@ suite: !Cycle #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' #edit ECF_KILL_CMD 'lkill %ECF_NAME% %ECF_JOBOUT%' edit ECF_HOME '{doc.settings.ECF_HOME}' + edit MACHINE 'xc40-dev' #edit ECF_HOME '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3' edit CYC '{tools.strftime(suite.Clock.now,"%H")}' edit PDY '{tools.strftime(suite.Clock.now,"%Y%m%d")}' edit ENVIR 'prod' edit E 'jecffv3' #edit QUEUE 'dev' - edit PROJECT '{doc.settings.PROJECT}' edit EMCPEN '{doc.settings.experiment_name}' edit DATAROOT '{doc.settings.DATAROOT}' edit ECF_FILES '{doc.settings.ECF_HOME}' @@ -69,8 +69,11 @@ suite: !Cycle common_metasched_vars: !expand | {metasched.defvar("QUEUE", doc.settings.QUEUE)} + {metasched.defvar("HOMEgfs", doc.settings.HOMEgfs)} + {metasched.defvar("QUEUESHARED", doc.settings.QUEUE)} {metasched.defvar("COM", doc.settings.COM)} {metasched.defvar("QUEUESERV", doc.settings.QUEUESERV)} + {metasched.defvar("PROJECT", doc.settings.PROJECT)} Rocoto: scheduler: !calc doc.scheduler @@ -80,10 +83,8 @@ suite: !Cycle - - {tools.indent(" ",suite.common_metasched_vars)} ]> @@ -103,8 +104,6 @@ suite: !Cycle dump_waiter: *dump_waiter_task ecflow_def: | - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' edit MODEL_NAME 'gdas' prep: !Task @@ -232,8 +231,6 @@ suite: !Cycle Complete: !Depend ~ suite.has_cycle('-6:00:00') AlarmName: gfs ecflow_def: | - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' edit MODEL_NAME 'gfs' dump_waiter: *dump_waiter_task @@ -303,7 +300,7 @@ suite: !Cycle archive: !Family gdasarch: !Task - <<: *shared_task_template + <<: *service_task_template AlarmName: gdas Trigger: !Depend up.gdas.vrfy resources: !calc ( doc.resources.run_arch ) @@ -316,10 +313,9 @@ suite: !Cycle Dimensions: groupid: !calc tools.seq(0,doc.settings.ENKF_ARCHIVE_GROUPS-1,1) grp: !TaskElement - <<: *shared_task_template + <<: *service_task_template resources: !calc ( doc.resources.run_arch ) J_JOB: earc.sh - accounting: *shared_accounting Foreach: [ groupid ] Name: !expand "grp{idx.groupid:02d}" ecflow_def: !calc more_vars @@ -329,7 +325,7 @@ suite: !Cycle {metasched.defenvar("ENSGRP",ENSGRP)} gfsarch: !Task - <<: *shared_task_template + <<: *service_task_template Complete: !Depend ~ suite.has_cycle('-6:00:00') AlarmName: gfs Trigger: !Depend up.gfs.vrfy @@ -338,7 +334,7 @@ suite: !Cycle J_JOB: arch.sh final: !Task - <<: *shared_task_template + <<: *service_task_template resources: !calc (doc.resources.run_nothing) rocoto_command: /bin/true diff --git a/model/rocoto_style_fv3gfs/task_template.yaml b/model/rocoto_style_fv3gfs/task_template.yaml index 0843a5e..bea3b11 100644 --- a/model/rocoto_style_fv3gfs/task_template.yaml +++ b/model/rocoto_style_fv3gfs/task_template.yaml @@ -3,6 +3,7 @@ task_template: &task_template Template: *task_validator rocoto_command: !expand "&HOMEgfs;/jobs/rocoto/{J_JOB}" + ecflow_command: !expand "${{HOMEgfs}}/jobs/rocoto/{J_JOB}" Rocoto: !expand | {rocoto_command} @@ -21,9 +22,17 @@ task_template: &task_template # Default resources for a job are serial resources: !calc doc.resources.run_nothing + ecf_dev_overrides: !expand | + # Set data and logs to locations specified in the suite definition. + # This is needed when running without write access to the NCO com areas. + export DATAROOT=%DATAROOT% + export jlogfile=%ECF_OUT%/jlogfile + export COMROOT=%COM% + ecf_file: !expand | #! /bin/sh {ecf_batch_resources} + {ecf_dev_overrides} %include %include @@ -31,6 +40,7 @@ task_template: &task_template {ecf_resource_more} + export HOMEgfs=%HOMEgfs% export model=%MODEL_NAME% %include @@ -51,7 +61,7 @@ task_template: &task_template export cyc=%CYC% # CALL executable job script here - ${{HOMEgfs}}/jobs/{J_JOB} + {ecflow_command} %include %manual @@ -94,6 +104,10 @@ task_template: &task_template shared_accounting: &shared_accounting + queue: !calc metasched.varref('QUEUESHARED') + project: !calc metasched.varref('PROJECT') + +service_accounting: &service_accounting queue: !calc metasched.varref('QUEUESERV') project: !calc metasched.varref('PROJECT') @@ -106,6 +120,11 @@ shared_task_template: &shared_task_template accounting: *shared_accounting J_JOB: !expand '{task_path_list[-1]}.sh' +service_task_template: &service_task_template + <<: *task_template + accounting: *service_accounting + J_JOB: !expand '{task_path_list[-1]}.sh' + exclusive_task_template: &exclusive_task_template <<: *task_template accounting: *exclusive_accounting diff --git a/model/rocoto_style_fv3gfs/workflow.xml b/model/rocoto_style_fv3gfs/workflow.xml index df209b8..222472d 100644 --- a/model/rocoto_style_fv3gfs/workflow.xml +++ b/model/rocoto_style_fv3gfs/workflow.xml @@ -2,14 +2,15 @@ - - + + + ]> @@ -832,6 +833,24 @@ + + /bin/true + &QUEUESERV; + &PROJECT; + final_no_alarm + &LOG_DIR;/@Y@m@d/@H/final_no_alarm.log + + 0:02:00 + 300M + 1 + + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPfinal_no_alarm + + + /bin/true &QUEUESERV; @@ -976,24 +995,6 @@ - - /bin/true - &QUEUESERV; - &PROJECT; - final_no_alarm - &LOG_DIR;/@Y@m@d/@H/final_no_alarm.log - - 0:02:00 - 300M - 1 - - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPfinal_no_alarm - - - /bin/true &QUEUESERV; @@ -1013,6 +1014,10 @@ + + + + @@ -1021,10 +1026,6 @@ - - - - From ca58304f7221924a4245e3571c6e82c657528bf8 Mon Sep 17 00:00:00 2001 From: Bin Liu Date: Thu, 15 Feb 2018 15:34:40 +0000 Subject: [PATCH 340/487] ecflow: Matching Lin's ecflow def and ecf files. JJobs connection are currently echo commands, and the resources for the tasks need to be updated. --- model/ecflow_fv3gfs/defs/prod00.def | 1962 +++++------------ model/ecflow_fv3gfs/defs/prod06.def | 1962 +++++------------ model/ecflow_fv3gfs/defs/prod12.def | 1962 +++++------------ model/ecflow_fv3gfs/defs/prod18.def | 1962 +++++------------ model/ecflow_fv3gfs/ecf_file.yaml | 1 + model/ecflow_fv3gfs/include/envir-xc40.h | 100 + model/ecflow_fv3gfs/include/head.h | 51 + model/ecflow_fv3gfs/include/model_ver.h | 25 + model/ecflow_fv3gfs/include/tail.h | 3 + model/ecflow_fv3gfs/resources.yaml | 1 + .../scripts/prod00/cycle_end.ecf | 2 + .../scripts/prod00/final/alias0.job1 | 64 + .../scripts/prod00/final/alias0.usr | 19 + .../gdas/analysis/jgdas_analysis_high.ecf | 13 +- .../scripts/prod00/gdas/dump/jgdas_dump.ecf | 2 + .../scripts/prod00/gdas/dump/jgdas_ics.ecf | 2 + .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp1.ecf | 1 + .../enkf/forecast/jgdas_enkf_fcst_grp2.ecf | 1 + .../enkf/forecast/jgdas_enkf_fcst_grp3.ecf | 1 + .../enkf/forecast/jgdas_enkf_fcst_grp4.ecf | 1 + .../enkf/forecast/jgdas_enkf_fcst_grp5.ecf | 1 + .../enkf/forecast/jgdas_enkf_fcst_grp6.ecf | 1 + .../enkf/forecast/jgdas_enkf_fcst_grp7.ecf | 1 + .../enkf/forecast/jgdas_enkf_fcst_grp8.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp1.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp2.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp3.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp4.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp5.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp6.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp7.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp8.ecf | 1 + .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 1 + .../prod00/gdas/enkf/jgdas_enkf_post.ecf | 1 + .../gdas/enkf/jgdas_enkf_select_obs.ecf | 1 + .../prod00/gdas/enkf/jgdas_enkf_update.ecf | 1 + .../prod00/gdas/forecast/jgdas_forecast.ecf | 11 +- .../prod00/gdas/gempak/jgdas_gempak.ecf | 2 + .../prod00/gdas/gempak/jgdas_gempak_meta.ecf | 2 + .../prod00/gdas/gempak/jgdas_gempak_ncdc.ecf | 2 + .../scripts/prod00/gdas/jgdas_verfrad.ecf | 2 + .../scripts/prod00/gdas/jgdas_vminmon.ecf | 2 + .../scripts/prod00/gdas/post/jgdas_post.ecf | 1 + .../bulletins/jgdas_mknavybulls.ecf | 2 + .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 2 + .../scripts/prod00/gdas/prep/jgdas_prep.ecf | 1 + .../prod00/gdas/prep/jgdas_prep_post.ecf | 2 + .../scripts/prod00/gfs/dump/jgfs_dump.ecf | 2 + .../prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 2 + .../gfs/forecast/jgfs_forecast_high.ecf | 11 +- .../prod00/gfs/forecast/jgfs_forecast_low.ecf | 11 +- .../scripts/prod00/gfs/gempak/jgfs_gempak.ecf | 2 + .../prod00/gfs/gempak/jgfs_gempak_meta.ecf | 2 + .../prod00/gfs/gempak/jgfs_gempak_ncdc.ecf | 2 + .../prod00/gfs/gempak/jgfs_gempak_upapgif.ecf | 2 + .../gfs/gempak/jgfs_pgrb2_spec_gempak.ecf | 25 +- .../scripts/prod00/gfs/jgfs_analysis.ecf | 13 +- .../scripts/prod00/gfs/jgfs_vminmon.ecf | 2 + .../prod00/gfs/post/jgfs_pgrb2_spec_post.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_anl.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f00.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f01.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f02.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f03.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f04.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f05.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f06.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f07.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f08.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f09.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f10.ecf | 2 + .../prod00/gfs/post/jgfs_post_f100.ecf | 2 + .../prod00/gfs/post/jgfs_post_f101.ecf | 2 + .../prod00/gfs/post/jgfs_post_f102.ecf | 2 + .../prod00/gfs/post/jgfs_post_f103.ecf | 2 + .../prod00/gfs/post/jgfs_post_f104.ecf | 2 + .../prod00/gfs/post/jgfs_post_f105.ecf | 2 + .../prod00/gfs/post/jgfs_post_f106.ecf | 2 + .../prod00/gfs/post/jgfs_post_f107.ecf | 2 + .../prod00/gfs/post/jgfs_post_f108.ecf | 2 + .../prod00/gfs/post/jgfs_post_f109.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f11.ecf | 2 + .../prod00/gfs/post/jgfs_post_f110.ecf | 2 + .../prod00/gfs/post/jgfs_post_f111.ecf | 2 + .../prod00/gfs/post/jgfs_post_f112.ecf | 2 + .../prod00/gfs/post/jgfs_post_f113.ecf | 2 + .../prod00/gfs/post/jgfs_post_f114.ecf | 2 + .../prod00/gfs/post/jgfs_post_f115.ecf | 2 + .../prod00/gfs/post/jgfs_post_f116.ecf | 2 + .../prod00/gfs/post/jgfs_post_f117.ecf | 2 + .../prod00/gfs/post/jgfs_post_f118.ecf | 2 + .../prod00/gfs/post/jgfs_post_f119.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f12.ecf | 2 + .../prod00/gfs/post/jgfs_post_f120.ecf | 2 + .../prod00/gfs/post/jgfs_post_f123.ecf | 2 + .../prod00/gfs/post/jgfs_post_f126.ecf | 2 + .../prod00/gfs/post/jgfs_post_f129.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f13.ecf | 2 + .../prod00/gfs/post/jgfs_post_f132.ecf | 2 + .../prod00/gfs/post/jgfs_post_f135.ecf | 2 + .../prod00/gfs/post/jgfs_post_f138.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f14.ecf | 2 + .../prod00/gfs/post/jgfs_post_f141.ecf | 2 + .../prod00/gfs/post/jgfs_post_f144.ecf | 2 + .../prod00/gfs/post/jgfs_post_f147.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f15.ecf | 2 + .../prod00/gfs/post/jgfs_post_f150.ecf | 2 + .../prod00/gfs/post/jgfs_post_f153.ecf | 2 + .../prod00/gfs/post/jgfs_post_f156.ecf | 2 + .../prod00/gfs/post/jgfs_post_f159.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f16.ecf | 2 + .../prod00/gfs/post/jgfs_post_f162.ecf | 2 + .../prod00/gfs/post/jgfs_post_f165.ecf | 2 + .../prod00/gfs/post/jgfs_post_f168.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f17.ecf | 2 + .../prod00/gfs/post/jgfs_post_f171.ecf | 2 + .../prod00/gfs/post/jgfs_post_f174.ecf | 2 + .../prod00/gfs/post/jgfs_post_f177.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f18.ecf | 2 + .../prod00/gfs/post/jgfs_post_f180.ecf | 2 + .../prod00/gfs/post/jgfs_post_f183.ecf | 2 + .../prod00/gfs/post/jgfs_post_f186.ecf | 2 + .../prod00/gfs/post/jgfs_post_f189.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f19.ecf | 2 + .../prod00/gfs/post/jgfs_post_f192.ecf | 2 + .../prod00/gfs/post/jgfs_post_f195.ecf | 2 + .../prod00/gfs/post/jgfs_post_f198.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f20.ecf | 2 + .../prod00/gfs/post/jgfs_post_f201.ecf | 2 + .../prod00/gfs/post/jgfs_post_f204.ecf | 2 + .../prod00/gfs/post/jgfs_post_f207.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f21.ecf | 2 + .../prod00/gfs/post/jgfs_post_f210.ecf | 2 + .../prod00/gfs/post/jgfs_post_f213.ecf | 2 + .../prod00/gfs/post/jgfs_post_f216.ecf | 2 + .../prod00/gfs/post/jgfs_post_f219.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f22.ecf | 2 + .../prod00/gfs/post/jgfs_post_f222.ecf | 2 + .../prod00/gfs/post/jgfs_post_f225.ecf | 2 + .../prod00/gfs/post/jgfs_post_f228.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f23.ecf | 2 + .../prod00/gfs/post/jgfs_post_f231.ecf | 2 + .../prod00/gfs/post/jgfs_post_f234.ecf | 2 + .../prod00/gfs/post/jgfs_post_f237.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f24.ecf | 2 + .../prod00/gfs/post/jgfs_post_f240.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f25.ecf | 2 + .../prod00/gfs/post/jgfs_post_f252.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f26.ecf | 2 + .../prod00/gfs/post/jgfs_post_f264.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f27.ecf | 2 + .../prod00/gfs/post/jgfs_post_f276.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f28.ecf | 2 + .../prod00/gfs/post/jgfs_post_f288.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f29.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f30.ecf | 2 + .../prod00/gfs/post/jgfs_post_f300.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f31.ecf | 2 + .../prod00/gfs/post/jgfs_post_f312.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f32.ecf | 2 + .../prod00/gfs/post/jgfs_post_f324.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f33.ecf | 2 + .../prod00/gfs/post/jgfs_post_f336.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f34.ecf | 2 + .../prod00/gfs/post/jgfs_post_f348.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f35.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f36.ecf | 2 + .../prod00/gfs/post/jgfs_post_f360.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f37.ecf | 2 + .../prod00/gfs/post/jgfs_post_f372.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f38.ecf | 2 + .../prod00/gfs/post/jgfs_post_f384.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f39.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f40.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f41.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f42.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f43.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f44.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f45.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f46.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f47.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f48.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f49.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f50.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f51.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f52.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f53.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f54.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f55.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f56.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f57.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f58.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f59.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f60.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f61.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f62.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f63.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f64.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f65.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f66.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f67.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f68.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f69.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f70.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f71.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f72.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f73.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f74.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f75.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f76.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f77.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f78.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f79.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f80.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f81.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f82.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f83.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f84.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f85.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f86.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f87.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f88.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f89.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f90.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f91.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f92.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f93.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f94.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f95.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f96.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f97.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f98.ecf | 2 + .../scripts/prod00/gfs/post/jgfs_post_f99.ecf | 2 + .../prod00/gfs/post/jgfs_post_manager.ecf | 2 + .../awips_1p0deg/jgfs_awips_f000.ecf | 2 + .../awips_1p0deg/jgfs_awips_f001.ecf | 2 + .../awips_1p0deg/jgfs_awips_f002.ecf | 2 + .../awips_1p0deg/jgfs_awips_f003.ecf | 2 + .../awips_1p0deg/jgfs_awips_f004.ecf | 2 + .../awips_1p0deg/jgfs_awips_f005.ecf | 2 + .../awips_1p0deg/jgfs_awips_f006.ecf | 2 + .../awips_1p0deg/jgfs_awips_f007.ecf | 2 + .../awips_1p0deg/jgfs_awips_f008.ecf | 2 + .../awips_1p0deg/jgfs_awips_f009.ecf | 2 + .../awips_1p0deg/jgfs_awips_f010.ecf | 2 + .../awips_1p0deg/jgfs_awips_f011.ecf | 2 + .../awips_1p0deg/jgfs_awips_f012.ecf | 2 + .../awips_1p0deg/jgfs_awips_f013.ecf | 2 + .../awips_1p0deg/jgfs_awips_f014.ecf | 2 + .../awips_1p0deg/jgfs_awips_f015.ecf | 2 + .../awips_1p0deg/jgfs_awips_f016.ecf | 2 + .../awips_1p0deg/jgfs_awips_f017.ecf | 2 + .../awips_1p0deg/jgfs_awips_f018.ecf | 2 + .../awips_1p0deg/jgfs_awips_f019.ecf | 2 + .../awips_1p0deg/jgfs_awips_f020.ecf | 2 + .../awips_1p0deg/jgfs_awips_f021.ecf | 2 + .../awips_1p0deg/jgfs_awips_f022.ecf | 2 + .../awips_1p0deg/jgfs_awips_f023.ecf | 2 + .../awips_1p0deg/jgfs_awips_f024.ecf | 2 + .../awips_1p0deg/jgfs_awips_f025.ecf | 2 + .../awips_1p0deg/jgfs_awips_f026.ecf | 2 + .../awips_1p0deg/jgfs_awips_f027.ecf | 2 + .../awips_1p0deg/jgfs_awips_f028.ecf | 2 + .../awips_1p0deg/jgfs_awips_f029.ecf | 2 + .../awips_1p0deg/jgfs_awips_f030.ecf | 2 + .../awips_1p0deg/jgfs_awips_f031.ecf | 2 + .../awips_1p0deg/jgfs_awips_f032.ecf | 2 + .../awips_1p0deg/jgfs_awips_f033.ecf | 2 + .../awips_1p0deg/jgfs_awips_f034.ecf | 2 + .../awips_1p0deg/jgfs_awips_f035.ecf | 2 + .../awips_1p0deg/jgfs_awips_f036.ecf | 2 + .../awips_1p0deg/jgfs_awips_f037.ecf | 2 + .../awips_1p0deg/jgfs_awips_f038.ecf | 2 + .../awips_1p0deg/jgfs_awips_f039.ecf | 2 + .../awips_1p0deg/jgfs_awips_f040.ecf | 2 + .../awips_1p0deg/jgfs_awips_f041.ecf | 2 + .../awips_1p0deg/jgfs_awips_f042.ecf | 2 + .../awips_1p0deg/jgfs_awips_f043.ecf | 2 + .../awips_1p0deg/jgfs_awips_f044.ecf | 2 + .../awips_1p0deg/jgfs_awips_f045.ecf | 2 + .../awips_1p0deg/jgfs_awips_f046.ecf | 2 + .../awips_1p0deg/jgfs_awips_f047.ecf | 2 + .../awips_1p0deg/jgfs_awips_f048.ecf | 2 + .../awips_1p0deg/jgfs_awips_f049.ecf | 2 + .../awips_1p0deg/jgfs_awips_f050.ecf | 2 + .../awips_1p0deg/jgfs_awips_f051.ecf | 2 + .../awips_1p0deg/jgfs_awips_f052.ecf | 2 + .../awips_1p0deg/jgfs_awips_f053.ecf | 2 + .../awips_1p0deg/jgfs_awips_f054.ecf | 2 + .../awips_1p0deg/jgfs_awips_f055.ecf | 2 + .../awips_1p0deg/jgfs_awips_f056.ecf | 2 + .../awips_1p0deg/jgfs_awips_f057.ecf | 2 + .../awips_1p0deg/jgfs_awips_f058.ecf | 2 + .../awips_1p0deg/jgfs_awips_f059.ecf | 2 + .../awips_1p0deg/jgfs_awips_f060.ecf | 2 + .../awips_1p0deg/jgfs_awips_f061.ecf | 2 + .../awips_1p0deg/jgfs_awips_f062.ecf | 2 + .../awips_1p0deg/jgfs_awips_f063.ecf | 2 + .../awips_1p0deg/jgfs_awips_f064.ecf | 2 + .../awips_1p0deg/jgfs_awips_f065.ecf | 2 + .../awips_1p0deg/jgfs_awips_f066.ecf | 2 + .../awips_1p0deg/jgfs_awips_f067.ecf | 2 + .../awips_1p0deg/jgfs_awips_f068.ecf | 2 + .../awips_1p0deg/jgfs_awips_f069.ecf | 2 + .../awips_1p0deg/jgfs_awips_f070.ecf | 2 + .../awips_1p0deg/jgfs_awips_f071.ecf | 2 + .../awips_1p0deg/jgfs_awips_f072.ecf | 2 + .../awips_1p0deg/jgfs_awips_f073.ecf | 2 + .../awips_1p0deg/jgfs_awips_f074.ecf | 2 + .../awips_1p0deg/jgfs_awips_f075.ecf | 2 + .../awips_1p0deg/jgfs_awips_f076.ecf | 2 + .../awips_1p0deg/jgfs_awips_f077.ecf | 2 + .../awips_1p0deg/jgfs_awips_f078.ecf | 2 + .../awips_1p0deg/jgfs_awips_f079.ecf | 2 + .../awips_1p0deg/jgfs_awips_f080.ecf | 2 + .../awips_1p0deg/jgfs_awips_f081.ecf | 2 + .../awips_1p0deg/jgfs_awips_f082.ecf | 2 + .../awips_1p0deg/jgfs_awips_f083.ecf | 2 + .../awips_1p0deg/jgfs_awips_f084.ecf | 2 + .../awips_1p0deg/jgfs_awips_f085.ecf | 2 + .../awips_1p0deg/jgfs_awips_f086.ecf | 2 + .../awips_1p0deg/jgfs_awips_f087.ecf | 2 + .../awips_1p0deg/jgfs_awips_f088.ecf | 2 + .../awips_1p0deg/jgfs_awips_f089.ecf | 2 + .../awips_1p0deg/jgfs_awips_f090.ecf | 2 + .../awips_1p0deg/jgfs_awips_f091.ecf | 2 + .../awips_1p0deg/jgfs_awips_f092.ecf | 2 + .../awips_1p0deg/jgfs_awips_f093.ecf | 2 + .../awips_1p0deg/jgfs_awips_f094.ecf | 2 + .../awips_1p0deg/jgfs_awips_f095.ecf | 2 + .../awips_1p0deg/jgfs_awips_f096.ecf | 2 + .../awips_1p0deg/jgfs_awips_f097.ecf | 2 + .../awips_1p0deg/jgfs_awips_f098.ecf | 2 + .../awips_1p0deg/jgfs_awips_f099.ecf | 2 + .../awips_1p0deg/jgfs_awips_f100.ecf | 2 + .../awips_1p0deg/jgfs_awips_f101.ecf | 2 + .../awips_1p0deg/jgfs_awips_f102.ecf | 2 + .../awips_1p0deg/jgfs_awips_f103.ecf | 2 + .../awips_1p0deg/jgfs_awips_f104.ecf | 2 + .../awips_1p0deg/jgfs_awips_f105.ecf | 2 + .../awips_1p0deg/jgfs_awips_f106.ecf | 2 + .../awips_1p0deg/jgfs_awips_f107.ecf | 2 + .../awips_1p0deg/jgfs_awips_f108.ecf | 2 + .../awips_1p0deg/jgfs_awips_f109.ecf | 2 + .../awips_1p0deg/jgfs_awips_f110.ecf | 2 + .../awips_1p0deg/jgfs_awips_f111.ecf | 2 + .../awips_1p0deg/jgfs_awips_f112.ecf | 2 + .../awips_1p0deg/jgfs_awips_f113.ecf | 2 + .../awips_1p0deg/jgfs_awips_f114.ecf | 2 + .../awips_1p0deg/jgfs_awips_f115.ecf | 2 + .../awips_1p0deg/jgfs_awips_f116.ecf | 2 + .../awips_1p0deg/jgfs_awips_f117.ecf | 2 + .../awips_1p0deg/jgfs_awips_f118.ecf | 2 + .../awips_1p0deg/jgfs_awips_f119.ecf | 2 + .../awips_1p0deg/jgfs_awips_f120.ecf | 2 + .../awips_1p0deg/jgfs_awips_f123.ecf | 2 + .../awips_1p0deg/jgfs_awips_f126.ecf | 2 + .../awips_1p0deg/jgfs_awips_f129.ecf | 2 + .../awips_1p0deg/jgfs_awips_f132.ecf | 2 + .../awips_1p0deg/jgfs_awips_f135.ecf | 2 + .../awips_1p0deg/jgfs_awips_f138.ecf | 2 + .../awips_1p0deg/jgfs_awips_f141.ecf | 2 + .../awips_1p0deg/jgfs_awips_f144.ecf | 2 + .../awips_1p0deg/jgfs_awips_f147.ecf | 2 + .../awips_1p0deg/jgfs_awips_f150.ecf | 2 + .../awips_1p0deg/jgfs_awips_f153.ecf | 2 + .../awips_1p0deg/jgfs_awips_f156.ecf | 2 + .../awips_1p0deg/jgfs_awips_f159.ecf | 2 + .../awips_1p0deg/jgfs_awips_f162.ecf | 2 + .../awips_1p0deg/jgfs_awips_f165.ecf | 2 + .../awips_1p0deg/jgfs_awips_f168.ecf | 2 + .../awips_1p0deg/jgfs_awips_f171.ecf | 2 + .../awips_1p0deg/jgfs_awips_f174.ecf | 2 + .../awips_1p0deg/jgfs_awips_f177.ecf | 2 + .../awips_1p0deg/jgfs_awips_f180.ecf | 2 + .../awips_1p0deg/jgfs_awips_f183.ecf | 2 + .../awips_1p0deg/jgfs_awips_f186.ecf | 2 + .../awips_1p0deg/jgfs_awips_f189.ecf | 2 + .../awips_1p0deg/jgfs_awips_f192.ecf | 2 + .../awips_1p0deg/jgfs_awips_f195.ecf | 2 + .../awips_1p0deg/jgfs_awips_f198.ecf | 2 + .../awips_1p0deg/jgfs_awips_f201.ecf | 2 + .../awips_1p0deg/jgfs_awips_f204.ecf | 2 + .../awips_1p0deg/jgfs_awips_f207.ecf | 2 + .../awips_1p0deg/jgfs_awips_f210.ecf | 2 + .../awips_1p0deg/jgfs_awips_f213.ecf | 2 + .../awips_1p0deg/jgfs_awips_f216.ecf | 2 + .../awips_1p0deg/jgfs_awips_f219.ecf | 2 + .../awips_1p0deg/jgfs_awips_f222.ecf | 2 + .../awips_1p0deg/jgfs_awips_f225.ecf | 2 + .../awips_1p0deg/jgfs_awips_f228.ecf | 2 + .../awips_1p0deg/jgfs_awips_f231.ecf | 2 + .../awips_1p0deg/jgfs_awips_f234.ecf | 2 + .../awips_1p0deg/jgfs_awips_f237.ecf | 2 + .../awips_1p0deg/jgfs_awips_f240.ecf | 2 + .../awips_1p0deg/jgfs_awips_f252.ecf | 2 + .../awips_1p0deg/jgfs_awips_f264.ecf | 2 + .../awips_1p0deg/jgfs_awips_f276.ecf | 2 + .../awips_1p0deg/jgfs_awips_f288.ecf | 2 + .../awips_1p0deg/jgfs_awips_f300.ecf | 2 + .../awips_1p0deg/jgfs_awips_f312.ecf | 2 + .../awips_1p0deg/jgfs_awips_f324.ecf | 2 + .../awips_1p0deg/jgfs_awips_f336.ecf | 2 + .../awips_1p0deg/jgfs_awips_f348.ecf | 2 + .../awips_1p0deg/jgfs_awips_f360.ecf | 2 + .../awips_1p0deg/jgfs_awips_f372.ecf | 2 + .../awips_1p0deg/jgfs_awips_f384.ecf | 2 + .../awips_20km/jgfs_awips_f000.ecf | 2 + .../awips_20km/jgfs_awips_f001.ecf | 2 + .../awips_20km/jgfs_awips_f002.ecf | 2 + .../awips_20km/jgfs_awips_f003.ecf | 2 + .../awips_20km/jgfs_awips_f004.ecf | 2 + .../awips_20km/jgfs_awips_f005.ecf | 2 + .../awips_20km/jgfs_awips_f006.ecf | 2 + .../awips_20km/jgfs_awips_f007.ecf | 2 + .../awips_20km/jgfs_awips_f008.ecf | 2 + .../awips_20km/jgfs_awips_f009.ecf | 2 + .../awips_20km/jgfs_awips_f010.ecf | 2 + .../awips_20km/jgfs_awips_f011.ecf | 2 + .../awips_20km/jgfs_awips_f012.ecf | 2 + .../awips_20km/jgfs_awips_f013.ecf | 2 + .../awips_20km/jgfs_awips_f014.ecf | 2 + .../awips_20km/jgfs_awips_f015.ecf | 2 + .../awips_20km/jgfs_awips_f016.ecf | 2 + .../awips_20km/jgfs_awips_f017.ecf | 2 + .../awips_20km/jgfs_awips_f018.ecf | 2 + .../awips_20km/jgfs_awips_f019.ecf | 2 + .../awips_20km/jgfs_awips_f020.ecf | 2 + .../awips_20km/jgfs_awips_f021.ecf | 2 + .../awips_20km/jgfs_awips_f022.ecf | 2 + .../awips_20km/jgfs_awips_f023.ecf | 2 + .../awips_20km/jgfs_awips_f024.ecf | 2 + .../awips_20km/jgfs_awips_f025.ecf | 2 + .../awips_20km/jgfs_awips_f026.ecf | 2 + .../awips_20km/jgfs_awips_f027.ecf | 2 + .../awips_20km/jgfs_awips_f028.ecf | 2 + .../awips_20km/jgfs_awips_f029.ecf | 2 + .../awips_20km/jgfs_awips_f030.ecf | 2 + .../awips_20km/jgfs_awips_f031.ecf | 2 + .../awips_20km/jgfs_awips_f032.ecf | 2 + .../awips_20km/jgfs_awips_f033.ecf | 2 + .../awips_20km/jgfs_awips_f034.ecf | 2 + .../awips_20km/jgfs_awips_f035.ecf | 2 + .../awips_20km/jgfs_awips_f036.ecf | 2 + .../awips_20km/jgfs_awips_f037.ecf | 2 + .../awips_20km/jgfs_awips_f038.ecf | 2 + .../awips_20km/jgfs_awips_f039.ecf | 2 + .../awips_20km/jgfs_awips_f040.ecf | 2 + .../awips_20km/jgfs_awips_f041.ecf | 2 + .../awips_20km/jgfs_awips_f042.ecf | 2 + .../awips_20km/jgfs_awips_f043.ecf | 2 + .../awips_20km/jgfs_awips_f044.ecf | 2 + .../awips_20km/jgfs_awips_f045.ecf | 2 + .../awips_20km/jgfs_awips_f046.ecf | 2 + .../awips_20km/jgfs_awips_f047.ecf | 2 + .../awips_20km/jgfs_awips_f048.ecf | 2 + .../awips_20km/jgfs_awips_f049.ecf | 2 + .../awips_20km/jgfs_awips_f050.ecf | 2 + .../awips_20km/jgfs_awips_f051.ecf | 2 + .../awips_20km/jgfs_awips_f052.ecf | 2 + .../awips_20km/jgfs_awips_f053.ecf | 2 + .../awips_20km/jgfs_awips_f054.ecf | 2 + .../awips_20km/jgfs_awips_f055.ecf | 2 + .../awips_20km/jgfs_awips_f056.ecf | 2 + .../awips_20km/jgfs_awips_f057.ecf | 2 + .../awips_20km/jgfs_awips_f058.ecf | 2 + .../awips_20km/jgfs_awips_f059.ecf | 2 + .../awips_20km/jgfs_awips_f060.ecf | 2 + .../awips_20km/jgfs_awips_f061.ecf | 2 + .../awips_20km/jgfs_awips_f062.ecf | 2 + .../awips_20km/jgfs_awips_f063.ecf | 2 + .../awips_20km/jgfs_awips_f064.ecf | 2 + .../awips_20km/jgfs_awips_f065.ecf | 2 + .../awips_20km/jgfs_awips_f066.ecf | 2 + .../awips_20km/jgfs_awips_f067.ecf | 2 + .../awips_20km/jgfs_awips_f068.ecf | 2 + .../awips_20km/jgfs_awips_f069.ecf | 2 + .../awips_20km/jgfs_awips_f070.ecf | 2 + .../awips_20km/jgfs_awips_f071.ecf | 2 + .../awips_20km/jgfs_awips_f072.ecf | 2 + .../awips_20km/jgfs_awips_f073.ecf | 2 + .../awips_20km/jgfs_awips_f074.ecf | 2 + .../awips_20km/jgfs_awips_f075.ecf | 2 + .../awips_20km/jgfs_awips_f076.ecf | 2 + .../awips_20km/jgfs_awips_f077.ecf | 2 + .../awips_20km/jgfs_awips_f078.ecf | 2 + .../awips_20km/jgfs_awips_f079.ecf | 2 + .../awips_20km/jgfs_awips_f080.ecf | 2 + .../awips_20km/jgfs_awips_f081.ecf | 2 + .../awips_20km/jgfs_awips_f082.ecf | 2 + .../awips_20km/jgfs_awips_f083.ecf | 2 + .../awips_20km/jgfs_awips_f084.ecf | 2 + .../awips_20km/jgfs_awips_f085.ecf | 2 + .../awips_20km/jgfs_awips_f086.ecf | 2 + .../awips_20km/jgfs_awips_f087.ecf | 2 + .../awips_20km/jgfs_awips_f088.ecf | 2 + .../awips_20km/jgfs_awips_f089.ecf | 2 + .../awips_20km/jgfs_awips_f090.ecf | 2 + .../awips_20km/jgfs_awips_f091.ecf | 2 + .../awips_20km/jgfs_awips_f092.ecf | 2 + .../awips_20km/jgfs_awips_f093.ecf | 2 + .../awips_20km/jgfs_awips_f094.ecf | 2 + .../awips_20km/jgfs_awips_f095.ecf | 2 + .../awips_20km/jgfs_awips_f096.ecf | 2 + .../awips_20km/jgfs_awips_f097.ecf | 2 + .../awips_20km/jgfs_awips_f098.ecf | 2 + .../awips_20km/jgfs_awips_f099.ecf | 2 + .../awips_20km/jgfs_awips_f100.ecf | 2 + .../awips_20km/jgfs_awips_f101.ecf | 2 + .../awips_20km/jgfs_awips_f102.ecf | 2 + .../awips_20km/jgfs_awips_f103.ecf | 2 + .../awips_20km/jgfs_awips_f104.ecf | 2 + .../awips_20km/jgfs_awips_f105.ecf | 2 + .../awips_20km/jgfs_awips_f106.ecf | 2 + .../awips_20km/jgfs_awips_f107.ecf | 2 + .../awips_20km/jgfs_awips_f108.ecf | 2 + .../awips_20km/jgfs_awips_f109.ecf | 2 + .../awips_20km/jgfs_awips_f110.ecf | 2 + .../awips_20km/jgfs_awips_f111.ecf | 2 + .../awips_20km/jgfs_awips_f112.ecf | 2 + .../awips_20km/jgfs_awips_f113.ecf | 2 + .../awips_20km/jgfs_awips_f114.ecf | 2 + .../awips_20km/jgfs_awips_f115.ecf | 2 + .../awips_20km/jgfs_awips_f116.ecf | 2 + .../awips_20km/jgfs_awips_f117.ecf | 2 + .../awips_20km/jgfs_awips_f118.ecf | 2 + .../awips_20km/jgfs_awips_f119.ecf | 2 + .../awips_20km/jgfs_awips_f120.ecf | 2 + .../awips_20km/jgfs_awips_f123.ecf | 2 + .../awips_20km/jgfs_awips_f126.ecf | 2 + .../awips_20km/jgfs_awips_f129.ecf | 2 + .../awips_20km/jgfs_awips_f132.ecf | 2 + .../awips_20km/jgfs_awips_f135.ecf | 2 + .../awips_20km/jgfs_awips_f138.ecf | 2 + .../awips_20km/jgfs_awips_f141.ecf | 2 + .../awips_20km/jgfs_awips_f144.ecf | 2 + .../awips_20km/jgfs_awips_f147.ecf | 2 + .../awips_20km/jgfs_awips_f150.ecf | 2 + .../awips_20km/jgfs_awips_f153.ecf | 2 + .../awips_20km/jgfs_awips_f156.ecf | 2 + .../awips_20km/jgfs_awips_f159.ecf | 2 + .../awips_20km/jgfs_awips_f162.ecf | 2 + .../awips_20km/jgfs_awips_f165.ecf | 2 + .../awips_20km/jgfs_awips_f168.ecf | 2 + .../awips_20km/jgfs_awips_f171.ecf | 2 + .../awips_20km/jgfs_awips_f174.ecf | 2 + .../awips_20km/jgfs_awips_f177.ecf | 2 + .../awips_20km/jgfs_awips_f180.ecf | 2 + .../awips_20km/jgfs_awips_f183.ecf | 2 + .../awips_20km/jgfs_awips_f186.ecf | 2 + .../awips_20km/jgfs_awips_f189.ecf | 2 + .../awips_20km/jgfs_awips_f192.ecf | 2 + .../awips_20km/jgfs_awips_f195.ecf | 2 + .../awips_20km/jgfs_awips_f198.ecf | 2 + .../awips_20km/jgfs_awips_f201.ecf | 2 + .../awips_20km/jgfs_awips_f204.ecf | 2 + .../awips_20km/jgfs_awips_f207.ecf | 2 + .../awips_20km/jgfs_awips_f210.ecf | 2 + .../awips_20km/jgfs_awips_f213.ecf | 2 + .../awips_20km/jgfs_awips_f216.ecf | 2 + .../awips_20km/jgfs_awips_f219.ecf | 2 + .../awips_20km/jgfs_awips_f222.ecf | 2 + .../awips_20km/jgfs_awips_f225.ecf | 2 + .../awips_20km/jgfs_awips_f228.ecf | 2 + .../awips_20km/jgfs_awips_f231.ecf | 2 + .../awips_20km/jgfs_awips_f234.ecf | 2 + .../awips_20km/jgfs_awips_f237.ecf | 2 + .../awips_20km/jgfs_awips_f240.ecf | 2 + .../awips_20km/jgfs_awips_f252.ecf | 2 + .../awips_20km/jgfs_awips_f264.ecf | 2 + .../awips_20km/jgfs_awips_f276.ecf | 2 + .../awips_20km/jgfs_awips_f288.ecf | 2 + .../awips_20km/jgfs_awips_f300.ecf | 2 + .../awips_20km/jgfs_awips_f312.ecf | 2 + .../awips_20km/jgfs_awips_f324.ecf | 2 + .../awips_20km/jgfs_awips_f336.ecf | 2 + .../awips_20km/jgfs_awips_f348.ecf | 2 + .../awips_20km/jgfs_awips_f360.ecf | 2 + .../awips_20km/jgfs_awips_f372.ecf | 2 + .../awips_20km/jgfs_awips_f384.ecf | 2 + .../bufr_sounding/jgfs_postsnd.ecf | 2 + .../bulletins/jgfs_cyclone_tracker.ecf | 2 + .../post_processing/bulletins/jgfs_fbwind.ecf | 2 + .../prod00/gfs/post_processing/dummy.ecf | 2 + .../gfs/post_processing/fax/jgfs_fax_anl.ecf | 2 + .../gfs/post_processing/fax/jgfs_fax_f00.ecf | 2 + .../post_processing/fax/jgfs_fax_wafs_f12.ecf | 2 + .../post_processing/fax/jgfs_fax_wafs_f24.ecf | 2 + .../post_processing/fax/jgfs_fax_wafs_f36.ecf | 2 + .../grib2_wafs/jgfs_wafs_blending.ecf | 2 + .../grib2_wafs/jgfs_wafs_grib2.ecf | 2 + .../grib_awips/jgfs_awips_f00.ecf | 2 + .../grib_awips/jgfs_awips_f01.ecf | 2 + .../grib_awips/jgfs_awips_f02.ecf | 2 + .../grib_awips/jgfs_awips_f03.ecf | 2 + .../grib_awips/jgfs_awips_f04.ecf | 2 + .../grib_awips/jgfs_awips_f05.ecf | 2 + .../grib_awips/jgfs_awips_f06.ecf | 2 + .../grib_awips/jgfs_awips_f07.ecf | 2 + .../grib_awips/jgfs_awips_f08.ecf | 2 + .../grib_awips/jgfs_awips_f09.ecf | 2 + .../grib_awips/jgfs_awips_f10.ecf | 2 + .../grib_awips/jgfs_awips_f100.ecf | 2 + .../grib_awips/jgfs_awips_f101.ecf | 2 + .../grib_awips/jgfs_awips_f102.ecf | 2 + .../grib_awips/jgfs_awips_f103.ecf | 2 + .../grib_awips/jgfs_awips_f104.ecf | 2 + .../grib_awips/jgfs_awips_f105.ecf | 2 + .../grib_awips/jgfs_awips_f106.ecf | 2 + .../grib_awips/jgfs_awips_f107.ecf | 2 + .../grib_awips/jgfs_awips_f108.ecf | 2 + .../grib_awips/jgfs_awips_f109.ecf | 2 + .../grib_awips/jgfs_awips_f11.ecf | 2 + .../grib_awips/jgfs_awips_f110.ecf | 2 + .../grib_awips/jgfs_awips_f111.ecf | 2 + .../grib_awips/jgfs_awips_f112.ecf | 2 + .../grib_awips/jgfs_awips_f113.ecf | 2 + .../grib_awips/jgfs_awips_f114.ecf | 2 + .../grib_awips/jgfs_awips_f115.ecf | 2 + .../grib_awips/jgfs_awips_f116.ecf | 2 + .../grib_awips/jgfs_awips_f117.ecf | 2 + .../grib_awips/jgfs_awips_f118.ecf | 2 + .../grib_awips/jgfs_awips_f119.ecf | 2 + .../grib_awips/jgfs_awips_f12.ecf | 2 + .../grib_awips/jgfs_awips_f120.ecf | 2 + .../grib_awips/jgfs_awips_f123.ecf | 2 + .../grib_awips/jgfs_awips_f126.ecf | 2 + .../grib_awips/jgfs_awips_f129.ecf | 2 + .../grib_awips/jgfs_awips_f13.ecf | 2 + .../grib_awips/jgfs_awips_f132.ecf | 2 + .../grib_awips/jgfs_awips_f135.ecf | 2 + .../grib_awips/jgfs_awips_f138.ecf | 2 + .../grib_awips/jgfs_awips_f14.ecf | 2 + .../grib_awips/jgfs_awips_f141.ecf | 2 + .../grib_awips/jgfs_awips_f144.ecf | 2 + .../grib_awips/jgfs_awips_f147.ecf | 2 + .../grib_awips/jgfs_awips_f15.ecf | 2 + .../grib_awips/jgfs_awips_f150.ecf | 2 + .../grib_awips/jgfs_awips_f153.ecf | 2 + .../grib_awips/jgfs_awips_f156.ecf | 2 + .../grib_awips/jgfs_awips_f159.ecf | 2 + .../grib_awips/jgfs_awips_f16.ecf | 2 + .../grib_awips/jgfs_awips_f162.ecf | 2 + .../grib_awips/jgfs_awips_f165.ecf | 2 + .../grib_awips/jgfs_awips_f168.ecf | 2 + .../grib_awips/jgfs_awips_f17.ecf | 2 + .../grib_awips/jgfs_awips_f171.ecf | 2 + .../grib_awips/jgfs_awips_f174.ecf | 2 + .../grib_awips/jgfs_awips_f177.ecf | 2 + .../grib_awips/jgfs_awips_f18.ecf | 2 + .../grib_awips/jgfs_awips_f180.ecf | 2 + .../grib_awips/jgfs_awips_f183.ecf | 2 + .../grib_awips/jgfs_awips_f186.ecf | 2 + .../grib_awips/jgfs_awips_f189.ecf | 2 + .../grib_awips/jgfs_awips_f19.ecf | 2 + .../grib_awips/jgfs_awips_f192.ecf | 2 + .../grib_awips/jgfs_awips_f195.ecf | 2 + .../grib_awips/jgfs_awips_f198.ecf | 2 + .../grib_awips/jgfs_awips_f20.ecf | 2 + .../grib_awips/jgfs_awips_f201.ecf | 2 + .../grib_awips/jgfs_awips_f204.ecf | 2 + .../grib_awips/jgfs_awips_f207.ecf | 2 + .../grib_awips/jgfs_awips_f21.ecf | 2 + .../grib_awips/jgfs_awips_f210.ecf | 2 + .../grib_awips/jgfs_awips_f213.ecf | 2 + .../grib_awips/jgfs_awips_f216.ecf | 2 + .../grib_awips/jgfs_awips_f219.ecf | 2 + .../grib_awips/jgfs_awips_f22.ecf | 2 + .../grib_awips/jgfs_awips_f222.ecf | 2 + .../grib_awips/jgfs_awips_f225.ecf | 2 + .../grib_awips/jgfs_awips_f228.ecf | 2 + .../grib_awips/jgfs_awips_f23.ecf | 2 + .../grib_awips/jgfs_awips_f231.ecf | 2 + .../grib_awips/jgfs_awips_f234.ecf | 2 + .../grib_awips/jgfs_awips_f237.ecf | 2 + .../grib_awips/jgfs_awips_f24.ecf | 2 + .../grib_awips/jgfs_awips_f240.ecf | 2 + .../grib_awips/jgfs_awips_f25.ecf | 2 + .../grib_awips/jgfs_awips_f252.ecf | 2 + .../grib_awips/jgfs_awips_f26.ecf | 2 + .../grib_awips/jgfs_awips_f264.ecf | 2 + .../grib_awips/jgfs_awips_f27.ecf | 2 + .../grib_awips/jgfs_awips_f276.ecf | 2 + .../grib_awips/jgfs_awips_f28.ecf | 2 + .../grib_awips/jgfs_awips_f288.ecf | 2 + .../grib_awips/jgfs_awips_f29.ecf | 2 + .../grib_awips/jgfs_awips_f30.ecf | 2 + .../grib_awips/jgfs_awips_f300.ecf | 2 + .../grib_awips/jgfs_awips_f31.ecf | 2 + .../grib_awips/jgfs_awips_f312.ecf | 2 + .../grib_awips/jgfs_awips_f32.ecf | 2 + .../grib_awips/jgfs_awips_f324.ecf | 2 + .../grib_awips/jgfs_awips_f33.ecf | 2 + .../grib_awips/jgfs_awips_f336.ecf | 2 + .../grib_awips/jgfs_awips_f34.ecf | 2 + .../grib_awips/jgfs_awips_f348.ecf | 2 + .../grib_awips/jgfs_awips_f35.ecf | 2 + .../grib_awips/jgfs_awips_f36.ecf | 2 + .../grib_awips/jgfs_awips_f360.ecf | 2 + .../grib_awips/jgfs_awips_f37.ecf | 2 + .../grib_awips/jgfs_awips_f372.ecf | 2 + .../grib_awips/jgfs_awips_f38.ecf | 2 + .../grib_awips/jgfs_awips_f384.ecf | 2 + .../grib_awips/jgfs_awips_f39.ecf | 2 + .../grib_awips/jgfs_awips_f40.ecf | 2 + .../grib_awips/jgfs_awips_f41.ecf | 2 + .../grib_awips/jgfs_awips_f42.ecf | 2 + .../grib_awips/jgfs_awips_f43.ecf | 2 + .../grib_awips/jgfs_awips_f44.ecf | 2 + .../grib_awips/jgfs_awips_f45.ecf | 2 + .../grib_awips/jgfs_awips_f46.ecf | 2 + .../grib_awips/jgfs_awips_f47.ecf | 2 + .../grib_awips/jgfs_awips_f48.ecf | 2 + .../grib_awips/jgfs_awips_f49.ecf | 2 + .../grib_awips/jgfs_awips_f50.ecf | 2 + .../grib_awips/jgfs_awips_f51.ecf | 2 + .../grib_awips/jgfs_awips_f52.ecf | 2 + .../grib_awips/jgfs_awips_f53.ecf | 2 + .../grib_awips/jgfs_awips_f54.ecf | 2 + .../grib_awips/jgfs_awips_f55.ecf | 2 + .../grib_awips/jgfs_awips_f56.ecf | 2 + .../grib_awips/jgfs_awips_f57.ecf | 2 + .../grib_awips/jgfs_awips_f58.ecf | 2 + .../grib_awips/jgfs_awips_f59.ecf | 2 + .../grib_awips/jgfs_awips_f60.ecf | 2 + .../grib_awips/jgfs_awips_f61.ecf | 2 + .../grib_awips/jgfs_awips_f62.ecf | 2 + .../grib_awips/jgfs_awips_f63.ecf | 2 + .../grib_awips/jgfs_awips_f64.ecf | 2 + .../grib_awips/jgfs_awips_f65.ecf | 2 + .../grib_awips/jgfs_awips_f66.ecf | 2 + .../grib_awips/jgfs_awips_f67.ecf | 2 + .../grib_awips/jgfs_awips_f68.ecf | 2 + .../grib_awips/jgfs_awips_f69.ecf | 2 + .../grib_awips/jgfs_awips_f70.ecf | 2 + .../grib_awips/jgfs_awips_f71.ecf | 2 + .../grib_awips/jgfs_awips_f72.ecf | 2 + .../grib_awips/jgfs_awips_f73.ecf | 2 + .../grib_awips/jgfs_awips_f74.ecf | 2 + .../grib_awips/jgfs_awips_f75.ecf | 2 + .../grib_awips/jgfs_awips_f76.ecf | 2 + .../grib_awips/jgfs_awips_f77.ecf | 2 + .../grib_awips/jgfs_awips_f78.ecf | 2 + .../grib_awips/jgfs_awips_f79.ecf | 2 + .../grib_awips/jgfs_awips_f80.ecf | 2 + .../grib_awips/jgfs_awips_f81.ecf | 2 + .../grib_awips/jgfs_awips_f82.ecf | 2 + .../grib_awips/jgfs_awips_f83.ecf | 2 + .../grib_awips/jgfs_awips_f84.ecf | 2 + .../grib_awips/jgfs_awips_f85.ecf | 2 + .../grib_awips/jgfs_awips_f86.ecf | 2 + .../grib_awips/jgfs_awips_f87.ecf | 2 + .../grib_awips/jgfs_awips_f88.ecf | 2 + .../grib_awips/jgfs_awips_f89.ecf | 2 + .../grib_awips/jgfs_awips_f90.ecf | 2 + .../grib_awips/jgfs_awips_f91.ecf | 2 + .../grib_awips/jgfs_awips_f92.ecf | 2 + .../grib_awips/jgfs_awips_f93.ecf | 2 + .../grib_awips/jgfs_awips_f94.ecf | 2 + .../grib_awips/jgfs_awips_f95.ecf | 2 + .../grib_awips/jgfs_awips_f96.ecf | 2 + .../grib_awips/jgfs_awips_f97.ecf | 2 + .../grib_awips/jgfs_awips_f98.ecf | 2 + .../grib_awips/jgfs_awips_f99.ecf | 2 + .../grib_wafs/jgfs_wafs_f00.ecf | 2 + .../grib_wafs/jgfs_wafs_f06.ecf | 2 + .../grib_wafs/jgfs_wafs_f102.ecf | 2 + .../grib_wafs/jgfs_wafs_f108.ecf | 2 + .../grib_wafs/jgfs_wafs_f114.ecf | 2 + .../grib_wafs/jgfs_wafs_f12.ecf | 2 + .../grib_wafs/jgfs_wafs_f120.ecf | 2 + .../grib_wafs/jgfs_wafs_f18.ecf | 2 + .../grib_wafs/jgfs_wafs_f24.ecf | 2 + .../grib_wafs/jgfs_wafs_f30.ecf | 2 + .../grib_wafs/jgfs_wafs_f36.ecf | 2 + .../grib_wafs/jgfs_wafs_f42.ecf | 2 + .../grib_wafs/jgfs_wafs_f48.ecf | 2 + .../grib_wafs/jgfs_wafs_f54.ecf | 2 + .../grib_wafs/jgfs_wafs_f60.ecf | 2 + .../grib_wafs/jgfs_wafs_f66.ecf | 2 + .../grib_wafs/jgfs_wafs_f72.ecf | 2 + .../grib_wafs/jgfs_wafs_f78.ecf | 2 + .../grib_wafs/jgfs_wafs_f84.ecf | 2 + .../grib_wafs/jgfs_wafs_f90.ecf | 2 + .../grib_wafs/jgfs_wafs_f96.ecf | 2 + .../gfs/prdgen/jgfs_npoess_pgrb2_0p5deg.ecf | 46 + .../prod00/gfs/prdgen/jgfs_pgrb2_anl.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f00.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f01.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f02.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f03.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f04.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f05.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f06.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f07.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f08.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f09.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f10.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f100.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f101.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f102.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f103.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f104.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f105.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f106.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f107.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f108.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f109.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f11.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f110.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f111.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f112.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f113.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f114.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f115.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f116.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f117.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f118.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f119.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f12.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f120.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f123.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f126.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f129.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f13.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f132.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f135.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f138.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f14.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f141.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f144.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f147.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f15.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f150.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f153.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f156.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f159.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f16.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f162.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f165.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f168.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f17.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f171.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f174.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f177.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f18.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f180.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f183.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f186.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f189.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f19.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f192.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f195.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f198.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f20.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f201.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f204.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f207.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f21.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f210.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f213.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f216.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f219.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f22.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f222.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f225.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f228.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f23.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f231.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f234.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f237.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f24.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f240.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f25.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f252.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f26.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f264.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f27.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f276.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f28.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f288.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f29.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f30.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f300.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f31.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f312.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f32.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f324.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f33.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f336.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f34.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f348.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f35.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f36.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f360.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f37.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f372.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f38.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f384.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f39.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f40.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f41.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f42.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f43.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f44.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f45.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f46.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f47.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f48.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f49.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f50.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f51.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f52.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f53.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f54.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f55.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f56.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f57.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f58.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f59.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f60.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f61.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f62.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f63.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f64.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f65.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f66.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f67.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f68.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f69.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f70.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f71.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f72.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f73.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f74.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f75.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f76.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f77.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f78.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f79.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f80.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f81.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f82.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f83.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f84.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f85.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f86.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f87.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f88.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f89.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f90.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f91.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f92.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f93.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f94.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f95.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f96.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f97.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f98.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_f99.ecf | 1 + .../prod00/gfs/prdgen/jgfs_pgrb2_manager.ecf | 2 + .../prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 2 + .../scripts/prod00/gfs/prep/jgfs_prep.ecf | 1 + .../prod00/gfs/prep/jgfs_prep_post.ecf | 2 + .../gfs/sminit_guam/jgfs_sminit_guam_even.ecf | 2 + .../gfs/sminit_guam/jgfs_sminit_guam_odd.ecf | 2 + .../scripts/prod06/cycle_end.ecf | 2 + .../gdas/analysis/jgdas_analysis_high.ecf | 13 +- .../scripts/prod06/gdas/dump/jgdas_dump.ecf | 2 + .../scripts/prod06/gdas/dump/jgdas_ics.ecf | 2 + .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp1.ecf | 1 + .../enkf/forecast/jgdas_enkf_fcst_grp2.ecf | 1 + .../enkf/forecast/jgdas_enkf_fcst_grp3.ecf | 1 + .../enkf/forecast/jgdas_enkf_fcst_grp4.ecf | 1 + .../enkf/forecast/jgdas_enkf_fcst_grp5.ecf | 1 + .../enkf/forecast/jgdas_enkf_fcst_grp6.ecf | 1 + .../enkf/forecast/jgdas_enkf_fcst_grp7.ecf | 1 + .../enkf/forecast/jgdas_enkf_fcst_grp8.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp1.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp2.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp3.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp4.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp5.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp6.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp7.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp8.ecf | 1 + .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 1 + .../prod06/gdas/enkf/jgdas_enkf_post.ecf | 1 + .../gdas/enkf/jgdas_enkf_select_obs.ecf | 1 + .../prod06/gdas/enkf/jgdas_enkf_update.ecf | 1 + .../prod06/gdas/forecast/jgdas_forecast.ecf | 11 +- .../prod06/gdas/gempak/jgdas_gempak.ecf | 2 + .../prod06/gdas/gempak/jgdas_gempak_meta.ecf | 2 + .../prod06/gdas/gempak/jgdas_gempak_ncdc.ecf | 2 + .../scripts/prod06/gdas/jgdas_verfrad.ecf | 2 + .../scripts/prod06/gdas/jgdas_vminmon.ecf | 2 + .../scripts/prod06/gdas/post/jgdas_post.ecf | 1 + .../bulletins/jgdas_mknavybulls.ecf | 2 + .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 2 + .../scripts/prod06/gdas/prep/jgdas_prep.ecf | 1 + .../prod06/gdas/prep/jgdas_prep_post.ecf | 2 + .../scripts/prod06/gfs/dump/jgfs_dump.ecf | 2 + .../prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 2 + .../gfs/forecast/jgfs_forecast_high.ecf | 11 +- .../prod06/gfs/forecast/jgfs_forecast_low.ecf | 11 +- .../scripts/prod06/gfs/gempak/jgfs_gempak.ecf | 2 + .../prod06/gfs/gempak/jgfs_gempak_meta.ecf | 2 + .../prod06/gfs/gempak/jgfs_gempak_ncdc.ecf | 2 + .../prod06/gfs/gempak/jgfs_gempak_upapgif.ecf | 2 + .../gfs/gempak/jgfs_pgrb2_spec_gempak.ecf | 25 +- .../scripts/prod06/gfs/jgfs_analysis.ecf | 13 +- .../scripts/prod06/gfs/jgfs_vminmon.ecf | 2 + .../prod06/gfs/post/jgfs_pgrb2_spec_post.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_anl.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f00.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f01.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f02.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f03.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f04.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f05.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f06.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f07.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f08.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f09.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f10.ecf | 2 + .../prod06/gfs/post/jgfs_post_f100.ecf | 2 + .../prod06/gfs/post/jgfs_post_f101.ecf | 2 + .../prod06/gfs/post/jgfs_post_f102.ecf | 2 + .../prod06/gfs/post/jgfs_post_f103.ecf | 2 + .../prod06/gfs/post/jgfs_post_f104.ecf | 2 + .../prod06/gfs/post/jgfs_post_f105.ecf | 2 + .../prod06/gfs/post/jgfs_post_f106.ecf | 2 + .../prod06/gfs/post/jgfs_post_f107.ecf | 2 + .../prod06/gfs/post/jgfs_post_f108.ecf | 2 + .../prod06/gfs/post/jgfs_post_f109.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f11.ecf | 2 + .../prod06/gfs/post/jgfs_post_f110.ecf | 2 + .../prod06/gfs/post/jgfs_post_f111.ecf | 2 + .../prod06/gfs/post/jgfs_post_f112.ecf | 2 + .../prod06/gfs/post/jgfs_post_f113.ecf | 2 + .../prod06/gfs/post/jgfs_post_f114.ecf | 2 + .../prod06/gfs/post/jgfs_post_f115.ecf | 2 + .../prod06/gfs/post/jgfs_post_f116.ecf | 2 + .../prod06/gfs/post/jgfs_post_f117.ecf | 2 + .../prod06/gfs/post/jgfs_post_f118.ecf | 2 + .../prod06/gfs/post/jgfs_post_f119.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f12.ecf | 2 + .../prod06/gfs/post/jgfs_post_f120.ecf | 2 + .../prod06/gfs/post/jgfs_post_f123.ecf | 2 + .../prod06/gfs/post/jgfs_post_f126.ecf | 2 + .../prod06/gfs/post/jgfs_post_f129.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f13.ecf | 2 + .../prod06/gfs/post/jgfs_post_f132.ecf | 2 + .../prod06/gfs/post/jgfs_post_f135.ecf | 2 + .../prod06/gfs/post/jgfs_post_f138.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f14.ecf | 2 + .../prod06/gfs/post/jgfs_post_f141.ecf | 2 + .../prod06/gfs/post/jgfs_post_f144.ecf | 2 + .../prod06/gfs/post/jgfs_post_f147.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f15.ecf | 2 + .../prod06/gfs/post/jgfs_post_f150.ecf | 2 + .../prod06/gfs/post/jgfs_post_f153.ecf | 2 + .../prod06/gfs/post/jgfs_post_f156.ecf | 2 + .../prod06/gfs/post/jgfs_post_f159.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f16.ecf | 2 + .../prod06/gfs/post/jgfs_post_f162.ecf | 2 + .../prod06/gfs/post/jgfs_post_f165.ecf | 2 + .../prod06/gfs/post/jgfs_post_f168.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f17.ecf | 2 + .../prod06/gfs/post/jgfs_post_f171.ecf | 2 + .../prod06/gfs/post/jgfs_post_f174.ecf | 2 + .../prod06/gfs/post/jgfs_post_f177.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f18.ecf | 2 + .../prod06/gfs/post/jgfs_post_f180.ecf | 2 + .../prod06/gfs/post/jgfs_post_f183.ecf | 2 + .../prod06/gfs/post/jgfs_post_f186.ecf | 2 + .../prod06/gfs/post/jgfs_post_f189.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f19.ecf | 2 + .../prod06/gfs/post/jgfs_post_f192.ecf | 2 + .../prod06/gfs/post/jgfs_post_f195.ecf | 2 + .../prod06/gfs/post/jgfs_post_f198.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f20.ecf | 2 + .../prod06/gfs/post/jgfs_post_f201.ecf | 2 + .../prod06/gfs/post/jgfs_post_f204.ecf | 2 + .../prod06/gfs/post/jgfs_post_f207.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f21.ecf | 2 + .../prod06/gfs/post/jgfs_post_f210.ecf | 2 + .../prod06/gfs/post/jgfs_post_f213.ecf | 2 + .../prod06/gfs/post/jgfs_post_f216.ecf | 2 + .../prod06/gfs/post/jgfs_post_f219.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f22.ecf | 2 + .../prod06/gfs/post/jgfs_post_f222.ecf | 2 + .../prod06/gfs/post/jgfs_post_f225.ecf | 2 + .../prod06/gfs/post/jgfs_post_f228.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f23.ecf | 2 + .../prod06/gfs/post/jgfs_post_f231.ecf | 2 + .../prod06/gfs/post/jgfs_post_f234.ecf | 2 + .../prod06/gfs/post/jgfs_post_f237.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f24.ecf | 2 + .../prod06/gfs/post/jgfs_post_f240.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f25.ecf | 2 + .../prod06/gfs/post/jgfs_post_f252.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f26.ecf | 2 + .../prod06/gfs/post/jgfs_post_f264.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f27.ecf | 2 + .../prod06/gfs/post/jgfs_post_f276.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f28.ecf | 2 + .../prod06/gfs/post/jgfs_post_f288.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f29.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f30.ecf | 2 + .../prod06/gfs/post/jgfs_post_f300.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f31.ecf | 2 + .../prod06/gfs/post/jgfs_post_f312.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f32.ecf | 2 + .../prod06/gfs/post/jgfs_post_f324.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f33.ecf | 2 + .../prod06/gfs/post/jgfs_post_f336.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f34.ecf | 2 + .../prod06/gfs/post/jgfs_post_f348.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f35.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f36.ecf | 2 + .../prod06/gfs/post/jgfs_post_f360.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f37.ecf | 2 + .../prod06/gfs/post/jgfs_post_f372.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f38.ecf | 2 + .../prod06/gfs/post/jgfs_post_f384.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f39.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f40.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f41.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f42.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f43.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f44.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f45.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f46.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f47.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f48.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f49.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f50.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f51.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f52.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f53.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f54.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f55.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f56.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f57.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f58.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f59.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f60.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f61.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f62.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f63.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f64.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f65.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f66.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f67.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f68.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f69.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f70.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f71.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f72.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f73.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f74.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f75.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f76.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f77.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f78.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f79.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f80.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f81.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f82.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f83.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f84.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f85.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f86.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f87.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f88.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f89.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f90.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f91.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f92.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f93.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f94.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f95.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f96.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f97.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f98.ecf | 2 + .../scripts/prod06/gfs/post/jgfs_post_f99.ecf | 2 + .../prod06/gfs/post/jgfs_post_manager.ecf | 2 + .../awips_1p0deg/jgfs_awips_f000.ecf | 2 + .../awips_1p0deg/jgfs_awips_f001.ecf | 2 + .../awips_1p0deg/jgfs_awips_f002.ecf | 2 + .../awips_1p0deg/jgfs_awips_f003.ecf | 2 + .../awips_1p0deg/jgfs_awips_f004.ecf | 2 + .../awips_1p0deg/jgfs_awips_f005.ecf | 2 + .../awips_1p0deg/jgfs_awips_f006.ecf | 2 + .../awips_1p0deg/jgfs_awips_f007.ecf | 2 + .../awips_1p0deg/jgfs_awips_f008.ecf | 2 + .../awips_1p0deg/jgfs_awips_f009.ecf | 2 + .../awips_1p0deg/jgfs_awips_f010.ecf | 2 + .../awips_1p0deg/jgfs_awips_f011.ecf | 2 + .../awips_1p0deg/jgfs_awips_f012.ecf | 2 + .../awips_1p0deg/jgfs_awips_f013.ecf | 2 + .../awips_1p0deg/jgfs_awips_f014.ecf | 2 + .../awips_1p0deg/jgfs_awips_f015.ecf | 2 + .../awips_1p0deg/jgfs_awips_f016.ecf | 2 + .../awips_1p0deg/jgfs_awips_f017.ecf | 2 + .../awips_1p0deg/jgfs_awips_f018.ecf | 2 + .../awips_1p0deg/jgfs_awips_f019.ecf | 2 + .../awips_1p0deg/jgfs_awips_f020.ecf | 2 + .../awips_1p0deg/jgfs_awips_f021.ecf | 2 + .../awips_1p0deg/jgfs_awips_f022.ecf | 2 + .../awips_1p0deg/jgfs_awips_f023.ecf | 2 + .../awips_1p0deg/jgfs_awips_f024.ecf | 2 + .../awips_1p0deg/jgfs_awips_f025.ecf | 2 + .../awips_1p0deg/jgfs_awips_f026.ecf | 2 + .../awips_1p0deg/jgfs_awips_f027.ecf | 2 + .../awips_1p0deg/jgfs_awips_f028.ecf | 2 + .../awips_1p0deg/jgfs_awips_f029.ecf | 2 + .../awips_1p0deg/jgfs_awips_f030.ecf | 2 + .../awips_1p0deg/jgfs_awips_f031.ecf | 2 + .../awips_1p0deg/jgfs_awips_f032.ecf | 2 + .../awips_1p0deg/jgfs_awips_f033.ecf | 2 + .../awips_1p0deg/jgfs_awips_f034.ecf | 2 + .../awips_1p0deg/jgfs_awips_f035.ecf | 2 + .../awips_1p0deg/jgfs_awips_f036.ecf | 2 + .../awips_1p0deg/jgfs_awips_f037.ecf | 2 + .../awips_1p0deg/jgfs_awips_f038.ecf | 2 + .../awips_1p0deg/jgfs_awips_f039.ecf | 2 + .../awips_1p0deg/jgfs_awips_f040.ecf | 2 + .../awips_1p0deg/jgfs_awips_f041.ecf | 2 + .../awips_1p0deg/jgfs_awips_f042.ecf | 2 + .../awips_1p0deg/jgfs_awips_f043.ecf | 2 + .../awips_1p0deg/jgfs_awips_f044.ecf | 2 + .../awips_1p0deg/jgfs_awips_f045.ecf | 2 + .../awips_1p0deg/jgfs_awips_f046.ecf | 2 + .../awips_1p0deg/jgfs_awips_f047.ecf | 2 + .../awips_1p0deg/jgfs_awips_f048.ecf | 2 + .../awips_1p0deg/jgfs_awips_f049.ecf | 2 + .../awips_1p0deg/jgfs_awips_f050.ecf | 2 + .../awips_1p0deg/jgfs_awips_f051.ecf | 2 + .../awips_1p0deg/jgfs_awips_f052.ecf | 2 + .../awips_1p0deg/jgfs_awips_f053.ecf | 2 + .../awips_1p0deg/jgfs_awips_f054.ecf | 2 + .../awips_1p0deg/jgfs_awips_f055.ecf | 2 + .../awips_1p0deg/jgfs_awips_f056.ecf | 2 + .../awips_1p0deg/jgfs_awips_f057.ecf | 2 + .../awips_1p0deg/jgfs_awips_f058.ecf | 2 + .../awips_1p0deg/jgfs_awips_f059.ecf | 2 + .../awips_1p0deg/jgfs_awips_f060.ecf | 2 + .../awips_1p0deg/jgfs_awips_f061.ecf | 2 + .../awips_1p0deg/jgfs_awips_f062.ecf | 2 + .../awips_1p0deg/jgfs_awips_f063.ecf | 2 + .../awips_1p0deg/jgfs_awips_f064.ecf | 2 + .../awips_1p0deg/jgfs_awips_f065.ecf | 2 + .../awips_1p0deg/jgfs_awips_f066.ecf | 2 + .../awips_1p0deg/jgfs_awips_f067.ecf | 2 + .../awips_1p0deg/jgfs_awips_f068.ecf | 2 + .../awips_1p0deg/jgfs_awips_f069.ecf | 2 + .../awips_1p0deg/jgfs_awips_f070.ecf | 2 + .../awips_1p0deg/jgfs_awips_f071.ecf | 2 + .../awips_1p0deg/jgfs_awips_f072.ecf | 2 + .../awips_1p0deg/jgfs_awips_f073.ecf | 2 + .../awips_1p0deg/jgfs_awips_f074.ecf | 2 + .../awips_1p0deg/jgfs_awips_f075.ecf | 2 + .../awips_1p0deg/jgfs_awips_f076.ecf | 2 + .../awips_1p0deg/jgfs_awips_f077.ecf | 2 + .../awips_1p0deg/jgfs_awips_f078.ecf | 2 + .../awips_1p0deg/jgfs_awips_f079.ecf | 2 + .../awips_1p0deg/jgfs_awips_f080.ecf | 2 + .../awips_1p0deg/jgfs_awips_f081.ecf | 2 + .../awips_1p0deg/jgfs_awips_f082.ecf | 2 + .../awips_1p0deg/jgfs_awips_f083.ecf | 2 + .../awips_1p0deg/jgfs_awips_f084.ecf | 2 + .../awips_1p0deg/jgfs_awips_f085.ecf | 2 + .../awips_1p0deg/jgfs_awips_f086.ecf | 2 + .../awips_1p0deg/jgfs_awips_f087.ecf | 2 + .../awips_1p0deg/jgfs_awips_f088.ecf | 2 + .../awips_1p0deg/jgfs_awips_f089.ecf | 2 + .../awips_1p0deg/jgfs_awips_f090.ecf | 2 + .../awips_1p0deg/jgfs_awips_f091.ecf | 2 + .../awips_1p0deg/jgfs_awips_f092.ecf | 2 + .../awips_1p0deg/jgfs_awips_f093.ecf | 2 + .../awips_1p0deg/jgfs_awips_f094.ecf | 2 + .../awips_1p0deg/jgfs_awips_f095.ecf | 2 + .../awips_1p0deg/jgfs_awips_f096.ecf | 2 + .../awips_1p0deg/jgfs_awips_f097.ecf | 2 + .../awips_1p0deg/jgfs_awips_f098.ecf | 2 + .../awips_1p0deg/jgfs_awips_f099.ecf | 2 + .../awips_1p0deg/jgfs_awips_f100.ecf | 2 + .../awips_1p0deg/jgfs_awips_f101.ecf | 2 + .../awips_1p0deg/jgfs_awips_f102.ecf | 2 + .../awips_1p0deg/jgfs_awips_f103.ecf | 2 + .../awips_1p0deg/jgfs_awips_f104.ecf | 2 + .../awips_1p0deg/jgfs_awips_f105.ecf | 2 + .../awips_1p0deg/jgfs_awips_f106.ecf | 2 + .../awips_1p0deg/jgfs_awips_f107.ecf | 2 + .../awips_1p0deg/jgfs_awips_f108.ecf | 2 + .../awips_1p0deg/jgfs_awips_f109.ecf | 2 + .../awips_1p0deg/jgfs_awips_f110.ecf | 2 + .../awips_1p0deg/jgfs_awips_f111.ecf | 2 + .../awips_1p0deg/jgfs_awips_f112.ecf | 2 + .../awips_1p0deg/jgfs_awips_f113.ecf | 2 + .../awips_1p0deg/jgfs_awips_f114.ecf | 2 + .../awips_1p0deg/jgfs_awips_f115.ecf | 2 + .../awips_1p0deg/jgfs_awips_f116.ecf | 2 + .../awips_1p0deg/jgfs_awips_f117.ecf | 2 + .../awips_1p0deg/jgfs_awips_f118.ecf | 2 + .../awips_1p0deg/jgfs_awips_f119.ecf | 2 + .../awips_1p0deg/jgfs_awips_f120.ecf | 2 + .../awips_1p0deg/jgfs_awips_f123.ecf | 2 + .../awips_1p0deg/jgfs_awips_f126.ecf | 2 + .../awips_1p0deg/jgfs_awips_f129.ecf | 2 + .../awips_1p0deg/jgfs_awips_f132.ecf | 2 + .../awips_1p0deg/jgfs_awips_f135.ecf | 2 + .../awips_1p0deg/jgfs_awips_f138.ecf | 2 + .../awips_1p0deg/jgfs_awips_f141.ecf | 2 + .../awips_1p0deg/jgfs_awips_f144.ecf | 2 + .../awips_1p0deg/jgfs_awips_f147.ecf | 2 + .../awips_1p0deg/jgfs_awips_f150.ecf | 2 + .../awips_1p0deg/jgfs_awips_f153.ecf | 2 + .../awips_1p0deg/jgfs_awips_f156.ecf | 2 + .../awips_1p0deg/jgfs_awips_f159.ecf | 2 + .../awips_1p0deg/jgfs_awips_f162.ecf | 2 + .../awips_1p0deg/jgfs_awips_f165.ecf | 2 + .../awips_1p0deg/jgfs_awips_f168.ecf | 2 + .../awips_1p0deg/jgfs_awips_f171.ecf | 2 + .../awips_1p0deg/jgfs_awips_f174.ecf | 2 + .../awips_1p0deg/jgfs_awips_f177.ecf | 2 + .../awips_1p0deg/jgfs_awips_f180.ecf | 2 + .../awips_1p0deg/jgfs_awips_f183.ecf | 2 + .../awips_1p0deg/jgfs_awips_f186.ecf | 2 + .../awips_1p0deg/jgfs_awips_f189.ecf | 2 + .../awips_1p0deg/jgfs_awips_f192.ecf | 2 + .../awips_1p0deg/jgfs_awips_f195.ecf | 2 + .../awips_1p0deg/jgfs_awips_f198.ecf | 2 + .../awips_1p0deg/jgfs_awips_f201.ecf | 2 + .../awips_1p0deg/jgfs_awips_f204.ecf | 2 + .../awips_1p0deg/jgfs_awips_f207.ecf | 2 + .../awips_1p0deg/jgfs_awips_f210.ecf | 2 + .../awips_1p0deg/jgfs_awips_f213.ecf | 2 + .../awips_1p0deg/jgfs_awips_f216.ecf | 2 + .../awips_1p0deg/jgfs_awips_f219.ecf | 2 + .../awips_1p0deg/jgfs_awips_f222.ecf | 2 + .../awips_1p0deg/jgfs_awips_f225.ecf | 2 + .../awips_1p0deg/jgfs_awips_f228.ecf | 2 + .../awips_1p0deg/jgfs_awips_f231.ecf | 2 + .../awips_1p0deg/jgfs_awips_f234.ecf | 2 + .../awips_1p0deg/jgfs_awips_f237.ecf | 2 + .../awips_1p0deg/jgfs_awips_f240.ecf | 2 + .../awips_1p0deg/jgfs_awips_f252.ecf | 2 + .../awips_1p0deg/jgfs_awips_f264.ecf | 2 + .../awips_1p0deg/jgfs_awips_f276.ecf | 2 + .../awips_1p0deg/jgfs_awips_f288.ecf | 2 + .../awips_1p0deg/jgfs_awips_f300.ecf | 2 + .../awips_1p0deg/jgfs_awips_f312.ecf | 2 + .../awips_1p0deg/jgfs_awips_f324.ecf | 2 + .../awips_1p0deg/jgfs_awips_f336.ecf | 2 + .../awips_1p0deg/jgfs_awips_f348.ecf | 2 + .../awips_1p0deg/jgfs_awips_f360.ecf | 2 + .../awips_1p0deg/jgfs_awips_f372.ecf | 2 + .../awips_1p0deg/jgfs_awips_f384.ecf | 2 + .../awips_20km/jgfs_awips_f000.ecf | 2 + .../awips_20km/jgfs_awips_f001.ecf | 2 + .../awips_20km/jgfs_awips_f002.ecf | 2 + .../awips_20km/jgfs_awips_f003.ecf | 2 + .../awips_20km/jgfs_awips_f004.ecf | 2 + .../awips_20km/jgfs_awips_f005.ecf | 2 + .../awips_20km/jgfs_awips_f006.ecf | 2 + .../awips_20km/jgfs_awips_f007.ecf | 2 + .../awips_20km/jgfs_awips_f008.ecf | 2 + .../awips_20km/jgfs_awips_f009.ecf | 2 + .../awips_20km/jgfs_awips_f010.ecf | 2 + .../awips_20km/jgfs_awips_f011.ecf | 2 + .../awips_20km/jgfs_awips_f012.ecf | 2 + .../awips_20km/jgfs_awips_f013.ecf | 2 + .../awips_20km/jgfs_awips_f014.ecf | 2 + .../awips_20km/jgfs_awips_f015.ecf | 2 + .../awips_20km/jgfs_awips_f016.ecf | 2 + .../awips_20km/jgfs_awips_f017.ecf | 2 + .../awips_20km/jgfs_awips_f018.ecf | 2 + .../awips_20km/jgfs_awips_f019.ecf | 2 + .../awips_20km/jgfs_awips_f020.ecf | 2 + .../awips_20km/jgfs_awips_f021.ecf | 2 + .../awips_20km/jgfs_awips_f022.ecf | 2 + .../awips_20km/jgfs_awips_f023.ecf | 2 + .../awips_20km/jgfs_awips_f024.ecf | 2 + .../awips_20km/jgfs_awips_f025.ecf | 2 + .../awips_20km/jgfs_awips_f026.ecf | 2 + .../awips_20km/jgfs_awips_f027.ecf | 2 + .../awips_20km/jgfs_awips_f028.ecf | 2 + .../awips_20km/jgfs_awips_f029.ecf | 2 + .../awips_20km/jgfs_awips_f030.ecf | 2 + .../awips_20km/jgfs_awips_f031.ecf | 2 + .../awips_20km/jgfs_awips_f032.ecf | 2 + .../awips_20km/jgfs_awips_f033.ecf | 2 + .../awips_20km/jgfs_awips_f034.ecf | 2 + .../awips_20km/jgfs_awips_f035.ecf | 2 + .../awips_20km/jgfs_awips_f036.ecf | 2 + .../awips_20km/jgfs_awips_f037.ecf | 2 + .../awips_20km/jgfs_awips_f038.ecf | 2 + .../awips_20km/jgfs_awips_f039.ecf | 2 + .../awips_20km/jgfs_awips_f040.ecf | 2 + .../awips_20km/jgfs_awips_f041.ecf | 2 + .../awips_20km/jgfs_awips_f042.ecf | 2 + .../awips_20km/jgfs_awips_f043.ecf | 2 + .../awips_20km/jgfs_awips_f044.ecf | 2 + .../awips_20km/jgfs_awips_f045.ecf | 2 + .../awips_20km/jgfs_awips_f046.ecf | 2 + .../awips_20km/jgfs_awips_f047.ecf | 2 + .../awips_20km/jgfs_awips_f048.ecf | 2 + .../awips_20km/jgfs_awips_f049.ecf | 2 + .../awips_20km/jgfs_awips_f050.ecf | 2 + .../awips_20km/jgfs_awips_f051.ecf | 2 + .../awips_20km/jgfs_awips_f052.ecf | 2 + .../awips_20km/jgfs_awips_f053.ecf | 2 + .../awips_20km/jgfs_awips_f054.ecf | 2 + .../awips_20km/jgfs_awips_f055.ecf | 2 + .../awips_20km/jgfs_awips_f056.ecf | 2 + .../awips_20km/jgfs_awips_f057.ecf | 2 + .../awips_20km/jgfs_awips_f058.ecf | 2 + .../awips_20km/jgfs_awips_f059.ecf | 2 + .../awips_20km/jgfs_awips_f060.ecf | 2 + .../awips_20km/jgfs_awips_f061.ecf | 2 + .../awips_20km/jgfs_awips_f062.ecf | 2 + .../awips_20km/jgfs_awips_f063.ecf | 2 + .../awips_20km/jgfs_awips_f064.ecf | 2 + .../awips_20km/jgfs_awips_f065.ecf | 2 + .../awips_20km/jgfs_awips_f066.ecf | 2 + .../awips_20km/jgfs_awips_f067.ecf | 2 + .../awips_20km/jgfs_awips_f068.ecf | 2 + .../awips_20km/jgfs_awips_f069.ecf | 2 + .../awips_20km/jgfs_awips_f070.ecf | 2 + .../awips_20km/jgfs_awips_f071.ecf | 2 + .../awips_20km/jgfs_awips_f072.ecf | 2 + .../awips_20km/jgfs_awips_f073.ecf | 2 + .../awips_20km/jgfs_awips_f074.ecf | 2 + .../awips_20km/jgfs_awips_f075.ecf | 2 + .../awips_20km/jgfs_awips_f076.ecf | 2 + .../awips_20km/jgfs_awips_f077.ecf | 2 + .../awips_20km/jgfs_awips_f078.ecf | 2 + .../awips_20km/jgfs_awips_f079.ecf | 2 + .../awips_20km/jgfs_awips_f080.ecf | 2 + .../awips_20km/jgfs_awips_f081.ecf | 2 + .../awips_20km/jgfs_awips_f082.ecf | 2 + .../awips_20km/jgfs_awips_f083.ecf | 2 + .../awips_20km/jgfs_awips_f084.ecf | 2 + .../awips_20km/jgfs_awips_f085.ecf | 2 + .../awips_20km/jgfs_awips_f086.ecf | 2 + .../awips_20km/jgfs_awips_f087.ecf | 2 + .../awips_20km/jgfs_awips_f088.ecf | 2 + .../awips_20km/jgfs_awips_f089.ecf | 2 + .../awips_20km/jgfs_awips_f090.ecf | 2 + .../awips_20km/jgfs_awips_f091.ecf | 2 + .../awips_20km/jgfs_awips_f092.ecf | 2 + .../awips_20km/jgfs_awips_f093.ecf | 2 + .../awips_20km/jgfs_awips_f094.ecf | 2 + .../awips_20km/jgfs_awips_f095.ecf | 2 + .../awips_20km/jgfs_awips_f096.ecf | 2 + .../awips_20km/jgfs_awips_f097.ecf | 2 + .../awips_20km/jgfs_awips_f098.ecf | 2 + .../awips_20km/jgfs_awips_f099.ecf | 2 + .../awips_20km/jgfs_awips_f100.ecf | 2 + .../awips_20km/jgfs_awips_f101.ecf | 2 + .../awips_20km/jgfs_awips_f102.ecf | 2 + .../awips_20km/jgfs_awips_f103.ecf | 2 + .../awips_20km/jgfs_awips_f104.ecf | 2 + .../awips_20km/jgfs_awips_f105.ecf | 2 + .../awips_20km/jgfs_awips_f106.ecf | 2 + .../awips_20km/jgfs_awips_f107.ecf | 2 + .../awips_20km/jgfs_awips_f108.ecf | 2 + .../awips_20km/jgfs_awips_f109.ecf | 2 + .../awips_20km/jgfs_awips_f110.ecf | 2 + .../awips_20km/jgfs_awips_f111.ecf | 2 + .../awips_20km/jgfs_awips_f112.ecf | 2 + .../awips_20km/jgfs_awips_f113.ecf | 2 + .../awips_20km/jgfs_awips_f114.ecf | 2 + .../awips_20km/jgfs_awips_f115.ecf | 2 + .../awips_20km/jgfs_awips_f116.ecf | 2 + .../awips_20km/jgfs_awips_f117.ecf | 2 + .../awips_20km/jgfs_awips_f118.ecf | 2 + .../awips_20km/jgfs_awips_f119.ecf | 2 + .../awips_20km/jgfs_awips_f120.ecf | 2 + .../awips_20km/jgfs_awips_f123.ecf | 2 + .../awips_20km/jgfs_awips_f126.ecf | 2 + .../awips_20km/jgfs_awips_f129.ecf | 2 + .../awips_20km/jgfs_awips_f132.ecf | 2 + .../awips_20km/jgfs_awips_f135.ecf | 2 + .../awips_20km/jgfs_awips_f138.ecf | 2 + .../awips_20km/jgfs_awips_f141.ecf | 2 + .../awips_20km/jgfs_awips_f144.ecf | 2 + .../awips_20km/jgfs_awips_f147.ecf | 2 + .../awips_20km/jgfs_awips_f150.ecf | 2 + .../awips_20km/jgfs_awips_f153.ecf | 2 + .../awips_20km/jgfs_awips_f156.ecf | 2 + .../awips_20km/jgfs_awips_f159.ecf | 2 + .../awips_20km/jgfs_awips_f162.ecf | 2 + .../awips_20km/jgfs_awips_f165.ecf | 2 + .../awips_20km/jgfs_awips_f168.ecf | 2 + .../awips_20km/jgfs_awips_f171.ecf | 2 + .../awips_20km/jgfs_awips_f174.ecf | 2 + .../awips_20km/jgfs_awips_f177.ecf | 2 + .../awips_20km/jgfs_awips_f180.ecf | 2 + .../awips_20km/jgfs_awips_f183.ecf | 2 + .../awips_20km/jgfs_awips_f186.ecf | 2 + .../awips_20km/jgfs_awips_f189.ecf | 2 + .../awips_20km/jgfs_awips_f192.ecf | 2 + .../awips_20km/jgfs_awips_f195.ecf | 2 + .../awips_20km/jgfs_awips_f198.ecf | 2 + .../awips_20km/jgfs_awips_f201.ecf | 2 + .../awips_20km/jgfs_awips_f204.ecf | 2 + .../awips_20km/jgfs_awips_f207.ecf | 2 + .../awips_20km/jgfs_awips_f210.ecf | 2 + .../awips_20km/jgfs_awips_f213.ecf | 2 + .../awips_20km/jgfs_awips_f216.ecf | 2 + .../awips_20km/jgfs_awips_f219.ecf | 2 + .../awips_20km/jgfs_awips_f222.ecf | 2 + .../awips_20km/jgfs_awips_f225.ecf | 2 + .../awips_20km/jgfs_awips_f228.ecf | 2 + .../awips_20km/jgfs_awips_f231.ecf | 2 + .../awips_20km/jgfs_awips_f234.ecf | 2 + .../awips_20km/jgfs_awips_f237.ecf | 2 + .../awips_20km/jgfs_awips_f240.ecf | 2 + .../awips_20km/jgfs_awips_f252.ecf | 2 + .../awips_20km/jgfs_awips_f264.ecf | 2 + .../awips_20km/jgfs_awips_f276.ecf | 2 + .../awips_20km/jgfs_awips_f288.ecf | 2 + .../awips_20km/jgfs_awips_f300.ecf | 2 + .../awips_20km/jgfs_awips_f312.ecf | 2 + .../awips_20km/jgfs_awips_f324.ecf | 2 + .../awips_20km/jgfs_awips_f336.ecf | 2 + .../awips_20km/jgfs_awips_f348.ecf | 2 + .../awips_20km/jgfs_awips_f360.ecf | 2 + .../awips_20km/jgfs_awips_f372.ecf | 2 + .../awips_20km/jgfs_awips_f384.ecf | 2 + .../bufr_sounding/jgfs_postsnd.ecf | 2 + .../bulletins/jgfs_cyclone_tracker.ecf | 2 + .../post_processing/bulletins/jgfs_fbwind.ecf | 2 + .../prod06/gfs/post_processing/dummy.ecf | 2 + .../gfs/post_processing/fax/jgfs_fax_anl.ecf | 2 + .../gfs/post_processing/fax/jgfs_fax_f00.ecf | 2 + .../post_processing/fax/jgfs_fax_wafs_f12.ecf | 2 + .../post_processing/fax/jgfs_fax_wafs_f24.ecf | 2 + .../post_processing/fax/jgfs_fax_wafs_f36.ecf | 2 + .../grib2_wafs/jgfs_wafs_blending.ecf | 2 + .../grib2_wafs/jgfs_wafs_grib2.ecf | 2 + .../grib_awips/jgfs_awips_f00.ecf | 2 + .../grib_awips/jgfs_awips_f01.ecf | 2 + .../grib_awips/jgfs_awips_f02.ecf | 2 + .../grib_awips/jgfs_awips_f03.ecf | 2 + .../grib_awips/jgfs_awips_f04.ecf | 2 + .../grib_awips/jgfs_awips_f05.ecf | 2 + .../grib_awips/jgfs_awips_f06.ecf | 2 + .../grib_awips/jgfs_awips_f07.ecf | 2 + .../grib_awips/jgfs_awips_f08.ecf | 2 + .../grib_awips/jgfs_awips_f09.ecf | 2 + .../grib_awips/jgfs_awips_f10.ecf | 2 + .../grib_awips/jgfs_awips_f100.ecf | 2 + .../grib_awips/jgfs_awips_f101.ecf | 2 + .../grib_awips/jgfs_awips_f102.ecf | 2 + .../grib_awips/jgfs_awips_f103.ecf | 2 + .../grib_awips/jgfs_awips_f104.ecf | 2 + .../grib_awips/jgfs_awips_f105.ecf | 2 + .../grib_awips/jgfs_awips_f106.ecf | 2 + .../grib_awips/jgfs_awips_f107.ecf | 2 + .../grib_awips/jgfs_awips_f108.ecf | 2 + .../grib_awips/jgfs_awips_f109.ecf | 2 + .../grib_awips/jgfs_awips_f11.ecf | 2 + .../grib_awips/jgfs_awips_f110.ecf | 2 + .../grib_awips/jgfs_awips_f111.ecf | 2 + .../grib_awips/jgfs_awips_f112.ecf | 2 + .../grib_awips/jgfs_awips_f113.ecf | 2 + .../grib_awips/jgfs_awips_f114.ecf | 2 + .../grib_awips/jgfs_awips_f115.ecf | 2 + .../grib_awips/jgfs_awips_f116.ecf | 2 + .../grib_awips/jgfs_awips_f117.ecf | 2 + .../grib_awips/jgfs_awips_f118.ecf | 2 + .../grib_awips/jgfs_awips_f119.ecf | 2 + .../grib_awips/jgfs_awips_f12.ecf | 2 + .../grib_awips/jgfs_awips_f120.ecf | 2 + .../grib_awips/jgfs_awips_f123.ecf | 2 + .../grib_awips/jgfs_awips_f126.ecf | 2 + .../grib_awips/jgfs_awips_f129.ecf | 2 + .../grib_awips/jgfs_awips_f13.ecf | 2 + .../grib_awips/jgfs_awips_f132.ecf | 2 + .../grib_awips/jgfs_awips_f135.ecf | 2 + .../grib_awips/jgfs_awips_f138.ecf | 2 + .../grib_awips/jgfs_awips_f14.ecf | 2 + .../grib_awips/jgfs_awips_f141.ecf | 2 + .../grib_awips/jgfs_awips_f144.ecf | 2 + .../grib_awips/jgfs_awips_f147.ecf | 2 + .../grib_awips/jgfs_awips_f15.ecf | 2 + .../grib_awips/jgfs_awips_f150.ecf | 2 + .../grib_awips/jgfs_awips_f153.ecf | 2 + .../grib_awips/jgfs_awips_f156.ecf | 2 + .../grib_awips/jgfs_awips_f159.ecf | 2 + .../grib_awips/jgfs_awips_f16.ecf | 2 + .../grib_awips/jgfs_awips_f162.ecf | 2 + .../grib_awips/jgfs_awips_f165.ecf | 2 + .../grib_awips/jgfs_awips_f168.ecf | 2 + .../grib_awips/jgfs_awips_f17.ecf | 2 + .../grib_awips/jgfs_awips_f171.ecf | 2 + .../grib_awips/jgfs_awips_f174.ecf | 2 + .../grib_awips/jgfs_awips_f177.ecf | 2 + .../grib_awips/jgfs_awips_f18.ecf | 2 + .../grib_awips/jgfs_awips_f180.ecf | 2 + .../grib_awips/jgfs_awips_f183.ecf | 2 + .../grib_awips/jgfs_awips_f186.ecf | 2 + .../grib_awips/jgfs_awips_f189.ecf | 2 + .../grib_awips/jgfs_awips_f19.ecf | 2 + .../grib_awips/jgfs_awips_f192.ecf | 2 + .../grib_awips/jgfs_awips_f195.ecf | 2 + .../grib_awips/jgfs_awips_f198.ecf | 2 + .../grib_awips/jgfs_awips_f20.ecf | 2 + .../grib_awips/jgfs_awips_f201.ecf | 2 + .../grib_awips/jgfs_awips_f204.ecf | 2 + .../grib_awips/jgfs_awips_f207.ecf | 2 + .../grib_awips/jgfs_awips_f21.ecf | 2 + .../grib_awips/jgfs_awips_f210.ecf | 2 + .../grib_awips/jgfs_awips_f213.ecf | 2 + .../grib_awips/jgfs_awips_f216.ecf | 2 + .../grib_awips/jgfs_awips_f219.ecf | 2 + .../grib_awips/jgfs_awips_f22.ecf | 2 + .../grib_awips/jgfs_awips_f222.ecf | 2 + .../grib_awips/jgfs_awips_f225.ecf | 2 + .../grib_awips/jgfs_awips_f228.ecf | 2 + .../grib_awips/jgfs_awips_f23.ecf | 2 + .../grib_awips/jgfs_awips_f231.ecf | 2 + .../grib_awips/jgfs_awips_f234.ecf | 2 + .../grib_awips/jgfs_awips_f237.ecf | 2 + .../grib_awips/jgfs_awips_f24.ecf | 2 + .../grib_awips/jgfs_awips_f240.ecf | 2 + .../grib_awips/jgfs_awips_f25.ecf | 2 + .../grib_awips/jgfs_awips_f252.ecf | 2 + .../grib_awips/jgfs_awips_f26.ecf | 2 + .../grib_awips/jgfs_awips_f264.ecf | 2 + .../grib_awips/jgfs_awips_f27.ecf | 2 + .../grib_awips/jgfs_awips_f276.ecf | 2 + .../grib_awips/jgfs_awips_f28.ecf | 2 + .../grib_awips/jgfs_awips_f288.ecf | 2 + .../grib_awips/jgfs_awips_f29.ecf | 2 + .../grib_awips/jgfs_awips_f30.ecf | 2 + .../grib_awips/jgfs_awips_f300.ecf | 2 + .../grib_awips/jgfs_awips_f31.ecf | 2 + .../grib_awips/jgfs_awips_f312.ecf | 2 + .../grib_awips/jgfs_awips_f32.ecf | 2 + .../grib_awips/jgfs_awips_f324.ecf | 2 + .../grib_awips/jgfs_awips_f33.ecf | 2 + .../grib_awips/jgfs_awips_f336.ecf | 2 + .../grib_awips/jgfs_awips_f34.ecf | 2 + .../grib_awips/jgfs_awips_f348.ecf | 2 + .../grib_awips/jgfs_awips_f35.ecf | 2 + .../grib_awips/jgfs_awips_f36.ecf | 2 + .../grib_awips/jgfs_awips_f360.ecf | 2 + .../grib_awips/jgfs_awips_f37.ecf | 2 + .../grib_awips/jgfs_awips_f372.ecf | 2 + .../grib_awips/jgfs_awips_f38.ecf | 2 + .../grib_awips/jgfs_awips_f384.ecf | 2 + .../grib_awips/jgfs_awips_f39.ecf | 2 + .../grib_awips/jgfs_awips_f40.ecf | 2 + .../grib_awips/jgfs_awips_f41.ecf | 2 + .../grib_awips/jgfs_awips_f42.ecf | 2 + .../grib_awips/jgfs_awips_f43.ecf | 2 + .../grib_awips/jgfs_awips_f44.ecf | 2 + .../grib_awips/jgfs_awips_f45.ecf | 2 + .../grib_awips/jgfs_awips_f46.ecf | 2 + .../grib_awips/jgfs_awips_f47.ecf | 2 + .../grib_awips/jgfs_awips_f48.ecf | 2 + .../grib_awips/jgfs_awips_f49.ecf | 2 + .../grib_awips/jgfs_awips_f50.ecf | 2 + .../grib_awips/jgfs_awips_f51.ecf | 2 + .../grib_awips/jgfs_awips_f52.ecf | 2 + .../grib_awips/jgfs_awips_f53.ecf | 2 + .../grib_awips/jgfs_awips_f54.ecf | 2 + .../grib_awips/jgfs_awips_f55.ecf | 2 + .../grib_awips/jgfs_awips_f56.ecf | 2 + .../grib_awips/jgfs_awips_f57.ecf | 2 + .../grib_awips/jgfs_awips_f58.ecf | 2 + .../grib_awips/jgfs_awips_f59.ecf | 2 + .../grib_awips/jgfs_awips_f60.ecf | 2 + .../grib_awips/jgfs_awips_f61.ecf | 2 + .../grib_awips/jgfs_awips_f62.ecf | 2 + .../grib_awips/jgfs_awips_f63.ecf | 2 + .../grib_awips/jgfs_awips_f64.ecf | 2 + .../grib_awips/jgfs_awips_f65.ecf | 2 + .../grib_awips/jgfs_awips_f66.ecf | 2 + .../grib_awips/jgfs_awips_f67.ecf | 2 + .../grib_awips/jgfs_awips_f68.ecf | 2 + .../grib_awips/jgfs_awips_f69.ecf | 2 + .../grib_awips/jgfs_awips_f70.ecf | 2 + .../grib_awips/jgfs_awips_f71.ecf | 2 + .../grib_awips/jgfs_awips_f72.ecf | 2 + .../grib_awips/jgfs_awips_f73.ecf | 2 + .../grib_awips/jgfs_awips_f74.ecf | 2 + .../grib_awips/jgfs_awips_f75.ecf | 2 + .../grib_awips/jgfs_awips_f76.ecf | 2 + .../grib_awips/jgfs_awips_f77.ecf | 2 + .../grib_awips/jgfs_awips_f78.ecf | 2 + .../grib_awips/jgfs_awips_f79.ecf | 2 + .../grib_awips/jgfs_awips_f80.ecf | 2 + .../grib_awips/jgfs_awips_f81.ecf | 2 + .../grib_awips/jgfs_awips_f82.ecf | 2 + .../grib_awips/jgfs_awips_f83.ecf | 2 + .../grib_awips/jgfs_awips_f84.ecf | 2 + .../grib_awips/jgfs_awips_f85.ecf | 2 + .../grib_awips/jgfs_awips_f86.ecf | 2 + .../grib_awips/jgfs_awips_f87.ecf | 2 + .../grib_awips/jgfs_awips_f88.ecf | 2 + .../grib_awips/jgfs_awips_f89.ecf | 2 + .../grib_awips/jgfs_awips_f90.ecf | 2 + .../grib_awips/jgfs_awips_f91.ecf | 2 + .../grib_awips/jgfs_awips_f92.ecf | 2 + .../grib_awips/jgfs_awips_f93.ecf | 2 + .../grib_awips/jgfs_awips_f94.ecf | 2 + .../grib_awips/jgfs_awips_f95.ecf | 2 + .../grib_awips/jgfs_awips_f96.ecf | 2 + .../grib_awips/jgfs_awips_f97.ecf | 2 + .../grib_awips/jgfs_awips_f98.ecf | 2 + .../grib_awips/jgfs_awips_f99.ecf | 2 + .../grib_wafs/jgfs_wafs_f00.ecf | 2 + .../grib_wafs/jgfs_wafs_f06.ecf | 2 + .../grib_wafs/jgfs_wafs_f102.ecf | 2 + .../grib_wafs/jgfs_wafs_f108.ecf | 2 + .../grib_wafs/jgfs_wafs_f114.ecf | 2 + .../grib_wafs/jgfs_wafs_f12.ecf | 2 + .../grib_wafs/jgfs_wafs_f120.ecf | 2 + .../grib_wafs/jgfs_wafs_f18.ecf | 2 + .../grib_wafs/jgfs_wafs_f24.ecf | 2 + .../grib_wafs/jgfs_wafs_f30.ecf | 2 + .../grib_wafs/jgfs_wafs_f36.ecf | 2 + .../grib_wafs/jgfs_wafs_f42.ecf | 2 + .../grib_wafs/jgfs_wafs_f48.ecf | 2 + .../grib_wafs/jgfs_wafs_f54.ecf | 2 + .../grib_wafs/jgfs_wafs_f60.ecf | 2 + .../grib_wafs/jgfs_wafs_f66.ecf | 2 + .../grib_wafs/jgfs_wafs_f72.ecf | 2 + .../grib_wafs/jgfs_wafs_f78.ecf | 2 + .../grib_wafs/jgfs_wafs_f84.ecf | 2 + .../grib_wafs/jgfs_wafs_f90.ecf | 2 + .../grib_wafs/jgfs_wafs_f96.ecf | 2 + .../gfs/prdgen/jgfs_npoess_pgrb2_0p5deg.ecf | 46 + .../prod06/gfs/prdgen/jgfs_pgrb2_anl.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f00.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f01.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f02.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f03.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f04.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f05.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f06.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f07.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f08.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f09.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f10.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f100.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f101.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f102.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f103.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f104.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f105.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f106.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f107.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f108.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f109.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f11.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f110.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f111.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f112.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f113.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f114.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f115.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f116.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f117.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f118.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f119.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f12.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f120.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f123.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f126.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f129.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f13.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f132.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f135.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f138.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f14.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f141.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f144.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f147.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f15.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f150.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f153.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f156.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f159.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f16.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f162.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f165.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f168.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f17.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f171.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f174.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f177.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f18.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f180.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f183.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f186.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f189.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f19.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f192.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f195.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f198.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f20.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f201.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f204.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f207.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f21.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f210.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f213.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f216.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f219.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f22.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f222.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f225.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f228.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f23.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f231.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f234.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f237.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f24.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f240.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f25.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f252.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f26.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f264.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f27.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f276.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f28.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f288.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f29.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f30.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f300.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f31.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f312.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f32.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f324.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f33.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f336.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f34.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f348.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f35.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f36.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f360.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f37.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f372.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f38.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f384.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f39.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f40.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f41.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f42.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f43.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f44.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f45.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f46.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f47.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f48.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f49.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f50.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f51.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f52.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f53.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f54.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f55.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f56.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f57.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f58.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f59.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f60.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f61.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f62.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f63.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f64.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f65.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f66.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f67.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f68.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f69.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f70.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f71.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f72.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f73.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f74.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f75.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f76.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f77.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f78.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f79.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f80.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f81.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f82.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f83.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f84.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f85.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f86.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f87.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f88.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f89.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f90.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f91.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f92.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f93.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f94.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f95.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f96.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f97.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f98.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_f99.ecf | 1 + .../prod06/gfs/prdgen/jgfs_pgrb2_manager.ecf | 2 + .../prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 2 + .../scripts/prod06/gfs/prep/jgfs_prep.ecf | 1 + .../prod06/gfs/prep/jgfs_prep_post.ecf | 2 + .../gfs/sminit_guam/jgfs_sminit_guam_even.ecf | 2 + .../gfs/sminit_guam/jgfs_sminit_guam_odd.ecf | 2 + .../scripts/prod12/cycle_end.ecf | 2 + .../gdas/analysis/jgdas_analysis_high.ecf | 13 +- .../scripts/prod12/gdas/dump/jgdas_dump.ecf | 2 + .../scripts/prod12/gdas/dump/jgdas_ics.ecf | 2 + .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp1.ecf | 1 + .../enkf/forecast/jgdas_enkf_fcst_grp2.ecf | 1 + .../enkf/forecast/jgdas_enkf_fcst_grp3.ecf | 1 + .../enkf/forecast/jgdas_enkf_fcst_grp4.ecf | 1 + .../enkf/forecast/jgdas_enkf_fcst_grp5.ecf | 1 + .../enkf/forecast/jgdas_enkf_fcst_grp6.ecf | 1 + .../enkf/forecast/jgdas_enkf_fcst_grp7.ecf | 1 + .../enkf/forecast/jgdas_enkf_fcst_grp8.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp1.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp2.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp3.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp4.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp5.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp6.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp7.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp8.ecf | 1 + .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 1 + .../prod12/gdas/enkf/jgdas_enkf_post.ecf | 1 + .../gdas/enkf/jgdas_enkf_select_obs.ecf | 1 + .../prod12/gdas/enkf/jgdas_enkf_update.ecf | 1 + .../prod12/gdas/forecast/jgdas_forecast.ecf | 11 +- .../prod12/gdas/gempak/jgdas_gempak.ecf | 2 + .../prod12/gdas/gempak/jgdas_gempak_meta.ecf | 2 + .../prod12/gdas/gempak/jgdas_gempak_ncdc.ecf | 2 + .../scripts/prod12/gdas/jgdas_verfrad.ecf | 2 + .../scripts/prod12/gdas/jgdas_vminmon.ecf | 2 + .../scripts/prod12/gdas/post/jgdas_post.ecf | 1 + .../bulletins/jgdas_mknavybulls.ecf | 2 + .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 2 + .../scripts/prod12/gdas/prep/jgdas_prep.ecf | 1 + .../prod12/gdas/prep/jgdas_prep_post.ecf | 2 + .../scripts/prod12/gfs/dump/jgfs_dump.ecf | 2 + .../prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 2 + .../gfs/forecast/jgfs_forecast_high.ecf | 11 +- .../prod12/gfs/forecast/jgfs_forecast_low.ecf | 11 +- .../scripts/prod12/gfs/gempak/jgfs_gempak.ecf | 2 + .../prod12/gfs/gempak/jgfs_gempak_meta.ecf | 2 + .../prod12/gfs/gempak/jgfs_gempak_ncdc.ecf | 2 + .../prod12/gfs/gempak/jgfs_gempak_upapgif.ecf | 2 + .../gfs/gempak/jgfs_pgrb2_spec_gempak.ecf | 25 +- .../scripts/prod12/gfs/jgfs_analysis.ecf | 13 +- .../scripts/prod12/gfs/jgfs_vminmon.ecf | 2 + .../prod12/gfs/post/jgfs_pgrb2_spec_post.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_anl.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f00.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f01.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f02.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f03.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f04.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f05.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f06.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f07.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f08.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f09.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f10.ecf | 2 + .../prod12/gfs/post/jgfs_post_f100.ecf | 2 + .../prod12/gfs/post/jgfs_post_f101.ecf | 2 + .../prod12/gfs/post/jgfs_post_f102.ecf | 2 + .../prod12/gfs/post/jgfs_post_f103.ecf | 2 + .../prod12/gfs/post/jgfs_post_f104.ecf | 2 + .../prod12/gfs/post/jgfs_post_f105.ecf | 2 + .../prod12/gfs/post/jgfs_post_f106.ecf | 2 + .../prod12/gfs/post/jgfs_post_f107.ecf | 2 + .../prod12/gfs/post/jgfs_post_f108.ecf | 2 + .../prod12/gfs/post/jgfs_post_f109.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f11.ecf | 2 + .../prod12/gfs/post/jgfs_post_f110.ecf | 2 + .../prod12/gfs/post/jgfs_post_f111.ecf | 2 + .../prod12/gfs/post/jgfs_post_f112.ecf | 2 + .../prod12/gfs/post/jgfs_post_f113.ecf | 2 + .../prod12/gfs/post/jgfs_post_f114.ecf | 2 + .../prod12/gfs/post/jgfs_post_f115.ecf | 2 + .../prod12/gfs/post/jgfs_post_f116.ecf | 2 + .../prod12/gfs/post/jgfs_post_f117.ecf | 2 + .../prod12/gfs/post/jgfs_post_f118.ecf | 2 + .../prod12/gfs/post/jgfs_post_f119.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f12.ecf | 2 + .../prod12/gfs/post/jgfs_post_f120.ecf | 2 + .../prod12/gfs/post/jgfs_post_f123.ecf | 2 + .../prod12/gfs/post/jgfs_post_f126.ecf | 2 + .../prod12/gfs/post/jgfs_post_f129.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f13.ecf | 2 + .../prod12/gfs/post/jgfs_post_f132.ecf | 2 + .../prod12/gfs/post/jgfs_post_f135.ecf | 2 + .../prod12/gfs/post/jgfs_post_f138.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f14.ecf | 2 + .../prod12/gfs/post/jgfs_post_f141.ecf | 2 + .../prod12/gfs/post/jgfs_post_f144.ecf | 2 + .../prod12/gfs/post/jgfs_post_f147.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f15.ecf | 2 + .../prod12/gfs/post/jgfs_post_f150.ecf | 2 + .../prod12/gfs/post/jgfs_post_f153.ecf | 2 + .../prod12/gfs/post/jgfs_post_f156.ecf | 2 + .../prod12/gfs/post/jgfs_post_f159.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f16.ecf | 2 + .../prod12/gfs/post/jgfs_post_f162.ecf | 2 + .../prod12/gfs/post/jgfs_post_f165.ecf | 2 + .../prod12/gfs/post/jgfs_post_f168.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f17.ecf | 2 + .../prod12/gfs/post/jgfs_post_f171.ecf | 2 + .../prod12/gfs/post/jgfs_post_f174.ecf | 2 + .../prod12/gfs/post/jgfs_post_f177.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f18.ecf | 2 + .../prod12/gfs/post/jgfs_post_f180.ecf | 2 + .../prod12/gfs/post/jgfs_post_f183.ecf | 2 + .../prod12/gfs/post/jgfs_post_f186.ecf | 2 + .../prod12/gfs/post/jgfs_post_f189.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f19.ecf | 2 + .../prod12/gfs/post/jgfs_post_f192.ecf | 2 + .../prod12/gfs/post/jgfs_post_f195.ecf | 2 + .../prod12/gfs/post/jgfs_post_f198.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f20.ecf | 2 + .../prod12/gfs/post/jgfs_post_f201.ecf | 2 + .../prod12/gfs/post/jgfs_post_f204.ecf | 2 + .../prod12/gfs/post/jgfs_post_f207.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f21.ecf | 2 + .../prod12/gfs/post/jgfs_post_f210.ecf | 2 + .../prod12/gfs/post/jgfs_post_f213.ecf | 2 + .../prod12/gfs/post/jgfs_post_f216.ecf | 2 + .../prod12/gfs/post/jgfs_post_f219.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f22.ecf | 2 + .../prod12/gfs/post/jgfs_post_f222.ecf | 2 + .../prod12/gfs/post/jgfs_post_f225.ecf | 2 + .../prod12/gfs/post/jgfs_post_f228.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f23.ecf | 2 + .../prod12/gfs/post/jgfs_post_f231.ecf | 2 + .../prod12/gfs/post/jgfs_post_f234.ecf | 2 + .../prod12/gfs/post/jgfs_post_f237.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f24.ecf | 2 + .../prod12/gfs/post/jgfs_post_f240.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f25.ecf | 2 + .../prod12/gfs/post/jgfs_post_f252.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f26.ecf | 2 + .../prod12/gfs/post/jgfs_post_f264.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f27.ecf | 2 + .../prod12/gfs/post/jgfs_post_f276.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f28.ecf | 2 + .../prod12/gfs/post/jgfs_post_f288.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f29.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f30.ecf | 2 + .../prod12/gfs/post/jgfs_post_f300.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f31.ecf | 2 + .../prod12/gfs/post/jgfs_post_f312.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f32.ecf | 2 + .../prod12/gfs/post/jgfs_post_f324.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f33.ecf | 2 + .../prod12/gfs/post/jgfs_post_f336.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f34.ecf | 2 + .../prod12/gfs/post/jgfs_post_f348.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f35.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f36.ecf | 2 + .../prod12/gfs/post/jgfs_post_f360.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f37.ecf | 2 + .../prod12/gfs/post/jgfs_post_f372.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f38.ecf | 2 + .../prod12/gfs/post/jgfs_post_f384.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f39.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f40.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f41.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f42.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f43.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f44.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f45.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f46.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f47.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f48.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f49.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f50.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f51.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f52.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f53.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f54.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f55.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f56.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f57.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f58.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f59.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f60.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f61.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f62.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f63.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f64.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f65.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f66.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f67.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f68.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f69.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f70.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f71.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f72.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f73.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f74.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f75.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f76.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f77.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f78.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f79.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f80.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f81.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f82.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f83.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f84.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f85.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f86.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f87.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f88.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f89.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f90.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f91.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f92.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f93.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f94.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f95.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f96.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f97.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f98.ecf | 2 + .../scripts/prod12/gfs/post/jgfs_post_f99.ecf | 2 + .../prod12/gfs/post/jgfs_post_manager.ecf | 2 + .../awips_1p0deg/jgfs_awips_f000.ecf | 2 + .../awips_1p0deg/jgfs_awips_f001.ecf | 2 + .../awips_1p0deg/jgfs_awips_f002.ecf | 2 + .../awips_1p0deg/jgfs_awips_f003.ecf | 2 + .../awips_1p0deg/jgfs_awips_f004.ecf | 2 + .../awips_1p0deg/jgfs_awips_f005.ecf | 2 + .../awips_1p0deg/jgfs_awips_f006.ecf | 2 + .../awips_1p0deg/jgfs_awips_f007.ecf | 2 + .../awips_1p0deg/jgfs_awips_f008.ecf | 2 + .../awips_1p0deg/jgfs_awips_f009.ecf | 2 + .../awips_1p0deg/jgfs_awips_f010.ecf | 2 + .../awips_1p0deg/jgfs_awips_f011.ecf | 2 + .../awips_1p0deg/jgfs_awips_f012.ecf | 2 + .../awips_1p0deg/jgfs_awips_f013.ecf | 2 + .../awips_1p0deg/jgfs_awips_f014.ecf | 2 + .../awips_1p0deg/jgfs_awips_f015.ecf | 2 + .../awips_1p0deg/jgfs_awips_f016.ecf | 2 + .../awips_1p0deg/jgfs_awips_f017.ecf | 2 + .../awips_1p0deg/jgfs_awips_f018.ecf | 2 + .../awips_1p0deg/jgfs_awips_f019.ecf | 2 + .../awips_1p0deg/jgfs_awips_f020.ecf | 2 + .../awips_1p0deg/jgfs_awips_f021.ecf | 2 + .../awips_1p0deg/jgfs_awips_f022.ecf | 2 + .../awips_1p0deg/jgfs_awips_f023.ecf | 2 + .../awips_1p0deg/jgfs_awips_f024.ecf | 2 + .../awips_1p0deg/jgfs_awips_f025.ecf | 2 + .../awips_1p0deg/jgfs_awips_f026.ecf | 2 + .../awips_1p0deg/jgfs_awips_f027.ecf | 2 + .../awips_1p0deg/jgfs_awips_f028.ecf | 2 + .../awips_1p0deg/jgfs_awips_f029.ecf | 2 + .../awips_1p0deg/jgfs_awips_f030.ecf | 2 + .../awips_1p0deg/jgfs_awips_f031.ecf | 2 + .../awips_1p0deg/jgfs_awips_f032.ecf | 2 + .../awips_1p0deg/jgfs_awips_f033.ecf | 2 + .../awips_1p0deg/jgfs_awips_f034.ecf | 2 + .../awips_1p0deg/jgfs_awips_f035.ecf | 2 + .../awips_1p0deg/jgfs_awips_f036.ecf | 2 + .../awips_1p0deg/jgfs_awips_f037.ecf | 2 + .../awips_1p0deg/jgfs_awips_f038.ecf | 2 + .../awips_1p0deg/jgfs_awips_f039.ecf | 2 + .../awips_1p0deg/jgfs_awips_f040.ecf | 2 + .../awips_1p0deg/jgfs_awips_f041.ecf | 2 + .../awips_1p0deg/jgfs_awips_f042.ecf | 2 + .../awips_1p0deg/jgfs_awips_f043.ecf | 2 + .../awips_1p0deg/jgfs_awips_f044.ecf | 2 + .../awips_1p0deg/jgfs_awips_f045.ecf | 2 + .../awips_1p0deg/jgfs_awips_f046.ecf | 2 + .../awips_1p0deg/jgfs_awips_f047.ecf | 2 + .../awips_1p0deg/jgfs_awips_f048.ecf | 2 + .../awips_1p0deg/jgfs_awips_f049.ecf | 2 + .../awips_1p0deg/jgfs_awips_f050.ecf | 2 + .../awips_1p0deg/jgfs_awips_f051.ecf | 2 + .../awips_1p0deg/jgfs_awips_f052.ecf | 2 + .../awips_1p0deg/jgfs_awips_f053.ecf | 2 + .../awips_1p0deg/jgfs_awips_f054.ecf | 2 + .../awips_1p0deg/jgfs_awips_f055.ecf | 2 + .../awips_1p0deg/jgfs_awips_f056.ecf | 2 + .../awips_1p0deg/jgfs_awips_f057.ecf | 2 + .../awips_1p0deg/jgfs_awips_f058.ecf | 2 + .../awips_1p0deg/jgfs_awips_f059.ecf | 2 + .../awips_1p0deg/jgfs_awips_f060.ecf | 2 + .../awips_1p0deg/jgfs_awips_f061.ecf | 2 + .../awips_1p0deg/jgfs_awips_f062.ecf | 2 + .../awips_1p0deg/jgfs_awips_f063.ecf | 2 + .../awips_1p0deg/jgfs_awips_f064.ecf | 2 + .../awips_1p0deg/jgfs_awips_f065.ecf | 2 + .../awips_1p0deg/jgfs_awips_f066.ecf | 2 + .../awips_1p0deg/jgfs_awips_f067.ecf | 2 + .../awips_1p0deg/jgfs_awips_f068.ecf | 2 + .../awips_1p0deg/jgfs_awips_f069.ecf | 2 + .../awips_1p0deg/jgfs_awips_f070.ecf | 2 + .../awips_1p0deg/jgfs_awips_f071.ecf | 2 + .../awips_1p0deg/jgfs_awips_f072.ecf | 2 + .../awips_1p0deg/jgfs_awips_f073.ecf | 2 + .../awips_1p0deg/jgfs_awips_f074.ecf | 2 + .../awips_1p0deg/jgfs_awips_f075.ecf | 2 + .../awips_1p0deg/jgfs_awips_f076.ecf | 2 + .../awips_1p0deg/jgfs_awips_f077.ecf | 2 + .../awips_1p0deg/jgfs_awips_f078.ecf | 2 + .../awips_1p0deg/jgfs_awips_f079.ecf | 2 + .../awips_1p0deg/jgfs_awips_f080.ecf | 2 + .../awips_1p0deg/jgfs_awips_f081.ecf | 2 + .../awips_1p0deg/jgfs_awips_f082.ecf | 2 + .../awips_1p0deg/jgfs_awips_f083.ecf | 2 + .../awips_1p0deg/jgfs_awips_f084.ecf | 2 + .../awips_1p0deg/jgfs_awips_f085.ecf | 2 + .../awips_1p0deg/jgfs_awips_f086.ecf | 2 + .../awips_1p0deg/jgfs_awips_f087.ecf | 2 + .../awips_1p0deg/jgfs_awips_f088.ecf | 2 + .../awips_1p0deg/jgfs_awips_f089.ecf | 2 + .../awips_1p0deg/jgfs_awips_f090.ecf | 2 + .../awips_1p0deg/jgfs_awips_f091.ecf | 2 + .../awips_1p0deg/jgfs_awips_f092.ecf | 2 + .../awips_1p0deg/jgfs_awips_f093.ecf | 2 + .../awips_1p0deg/jgfs_awips_f094.ecf | 2 + .../awips_1p0deg/jgfs_awips_f095.ecf | 2 + .../awips_1p0deg/jgfs_awips_f096.ecf | 2 + .../awips_1p0deg/jgfs_awips_f097.ecf | 2 + .../awips_1p0deg/jgfs_awips_f098.ecf | 2 + .../awips_1p0deg/jgfs_awips_f099.ecf | 2 + .../awips_1p0deg/jgfs_awips_f100.ecf | 2 + .../awips_1p0deg/jgfs_awips_f101.ecf | 2 + .../awips_1p0deg/jgfs_awips_f102.ecf | 2 + .../awips_1p0deg/jgfs_awips_f103.ecf | 2 + .../awips_1p0deg/jgfs_awips_f104.ecf | 2 + .../awips_1p0deg/jgfs_awips_f105.ecf | 2 + .../awips_1p0deg/jgfs_awips_f106.ecf | 2 + .../awips_1p0deg/jgfs_awips_f107.ecf | 2 + .../awips_1p0deg/jgfs_awips_f108.ecf | 2 + .../awips_1p0deg/jgfs_awips_f109.ecf | 2 + .../awips_1p0deg/jgfs_awips_f110.ecf | 2 + .../awips_1p0deg/jgfs_awips_f111.ecf | 2 + .../awips_1p0deg/jgfs_awips_f112.ecf | 2 + .../awips_1p0deg/jgfs_awips_f113.ecf | 2 + .../awips_1p0deg/jgfs_awips_f114.ecf | 2 + .../awips_1p0deg/jgfs_awips_f115.ecf | 2 + .../awips_1p0deg/jgfs_awips_f116.ecf | 2 + .../awips_1p0deg/jgfs_awips_f117.ecf | 2 + .../awips_1p0deg/jgfs_awips_f118.ecf | 2 + .../awips_1p0deg/jgfs_awips_f119.ecf | 2 + .../awips_1p0deg/jgfs_awips_f120.ecf | 2 + .../awips_1p0deg/jgfs_awips_f123.ecf | 2 + .../awips_1p0deg/jgfs_awips_f126.ecf | 2 + .../awips_1p0deg/jgfs_awips_f129.ecf | 2 + .../awips_1p0deg/jgfs_awips_f132.ecf | 2 + .../awips_1p0deg/jgfs_awips_f135.ecf | 2 + .../awips_1p0deg/jgfs_awips_f138.ecf | 2 + .../awips_1p0deg/jgfs_awips_f141.ecf | 2 + .../awips_1p0deg/jgfs_awips_f144.ecf | 2 + .../awips_1p0deg/jgfs_awips_f147.ecf | 2 + .../awips_1p0deg/jgfs_awips_f150.ecf | 2 + .../awips_1p0deg/jgfs_awips_f153.ecf | 2 + .../awips_1p0deg/jgfs_awips_f156.ecf | 2 + .../awips_1p0deg/jgfs_awips_f159.ecf | 2 + .../awips_1p0deg/jgfs_awips_f162.ecf | 2 + .../awips_1p0deg/jgfs_awips_f165.ecf | 2 + .../awips_1p0deg/jgfs_awips_f168.ecf | 2 + .../awips_1p0deg/jgfs_awips_f171.ecf | 2 + .../awips_1p0deg/jgfs_awips_f174.ecf | 2 + .../awips_1p0deg/jgfs_awips_f177.ecf | 2 + .../awips_1p0deg/jgfs_awips_f180.ecf | 2 + .../awips_1p0deg/jgfs_awips_f183.ecf | 2 + .../awips_1p0deg/jgfs_awips_f186.ecf | 2 + .../awips_1p0deg/jgfs_awips_f189.ecf | 2 + .../awips_1p0deg/jgfs_awips_f192.ecf | 2 + .../awips_1p0deg/jgfs_awips_f195.ecf | 2 + .../awips_1p0deg/jgfs_awips_f198.ecf | 2 + .../awips_1p0deg/jgfs_awips_f201.ecf | 2 + .../awips_1p0deg/jgfs_awips_f204.ecf | 2 + .../awips_1p0deg/jgfs_awips_f207.ecf | 2 + .../awips_1p0deg/jgfs_awips_f210.ecf | 2 + .../awips_1p0deg/jgfs_awips_f213.ecf | 2 + .../awips_1p0deg/jgfs_awips_f216.ecf | 2 + .../awips_1p0deg/jgfs_awips_f219.ecf | 2 + .../awips_1p0deg/jgfs_awips_f222.ecf | 2 + .../awips_1p0deg/jgfs_awips_f225.ecf | 2 + .../awips_1p0deg/jgfs_awips_f228.ecf | 2 + .../awips_1p0deg/jgfs_awips_f231.ecf | 2 + .../awips_1p0deg/jgfs_awips_f234.ecf | 2 + .../awips_1p0deg/jgfs_awips_f237.ecf | 2 + .../awips_1p0deg/jgfs_awips_f240.ecf | 2 + .../awips_1p0deg/jgfs_awips_f252.ecf | 2 + .../awips_1p0deg/jgfs_awips_f264.ecf | 2 + .../awips_1p0deg/jgfs_awips_f276.ecf | 2 + .../awips_1p0deg/jgfs_awips_f288.ecf | 2 + .../awips_1p0deg/jgfs_awips_f300.ecf | 2 + .../awips_1p0deg/jgfs_awips_f312.ecf | 2 + .../awips_1p0deg/jgfs_awips_f324.ecf | 2 + .../awips_1p0deg/jgfs_awips_f336.ecf | 2 + .../awips_1p0deg/jgfs_awips_f348.ecf | 2 + .../awips_1p0deg/jgfs_awips_f360.ecf | 2 + .../awips_1p0deg/jgfs_awips_f372.ecf | 2 + .../awips_1p0deg/jgfs_awips_f384.ecf | 2 + .../awips_20km/jgfs_awips_f000.ecf | 2 + .../awips_20km/jgfs_awips_f001.ecf | 2 + .../awips_20km/jgfs_awips_f002.ecf | 2 + .../awips_20km/jgfs_awips_f003.ecf | 2 + .../awips_20km/jgfs_awips_f004.ecf | 2 + .../awips_20km/jgfs_awips_f005.ecf | 2 + .../awips_20km/jgfs_awips_f006.ecf | 2 + .../awips_20km/jgfs_awips_f007.ecf | 2 + .../awips_20km/jgfs_awips_f008.ecf | 2 + .../awips_20km/jgfs_awips_f009.ecf | 2 + .../awips_20km/jgfs_awips_f010.ecf | 2 + .../awips_20km/jgfs_awips_f011.ecf | 2 + .../awips_20km/jgfs_awips_f012.ecf | 2 + .../awips_20km/jgfs_awips_f013.ecf | 2 + .../awips_20km/jgfs_awips_f014.ecf | 2 + .../awips_20km/jgfs_awips_f015.ecf | 2 + .../awips_20km/jgfs_awips_f016.ecf | 2 + .../awips_20km/jgfs_awips_f017.ecf | 2 + .../awips_20km/jgfs_awips_f018.ecf | 2 + .../awips_20km/jgfs_awips_f019.ecf | 2 + .../awips_20km/jgfs_awips_f020.ecf | 2 + .../awips_20km/jgfs_awips_f021.ecf | 2 + .../awips_20km/jgfs_awips_f022.ecf | 2 + .../awips_20km/jgfs_awips_f023.ecf | 2 + .../awips_20km/jgfs_awips_f024.ecf | 2 + .../awips_20km/jgfs_awips_f025.ecf | 2 + .../awips_20km/jgfs_awips_f026.ecf | 2 + .../awips_20km/jgfs_awips_f027.ecf | 2 + .../awips_20km/jgfs_awips_f028.ecf | 2 + .../awips_20km/jgfs_awips_f029.ecf | 2 + .../awips_20km/jgfs_awips_f030.ecf | 2 + .../awips_20km/jgfs_awips_f031.ecf | 2 + .../awips_20km/jgfs_awips_f032.ecf | 2 + .../awips_20km/jgfs_awips_f033.ecf | 2 + .../awips_20km/jgfs_awips_f034.ecf | 2 + .../awips_20km/jgfs_awips_f035.ecf | 2 + .../awips_20km/jgfs_awips_f036.ecf | 2 + .../awips_20km/jgfs_awips_f037.ecf | 2 + .../awips_20km/jgfs_awips_f038.ecf | 2 + .../awips_20km/jgfs_awips_f039.ecf | 2 + .../awips_20km/jgfs_awips_f040.ecf | 2 + .../awips_20km/jgfs_awips_f041.ecf | 2 + .../awips_20km/jgfs_awips_f042.ecf | 2 + .../awips_20km/jgfs_awips_f043.ecf | 2 + .../awips_20km/jgfs_awips_f044.ecf | 2 + .../awips_20km/jgfs_awips_f045.ecf | 2 + .../awips_20km/jgfs_awips_f046.ecf | 2 + .../awips_20km/jgfs_awips_f047.ecf | 2 + .../awips_20km/jgfs_awips_f048.ecf | 2 + .../awips_20km/jgfs_awips_f049.ecf | 2 + .../awips_20km/jgfs_awips_f050.ecf | 2 + .../awips_20km/jgfs_awips_f051.ecf | 2 + .../awips_20km/jgfs_awips_f052.ecf | 2 + .../awips_20km/jgfs_awips_f053.ecf | 2 + .../awips_20km/jgfs_awips_f054.ecf | 2 + .../awips_20km/jgfs_awips_f055.ecf | 2 + .../awips_20km/jgfs_awips_f056.ecf | 2 + .../awips_20km/jgfs_awips_f057.ecf | 2 + .../awips_20km/jgfs_awips_f058.ecf | 2 + .../awips_20km/jgfs_awips_f059.ecf | 2 + .../awips_20km/jgfs_awips_f060.ecf | 2 + .../awips_20km/jgfs_awips_f061.ecf | 2 + .../awips_20km/jgfs_awips_f062.ecf | 2 + .../awips_20km/jgfs_awips_f063.ecf | 2 + .../awips_20km/jgfs_awips_f064.ecf | 2 + .../awips_20km/jgfs_awips_f065.ecf | 2 + .../awips_20km/jgfs_awips_f066.ecf | 2 + .../awips_20km/jgfs_awips_f067.ecf | 2 + .../awips_20km/jgfs_awips_f068.ecf | 2 + .../awips_20km/jgfs_awips_f069.ecf | 2 + .../awips_20km/jgfs_awips_f070.ecf | 2 + .../awips_20km/jgfs_awips_f071.ecf | 2 + .../awips_20km/jgfs_awips_f072.ecf | 2 + .../awips_20km/jgfs_awips_f073.ecf | 2 + .../awips_20km/jgfs_awips_f074.ecf | 2 + .../awips_20km/jgfs_awips_f075.ecf | 2 + .../awips_20km/jgfs_awips_f076.ecf | 2 + .../awips_20km/jgfs_awips_f077.ecf | 2 + .../awips_20km/jgfs_awips_f078.ecf | 2 + .../awips_20km/jgfs_awips_f079.ecf | 2 + .../awips_20km/jgfs_awips_f080.ecf | 2 + .../awips_20km/jgfs_awips_f081.ecf | 2 + .../awips_20km/jgfs_awips_f082.ecf | 2 + .../awips_20km/jgfs_awips_f083.ecf | 2 + .../awips_20km/jgfs_awips_f084.ecf | 2 + .../awips_20km/jgfs_awips_f085.ecf | 2 + .../awips_20km/jgfs_awips_f086.ecf | 2 + .../awips_20km/jgfs_awips_f087.ecf | 2 + .../awips_20km/jgfs_awips_f088.ecf | 2 + .../awips_20km/jgfs_awips_f089.ecf | 2 + .../awips_20km/jgfs_awips_f090.ecf | 2 + .../awips_20km/jgfs_awips_f091.ecf | 2 + .../awips_20km/jgfs_awips_f092.ecf | 2 + .../awips_20km/jgfs_awips_f093.ecf | 2 + .../awips_20km/jgfs_awips_f094.ecf | 2 + .../awips_20km/jgfs_awips_f095.ecf | 2 + .../awips_20km/jgfs_awips_f096.ecf | 2 + .../awips_20km/jgfs_awips_f097.ecf | 2 + .../awips_20km/jgfs_awips_f098.ecf | 2 + .../awips_20km/jgfs_awips_f099.ecf | 2 + .../awips_20km/jgfs_awips_f100.ecf | 2 + .../awips_20km/jgfs_awips_f101.ecf | 2 + .../awips_20km/jgfs_awips_f102.ecf | 2 + .../awips_20km/jgfs_awips_f103.ecf | 2 + .../awips_20km/jgfs_awips_f104.ecf | 2 + .../awips_20km/jgfs_awips_f105.ecf | 2 + .../awips_20km/jgfs_awips_f106.ecf | 2 + .../awips_20km/jgfs_awips_f107.ecf | 2 + .../awips_20km/jgfs_awips_f108.ecf | 2 + .../awips_20km/jgfs_awips_f109.ecf | 2 + .../awips_20km/jgfs_awips_f110.ecf | 2 + .../awips_20km/jgfs_awips_f111.ecf | 2 + .../awips_20km/jgfs_awips_f112.ecf | 2 + .../awips_20km/jgfs_awips_f113.ecf | 2 + .../awips_20km/jgfs_awips_f114.ecf | 2 + .../awips_20km/jgfs_awips_f115.ecf | 2 + .../awips_20km/jgfs_awips_f116.ecf | 2 + .../awips_20km/jgfs_awips_f117.ecf | 2 + .../awips_20km/jgfs_awips_f118.ecf | 2 + .../awips_20km/jgfs_awips_f119.ecf | 2 + .../awips_20km/jgfs_awips_f120.ecf | 2 + .../awips_20km/jgfs_awips_f123.ecf | 2 + .../awips_20km/jgfs_awips_f126.ecf | 2 + .../awips_20km/jgfs_awips_f129.ecf | 2 + .../awips_20km/jgfs_awips_f132.ecf | 2 + .../awips_20km/jgfs_awips_f135.ecf | 2 + .../awips_20km/jgfs_awips_f138.ecf | 2 + .../awips_20km/jgfs_awips_f141.ecf | 2 + .../awips_20km/jgfs_awips_f144.ecf | 2 + .../awips_20km/jgfs_awips_f147.ecf | 2 + .../awips_20km/jgfs_awips_f150.ecf | 2 + .../awips_20km/jgfs_awips_f153.ecf | 2 + .../awips_20km/jgfs_awips_f156.ecf | 2 + .../awips_20km/jgfs_awips_f159.ecf | 2 + .../awips_20km/jgfs_awips_f162.ecf | 2 + .../awips_20km/jgfs_awips_f165.ecf | 2 + .../awips_20km/jgfs_awips_f168.ecf | 2 + .../awips_20km/jgfs_awips_f171.ecf | 2 + .../awips_20km/jgfs_awips_f174.ecf | 2 + .../awips_20km/jgfs_awips_f177.ecf | 2 + .../awips_20km/jgfs_awips_f180.ecf | 2 + .../awips_20km/jgfs_awips_f183.ecf | 2 + .../awips_20km/jgfs_awips_f186.ecf | 2 + .../awips_20km/jgfs_awips_f189.ecf | 2 + .../awips_20km/jgfs_awips_f192.ecf | 2 + .../awips_20km/jgfs_awips_f195.ecf | 2 + .../awips_20km/jgfs_awips_f198.ecf | 2 + .../awips_20km/jgfs_awips_f201.ecf | 2 + .../awips_20km/jgfs_awips_f204.ecf | 2 + .../awips_20km/jgfs_awips_f207.ecf | 2 + .../awips_20km/jgfs_awips_f210.ecf | 2 + .../awips_20km/jgfs_awips_f213.ecf | 2 + .../awips_20km/jgfs_awips_f216.ecf | 2 + .../awips_20km/jgfs_awips_f219.ecf | 2 + .../awips_20km/jgfs_awips_f222.ecf | 2 + .../awips_20km/jgfs_awips_f225.ecf | 2 + .../awips_20km/jgfs_awips_f228.ecf | 2 + .../awips_20km/jgfs_awips_f231.ecf | 2 + .../awips_20km/jgfs_awips_f234.ecf | 2 + .../awips_20km/jgfs_awips_f237.ecf | 2 + .../awips_20km/jgfs_awips_f240.ecf | 2 + .../awips_20km/jgfs_awips_f252.ecf | 2 + .../awips_20km/jgfs_awips_f264.ecf | 2 + .../awips_20km/jgfs_awips_f276.ecf | 2 + .../awips_20km/jgfs_awips_f288.ecf | 2 + .../awips_20km/jgfs_awips_f300.ecf | 2 + .../awips_20km/jgfs_awips_f312.ecf | 2 + .../awips_20km/jgfs_awips_f324.ecf | 2 + .../awips_20km/jgfs_awips_f336.ecf | 2 + .../awips_20km/jgfs_awips_f348.ecf | 2 + .../awips_20km/jgfs_awips_f360.ecf | 2 + .../awips_20km/jgfs_awips_f372.ecf | 2 + .../awips_20km/jgfs_awips_f384.ecf | 2 + .../bufr_sounding/jgfs_postsnd.ecf | 2 + .../bulletins/jgfs_cyclone_tracker.ecf | 2 + .../post_processing/bulletins/jgfs_fbwind.ecf | 2 + .../prod12/gfs/post_processing/dummy.ecf | 2 + .../gfs/post_processing/fax/jgfs_fax_anl.ecf | 2 + .../gfs/post_processing/fax/jgfs_fax_f00.ecf | 2 + .../post_processing/fax/jgfs_fax_wafs_f12.ecf | 2 + .../post_processing/fax/jgfs_fax_wafs_f24.ecf | 2 + .../post_processing/fax/jgfs_fax_wafs_f36.ecf | 2 + .../grib2_wafs/jgfs_wafs_blending.ecf | 2 + .../grib2_wafs/jgfs_wafs_grib2.ecf | 2 + .../grib_awips/jgfs_awips_f00.ecf | 2 + .../grib_awips/jgfs_awips_f01.ecf | 2 + .../grib_awips/jgfs_awips_f02.ecf | 2 + .../grib_awips/jgfs_awips_f03.ecf | 2 + .../grib_awips/jgfs_awips_f04.ecf | 2 + .../grib_awips/jgfs_awips_f05.ecf | 2 + .../grib_awips/jgfs_awips_f06.ecf | 2 + .../grib_awips/jgfs_awips_f07.ecf | 2 + .../grib_awips/jgfs_awips_f08.ecf | 2 + .../grib_awips/jgfs_awips_f09.ecf | 2 + .../grib_awips/jgfs_awips_f10.ecf | 2 + .../grib_awips/jgfs_awips_f100.ecf | 2 + .../grib_awips/jgfs_awips_f101.ecf | 2 + .../grib_awips/jgfs_awips_f102.ecf | 2 + .../grib_awips/jgfs_awips_f103.ecf | 2 + .../grib_awips/jgfs_awips_f104.ecf | 2 + .../grib_awips/jgfs_awips_f105.ecf | 2 + .../grib_awips/jgfs_awips_f106.ecf | 2 + .../grib_awips/jgfs_awips_f107.ecf | 2 + .../grib_awips/jgfs_awips_f108.ecf | 2 + .../grib_awips/jgfs_awips_f109.ecf | 2 + .../grib_awips/jgfs_awips_f11.ecf | 2 + .../grib_awips/jgfs_awips_f110.ecf | 2 + .../grib_awips/jgfs_awips_f111.ecf | 2 + .../grib_awips/jgfs_awips_f112.ecf | 2 + .../grib_awips/jgfs_awips_f113.ecf | 2 + .../grib_awips/jgfs_awips_f114.ecf | 2 + .../grib_awips/jgfs_awips_f115.ecf | 2 + .../grib_awips/jgfs_awips_f116.ecf | 2 + .../grib_awips/jgfs_awips_f117.ecf | 2 + .../grib_awips/jgfs_awips_f118.ecf | 2 + .../grib_awips/jgfs_awips_f119.ecf | 2 + .../grib_awips/jgfs_awips_f12.ecf | 2 + .../grib_awips/jgfs_awips_f120.ecf | 2 + .../grib_awips/jgfs_awips_f123.ecf | 2 + .../grib_awips/jgfs_awips_f126.ecf | 2 + .../grib_awips/jgfs_awips_f129.ecf | 2 + .../grib_awips/jgfs_awips_f13.ecf | 2 + .../grib_awips/jgfs_awips_f132.ecf | 2 + .../grib_awips/jgfs_awips_f135.ecf | 2 + .../grib_awips/jgfs_awips_f138.ecf | 2 + .../grib_awips/jgfs_awips_f14.ecf | 2 + .../grib_awips/jgfs_awips_f141.ecf | 2 + .../grib_awips/jgfs_awips_f144.ecf | 2 + .../grib_awips/jgfs_awips_f147.ecf | 2 + .../grib_awips/jgfs_awips_f15.ecf | 2 + .../grib_awips/jgfs_awips_f150.ecf | 2 + .../grib_awips/jgfs_awips_f153.ecf | 2 + .../grib_awips/jgfs_awips_f156.ecf | 2 + .../grib_awips/jgfs_awips_f159.ecf | 2 + .../grib_awips/jgfs_awips_f16.ecf | 2 + .../grib_awips/jgfs_awips_f162.ecf | 2 + .../grib_awips/jgfs_awips_f165.ecf | 2 + .../grib_awips/jgfs_awips_f168.ecf | 2 + .../grib_awips/jgfs_awips_f17.ecf | 2 + .../grib_awips/jgfs_awips_f171.ecf | 2 + .../grib_awips/jgfs_awips_f174.ecf | 2 + .../grib_awips/jgfs_awips_f177.ecf | 2 + .../grib_awips/jgfs_awips_f18.ecf | 2 + .../grib_awips/jgfs_awips_f180.ecf | 2 + .../grib_awips/jgfs_awips_f183.ecf | 2 + .../grib_awips/jgfs_awips_f186.ecf | 2 + .../grib_awips/jgfs_awips_f189.ecf | 2 + .../grib_awips/jgfs_awips_f19.ecf | 2 + .../grib_awips/jgfs_awips_f192.ecf | 2 + .../grib_awips/jgfs_awips_f195.ecf | 2 + .../grib_awips/jgfs_awips_f198.ecf | 2 + .../grib_awips/jgfs_awips_f20.ecf | 2 + .../grib_awips/jgfs_awips_f201.ecf | 2 + .../grib_awips/jgfs_awips_f204.ecf | 2 + .../grib_awips/jgfs_awips_f207.ecf | 2 + .../grib_awips/jgfs_awips_f21.ecf | 2 + .../grib_awips/jgfs_awips_f210.ecf | 2 + .../grib_awips/jgfs_awips_f213.ecf | 2 + .../grib_awips/jgfs_awips_f216.ecf | 2 + .../grib_awips/jgfs_awips_f219.ecf | 2 + .../grib_awips/jgfs_awips_f22.ecf | 2 + .../grib_awips/jgfs_awips_f222.ecf | 2 + .../grib_awips/jgfs_awips_f225.ecf | 2 + .../grib_awips/jgfs_awips_f228.ecf | 2 + .../grib_awips/jgfs_awips_f23.ecf | 2 + .../grib_awips/jgfs_awips_f231.ecf | 2 + .../grib_awips/jgfs_awips_f234.ecf | 2 + .../grib_awips/jgfs_awips_f237.ecf | 2 + .../grib_awips/jgfs_awips_f24.ecf | 2 + .../grib_awips/jgfs_awips_f240.ecf | 2 + .../grib_awips/jgfs_awips_f25.ecf | 2 + .../grib_awips/jgfs_awips_f252.ecf | 2 + .../grib_awips/jgfs_awips_f26.ecf | 2 + .../grib_awips/jgfs_awips_f264.ecf | 2 + .../grib_awips/jgfs_awips_f27.ecf | 2 + .../grib_awips/jgfs_awips_f276.ecf | 2 + .../grib_awips/jgfs_awips_f28.ecf | 2 + .../grib_awips/jgfs_awips_f288.ecf | 2 + .../grib_awips/jgfs_awips_f29.ecf | 2 + .../grib_awips/jgfs_awips_f30.ecf | 2 + .../grib_awips/jgfs_awips_f300.ecf | 2 + .../grib_awips/jgfs_awips_f31.ecf | 2 + .../grib_awips/jgfs_awips_f312.ecf | 2 + .../grib_awips/jgfs_awips_f32.ecf | 2 + .../grib_awips/jgfs_awips_f324.ecf | 2 + .../grib_awips/jgfs_awips_f33.ecf | 2 + .../grib_awips/jgfs_awips_f336.ecf | 2 + .../grib_awips/jgfs_awips_f34.ecf | 2 + .../grib_awips/jgfs_awips_f348.ecf | 2 + .../grib_awips/jgfs_awips_f35.ecf | 2 + .../grib_awips/jgfs_awips_f36.ecf | 2 + .../grib_awips/jgfs_awips_f360.ecf | 2 + .../grib_awips/jgfs_awips_f37.ecf | 2 + .../grib_awips/jgfs_awips_f372.ecf | 2 + .../grib_awips/jgfs_awips_f38.ecf | 2 + .../grib_awips/jgfs_awips_f384.ecf | 2 + .../grib_awips/jgfs_awips_f39.ecf | 2 + .../grib_awips/jgfs_awips_f40.ecf | 2 + .../grib_awips/jgfs_awips_f41.ecf | 2 + .../grib_awips/jgfs_awips_f42.ecf | 2 + .../grib_awips/jgfs_awips_f43.ecf | 2 + .../grib_awips/jgfs_awips_f44.ecf | 2 + .../grib_awips/jgfs_awips_f45.ecf | 2 + .../grib_awips/jgfs_awips_f46.ecf | 2 + .../grib_awips/jgfs_awips_f47.ecf | 2 + .../grib_awips/jgfs_awips_f48.ecf | 2 + .../grib_awips/jgfs_awips_f49.ecf | 2 + .../grib_awips/jgfs_awips_f50.ecf | 2 + .../grib_awips/jgfs_awips_f51.ecf | 2 + .../grib_awips/jgfs_awips_f52.ecf | 2 + .../grib_awips/jgfs_awips_f53.ecf | 2 + .../grib_awips/jgfs_awips_f54.ecf | 2 + .../grib_awips/jgfs_awips_f55.ecf | 2 + .../grib_awips/jgfs_awips_f56.ecf | 2 + .../grib_awips/jgfs_awips_f57.ecf | 2 + .../grib_awips/jgfs_awips_f58.ecf | 2 + .../grib_awips/jgfs_awips_f59.ecf | 2 + .../grib_awips/jgfs_awips_f60.ecf | 2 + .../grib_awips/jgfs_awips_f61.ecf | 2 + .../grib_awips/jgfs_awips_f62.ecf | 2 + .../grib_awips/jgfs_awips_f63.ecf | 2 + .../grib_awips/jgfs_awips_f64.ecf | 2 + .../grib_awips/jgfs_awips_f65.ecf | 2 + .../grib_awips/jgfs_awips_f66.ecf | 2 + .../grib_awips/jgfs_awips_f67.ecf | 2 + .../grib_awips/jgfs_awips_f68.ecf | 2 + .../grib_awips/jgfs_awips_f69.ecf | 2 + .../grib_awips/jgfs_awips_f70.ecf | 2 + .../grib_awips/jgfs_awips_f71.ecf | 2 + .../grib_awips/jgfs_awips_f72.ecf | 2 + .../grib_awips/jgfs_awips_f73.ecf | 2 + .../grib_awips/jgfs_awips_f74.ecf | 2 + .../grib_awips/jgfs_awips_f75.ecf | 2 + .../grib_awips/jgfs_awips_f76.ecf | 2 + .../grib_awips/jgfs_awips_f77.ecf | 2 + .../grib_awips/jgfs_awips_f78.ecf | 2 + .../grib_awips/jgfs_awips_f79.ecf | 2 + .../grib_awips/jgfs_awips_f80.ecf | 2 + .../grib_awips/jgfs_awips_f81.ecf | 2 + .../grib_awips/jgfs_awips_f82.ecf | 2 + .../grib_awips/jgfs_awips_f83.ecf | 2 + .../grib_awips/jgfs_awips_f84.ecf | 2 + .../grib_awips/jgfs_awips_f85.ecf | 2 + .../grib_awips/jgfs_awips_f86.ecf | 2 + .../grib_awips/jgfs_awips_f87.ecf | 2 + .../grib_awips/jgfs_awips_f88.ecf | 2 + .../grib_awips/jgfs_awips_f89.ecf | 2 + .../grib_awips/jgfs_awips_f90.ecf | 2 + .../grib_awips/jgfs_awips_f91.ecf | 2 + .../grib_awips/jgfs_awips_f92.ecf | 2 + .../grib_awips/jgfs_awips_f93.ecf | 2 + .../grib_awips/jgfs_awips_f94.ecf | 2 + .../grib_awips/jgfs_awips_f95.ecf | 2 + .../grib_awips/jgfs_awips_f96.ecf | 2 + .../grib_awips/jgfs_awips_f97.ecf | 2 + .../grib_awips/jgfs_awips_f98.ecf | 2 + .../grib_awips/jgfs_awips_f99.ecf | 2 + .../grib_wafs/jgfs_wafs_f00.ecf | 2 + .../grib_wafs/jgfs_wafs_f06.ecf | 2 + .../grib_wafs/jgfs_wafs_f102.ecf | 2 + .../grib_wafs/jgfs_wafs_f108.ecf | 2 + .../grib_wafs/jgfs_wafs_f114.ecf | 2 + .../grib_wafs/jgfs_wafs_f12.ecf | 2 + .../grib_wafs/jgfs_wafs_f120.ecf | 2 + .../grib_wafs/jgfs_wafs_f18.ecf | 2 + .../grib_wafs/jgfs_wafs_f24.ecf | 2 + .../grib_wafs/jgfs_wafs_f30.ecf | 2 + .../grib_wafs/jgfs_wafs_f36.ecf | 2 + .../grib_wafs/jgfs_wafs_f42.ecf | 2 + .../grib_wafs/jgfs_wafs_f48.ecf | 2 + .../grib_wafs/jgfs_wafs_f54.ecf | 2 + .../grib_wafs/jgfs_wafs_f60.ecf | 2 + .../grib_wafs/jgfs_wafs_f66.ecf | 2 + .../grib_wafs/jgfs_wafs_f72.ecf | 2 + .../grib_wafs/jgfs_wafs_f78.ecf | 2 + .../grib_wafs/jgfs_wafs_f84.ecf | 2 + .../grib_wafs/jgfs_wafs_f90.ecf | 2 + .../grib_wafs/jgfs_wafs_f96.ecf | 2 + .../gfs/prdgen/jgfs_npoess_pgrb2_0p5deg.ecf | 46 + .../prod12/gfs/prdgen/jgfs_pgrb2_anl.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f00.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f01.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f02.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f03.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f04.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f05.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f06.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f07.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f08.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f09.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f10.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f100.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f101.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f102.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f103.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f104.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f105.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f106.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f107.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f108.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f109.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f11.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f110.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f111.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f112.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f113.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f114.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f115.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f116.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f117.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f118.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f119.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f12.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f120.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f123.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f126.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f129.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f13.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f132.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f135.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f138.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f14.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f141.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f144.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f147.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f15.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f150.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f153.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f156.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f159.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f16.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f162.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f165.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f168.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f17.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f171.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f174.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f177.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f18.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f180.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f183.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f186.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f189.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f19.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f192.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f195.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f198.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f20.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f201.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f204.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f207.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f21.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f210.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f213.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f216.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f219.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f22.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f222.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f225.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f228.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f23.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f231.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f234.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f237.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f24.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f240.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f25.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f252.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f26.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f264.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f27.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f276.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f28.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f288.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f29.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f30.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f300.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f31.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f312.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f32.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f324.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f33.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f336.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f34.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f348.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f35.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f36.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f360.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f37.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f372.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f38.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f384.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f39.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f40.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f41.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f42.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f43.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f44.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f45.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f46.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f47.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f48.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f49.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f50.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f51.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f52.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f53.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f54.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f55.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f56.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f57.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f58.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f59.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f60.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f61.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f62.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f63.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f64.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f65.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f66.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f67.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f68.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f69.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f70.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f71.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f72.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f73.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f74.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f75.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f76.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f77.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f78.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f79.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f80.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f81.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f82.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f83.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f84.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f85.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f86.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f87.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f88.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f89.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f90.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f91.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f92.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f93.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f94.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f95.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f96.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f97.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f98.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_f99.ecf | 1 + .../prod12/gfs/prdgen/jgfs_pgrb2_manager.ecf | 2 + .../prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 2 + .../scripts/prod12/gfs/prep/jgfs_prep.ecf | 1 + .../prod12/gfs/prep/jgfs_prep_post.ecf | 2 + .../gfs/sminit_guam/jgfs_sminit_guam_even.ecf | 2 + .../gfs/sminit_guam/jgfs_sminit_guam_odd.ecf | 2 + .../scripts/prod18/cycle_end.ecf | 2 + .../gdas/analysis/jgdas_analysis_high.ecf | 13 +- .../scripts/prod18/gdas/dump/jgdas_dump.ecf | 2 + .../scripts/prod18/gdas/dump/jgdas_ics.ecf | 2 + .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 2 + .../enkf/forecast/jgdas_enkf_fcst_grp1.ecf | 1 + .../enkf/forecast/jgdas_enkf_fcst_grp2.ecf | 1 + .../enkf/forecast/jgdas_enkf_fcst_grp3.ecf | 1 + .../enkf/forecast/jgdas_enkf_fcst_grp4.ecf | 1 + .../enkf/forecast/jgdas_enkf_fcst_grp5.ecf | 1 + .../enkf/forecast/jgdas_enkf_fcst_grp6.ecf | 1 + .../enkf/forecast/jgdas_enkf_fcst_grp7.ecf | 1 + .../enkf/forecast/jgdas_enkf_fcst_grp8.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp1.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp2.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp3.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp4.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp5.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp6.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp7.ecf | 1 + .../innovate/jgdas_enkf_innovate_obs_grp8.ecf | 1 + .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 1 + .../prod18/gdas/enkf/jgdas_enkf_post.ecf | 1 + .../gdas/enkf/jgdas_enkf_select_obs.ecf | 1 + .../prod18/gdas/enkf/jgdas_enkf_update.ecf | 1 + .../prod18/gdas/forecast/jgdas_forecast.ecf | 11 +- .../prod18/gdas/gempak/jgdas_gempak.ecf | 2 + .../prod18/gdas/gempak/jgdas_gempak_meta.ecf | 2 + .../prod18/gdas/gempak/jgdas_gempak_ncdc.ecf | 2 + .../scripts/prod18/gdas/jgdas_verfrad.ecf | 2 + .../scripts/prod18/gdas/jgdas_vminmon.ecf | 2 + .../scripts/prod18/gdas/post/jgdas_post.ecf | 1 + .../bulletins/jgdas_mknavybulls.ecf | 2 + .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 2 + .../scripts/prod18/gdas/prep/jgdas_prep.ecf | 1 + .../prod18/gdas/prep/jgdas_prep_post.ecf | 2 + .../scripts/prod18/gfs/dump/jgfs_dump.ecf | 2 + .../prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 2 + .../gfs/forecast/jgfs_forecast_high.ecf | 11 +- .../prod18/gfs/forecast/jgfs_forecast_low.ecf | 11 +- .../scripts/prod18/gfs/gempak/jgfs_gempak.ecf | 2 + .../prod18/gfs/gempak/jgfs_gempak_meta.ecf | 2 + .../prod18/gfs/gempak/jgfs_gempak_ncdc.ecf | 2 + .../prod18/gfs/gempak/jgfs_gempak_upapgif.ecf | 2 + .../gfs/gempak/jgfs_pgrb2_spec_gempak.ecf | 25 +- .../scripts/prod18/gfs/jgfs_analysis.ecf | 13 +- .../scripts/prod18/gfs/jgfs_vminmon.ecf | 2 + .../prod18/gfs/post/jgfs_pgrb2_spec_post.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_anl.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f00.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f01.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f02.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f03.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f04.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f05.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f06.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f07.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f08.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f09.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f10.ecf | 2 + .../prod18/gfs/post/jgfs_post_f100.ecf | 2 + .../prod18/gfs/post/jgfs_post_f101.ecf | 2 + .../prod18/gfs/post/jgfs_post_f102.ecf | 2 + .../prod18/gfs/post/jgfs_post_f103.ecf | 2 + .../prod18/gfs/post/jgfs_post_f104.ecf | 2 + .../prod18/gfs/post/jgfs_post_f105.ecf | 2 + .../prod18/gfs/post/jgfs_post_f106.ecf | 2 + .../prod18/gfs/post/jgfs_post_f107.ecf | 2 + .../prod18/gfs/post/jgfs_post_f108.ecf | 2 + .../prod18/gfs/post/jgfs_post_f109.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f11.ecf | 2 + .../prod18/gfs/post/jgfs_post_f110.ecf | 2 + .../prod18/gfs/post/jgfs_post_f111.ecf | 2 + .../prod18/gfs/post/jgfs_post_f112.ecf | 2 + .../prod18/gfs/post/jgfs_post_f113.ecf | 2 + .../prod18/gfs/post/jgfs_post_f114.ecf | 2 + .../prod18/gfs/post/jgfs_post_f115.ecf | 2 + .../prod18/gfs/post/jgfs_post_f116.ecf | 2 + .../prod18/gfs/post/jgfs_post_f117.ecf | 2 + .../prod18/gfs/post/jgfs_post_f118.ecf | 2 + .../prod18/gfs/post/jgfs_post_f119.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f12.ecf | 2 + .../prod18/gfs/post/jgfs_post_f120.ecf | 2 + .../prod18/gfs/post/jgfs_post_f123.ecf | 2 + .../prod18/gfs/post/jgfs_post_f126.ecf | 2 + .../prod18/gfs/post/jgfs_post_f129.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f13.ecf | 2 + .../prod18/gfs/post/jgfs_post_f132.ecf | 2 + .../prod18/gfs/post/jgfs_post_f135.ecf | 2 + .../prod18/gfs/post/jgfs_post_f138.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f14.ecf | 2 + .../prod18/gfs/post/jgfs_post_f141.ecf | 2 + .../prod18/gfs/post/jgfs_post_f144.ecf | 2 + .../prod18/gfs/post/jgfs_post_f147.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f15.ecf | 2 + .../prod18/gfs/post/jgfs_post_f150.ecf | 2 + .../prod18/gfs/post/jgfs_post_f153.ecf | 2 + .../prod18/gfs/post/jgfs_post_f156.ecf | 2 + .../prod18/gfs/post/jgfs_post_f159.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f16.ecf | 2 + .../prod18/gfs/post/jgfs_post_f162.ecf | 2 + .../prod18/gfs/post/jgfs_post_f165.ecf | 2 + .../prod18/gfs/post/jgfs_post_f168.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f17.ecf | 2 + .../prod18/gfs/post/jgfs_post_f171.ecf | 2 + .../prod18/gfs/post/jgfs_post_f174.ecf | 2 + .../prod18/gfs/post/jgfs_post_f177.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f18.ecf | 2 + .../prod18/gfs/post/jgfs_post_f180.ecf | 2 + .../prod18/gfs/post/jgfs_post_f183.ecf | 2 + .../prod18/gfs/post/jgfs_post_f186.ecf | 2 + .../prod18/gfs/post/jgfs_post_f189.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f19.ecf | 2 + .../prod18/gfs/post/jgfs_post_f192.ecf | 2 + .../prod18/gfs/post/jgfs_post_f195.ecf | 2 + .../prod18/gfs/post/jgfs_post_f198.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f20.ecf | 2 + .../prod18/gfs/post/jgfs_post_f201.ecf | 2 + .../prod18/gfs/post/jgfs_post_f204.ecf | 2 + .../prod18/gfs/post/jgfs_post_f207.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f21.ecf | 2 + .../prod18/gfs/post/jgfs_post_f210.ecf | 2 + .../prod18/gfs/post/jgfs_post_f213.ecf | 2 + .../prod18/gfs/post/jgfs_post_f216.ecf | 2 + .../prod18/gfs/post/jgfs_post_f219.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f22.ecf | 2 + .../prod18/gfs/post/jgfs_post_f222.ecf | 2 + .../prod18/gfs/post/jgfs_post_f225.ecf | 2 + .../prod18/gfs/post/jgfs_post_f228.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f23.ecf | 2 + .../prod18/gfs/post/jgfs_post_f231.ecf | 2 + .../prod18/gfs/post/jgfs_post_f234.ecf | 2 + .../prod18/gfs/post/jgfs_post_f237.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f24.ecf | 2 + .../prod18/gfs/post/jgfs_post_f240.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f25.ecf | 2 + .../prod18/gfs/post/jgfs_post_f252.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f26.ecf | 2 + .../prod18/gfs/post/jgfs_post_f264.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f27.ecf | 2 + .../prod18/gfs/post/jgfs_post_f276.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f28.ecf | 2 + .../prod18/gfs/post/jgfs_post_f288.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f29.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f30.ecf | 2 + .../prod18/gfs/post/jgfs_post_f300.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f31.ecf | 2 + .../prod18/gfs/post/jgfs_post_f312.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f32.ecf | 2 + .../prod18/gfs/post/jgfs_post_f324.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f33.ecf | 2 + .../prod18/gfs/post/jgfs_post_f336.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f34.ecf | 2 + .../prod18/gfs/post/jgfs_post_f348.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f35.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f36.ecf | 2 + .../prod18/gfs/post/jgfs_post_f360.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f37.ecf | 2 + .../prod18/gfs/post/jgfs_post_f372.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f38.ecf | 2 + .../prod18/gfs/post/jgfs_post_f384.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f39.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f40.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f41.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f42.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f43.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f44.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f45.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f46.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f47.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f48.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f49.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f50.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f51.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f52.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f53.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f54.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f55.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f56.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f57.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f58.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f59.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f60.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f61.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f62.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f63.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f64.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f65.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f66.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f67.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f68.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f69.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f70.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f71.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f72.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f73.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f74.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f75.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f76.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f77.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f78.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f79.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f80.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f81.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f82.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f83.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f84.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f85.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f86.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f87.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f88.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f89.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f90.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f91.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f92.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f93.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f94.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f95.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f96.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f97.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f98.ecf | 2 + .../scripts/prod18/gfs/post/jgfs_post_f99.ecf | 2 + .../prod18/gfs/post/jgfs_post_manager.ecf | 2 + .../awips_1p0deg/jgfs_awips_f000.ecf | 2 + .../awips_1p0deg/jgfs_awips_f001.ecf | 2 + .../awips_1p0deg/jgfs_awips_f002.ecf | 2 + .../awips_1p0deg/jgfs_awips_f003.ecf | 2 + .../awips_1p0deg/jgfs_awips_f004.ecf | 2 + .../awips_1p0deg/jgfs_awips_f005.ecf | 2 + .../awips_1p0deg/jgfs_awips_f006.ecf | 2 + .../awips_1p0deg/jgfs_awips_f007.ecf | 2 + .../awips_1p0deg/jgfs_awips_f008.ecf | 2 + .../awips_1p0deg/jgfs_awips_f009.ecf | 2 + .../awips_1p0deg/jgfs_awips_f010.ecf | 2 + .../awips_1p0deg/jgfs_awips_f011.ecf | 2 + .../awips_1p0deg/jgfs_awips_f012.ecf | 2 + .../awips_1p0deg/jgfs_awips_f013.ecf | 2 + .../awips_1p0deg/jgfs_awips_f014.ecf | 2 + .../awips_1p0deg/jgfs_awips_f015.ecf | 2 + .../awips_1p0deg/jgfs_awips_f016.ecf | 2 + .../awips_1p0deg/jgfs_awips_f017.ecf | 2 + .../awips_1p0deg/jgfs_awips_f018.ecf | 2 + .../awips_1p0deg/jgfs_awips_f019.ecf | 2 + .../awips_1p0deg/jgfs_awips_f020.ecf | 2 + .../awips_1p0deg/jgfs_awips_f021.ecf | 2 + .../awips_1p0deg/jgfs_awips_f022.ecf | 2 + .../awips_1p0deg/jgfs_awips_f023.ecf | 2 + .../awips_1p0deg/jgfs_awips_f024.ecf | 2 + .../awips_1p0deg/jgfs_awips_f025.ecf | 2 + .../awips_1p0deg/jgfs_awips_f026.ecf | 2 + .../awips_1p0deg/jgfs_awips_f027.ecf | 2 + .../awips_1p0deg/jgfs_awips_f028.ecf | 2 + .../awips_1p0deg/jgfs_awips_f029.ecf | 2 + .../awips_1p0deg/jgfs_awips_f030.ecf | 2 + .../awips_1p0deg/jgfs_awips_f031.ecf | 2 + .../awips_1p0deg/jgfs_awips_f032.ecf | 2 + .../awips_1p0deg/jgfs_awips_f033.ecf | 2 + .../awips_1p0deg/jgfs_awips_f034.ecf | 2 + .../awips_1p0deg/jgfs_awips_f035.ecf | 2 + .../awips_1p0deg/jgfs_awips_f036.ecf | 2 + .../awips_1p0deg/jgfs_awips_f037.ecf | 2 + .../awips_1p0deg/jgfs_awips_f038.ecf | 2 + .../awips_1p0deg/jgfs_awips_f039.ecf | 2 + .../awips_1p0deg/jgfs_awips_f040.ecf | 2 + .../awips_1p0deg/jgfs_awips_f041.ecf | 2 + .../awips_1p0deg/jgfs_awips_f042.ecf | 2 + .../awips_1p0deg/jgfs_awips_f043.ecf | 2 + .../awips_1p0deg/jgfs_awips_f044.ecf | 2 + .../awips_1p0deg/jgfs_awips_f045.ecf | 2 + .../awips_1p0deg/jgfs_awips_f046.ecf | 2 + .../awips_1p0deg/jgfs_awips_f047.ecf | 2 + .../awips_1p0deg/jgfs_awips_f048.ecf | 2 + .../awips_1p0deg/jgfs_awips_f049.ecf | 2 + .../awips_1p0deg/jgfs_awips_f050.ecf | 2 + .../awips_1p0deg/jgfs_awips_f051.ecf | 2 + .../awips_1p0deg/jgfs_awips_f052.ecf | 2 + .../awips_1p0deg/jgfs_awips_f053.ecf | 2 + .../awips_1p0deg/jgfs_awips_f054.ecf | 2 + .../awips_1p0deg/jgfs_awips_f055.ecf | 2 + .../awips_1p0deg/jgfs_awips_f056.ecf | 2 + .../awips_1p0deg/jgfs_awips_f057.ecf | 2 + .../awips_1p0deg/jgfs_awips_f058.ecf | 2 + .../awips_1p0deg/jgfs_awips_f059.ecf | 2 + .../awips_1p0deg/jgfs_awips_f060.ecf | 2 + .../awips_1p0deg/jgfs_awips_f061.ecf | 2 + .../awips_1p0deg/jgfs_awips_f062.ecf | 2 + .../awips_1p0deg/jgfs_awips_f063.ecf | 2 + .../awips_1p0deg/jgfs_awips_f064.ecf | 2 + .../awips_1p0deg/jgfs_awips_f065.ecf | 2 + .../awips_1p0deg/jgfs_awips_f066.ecf | 2 + .../awips_1p0deg/jgfs_awips_f067.ecf | 2 + .../awips_1p0deg/jgfs_awips_f068.ecf | 2 + .../awips_1p0deg/jgfs_awips_f069.ecf | 2 + .../awips_1p0deg/jgfs_awips_f070.ecf | 2 + .../awips_1p0deg/jgfs_awips_f071.ecf | 2 + .../awips_1p0deg/jgfs_awips_f072.ecf | 2 + .../awips_1p0deg/jgfs_awips_f073.ecf | 2 + .../awips_1p0deg/jgfs_awips_f074.ecf | 2 + .../awips_1p0deg/jgfs_awips_f075.ecf | 2 + .../awips_1p0deg/jgfs_awips_f076.ecf | 2 + .../awips_1p0deg/jgfs_awips_f077.ecf | 2 + .../awips_1p0deg/jgfs_awips_f078.ecf | 2 + .../awips_1p0deg/jgfs_awips_f079.ecf | 2 + .../awips_1p0deg/jgfs_awips_f080.ecf | 2 + .../awips_1p0deg/jgfs_awips_f081.ecf | 2 + .../awips_1p0deg/jgfs_awips_f082.ecf | 2 + .../awips_1p0deg/jgfs_awips_f083.ecf | 2 + .../awips_1p0deg/jgfs_awips_f084.ecf | 2 + .../awips_1p0deg/jgfs_awips_f085.ecf | 2 + .../awips_1p0deg/jgfs_awips_f086.ecf | 2 + .../awips_1p0deg/jgfs_awips_f087.ecf | 2 + .../awips_1p0deg/jgfs_awips_f088.ecf | 2 + .../awips_1p0deg/jgfs_awips_f089.ecf | 2 + .../awips_1p0deg/jgfs_awips_f090.ecf | 2 + .../awips_1p0deg/jgfs_awips_f091.ecf | 2 + .../awips_1p0deg/jgfs_awips_f092.ecf | 2 + .../awips_1p0deg/jgfs_awips_f093.ecf | 2 + .../awips_1p0deg/jgfs_awips_f094.ecf | 2 + .../awips_1p0deg/jgfs_awips_f095.ecf | 2 + .../awips_1p0deg/jgfs_awips_f096.ecf | 2 + .../awips_1p0deg/jgfs_awips_f097.ecf | 2 + .../awips_1p0deg/jgfs_awips_f098.ecf | 2 + .../awips_1p0deg/jgfs_awips_f099.ecf | 2 + .../awips_1p0deg/jgfs_awips_f100.ecf | 2 + .../awips_1p0deg/jgfs_awips_f101.ecf | 2 + .../awips_1p0deg/jgfs_awips_f102.ecf | 2 + .../awips_1p0deg/jgfs_awips_f103.ecf | 2 + .../awips_1p0deg/jgfs_awips_f104.ecf | 2 + .../awips_1p0deg/jgfs_awips_f105.ecf | 2 + .../awips_1p0deg/jgfs_awips_f106.ecf | 2 + .../awips_1p0deg/jgfs_awips_f107.ecf | 2 + .../awips_1p0deg/jgfs_awips_f108.ecf | 2 + .../awips_1p0deg/jgfs_awips_f109.ecf | 2 + .../awips_1p0deg/jgfs_awips_f110.ecf | 2 + .../awips_1p0deg/jgfs_awips_f111.ecf | 2 + .../awips_1p0deg/jgfs_awips_f112.ecf | 2 + .../awips_1p0deg/jgfs_awips_f113.ecf | 2 + .../awips_1p0deg/jgfs_awips_f114.ecf | 2 + .../awips_1p0deg/jgfs_awips_f115.ecf | 2 + .../awips_1p0deg/jgfs_awips_f116.ecf | 2 + .../awips_1p0deg/jgfs_awips_f117.ecf | 2 + .../awips_1p0deg/jgfs_awips_f118.ecf | 2 + .../awips_1p0deg/jgfs_awips_f119.ecf | 2 + .../awips_1p0deg/jgfs_awips_f120.ecf | 2 + .../awips_1p0deg/jgfs_awips_f123.ecf | 2 + .../awips_1p0deg/jgfs_awips_f126.ecf | 2 + .../awips_1p0deg/jgfs_awips_f129.ecf | 2 + .../awips_1p0deg/jgfs_awips_f132.ecf | 2 + .../awips_1p0deg/jgfs_awips_f135.ecf | 2 + .../awips_1p0deg/jgfs_awips_f138.ecf | 2 + .../awips_1p0deg/jgfs_awips_f141.ecf | 2 + .../awips_1p0deg/jgfs_awips_f144.ecf | 2 + .../awips_1p0deg/jgfs_awips_f147.ecf | 2 + .../awips_1p0deg/jgfs_awips_f150.ecf | 2 + .../awips_1p0deg/jgfs_awips_f153.ecf | 2 + .../awips_1p0deg/jgfs_awips_f156.ecf | 2 + .../awips_1p0deg/jgfs_awips_f159.ecf | 2 + .../awips_1p0deg/jgfs_awips_f162.ecf | 2 + .../awips_1p0deg/jgfs_awips_f165.ecf | 2 + .../awips_1p0deg/jgfs_awips_f168.ecf | 2 + .../awips_1p0deg/jgfs_awips_f171.ecf | 2 + .../awips_1p0deg/jgfs_awips_f174.ecf | 2 + .../awips_1p0deg/jgfs_awips_f177.ecf | 2 + .../awips_1p0deg/jgfs_awips_f180.ecf | 2 + .../awips_1p0deg/jgfs_awips_f183.ecf | 2 + .../awips_1p0deg/jgfs_awips_f186.ecf | 2 + .../awips_1p0deg/jgfs_awips_f189.ecf | 2 + .../awips_1p0deg/jgfs_awips_f192.ecf | 2 + .../awips_1p0deg/jgfs_awips_f195.ecf | 2 + .../awips_1p0deg/jgfs_awips_f198.ecf | 2 + .../awips_1p0deg/jgfs_awips_f201.ecf | 2 + .../awips_1p0deg/jgfs_awips_f204.ecf | 2 + .../awips_1p0deg/jgfs_awips_f207.ecf | 2 + .../awips_1p0deg/jgfs_awips_f210.ecf | 2 + .../awips_1p0deg/jgfs_awips_f213.ecf | 2 + .../awips_1p0deg/jgfs_awips_f216.ecf | 2 + .../awips_1p0deg/jgfs_awips_f219.ecf | 2 + .../awips_1p0deg/jgfs_awips_f222.ecf | 2 + .../awips_1p0deg/jgfs_awips_f225.ecf | 2 + .../awips_1p0deg/jgfs_awips_f228.ecf | 2 + .../awips_1p0deg/jgfs_awips_f231.ecf | 2 + .../awips_1p0deg/jgfs_awips_f234.ecf | 2 + .../awips_1p0deg/jgfs_awips_f237.ecf | 2 + .../awips_1p0deg/jgfs_awips_f240.ecf | 2 + .../awips_1p0deg/jgfs_awips_f252.ecf | 2 + .../awips_1p0deg/jgfs_awips_f264.ecf | 2 + .../awips_1p0deg/jgfs_awips_f276.ecf | 2 + .../awips_1p0deg/jgfs_awips_f288.ecf | 2 + .../awips_1p0deg/jgfs_awips_f300.ecf | 2 + .../awips_1p0deg/jgfs_awips_f312.ecf | 2 + .../awips_1p0deg/jgfs_awips_f324.ecf | 2 + .../awips_1p0deg/jgfs_awips_f336.ecf | 2 + .../awips_1p0deg/jgfs_awips_f348.ecf | 2 + .../awips_1p0deg/jgfs_awips_f360.ecf | 2 + .../awips_1p0deg/jgfs_awips_f372.ecf | 2 + .../awips_1p0deg/jgfs_awips_f384.ecf | 2 + .../awips_20km/jgfs_awips_f000.ecf | 2 + .../awips_20km/jgfs_awips_f001.ecf | 2 + .../awips_20km/jgfs_awips_f002.ecf | 2 + .../awips_20km/jgfs_awips_f003.ecf | 2 + .../awips_20km/jgfs_awips_f004.ecf | 2 + .../awips_20km/jgfs_awips_f005.ecf | 2 + .../awips_20km/jgfs_awips_f006.ecf | 2 + .../awips_20km/jgfs_awips_f007.ecf | 2 + .../awips_20km/jgfs_awips_f008.ecf | 2 + .../awips_20km/jgfs_awips_f009.ecf | 2 + .../awips_20km/jgfs_awips_f010.ecf | 2 + .../awips_20km/jgfs_awips_f011.ecf | 2 + .../awips_20km/jgfs_awips_f012.ecf | 2 + .../awips_20km/jgfs_awips_f013.ecf | 2 + .../awips_20km/jgfs_awips_f014.ecf | 2 + .../awips_20km/jgfs_awips_f015.ecf | 2 + .../awips_20km/jgfs_awips_f016.ecf | 2 + .../awips_20km/jgfs_awips_f017.ecf | 2 + .../awips_20km/jgfs_awips_f018.ecf | 2 + .../awips_20km/jgfs_awips_f019.ecf | 2 + .../awips_20km/jgfs_awips_f020.ecf | 2 + .../awips_20km/jgfs_awips_f021.ecf | 2 + .../awips_20km/jgfs_awips_f022.ecf | 2 + .../awips_20km/jgfs_awips_f023.ecf | 2 + .../awips_20km/jgfs_awips_f024.ecf | 2 + .../awips_20km/jgfs_awips_f025.ecf | 2 + .../awips_20km/jgfs_awips_f026.ecf | 2 + .../awips_20km/jgfs_awips_f027.ecf | 2 + .../awips_20km/jgfs_awips_f028.ecf | 2 + .../awips_20km/jgfs_awips_f029.ecf | 2 + .../awips_20km/jgfs_awips_f030.ecf | 2 + .../awips_20km/jgfs_awips_f031.ecf | 2 + .../awips_20km/jgfs_awips_f032.ecf | 2 + .../awips_20km/jgfs_awips_f033.ecf | 2 + .../awips_20km/jgfs_awips_f034.ecf | 2 + .../awips_20km/jgfs_awips_f035.ecf | 2 + .../awips_20km/jgfs_awips_f036.ecf | 2 + .../awips_20km/jgfs_awips_f037.ecf | 2 + .../awips_20km/jgfs_awips_f038.ecf | 2 + .../awips_20km/jgfs_awips_f039.ecf | 2 + .../awips_20km/jgfs_awips_f040.ecf | 2 + .../awips_20km/jgfs_awips_f041.ecf | 2 + .../awips_20km/jgfs_awips_f042.ecf | 2 + .../awips_20km/jgfs_awips_f043.ecf | 2 + .../awips_20km/jgfs_awips_f044.ecf | 2 + .../awips_20km/jgfs_awips_f045.ecf | 2 + .../awips_20km/jgfs_awips_f046.ecf | 2 + .../awips_20km/jgfs_awips_f047.ecf | 2 + .../awips_20km/jgfs_awips_f048.ecf | 2 + .../awips_20km/jgfs_awips_f049.ecf | 2 + .../awips_20km/jgfs_awips_f050.ecf | 2 + .../awips_20km/jgfs_awips_f051.ecf | 2 + .../awips_20km/jgfs_awips_f052.ecf | 2 + .../awips_20km/jgfs_awips_f053.ecf | 2 + .../awips_20km/jgfs_awips_f054.ecf | 2 + .../awips_20km/jgfs_awips_f055.ecf | 2 + .../awips_20km/jgfs_awips_f056.ecf | 2 + .../awips_20km/jgfs_awips_f057.ecf | 2 + .../awips_20km/jgfs_awips_f058.ecf | 2 + .../awips_20km/jgfs_awips_f059.ecf | 2 + .../awips_20km/jgfs_awips_f060.ecf | 2 + .../awips_20km/jgfs_awips_f061.ecf | 2 + .../awips_20km/jgfs_awips_f062.ecf | 2 + .../awips_20km/jgfs_awips_f063.ecf | 2 + .../awips_20km/jgfs_awips_f064.ecf | 2 + .../awips_20km/jgfs_awips_f065.ecf | 2 + .../awips_20km/jgfs_awips_f066.ecf | 2 + .../awips_20km/jgfs_awips_f067.ecf | 2 + .../awips_20km/jgfs_awips_f068.ecf | 2 + .../awips_20km/jgfs_awips_f069.ecf | 2 + .../awips_20km/jgfs_awips_f070.ecf | 2 + .../awips_20km/jgfs_awips_f071.ecf | 2 + .../awips_20km/jgfs_awips_f072.ecf | 2 + .../awips_20km/jgfs_awips_f073.ecf | 2 + .../awips_20km/jgfs_awips_f074.ecf | 2 + .../awips_20km/jgfs_awips_f075.ecf | 2 + .../awips_20km/jgfs_awips_f076.ecf | 2 + .../awips_20km/jgfs_awips_f077.ecf | 2 + .../awips_20km/jgfs_awips_f078.ecf | 2 + .../awips_20km/jgfs_awips_f079.ecf | 2 + .../awips_20km/jgfs_awips_f080.ecf | 2 + .../awips_20km/jgfs_awips_f081.ecf | 2 + .../awips_20km/jgfs_awips_f082.ecf | 2 + .../awips_20km/jgfs_awips_f083.ecf | 2 + .../awips_20km/jgfs_awips_f084.ecf | 2 + .../awips_20km/jgfs_awips_f085.ecf | 2 + .../awips_20km/jgfs_awips_f086.ecf | 2 + .../awips_20km/jgfs_awips_f087.ecf | 2 + .../awips_20km/jgfs_awips_f088.ecf | 2 + .../awips_20km/jgfs_awips_f089.ecf | 2 + .../awips_20km/jgfs_awips_f090.ecf | 2 + .../awips_20km/jgfs_awips_f091.ecf | 2 + .../awips_20km/jgfs_awips_f092.ecf | 2 + .../awips_20km/jgfs_awips_f093.ecf | 2 + .../awips_20km/jgfs_awips_f094.ecf | 2 + .../awips_20km/jgfs_awips_f095.ecf | 2 + .../awips_20km/jgfs_awips_f096.ecf | 2 + .../awips_20km/jgfs_awips_f097.ecf | 2 + .../awips_20km/jgfs_awips_f098.ecf | 2 + .../awips_20km/jgfs_awips_f099.ecf | 2 + .../awips_20km/jgfs_awips_f100.ecf | 2 + .../awips_20km/jgfs_awips_f101.ecf | 2 + .../awips_20km/jgfs_awips_f102.ecf | 2 + .../awips_20km/jgfs_awips_f103.ecf | 2 + .../awips_20km/jgfs_awips_f104.ecf | 2 + .../awips_20km/jgfs_awips_f105.ecf | 2 + .../awips_20km/jgfs_awips_f106.ecf | 2 + .../awips_20km/jgfs_awips_f107.ecf | 2 + .../awips_20km/jgfs_awips_f108.ecf | 2 + .../awips_20km/jgfs_awips_f109.ecf | 2 + .../awips_20km/jgfs_awips_f110.ecf | 2 + .../awips_20km/jgfs_awips_f111.ecf | 2 + .../awips_20km/jgfs_awips_f112.ecf | 2 + .../awips_20km/jgfs_awips_f113.ecf | 2 + .../awips_20km/jgfs_awips_f114.ecf | 2 + .../awips_20km/jgfs_awips_f115.ecf | 2 + .../awips_20km/jgfs_awips_f116.ecf | 2 + .../awips_20km/jgfs_awips_f117.ecf | 2 + .../awips_20km/jgfs_awips_f118.ecf | 2 + .../awips_20km/jgfs_awips_f119.ecf | 2 + .../awips_20km/jgfs_awips_f120.ecf | 2 + .../awips_20km/jgfs_awips_f123.ecf | 2 + .../awips_20km/jgfs_awips_f126.ecf | 2 + .../awips_20km/jgfs_awips_f129.ecf | 2 + .../awips_20km/jgfs_awips_f132.ecf | 2 + .../awips_20km/jgfs_awips_f135.ecf | 2 + .../awips_20km/jgfs_awips_f138.ecf | 2 + .../awips_20km/jgfs_awips_f141.ecf | 2 + .../awips_20km/jgfs_awips_f144.ecf | 2 + .../awips_20km/jgfs_awips_f147.ecf | 2 + .../awips_20km/jgfs_awips_f150.ecf | 2 + .../awips_20km/jgfs_awips_f153.ecf | 2 + .../awips_20km/jgfs_awips_f156.ecf | 2 + .../awips_20km/jgfs_awips_f159.ecf | 2 + .../awips_20km/jgfs_awips_f162.ecf | 2 + .../awips_20km/jgfs_awips_f165.ecf | 2 + .../awips_20km/jgfs_awips_f168.ecf | 2 + .../awips_20km/jgfs_awips_f171.ecf | 2 + .../awips_20km/jgfs_awips_f174.ecf | 2 + .../awips_20km/jgfs_awips_f177.ecf | 2 + .../awips_20km/jgfs_awips_f180.ecf | 2 + .../awips_20km/jgfs_awips_f183.ecf | 2 + .../awips_20km/jgfs_awips_f186.ecf | 2 + .../awips_20km/jgfs_awips_f189.ecf | 2 + .../awips_20km/jgfs_awips_f192.ecf | 2 + .../awips_20km/jgfs_awips_f195.ecf | 2 + .../awips_20km/jgfs_awips_f198.ecf | 2 + .../awips_20km/jgfs_awips_f201.ecf | 2 + .../awips_20km/jgfs_awips_f204.ecf | 2 + .../awips_20km/jgfs_awips_f207.ecf | 2 + .../awips_20km/jgfs_awips_f210.ecf | 2 + .../awips_20km/jgfs_awips_f213.ecf | 2 + .../awips_20km/jgfs_awips_f216.ecf | 2 + .../awips_20km/jgfs_awips_f219.ecf | 2 + .../awips_20km/jgfs_awips_f222.ecf | 2 + .../awips_20km/jgfs_awips_f225.ecf | 2 + .../awips_20km/jgfs_awips_f228.ecf | 2 + .../awips_20km/jgfs_awips_f231.ecf | 2 + .../awips_20km/jgfs_awips_f234.ecf | 2 + .../awips_20km/jgfs_awips_f237.ecf | 2 + .../awips_20km/jgfs_awips_f240.ecf | 2 + .../awips_20km/jgfs_awips_f252.ecf | 2 + .../awips_20km/jgfs_awips_f264.ecf | 2 + .../awips_20km/jgfs_awips_f276.ecf | 2 + .../awips_20km/jgfs_awips_f288.ecf | 2 + .../awips_20km/jgfs_awips_f300.ecf | 2 + .../awips_20km/jgfs_awips_f312.ecf | 2 + .../awips_20km/jgfs_awips_f324.ecf | 2 + .../awips_20km/jgfs_awips_f336.ecf | 2 + .../awips_20km/jgfs_awips_f348.ecf | 2 + .../awips_20km/jgfs_awips_f360.ecf | 2 + .../awips_20km/jgfs_awips_f372.ecf | 2 + .../awips_20km/jgfs_awips_f384.ecf | 2 + .../bufr_sounding/jgfs_postsnd.ecf | 2 + .../bulletins/jgfs_cyclone_tracker.ecf | 2 + .../post_processing/bulletins/jgfs_fbwind.ecf | 2 + .../prod18/gfs/post_processing/dummy.ecf | 2 + .../gfs/post_processing/fax/jgfs_fax_anl.ecf | 2 + .../gfs/post_processing/fax/jgfs_fax_f00.ecf | 2 + .../post_processing/fax/jgfs_fax_wafs_f12.ecf | 2 + .../post_processing/fax/jgfs_fax_wafs_f24.ecf | 2 + .../post_processing/fax/jgfs_fax_wafs_f36.ecf | 2 + .../grib2_wafs/jgfs_wafs_blending.ecf | 2 + .../grib2_wafs/jgfs_wafs_grib2.ecf | 2 + .../grib_awips/jgfs_awips_f00.ecf | 2 + .../grib_awips/jgfs_awips_f01.ecf | 2 + .../grib_awips/jgfs_awips_f02.ecf | 2 + .../grib_awips/jgfs_awips_f03.ecf | 2 + .../grib_awips/jgfs_awips_f04.ecf | 2 + .../grib_awips/jgfs_awips_f05.ecf | 2 + .../grib_awips/jgfs_awips_f06.ecf | 2 + .../grib_awips/jgfs_awips_f07.ecf | 2 + .../grib_awips/jgfs_awips_f08.ecf | 2 + .../grib_awips/jgfs_awips_f09.ecf | 2 + .../grib_awips/jgfs_awips_f10.ecf | 2 + .../grib_awips/jgfs_awips_f100.ecf | 2 + .../grib_awips/jgfs_awips_f101.ecf | 2 + .../grib_awips/jgfs_awips_f102.ecf | 2 + .../grib_awips/jgfs_awips_f103.ecf | 2 + .../grib_awips/jgfs_awips_f104.ecf | 2 + .../grib_awips/jgfs_awips_f105.ecf | 2 + .../grib_awips/jgfs_awips_f106.ecf | 2 + .../grib_awips/jgfs_awips_f107.ecf | 2 + .../grib_awips/jgfs_awips_f108.ecf | 2 + .../grib_awips/jgfs_awips_f109.ecf | 2 + .../grib_awips/jgfs_awips_f11.ecf | 2 + .../grib_awips/jgfs_awips_f110.ecf | 2 + .../grib_awips/jgfs_awips_f111.ecf | 2 + .../grib_awips/jgfs_awips_f112.ecf | 2 + .../grib_awips/jgfs_awips_f113.ecf | 2 + .../grib_awips/jgfs_awips_f114.ecf | 2 + .../grib_awips/jgfs_awips_f115.ecf | 2 + .../grib_awips/jgfs_awips_f116.ecf | 2 + .../grib_awips/jgfs_awips_f117.ecf | 2 + .../grib_awips/jgfs_awips_f118.ecf | 2 + .../grib_awips/jgfs_awips_f119.ecf | 2 + .../grib_awips/jgfs_awips_f12.ecf | 2 + .../grib_awips/jgfs_awips_f120.ecf | 2 + .../grib_awips/jgfs_awips_f123.ecf | 2 + .../grib_awips/jgfs_awips_f126.ecf | 2 + .../grib_awips/jgfs_awips_f129.ecf | 2 + .../grib_awips/jgfs_awips_f13.ecf | 2 + .../grib_awips/jgfs_awips_f132.ecf | 2 + .../grib_awips/jgfs_awips_f135.ecf | 2 + .../grib_awips/jgfs_awips_f138.ecf | 2 + .../grib_awips/jgfs_awips_f14.ecf | 2 + .../grib_awips/jgfs_awips_f141.ecf | 2 + .../grib_awips/jgfs_awips_f144.ecf | 2 + .../grib_awips/jgfs_awips_f147.ecf | 2 + .../grib_awips/jgfs_awips_f15.ecf | 2 + .../grib_awips/jgfs_awips_f150.ecf | 2 + .../grib_awips/jgfs_awips_f153.ecf | 2 + .../grib_awips/jgfs_awips_f156.ecf | 2 + .../grib_awips/jgfs_awips_f159.ecf | 2 + .../grib_awips/jgfs_awips_f16.ecf | 2 + .../grib_awips/jgfs_awips_f162.ecf | 2 + .../grib_awips/jgfs_awips_f165.ecf | 2 + .../grib_awips/jgfs_awips_f168.ecf | 2 + .../grib_awips/jgfs_awips_f17.ecf | 2 + .../grib_awips/jgfs_awips_f171.ecf | 2 + .../grib_awips/jgfs_awips_f174.ecf | 2 + .../grib_awips/jgfs_awips_f177.ecf | 2 + .../grib_awips/jgfs_awips_f18.ecf | 2 + .../grib_awips/jgfs_awips_f180.ecf | 2 + .../grib_awips/jgfs_awips_f183.ecf | 2 + .../grib_awips/jgfs_awips_f186.ecf | 2 + .../grib_awips/jgfs_awips_f189.ecf | 2 + .../grib_awips/jgfs_awips_f19.ecf | 2 + .../grib_awips/jgfs_awips_f192.ecf | 2 + .../grib_awips/jgfs_awips_f195.ecf | 2 + .../grib_awips/jgfs_awips_f198.ecf | 2 + .../grib_awips/jgfs_awips_f20.ecf | 2 + .../grib_awips/jgfs_awips_f201.ecf | 2 + .../grib_awips/jgfs_awips_f204.ecf | 2 + .../grib_awips/jgfs_awips_f207.ecf | 2 + .../grib_awips/jgfs_awips_f21.ecf | 2 + .../grib_awips/jgfs_awips_f210.ecf | 2 + .../grib_awips/jgfs_awips_f213.ecf | 2 + .../grib_awips/jgfs_awips_f216.ecf | 2 + .../grib_awips/jgfs_awips_f219.ecf | 2 + .../grib_awips/jgfs_awips_f22.ecf | 2 + .../grib_awips/jgfs_awips_f222.ecf | 2 + .../grib_awips/jgfs_awips_f225.ecf | 2 + .../grib_awips/jgfs_awips_f228.ecf | 2 + .../grib_awips/jgfs_awips_f23.ecf | 2 + .../grib_awips/jgfs_awips_f231.ecf | 2 + .../grib_awips/jgfs_awips_f234.ecf | 2 + .../grib_awips/jgfs_awips_f237.ecf | 2 + .../grib_awips/jgfs_awips_f24.ecf | 2 + .../grib_awips/jgfs_awips_f240.ecf | 2 + .../grib_awips/jgfs_awips_f25.ecf | 2 + .../grib_awips/jgfs_awips_f252.ecf | 2 + .../grib_awips/jgfs_awips_f26.ecf | 2 + .../grib_awips/jgfs_awips_f264.ecf | 2 + .../grib_awips/jgfs_awips_f27.ecf | 2 + .../grib_awips/jgfs_awips_f276.ecf | 2 + .../grib_awips/jgfs_awips_f28.ecf | 2 + .../grib_awips/jgfs_awips_f288.ecf | 2 + .../grib_awips/jgfs_awips_f29.ecf | 2 + .../grib_awips/jgfs_awips_f30.ecf | 2 + .../grib_awips/jgfs_awips_f300.ecf | 2 + .../grib_awips/jgfs_awips_f31.ecf | 2 + .../grib_awips/jgfs_awips_f312.ecf | 2 + .../grib_awips/jgfs_awips_f32.ecf | 2 + .../grib_awips/jgfs_awips_f324.ecf | 2 + .../grib_awips/jgfs_awips_f33.ecf | 2 + .../grib_awips/jgfs_awips_f336.ecf | 2 + .../grib_awips/jgfs_awips_f34.ecf | 2 + .../grib_awips/jgfs_awips_f348.ecf | 2 + .../grib_awips/jgfs_awips_f35.ecf | 2 + .../grib_awips/jgfs_awips_f36.ecf | 2 + .../grib_awips/jgfs_awips_f360.ecf | 2 + .../grib_awips/jgfs_awips_f37.ecf | 2 + .../grib_awips/jgfs_awips_f372.ecf | 2 + .../grib_awips/jgfs_awips_f38.ecf | 2 + .../grib_awips/jgfs_awips_f384.ecf | 2 + .../grib_awips/jgfs_awips_f39.ecf | 2 + .../grib_awips/jgfs_awips_f40.ecf | 2 + .../grib_awips/jgfs_awips_f41.ecf | 2 + .../grib_awips/jgfs_awips_f42.ecf | 2 + .../grib_awips/jgfs_awips_f43.ecf | 2 + .../grib_awips/jgfs_awips_f44.ecf | 2 + .../grib_awips/jgfs_awips_f45.ecf | 2 + .../grib_awips/jgfs_awips_f46.ecf | 2 + .../grib_awips/jgfs_awips_f47.ecf | 2 + .../grib_awips/jgfs_awips_f48.ecf | 2 + .../grib_awips/jgfs_awips_f49.ecf | 2 + .../grib_awips/jgfs_awips_f50.ecf | 2 + .../grib_awips/jgfs_awips_f51.ecf | 2 + .../grib_awips/jgfs_awips_f52.ecf | 2 + .../grib_awips/jgfs_awips_f53.ecf | 2 + .../grib_awips/jgfs_awips_f54.ecf | 2 + .../grib_awips/jgfs_awips_f55.ecf | 2 + .../grib_awips/jgfs_awips_f56.ecf | 2 + .../grib_awips/jgfs_awips_f57.ecf | 2 + .../grib_awips/jgfs_awips_f58.ecf | 2 + .../grib_awips/jgfs_awips_f59.ecf | 2 + .../grib_awips/jgfs_awips_f60.ecf | 2 + .../grib_awips/jgfs_awips_f61.ecf | 2 + .../grib_awips/jgfs_awips_f62.ecf | 2 + .../grib_awips/jgfs_awips_f63.ecf | 2 + .../grib_awips/jgfs_awips_f64.ecf | 2 + .../grib_awips/jgfs_awips_f65.ecf | 2 + .../grib_awips/jgfs_awips_f66.ecf | 2 + .../grib_awips/jgfs_awips_f67.ecf | 2 + .../grib_awips/jgfs_awips_f68.ecf | 2 + .../grib_awips/jgfs_awips_f69.ecf | 2 + .../grib_awips/jgfs_awips_f70.ecf | 2 + .../grib_awips/jgfs_awips_f71.ecf | 2 + .../grib_awips/jgfs_awips_f72.ecf | 2 + .../grib_awips/jgfs_awips_f73.ecf | 2 + .../grib_awips/jgfs_awips_f74.ecf | 2 + .../grib_awips/jgfs_awips_f75.ecf | 2 + .../grib_awips/jgfs_awips_f76.ecf | 2 + .../grib_awips/jgfs_awips_f77.ecf | 2 + .../grib_awips/jgfs_awips_f78.ecf | 2 + .../grib_awips/jgfs_awips_f79.ecf | 2 + .../grib_awips/jgfs_awips_f80.ecf | 2 + .../grib_awips/jgfs_awips_f81.ecf | 2 + .../grib_awips/jgfs_awips_f82.ecf | 2 + .../grib_awips/jgfs_awips_f83.ecf | 2 + .../grib_awips/jgfs_awips_f84.ecf | 2 + .../grib_awips/jgfs_awips_f85.ecf | 2 + .../grib_awips/jgfs_awips_f86.ecf | 2 + .../grib_awips/jgfs_awips_f87.ecf | 2 + .../grib_awips/jgfs_awips_f88.ecf | 2 + .../grib_awips/jgfs_awips_f89.ecf | 2 + .../grib_awips/jgfs_awips_f90.ecf | 2 + .../grib_awips/jgfs_awips_f91.ecf | 2 + .../grib_awips/jgfs_awips_f92.ecf | 2 + .../grib_awips/jgfs_awips_f93.ecf | 2 + .../grib_awips/jgfs_awips_f94.ecf | 2 + .../grib_awips/jgfs_awips_f95.ecf | 2 + .../grib_awips/jgfs_awips_f96.ecf | 2 + .../grib_awips/jgfs_awips_f97.ecf | 2 + .../grib_awips/jgfs_awips_f98.ecf | 2 + .../grib_awips/jgfs_awips_f99.ecf | 2 + .../grib_wafs/jgfs_wafs_f00.ecf | 2 + .../grib_wafs/jgfs_wafs_f06.ecf | 2 + .../grib_wafs/jgfs_wafs_f102.ecf | 2 + .../grib_wafs/jgfs_wafs_f108.ecf | 2 + .../grib_wafs/jgfs_wafs_f114.ecf | 2 + .../grib_wafs/jgfs_wafs_f12.ecf | 2 + .../grib_wafs/jgfs_wafs_f120.ecf | 2 + .../grib_wafs/jgfs_wafs_f18.ecf | 2 + .../grib_wafs/jgfs_wafs_f24.ecf | 2 + .../grib_wafs/jgfs_wafs_f30.ecf | 2 + .../grib_wafs/jgfs_wafs_f36.ecf | 2 + .../grib_wafs/jgfs_wafs_f42.ecf | 2 + .../grib_wafs/jgfs_wafs_f48.ecf | 2 + .../grib_wafs/jgfs_wafs_f54.ecf | 2 + .../grib_wafs/jgfs_wafs_f60.ecf | 2 + .../grib_wafs/jgfs_wafs_f66.ecf | 2 + .../grib_wafs/jgfs_wafs_f72.ecf | 2 + .../grib_wafs/jgfs_wafs_f78.ecf | 2 + .../grib_wafs/jgfs_wafs_f84.ecf | 2 + .../grib_wafs/jgfs_wafs_f90.ecf | 2 + .../grib_wafs/jgfs_wafs_f96.ecf | 2 + .../gfs/prdgen/jgfs_npoess_pgrb2_0p5deg.ecf | 46 + .../prod18/gfs/prdgen/jgfs_pgrb2_anl.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f00.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f01.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f02.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f03.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f04.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f05.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f06.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f07.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f08.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f09.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f10.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f100.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f101.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f102.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f103.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f104.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f105.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f106.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f107.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f108.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f109.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f11.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f110.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f111.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f112.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f113.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f114.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f115.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f116.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f117.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f118.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f119.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f12.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f120.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f123.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f126.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f129.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f13.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f132.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f135.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f138.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f14.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f141.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f144.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f147.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f15.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f150.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f153.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f156.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f159.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f16.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f162.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f165.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f168.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f17.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f171.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f174.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f177.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f18.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f180.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f183.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f186.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f189.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f19.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f192.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f195.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f198.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f20.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f201.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f204.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f207.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f21.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f210.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f213.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f216.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f219.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f22.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f222.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f225.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f228.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f23.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f231.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f234.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f237.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f24.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f240.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f25.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f252.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f26.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f264.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f27.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f276.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f28.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f288.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f29.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f30.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f300.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f31.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f312.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f32.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f324.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f33.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f336.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f34.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f348.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f35.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f36.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f360.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f37.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f372.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f38.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f384.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f39.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f40.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f41.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f42.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f43.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f44.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f45.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f46.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f47.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f48.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f49.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f50.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f51.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f52.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f53.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f54.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f55.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f56.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f57.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f58.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f59.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f60.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f61.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f62.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f63.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f64.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f65.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f66.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f67.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f68.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f69.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f70.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f71.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f72.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f73.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f74.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f75.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f76.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f77.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f78.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f79.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f80.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f81.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f82.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f83.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f84.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f85.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f86.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f87.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f88.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f89.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f90.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f91.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f92.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f93.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f94.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f95.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f96.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f97.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f98.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_f99.ecf | 1 + .../prod18/gfs/prdgen/jgfs_pgrb2_manager.ecf | 2 + .../prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 2 + .../scripts/prod18/gfs/prep/jgfs_prep.ecf | 1 + .../prod18/gfs/prep/jgfs_prep_post.ecf | 2 + .../gfs/sminit_guam/jgfs_sminit_guam_even.ecf | 2 + .../gfs/sminit_guam/jgfs_sminit_guam_odd.ecf | 2 + model/ecflow_fv3gfs/suite_def.yaml | 102 +- 3833 files changed, 9885 insertions(+), 5645 deletions(-) create mode 100644 model/ecflow_fv3gfs/include/envir-xc40.h create mode 100644 model/ecflow_fv3gfs/include/head.h create mode 100644 model/ecflow_fv3gfs/include/model_ver.h create mode 100644 model/ecflow_fv3gfs/include/tail.h create mode 100755 model/ecflow_fv3gfs/scripts/prod00/final/alias0.job1 create mode 100644 model/ecflow_fv3gfs/scripts/prod00/final/alias0.usr create mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_npoess_pgrb2_0p5deg.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_npoess_pgrb2_0p5deg.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_npoess_pgrb2_0p5deg.ecf create mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_npoess_pgrb2_0p5deg.ecf diff --git a/model/ecflow_fv3gfs/defs/prod00.def b/model/ecflow_fv3gfs/defs/prod00.def index eb167d7..3372518 100644 --- a/model/ecflow_fv3gfs/defs/prod00.def +++ b/model/ecflow_fv3gfs/defs/prod00.def @@ -1,4 +1,5 @@ extern /prod18/gdas/enkf/jgdas_enkf_post +extern /prod18/gdas/post suite prod00 repeat day 1 edit ECF_TRIES '1' @@ -10,6 +11,7 @@ suite prod00 edit CYC '00' edit ENVIR 'prod' edit PROJ 'GFS-T2O' + edit PROJECT 'GFS-T2O' edit E 'jecffv3' #edit QUEUE 'dev' edit QUEUE 'debug' @@ -24,2287 +26,1721 @@ suite prod00 edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' edit MODEL_NAME 'gfs' - family gfs + family gfs # /prod00/gfs edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' edit PROJ '%PROJENVIR%' edit MODEL_NAME 'gfs' - family dump + family dump # /prod00/gfs/dump task jgfs_tropcy_qc_reloc trigger ./jgfs_dump == complete event 1 jtwc_bull_email - endtask task jgfs_dump event 1 release_sfcprep - endtask - endfamily - family prep + endfamily # /prod00/gfs/dump + family prep # /prod00/gfs/prep task jgfs_emcsfc_sfc_prep trigger ../dump/jgfs_dump:release_sfcprep - endtask task jgfs_prep trigger ../dump == complete - endtask task jgfs_prep_post trigger ../jgfs_analysis == complete - endtask - endfamily + endfamily # /prod00/gfs/prep task jgfs_analysis - trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete - endtask + trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete and /prod18/gdas/enkf/jgdas_enkf_post == complete task jgfs_vminmon trigger ./jgfs_analysis == complete - endtask - family forecast + family forecast # /prod00/gfs/forecast task jgfs_forecast_high trigger ../jgfs_analysis == complete - endtask task jgfs_forecast_low trigger ./jgfs_forecast_high == complete - endtask - endfamily - family sminit_guam + endfamily # /prod00/gfs/forecast + family sminit_guam # /prod00/gfs/sminit_guam task jgfs_sminit_guam_even trigger ../post/jgfs_post_anl == active or ../post/jgfs_post_anl == complete - endtask task jgfs_sminit_guam_odd trigger ../post/jgfs_post_anl == active or ../post/jgfs_post_anl == complete - endtask - endfamily - family post_processing - task dummy - trigger ../forecast == complete - endtask - family fax + endfamily # /prod00/gfs/sminit_guam + family post_processing # /prod00/gfs/post_processing + family fax # /prod00/gfs/post_processing/fax task jgfs_fax_f00 edit FCSTHR '00' trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_anl == complete - endtask task jgfs_fax_anl edit FCSTHR 'anl' trigger ../../prdgen/jgfs_pgrb2_anl == complete - endtask task jgfs_fax_wafs_f12 edit FCSTHR '12' trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask task jgfs_fax_wafs_f24 edit FCSTHR '24' trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask task jgfs_fax_wafs_f36 edit FCSTHR '36' trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask - endfamily - family grib_wafs + endfamily # /prod00/gfs/post_processing/fax + family grib_wafs # /prod00/gfs/post_processing/grib_wafs task jgfs_wafs_f00 edit FCSTHR '00' trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f120 == complete and ../grib2_wafs/jgfs_wafs_grib2 == complete - endtask task jgfs_wafs_f06 edit FCSTHR '06' trigger ../../prdgen/jgfs_pgrb2_f06 == complete and ./jgfs_wafs_f00 == complete - endtask task jgfs_wafs_f12 edit FCSTHR '12' trigger ../../prdgen/jgfs_pgrb2_f12 == complete and ./jgfs_wafs_f06 == complete - endtask task jgfs_wafs_f18 edit FCSTHR '18' trigger ../../prdgen/jgfs_pgrb2_f18 == complete and ./jgfs_wafs_f12 == complete - endtask task jgfs_wafs_f24 edit FCSTHR '24' trigger ../../prdgen/jgfs_pgrb2_f24 == complete and ./jgfs_wafs_f18 == complete - endtask task jgfs_wafs_f30 edit FCSTHR '30' trigger ../../prdgen/jgfs_pgrb2_f30 == complete and ./jgfs_wafs_f24 == complete - endtask task jgfs_wafs_f36 edit FCSTHR '36' trigger ../../prdgen/jgfs_pgrb2_f36 == complete and ./jgfs_wafs_f30 == complete - endtask task jgfs_wafs_f42 edit FCSTHR '42' trigger ../../prdgen/jgfs_pgrb2_f42 == complete and ./jgfs_wafs_f36 == complete - endtask task jgfs_wafs_f48 edit FCSTHR '48' trigger ../../prdgen/jgfs_pgrb2_f48 == complete and ./jgfs_wafs_f42 == complete - endtask task jgfs_wafs_f54 edit FCSTHR '54' trigger ../../prdgen/jgfs_pgrb2_f54 == complete and ./jgfs_wafs_f48 == complete - endtask task jgfs_wafs_f60 edit FCSTHR '60' trigger ../../prdgen/jgfs_pgrb2_f60 == complete and ./jgfs_wafs_f54 == complete - endtask task jgfs_wafs_f66 edit FCSTHR '66' trigger ../../prdgen/jgfs_pgrb2_f66 == complete and ./jgfs_wafs_f60 == complete - endtask task jgfs_wafs_f72 edit FCSTHR '72' trigger ../../prdgen/jgfs_pgrb2_f72 == complete and ./jgfs_wafs_f66 == complete - endtask task jgfs_wafs_f78 edit FCSTHR '78' trigger ../../prdgen/jgfs_pgrb2_f78 == complete and ./jgfs_wafs_f72 == complete - endtask task jgfs_wafs_f84 edit FCSTHR '84' trigger ../../prdgen/jgfs_pgrb2_f84 == complete and ./jgfs_wafs_f78 == complete - endtask task jgfs_wafs_f90 edit FCSTHR '90' trigger ../../prdgen/jgfs_pgrb2_f90 == complete and ./jgfs_wafs_f84 == complete - endtask task jgfs_wafs_f96 edit FCSTHR '96' trigger ../../prdgen/jgfs_pgrb2_f96 == complete and ./jgfs_wafs_f90 == complete - endtask task jgfs_wafs_f102 edit FCSTHR '102' trigger ../../prdgen/jgfs_pgrb2_f102 == complete and ./jgfs_wafs_f96 == complete - endtask task jgfs_wafs_f108 edit FCSTHR '108' trigger ../../prdgen/jgfs_pgrb2_f108 == complete and ./jgfs_wafs_f102 == complete - endtask task jgfs_wafs_f114 edit FCSTHR '114' trigger ../../prdgen/jgfs_pgrb2_f114 == complete and ./jgfs_wafs_f108 == complete - endtask task jgfs_wafs_f120 edit FCSTHR '120' trigger ../../prdgen/jgfs_pgrb2_f120 == complete and ./jgfs_wafs_f114 == complete - endtask - endfamily - family bufr_sounding + endfamily # /prod00/gfs/post_processing/grib_wafs + family bufr_sounding # /prod00/gfs/post_processing/bufr_sounding task jgfs_postsnd trigger ../../post/jgfs_post_manager:release_post00 - endtask - endfamily - family bulletins + endfamily # /prod00/gfs/post_processing/bufr_sounding + family bulletins # /prod00/gfs/post_processing/bulletins task jgfs_fbwind trigger ../../post/jgfs_post_f06 == complete and ../../post/jgfs_post_f12 == complete and ../../post/jgfs_post_f24 == complete - endtask task jgfs_cyclone_tracker trigger ../../post/jgfs_post_f00 == complete and ../../post/jgfs_post_f06 == complete and ../../post/jgfs_post_f12 == complete and ../../post/jgfs_post_f18 == complete and ../../post/jgfs_post_f24 == complete and ../../post/jgfs_post_f30 == complete and ../../post/jgfs_post_f36 == complete and ../../post/jgfs_post_f42 == complete and ../../post/jgfs_post_f48 == complete and ../../post/jgfs_post_f54 == complete and ../../post/jgfs_post_f60 == complete and ../../post/jgfs_post_f66 == complete and ../../post/jgfs_post_f72 == complete and ../../post/jgfs_post_f78 == complete and ../../post/jgfs_post_f84 == complete and ../../post/jgfs_post_f90 == complete and ../../post/jgfs_post_f96 == complete and ../../post/jgfs_post_f102 == complete and ../../post/jgfs_post_f108 == complete and ../../post/jgfs_post_f114 == complete and ../../post/jgfs_post_f120 == complete and ../../post/jgfs_post_f126 == complete and ../../post/jgfs_post_f132 == complete and ../../post/jgfs_post_f138 == complete and ../../post/jgfs_post_f144 == complete and ../../post/jgfs_post_f150 == complete and ../../post/jgfs_post_f156 == complete and ../../post/jgfs_post_f162 == complete and ../../post/jgfs_post_f168 == complete and ../../post/jgfs_post_f174 == complete and ../../post/jgfs_post_f180 == complete and ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f06 == complete and ../../prdgen/jgfs_pgrb2_f12 == complete and ../../prdgen/jgfs_pgrb2_f18 == complete and ../../prdgen/jgfs_pgrb2_f24 == complete and ../../prdgen/jgfs_pgrb2_f30 == complete and ../../prdgen/jgfs_pgrb2_f36 == complete and ../../prdgen/jgfs_pgrb2_f42 == complete and ../../prdgen/jgfs_pgrb2_f48 == complete and ../../prdgen/jgfs_pgrb2_f54 == complete and ../../prdgen/jgfs_pgrb2_f60 == complete and ../../prdgen/jgfs_pgrb2_f66 == complete and ../../prdgen/jgfs_pgrb2_f72 == complete and ../../prdgen/jgfs_pgrb2_f78 == complete and ../../prdgen/jgfs_pgrb2_f84 == complete and ../../prdgen/jgfs_pgrb2_f90 == complete and ../../prdgen/jgfs_pgrb2_f96 == complete and ../../prdgen/jgfs_pgrb2_f102 == complete and ../../prdgen/jgfs_pgrb2_f108 == complete and ../../prdgen/jgfs_pgrb2_f114 == complete and ../../prdgen/jgfs_pgrb2_f120 == complete and ../../prdgen/jgfs_pgrb2_f126 == complete and ../../prdgen/jgfs_pgrb2_f132 == complete and ../../prdgen/jgfs_pgrb2_f138 == complete and ../../prdgen/jgfs_pgrb2_f144 == complete and ../../prdgen/jgfs_pgrb2_f150 == complete and ../../prdgen/jgfs_pgrb2_f156 == complete and ../../prdgen/jgfs_pgrb2_f162 == complete and ../../prdgen/jgfs_pgrb2_f168 == complete and ../../prdgen/jgfs_pgrb2_f174 == complete and ../../prdgen/jgfs_pgrb2_f180 == complete - endtask - endfamily - family grib2_wafs + endfamily # /prod00/gfs/post_processing/bulletins + family grib2_wafs # /prod00/gfs/post_processing/grib2_wafs task jgfs_wafs_grib2 trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask task jgfs_wafs_blending trigger ./jgfs_wafs_grib2 == complete - endtask - endfamily - family grib_awips + endfamily # /prod00/gfs/post_processing/grib2_wafs + family grib_awips # /prod00/gfs/post_processing/grib_awips task jgfs_awips_f00 edit FCSTHR '00' trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask task jgfs_awips_f01 edit FCSTHR '01' trigger ../../prdgen/jgfs_pgrb2_f01 == complete - endtask task jgfs_awips_f02 edit FCSTHR '02' trigger ../../prdgen/jgfs_pgrb2_f02 == complete - endtask task jgfs_awips_f03 edit FCSTHR '03' trigger ../../prdgen/jgfs_pgrb2_f03 == complete - endtask task jgfs_awips_f04 edit FCSTHR '04' trigger ../../prdgen/jgfs_pgrb2_f04 == complete - endtask task jgfs_awips_f05 edit FCSTHR '05' trigger ../../prdgen/jgfs_pgrb2_f05 == complete - endtask task jgfs_awips_f06 edit FCSTHR '06' trigger ../../prdgen/jgfs_pgrb2_f06 == complete - endtask task jgfs_awips_f07 edit FCSTHR '07' trigger ../../prdgen/jgfs_pgrb2_f07 == complete - endtask task jgfs_awips_f08 edit FCSTHR '08' trigger ../../prdgen/jgfs_pgrb2_f08 == complete - endtask task jgfs_awips_f09 edit FCSTHR '09' trigger ../../prdgen/jgfs_pgrb2_f09 == complete - endtask task jgfs_awips_f10 edit FCSTHR '10' trigger ../../prdgen/jgfs_pgrb2_f10 == complete - endtask task jgfs_awips_f11 edit FCSTHR '11' trigger ../../prdgen/jgfs_pgrb2_f11 == complete - endtask task jgfs_awips_f12 edit FCSTHR '12' trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask task jgfs_awips_f13 edit FCSTHR '13' trigger ../../prdgen/jgfs_pgrb2_f13 == complete - endtask task jgfs_awips_f14 edit FCSTHR '14' trigger ../../prdgen/jgfs_pgrb2_f14 == complete - endtask task jgfs_awips_f15 edit FCSTHR '15' trigger ../../prdgen/jgfs_pgrb2_f15 == complete - endtask task jgfs_awips_f16 edit FCSTHR '16' trigger ../../prdgen/jgfs_pgrb2_f16 == complete - endtask task jgfs_awips_f17 edit FCSTHR '17' trigger ../../prdgen/jgfs_pgrb2_f17 == complete - endtask task jgfs_awips_f18 edit FCSTHR '18' trigger ../../prdgen/jgfs_pgrb2_f18 == complete - endtask task jgfs_awips_f19 edit FCSTHR '19' trigger ../../prdgen/jgfs_pgrb2_f19 == complete - endtask task jgfs_awips_f20 edit FCSTHR '20' trigger ../../prdgen/jgfs_pgrb2_f20 == complete - endtask task jgfs_awips_f21 edit FCSTHR '21' trigger ../../prdgen/jgfs_pgrb2_f21 == complete - endtask task jgfs_awips_f22 edit FCSTHR '22' trigger ../../prdgen/jgfs_pgrb2_f22 == complete - endtask task jgfs_awips_f23 edit FCSTHR '23' trigger ../../prdgen/jgfs_pgrb2_f23 == complete - endtask task jgfs_awips_f24 edit FCSTHR '24' trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask task jgfs_awips_f25 edit FCSTHR '25' trigger ../../prdgen/jgfs_pgrb2_f25 == complete - endtask task jgfs_awips_f26 edit FCSTHR '26' trigger ../../prdgen/jgfs_pgrb2_f26 == complete - endtask task jgfs_awips_f27 edit FCSTHR '27' trigger ../../prdgen/jgfs_pgrb2_f27 == complete - endtask task jgfs_awips_f28 edit FCSTHR '28' trigger ../../prdgen/jgfs_pgrb2_f28 == complete - endtask task jgfs_awips_f29 edit FCSTHR '29' trigger ../../prdgen/jgfs_pgrb2_f29 == complete - endtask task jgfs_awips_f30 edit FCSTHR '30' trigger ../../prdgen/jgfs_pgrb2_f30 == complete - endtask task jgfs_awips_f31 edit FCSTHR '31' trigger ../../prdgen/jgfs_pgrb2_f31 == complete - endtask task jgfs_awips_f32 edit FCSTHR '32' trigger ../../prdgen/jgfs_pgrb2_f32 == complete - endtask task jgfs_awips_f33 edit FCSTHR '33' trigger ../../prdgen/jgfs_pgrb2_f33 == complete - endtask task jgfs_awips_f34 edit FCSTHR '34' trigger ../../prdgen/jgfs_pgrb2_f34 == complete - endtask task jgfs_awips_f35 edit FCSTHR '35' trigger ../../prdgen/jgfs_pgrb2_f35 == complete - endtask task jgfs_awips_f36 edit FCSTHR '36' trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask task jgfs_awips_f37 edit FCSTHR '37' trigger ../../prdgen/jgfs_pgrb2_f37 == complete - endtask task jgfs_awips_f38 edit FCSTHR '38' trigger ../../prdgen/jgfs_pgrb2_f38 == complete - endtask task jgfs_awips_f39 edit FCSTHR '39' trigger ../../prdgen/jgfs_pgrb2_f39 == complete - endtask task jgfs_awips_f40 edit FCSTHR '40' trigger ../../prdgen/jgfs_pgrb2_f40 == complete - endtask task jgfs_awips_f41 edit FCSTHR '41' trigger ../../prdgen/jgfs_pgrb2_f41 == complete - endtask task jgfs_awips_f42 edit FCSTHR '42' trigger ../../prdgen/jgfs_pgrb2_f42 == complete - endtask task jgfs_awips_f43 edit FCSTHR '43' trigger ../../prdgen/jgfs_pgrb2_f43 == complete - endtask task jgfs_awips_f44 edit FCSTHR '44' trigger ../../prdgen/jgfs_pgrb2_f44 == complete - endtask task jgfs_awips_f45 edit FCSTHR '45' trigger ../../prdgen/jgfs_pgrb2_f45 == complete - endtask task jgfs_awips_f46 edit FCSTHR '46' trigger ../../prdgen/jgfs_pgrb2_f46 == complete - endtask task jgfs_awips_f47 edit FCSTHR '47' trigger ../../prdgen/jgfs_pgrb2_f47 == complete - endtask task jgfs_awips_f48 edit FCSTHR '48' trigger ../../prdgen/jgfs_pgrb2_f48 == complete - endtask task jgfs_awips_f49 edit FCSTHR '49' trigger ../../prdgen/jgfs_pgrb2_f49 == complete - endtask task jgfs_awips_f50 edit FCSTHR '50' trigger ../../prdgen/jgfs_pgrb2_f50 == complete - endtask task jgfs_awips_f51 edit FCSTHR '51' trigger ../../prdgen/jgfs_pgrb2_f51 == complete - endtask task jgfs_awips_f52 edit FCSTHR '52' trigger ../../prdgen/jgfs_pgrb2_f52 == complete - endtask task jgfs_awips_f53 edit FCSTHR '53' trigger ../../prdgen/jgfs_pgrb2_f53 == complete - endtask task jgfs_awips_f54 edit FCSTHR '54' trigger ../../prdgen/jgfs_pgrb2_f54 == complete - endtask task jgfs_awips_f55 edit FCSTHR '55' trigger ../../prdgen/jgfs_pgrb2_f55 == complete - endtask task jgfs_awips_f56 edit FCSTHR '56' trigger ../../prdgen/jgfs_pgrb2_f56 == complete - endtask task jgfs_awips_f57 edit FCSTHR '57' trigger ../../prdgen/jgfs_pgrb2_f57 == complete - endtask task jgfs_awips_f58 edit FCSTHR '58' trigger ../../prdgen/jgfs_pgrb2_f58 == complete - endtask task jgfs_awips_f59 edit FCSTHR '59' trigger ../../prdgen/jgfs_pgrb2_f59 == complete - endtask task jgfs_awips_f60 edit FCSTHR '60' trigger ../../prdgen/jgfs_pgrb2_f60 == complete - endtask task jgfs_awips_f61 edit FCSTHR '61' trigger ../../prdgen/jgfs_pgrb2_f61 == complete - endtask task jgfs_awips_f62 edit FCSTHR '62' trigger ../../prdgen/jgfs_pgrb2_f62 == complete - endtask task jgfs_awips_f63 edit FCSTHR '63' trigger ../../prdgen/jgfs_pgrb2_f63 == complete - endtask task jgfs_awips_f64 edit FCSTHR '64' trigger ../../prdgen/jgfs_pgrb2_f64 == complete - endtask task jgfs_awips_f65 edit FCSTHR '65' trigger ../../prdgen/jgfs_pgrb2_f65 == complete - endtask task jgfs_awips_f66 edit FCSTHR '66' trigger ../../prdgen/jgfs_pgrb2_f66 == complete - endtask task jgfs_awips_f67 edit FCSTHR '67' trigger ../../prdgen/jgfs_pgrb2_f67 == complete - endtask task jgfs_awips_f68 edit FCSTHR '68' trigger ../../prdgen/jgfs_pgrb2_f68 == complete - endtask task jgfs_awips_f69 edit FCSTHR '69' trigger ../../prdgen/jgfs_pgrb2_f69 == complete - endtask task jgfs_awips_f70 edit FCSTHR '70' trigger ../../prdgen/jgfs_pgrb2_f70 == complete - endtask task jgfs_awips_f71 edit FCSTHR '71' trigger ../../prdgen/jgfs_pgrb2_f71 == complete - endtask task jgfs_awips_f72 edit FCSTHR '72' trigger ../../prdgen/jgfs_pgrb2_f72 == complete - endtask task jgfs_awips_f73 edit FCSTHR '73' trigger ../../prdgen/jgfs_pgrb2_f73 == complete - endtask task jgfs_awips_f74 edit FCSTHR '74' trigger ../../prdgen/jgfs_pgrb2_f74 == complete - endtask task jgfs_awips_f75 edit FCSTHR '75' trigger ../../prdgen/jgfs_pgrb2_f75 == complete - endtask task jgfs_awips_f76 edit FCSTHR '76' trigger ../../prdgen/jgfs_pgrb2_f76 == complete - endtask task jgfs_awips_f77 edit FCSTHR '77' trigger ../../prdgen/jgfs_pgrb2_f77 == complete - endtask task jgfs_awips_f78 edit FCSTHR '78' trigger ../../prdgen/jgfs_pgrb2_f78 == complete - endtask task jgfs_awips_f79 edit FCSTHR '79' trigger ../../prdgen/jgfs_pgrb2_f79 == complete - endtask task jgfs_awips_f80 edit FCSTHR '80' trigger ../../prdgen/jgfs_pgrb2_f80 == complete - endtask task jgfs_awips_f81 edit FCSTHR '81' trigger ../../prdgen/jgfs_pgrb2_f81 == complete - endtask task jgfs_awips_f82 edit FCSTHR '82' trigger ../../prdgen/jgfs_pgrb2_f82 == complete - endtask task jgfs_awips_f83 edit FCSTHR '83' trigger ../../prdgen/jgfs_pgrb2_f83 == complete - endtask task jgfs_awips_f84 edit FCSTHR '84' trigger ../../prdgen/jgfs_pgrb2_f84 == complete - endtask task jgfs_awips_f85 edit FCSTHR '85' trigger ../../prdgen/jgfs_pgrb2_f85 == complete - endtask task jgfs_awips_f86 edit FCSTHR '86' trigger ../../prdgen/jgfs_pgrb2_f86 == complete - endtask task jgfs_awips_f87 edit FCSTHR '87' trigger ../../prdgen/jgfs_pgrb2_f87 == complete - endtask task jgfs_awips_f88 edit FCSTHR '88' trigger ../../prdgen/jgfs_pgrb2_f88 == complete - endtask task jgfs_awips_f89 edit FCSTHR '89' trigger ../../prdgen/jgfs_pgrb2_f89 == complete - endtask task jgfs_awips_f90 edit FCSTHR '90' trigger ../../prdgen/jgfs_pgrb2_f90 == complete - endtask task jgfs_awips_f91 edit FCSTHR '91' trigger ../../prdgen/jgfs_pgrb2_f91 == complete - endtask task jgfs_awips_f92 edit FCSTHR '92' trigger ../../prdgen/jgfs_pgrb2_f92 == complete - endtask task jgfs_awips_f93 edit FCSTHR '93' trigger ../../prdgen/jgfs_pgrb2_f93 == complete - endtask task jgfs_awips_f94 edit FCSTHR '94' trigger ../../prdgen/jgfs_pgrb2_f94 == complete - endtask task jgfs_awips_f95 edit FCSTHR '95' trigger ../../prdgen/jgfs_pgrb2_f95 == complete - endtask task jgfs_awips_f96 edit FCSTHR '96' trigger ../../prdgen/jgfs_pgrb2_f96 == complete - endtask task jgfs_awips_f97 edit FCSTHR '97' trigger ../../prdgen/jgfs_pgrb2_f97 == complete - endtask task jgfs_awips_f98 edit FCSTHR '98' trigger ../../prdgen/jgfs_pgrb2_f98 == complete - endtask task jgfs_awips_f99 edit FCSTHR '99' trigger ../../prdgen/jgfs_pgrb2_f99 == complete - endtask task jgfs_awips_f100 edit FCSTHR '100' trigger ../../prdgen/jgfs_pgrb2_f100 == complete - endtask task jgfs_awips_f101 edit FCSTHR '101' trigger ../../prdgen/jgfs_pgrb2_f101 == complete - endtask task jgfs_awips_f102 edit FCSTHR '102' trigger ../../prdgen/jgfs_pgrb2_f102 == complete - endtask task jgfs_awips_f103 edit FCSTHR '103' trigger ../../prdgen/jgfs_pgrb2_f103 == complete - endtask task jgfs_awips_f104 edit FCSTHR '104' trigger ../../prdgen/jgfs_pgrb2_f104 == complete - endtask task jgfs_awips_f105 edit FCSTHR '105' trigger ../../prdgen/jgfs_pgrb2_f105 == complete - endtask task jgfs_awips_f106 edit FCSTHR '106' trigger ../../prdgen/jgfs_pgrb2_f106 == complete - endtask task jgfs_awips_f107 edit FCSTHR '107' trigger ../../prdgen/jgfs_pgrb2_f107 == complete - endtask task jgfs_awips_f108 edit FCSTHR '108' trigger ../../prdgen/jgfs_pgrb2_f108 == complete - endtask task jgfs_awips_f109 edit FCSTHR '109' trigger ../../prdgen/jgfs_pgrb2_f109 == complete - endtask task jgfs_awips_f110 edit FCSTHR '110' trigger ../../prdgen/jgfs_pgrb2_f110 == complete - endtask task jgfs_awips_f111 edit FCSTHR '111' trigger ../../prdgen/jgfs_pgrb2_f111 == complete - endtask task jgfs_awips_f112 edit FCSTHR '112' trigger ../../prdgen/jgfs_pgrb2_f112 == complete - endtask task jgfs_awips_f113 edit FCSTHR '113' trigger ../../prdgen/jgfs_pgrb2_f113 == complete - endtask task jgfs_awips_f114 edit FCSTHR '114' trigger ../../prdgen/jgfs_pgrb2_f114 == complete - endtask task jgfs_awips_f115 edit FCSTHR '115' trigger ../../prdgen/jgfs_pgrb2_f115 == complete - endtask task jgfs_awips_f116 edit FCSTHR '116' trigger ../../prdgen/jgfs_pgrb2_f116 == complete - endtask task jgfs_awips_f117 edit FCSTHR '117' trigger ../../prdgen/jgfs_pgrb2_f117 == complete - endtask task jgfs_awips_f118 edit FCSTHR '118' trigger ../../prdgen/jgfs_pgrb2_f118 == complete - endtask task jgfs_awips_f119 edit FCSTHR '119' trigger ../../prdgen/jgfs_pgrb2_f119 == complete - endtask task jgfs_awips_f120 edit FCSTHR '120' trigger ../../prdgen/jgfs_pgrb2_f120 == complete - endtask task jgfs_awips_f123 edit FCSTHR '123' trigger ../../prdgen/jgfs_pgrb2_f123 == complete - endtask task jgfs_awips_f126 edit FCSTHR '126' trigger ../../prdgen/jgfs_pgrb2_f126 == complete - endtask task jgfs_awips_f129 edit FCSTHR '129' trigger ../../prdgen/jgfs_pgrb2_f129 == complete - endtask task jgfs_awips_f132 edit FCSTHR '132' trigger ../../prdgen/jgfs_pgrb2_f132 == complete - endtask task jgfs_awips_f135 edit FCSTHR '135' trigger ../../prdgen/jgfs_pgrb2_f135 == complete - endtask task jgfs_awips_f138 edit FCSTHR '138' trigger ../../prdgen/jgfs_pgrb2_f138 == complete - endtask task jgfs_awips_f141 edit FCSTHR '141' trigger ../../prdgen/jgfs_pgrb2_f141 == complete - endtask task jgfs_awips_f144 edit FCSTHR '144' trigger ../../prdgen/jgfs_pgrb2_f144 == complete - endtask task jgfs_awips_f147 edit FCSTHR '147' trigger ../../prdgen/jgfs_pgrb2_f147 == complete - endtask task jgfs_awips_f150 edit FCSTHR '150' trigger ../../prdgen/jgfs_pgrb2_f150 == complete - endtask task jgfs_awips_f153 edit FCSTHR '153' trigger ../../prdgen/jgfs_pgrb2_f153 == complete - endtask task jgfs_awips_f156 edit FCSTHR '156' trigger ../../prdgen/jgfs_pgrb2_f156 == complete - endtask task jgfs_awips_f159 edit FCSTHR '159' trigger ../../prdgen/jgfs_pgrb2_f159 == complete - endtask task jgfs_awips_f162 edit FCSTHR '162' trigger ../../prdgen/jgfs_pgrb2_f162 == complete - endtask task jgfs_awips_f165 edit FCSTHR '165' trigger ../../prdgen/jgfs_pgrb2_f165 == complete - endtask task jgfs_awips_f168 edit FCSTHR '168' trigger ../../prdgen/jgfs_pgrb2_f168 == complete - endtask task jgfs_awips_f171 edit FCSTHR '171' trigger ../../prdgen/jgfs_pgrb2_f171 == complete - endtask task jgfs_awips_f174 edit FCSTHR '174' trigger ../../prdgen/jgfs_pgrb2_f174 == complete - endtask task jgfs_awips_f177 edit FCSTHR '177' trigger ../../prdgen/jgfs_pgrb2_f177 == complete - endtask task jgfs_awips_f180 edit FCSTHR '180' trigger ../../prdgen/jgfs_pgrb2_f180 == complete - endtask task jgfs_awips_f183 edit FCSTHR '183' trigger ../../prdgen/jgfs_pgrb2_f183 == complete - endtask task jgfs_awips_f186 edit FCSTHR '186' trigger ../../prdgen/jgfs_pgrb2_f186 == complete - endtask task jgfs_awips_f189 edit FCSTHR '189' trigger ../../prdgen/jgfs_pgrb2_f189 == complete - endtask task jgfs_awips_f192 edit FCSTHR '192' trigger ../../prdgen/jgfs_pgrb2_f192 == complete - endtask task jgfs_awips_f195 edit FCSTHR '195' trigger ../../prdgen/jgfs_pgrb2_f195 == complete - endtask task jgfs_awips_f198 edit FCSTHR '198' trigger ../../prdgen/jgfs_pgrb2_f198 == complete - endtask task jgfs_awips_f201 edit FCSTHR '201' trigger ../../prdgen/jgfs_pgrb2_f201 == complete - endtask task jgfs_awips_f204 edit FCSTHR '204' trigger ../../prdgen/jgfs_pgrb2_f204 == complete - endtask task jgfs_awips_f207 edit FCSTHR '207' trigger ../../prdgen/jgfs_pgrb2_f207 == complete - endtask task jgfs_awips_f210 edit FCSTHR '210' trigger ../../prdgen/jgfs_pgrb2_f210 == complete - endtask task jgfs_awips_f213 edit FCSTHR '213' trigger ../../prdgen/jgfs_pgrb2_f213 == complete - endtask task jgfs_awips_f216 edit FCSTHR '216' trigger ../../prdgen/jgfs_pgrb2_f216 == complete - endtask task jgfs_awips_f219 edit FCSTHR '219' trigger ../../prdgen/jgfs_pgrb2_f219 == complete - endtask task jgfs_awips_f222 edit FCSTHR '222' trigger ../../prdgen/jgfs_pgrb2_f222 == complete - endtask task jgfs_awips_f225 edit FCSTHR '225' trigger ../../prdgen/jgfs_pgrb2_f225 == complete - endtask task jgfs_awips_f228 edit FCSTHR '228' trigger ../../prdgen/jgfs_pgrb2_f228 == complete - endtask task jgfs_awips_f231 edit FCSTHR '231' trigger ../../prdgen/jgfs_pgrb2_f231 == complete - endtask task jgfs_awips_f234 edit FCSTHR '234' trigger ../../prdgen/jgfs_pgrb2_f234 == complete - endtask task jgfs_awips_f237 edit FCSTHR '237' trigger ../../prdgen/jgfs_pgrb2_f237 == complete - endtask task jgfs_awips_f240 edit FCSTHR '240' trigger ../../prdgen/jgfs_pgrb2_f240 == complete - endtask task jgfs_awips_f252 edit FCSTHR '252' trigger ../../prdgen/jgfs_pgrb2_f252 == complete - endtask task jgfs_awips_f264 edit FCSTHR '264' trigger ../../prdgen/jgfs_pgrb2_f264 == complete - endtask task jgfs_awips_f276 edit FCSTHR '276' trigger ../../prdgen/jgfs_pgrb2_f276 == complete - endtask task jgfs_awips_f288 edit FCSTHR '288' trigger ../../prdgen/jgfs_pgrb2_f288 == complete - endtask task jgfs_awips_f300 edit FCSTHR '300' trigger ../../prdgen/jgfs_pgrb2_f300 == complete - endtask task jgfs_awips_f312 edit FCSTHR '312' trigger ../../prdgen/jgfs_pgrb2_f312 == complete - endtask task jgfs_awips_f324 edit FCSTHR '324' trigger ../../prdgen/jgfs_pgrb2_f324 == complete - endtask task jgfs_awips_f336 edit FCSTHR '336' trigger ../../prdgen/jgfs_pgrb2_f336 == complete - endtask task jgfs_awips_f348 edit FCSTHR '348' trigger ../../prdgen/jgfs_pgrb2_f348 == complete - endtask task jgfs_awips_f360 edit FCSTHR '360' trigger ../../prdgen/jgfs_pgrb2_f360 == complete - endtask task jgfs_awips_f372 edit FCSTHR '372' trigger ../../prdgen/jgfs_pgrb2_f372 == complete - endtask task jgfs_awips_f384 edit FCSTHR '384' trigger ../../prdgen/jgfs_pgrb2_f384 == complete - endtask - endfamily - family awips_1p0deg + endfamily # /prod00/gfs/post_processing/grib_awips + family awips_1p0deg # /prod00/gfs/post_processing/awips_1p0deg edit RES '1p0deg' edit RESC '1P0DEG' #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' task jgfs_awips_f000 edit FCSTHR '000' trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask task jgfs_awips_f001 edit FCSTHR '001' trigger ../../prdgen/jgfs_pgrb2_f01 == complete - endtask task jgfs_awips_f002 edit FCSTHR '002' trigger ../../prdgen/jgfs_pgrb2_f02 == complete - endtask task jgfs_awips_f003 edit FCSTHR '003' trigger ../../prdgen/jgfs_pgrb2_f03 == complete - endtask task jgfs_awips_f004 edit FCSTHR '004' trigger ../../prdgen/jgfs_pgrb2_f04 == complete - endtask task jgfs_awips_f005 edit FCSTHR '005' trigger ../../prdgen/jgfs_pgrb2_f05 == complete - endtask task jgfs_awips_f006 edit FCSTHR '006' trigger ../../prdgen/jgfs_pgrb2_f06 == complete - endtask task jgfs_awips_f007 edit FCSTHR '007' trigger ../../prdgen/jgfs_pgrb2_f07 == complete - endtask task jgfs_awips_f008 edit FCSTHR '008' trigger ../../prdgen/jgfs_pgrb2_f08 == complete - endtask task jgfs_awips_f009 edit FCSTHR '009' trigger ../../prdgen/jgfs_pgrb2_f09 == complete - endtask task jgfs_awips_f010 edit FCSTHR '010' trigger ../../prdgen/jgfs_pgrb2_f10 == complete - endtask task jgfs_awips_f011 edit FCSTHR '011' trigger ../../prdgen/jgfs_pgrb2_f11 == complete - endtask task jgfs_awips_f012 edit FCSTHR '012' trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask task jgfs_awips_f013 edit FCSTHR '013' trigger ../../prdgen/jgfs_pgrb2_f13 == complete - endtask task jgfs_awips_f014 edit FCSTHR '014' trigger ../../prdgen/jgfs_pgrb2_f14 == complete - endtask task jgfs_awips_f015 edit FCSTHR '015' trigger ../../prdgen/jgfs_pgrb2_f15 == complete - endtask task jgfs_awips_f016 edit FCSTHR '016' trigger ../../prdgen/jgfs_pgrb2_f16 == complete - endtask task jgfs_awips_f017 edit FCSTHR '017' trigger ../../prdgen/jgfs_pgrb2_f17 == complete - endtask task jgfs_awips_f018 edit FCSTHR '018' trigger ../../prdgen/jgfs_pgrb2_f18 == complete - endtask task jgfs_awips_f019 edit FCSTHR '019' trigger ../../prdgen/jgfs_pgrb2_f19 == complete - endtask task jgfs_awips_f020 edit FCSTHR '020' trigger ../../prdgen/jgfs_pgrb2_f20 == complete - endtask task jgfs_awips_f021 edit FCSTHR '021' trigger ../../prdgen/jgfs_pgrb2_f21 == complete - endtask task jgfs_awips_f022 edit FCSTHR '022' trigger ../../prdgen/jgfs_pgrb2_f22 == complete - endtask task jgfs_awips_f023 edit FCSTHR '023' trigger ../../prdgen/jgfs_pgrb2_f23 == complete - endtask task jgfs_awips_f024 edit FCSTHR '024' trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask task jgfs_awips_f025 edit FCSTHR '025' trigger ../../prdgen/jgfs_pgrb2_f25 == complete - endtask task jgfs_awips_f026 edit FCSTHR '026' trigger ../../prdgen/jgfs_pgrb2_f26 == complete - endtask task jgfs_awips_f027 edit FCSTHR '027' trigger ../../prdgen/jgfs_pgrb2_f27 == complete - endtask task jgfs_awips_f028 edit FCSTHR '028' trigger ../../prdgen/jgfs_pgrb2_f28 == complete - endtask task jgfs_awips_f029 edit FCSTHR '029' trigger ../../prdgen/jgfs_pgrb2_f29 == complete - endtask task jgfs_awips_f030 edit FCSTHR '030' trigger ../../prdgen/jgfs_pgrb2_f30 == complete - endtask task jgfs_awips_f031 edit FCSTHR '031' trigger ../../prdgen/jgfs_pgrb2_f31 == complete - endtask task jgfs_awips_f032 edit FCSTHR '032' trigger ../../prdgen/jgfs_pgrb2_f32 == complete - endtask task jgfs_awips_f033 edit FCSTHR '033' trigger ../../prdgen/jgfs_pgrb2_f33 == complete - endtask task jgfs_awips_f034 edit FCSTHR '034' trigger ../../prdgen/jgfs_pgrb2_f34 == complete - endtask task jgfs_awips_f035 edit FCSTHR '035' trigger ../../prdgen/jgfs_pgrb2_f35 == complete - endtask task jgfs_awips_f036 edit FCSTHR '036' trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask task jgfs_awips_f037 edit FCSTHR '037' trigger ../../prdgen/jgfs_pgrb2_f37 == complete - endtask task jgfs_awips_f038 edit FCSTHR '038' trigger ../../prdgen/jgfs_pgrb2_f38 == complete - endtask task jgfs_awips_f039 edit FCSTHR '039' trigger ../../prdgen/jgfs_pgrb2_f39 == complete - endtask task jgfs_awips_f040 edit FCSTHR '040' trigger ../../prdgen/jgfs_pgrb2_f40 == complete - endtask task jgfs_awips_f041 edit FCSTHR '041' trigger ../../prdgen/jgfs_pgrb2_f41 == complete - endtask task jgfs_awips_f042 edit FCSTHR '042' trigger ../../prdgen/jgfs_pgrb2_f42 == complete - endtask task jgfs_awips_f043 edit FCSTHR '043' trigger ../../prdgen/jgfs_pgrb2_f43 == complete - endtask task jgfs_awips_f044 edit FCSTHR '044' trigger ../../prdgen/jgfs_pgrb2_f44 == complete - endtask task jgfs_awips_f045 edit FCSTHR '045' trigger ../../prdgen/jgfs_pgrb2_f45 == complete - endtask task jgfs_awips_f046 edit FCSTHR '046' trigger ../../prdgen/jgfs_pgrb2_f46 == complete - endtask task jgfs_awips_f047 edit FCSTHR '047' trigger ../../prdgen/jgfs_pgrb2_f47 == complete - endtask task jgfs_awips_f048 edit FCSTHR '048' trigger ../../prdgen/jgfs_pgrb2_f48 == complete - endtask task jgfs_awips_f049 edit FCSTHR '049' trigger ../../prdgen/jgfs_pgrb2_f49 == complete - endtask task jgfs_awips_f050 edit FCSTHR '050' trigger ../../prdgen/jgfs_pgrb2_f50 == complete - endtask task jgfs_awips_f051 edit FCSTHR '051' trigger ../../prdgen/jgfs_pgrb2_f51 == complete - endtask task jgfs_awips_f052 edit FCSTHR '052' trigger ../../prdgen/jgfs_pgrb2_f52 == complete - endtask task jgfs_awips_f053 edit FCSTHR '053' trigger ../../prdgen/jgfs_pgrb2_f53 == complete - endtask task jgfs_awips_f054 edit FCSTHR '054' trigger ../../prdgen/jgfs_pgrb2_f54 == complete - endtask task jgfs_awips_f055 edit FCSTHR '055' trigger ../../prdgen/jgfs_pgrb2_f55 == complete - endtask task jgfs_awips_f056 edit FCSTHR '056' trigger ../../prdgen/jgfs_pgrb2_f56 == complete - endtask task jgfs_awips_f057 edit FCSTHR '057' trigger ../../prdgen/jgfs_pgrb2_f57 == complete - endtask task jgfs_awips_f058 edit FCSTHR '058' trigger ../../prdgen/jgfs_pgrb2_f58 == complete - endtask task jgfs_awips_f059 edit FCSTHR '059' trigger ../../prdgen/jgfs_pgrb2_f59 == complete - endtask task jgfs_awips_f060 edit FCSTHR '060' trigger ../../prdgen/jgfs_pgrb2_f60 == complete - endtask task jgfs_awips_f061 edit FCSTHR '061' trigger ../../prdgen/jgfs_pgrb2_f61 == complete - endtask task jgfs_awips_f062 edit FCSTHR '062' trigger ../../prdgen/jgfs_pgrb2_f62 == complete - endtask task jgfs_awips_f063 edit FCSTHR '063' trigger ../../prdgen/jgfs_pgrb2_f63 == complete - endtask task jgfs_awips_f064 edit FCSTHR '064' trigger ../../prdgen/jgfs_pgrb2_f64 == complete - endtask task jgfs_awips_f065 edit FCSTHR '065' trigger ../../prdgen/jgfs_pgrb2_f65 == complete - endtask task jgfs_awips_f066 edit FCSTHR '066' trigger ../../prdgen/jgfs_pgrb2_f66 == complete - endtask task jgfs_awips_f067 edit FCSTHR '067' trigger ../../prdgen/jgfs_pgrb2_f67 == complete - endtask task jgfs_awips_f068 edit FCSTHR '068' trigger ../../prdgen/jgfs_pgrb2_f68 == complete - endtask task jgfs_awips_f069 edit FCSTHR '069' trigger ../../prdgen/jgfs_pgrb2_f69 == complete - endtask task jgfs_awips_f070 edit FCSTHR '070' trigger ../../prdgen/jgfs_pgrb2_f70 == complete - endtask task jgfs_awips_f071 edit FCSTHR '071' trigger ../../prdgen/jgfs_pgrb2_f71 == complete - endtask task jgfs_awips_f072 edit FCSTHR '072' trigger ../../prdgen/jgfs_pgrb2_f72 == complete - endtask task jgfs_awips_f073 edit FCSTHR '073' trigger ../../prdgen/jgfs_pgrb2_f73 == complete - endtask task jgfs_awips_f074 edit FCSTHR '074' trigger ../../prdgen/jgfs_pgrb2_f74 == complete - endtask task jgfs_awips_f075 edit FCSTHR '075' trigger ../../prdgen/jgfs_pgrb2_f75 == complete - endtask task jgfs_awips_f076 edit FCSTHR '076' trigger ../../prdgen/jgfs_pgrb2_f76 == complete - endtask task jgfs_awips_f077 edit FCSTHR '077' trigger ../../prdgen/jgfs_pgrb2_f77 == complete - endtask task jgfs_awips_f078 edit FCSTHR '078' trigger ../../prdgen/jgfs_pgrb2_f78 == complete - endtask task jgfs_awips_f079 edit FCSTHR '079' trigger ../../prdgen/jgfs_pgrb2_f79 == complete - endtask task jgfs_awips_f080 edit FCSTHR '080' trigger ../../prdgen/jgfs_pgrb2_f80 == complete - endtask task jgfs_awips_f081 edit FCSTHR '081' trigger ../../prdgen/jgfs_pgrb2_f81 == complete - endtask task jgfs_awips_f082 edit FCSTHR '082' trigger ../../prdgen/jgfs_pgrb2_f82 == complete - endtask task jgfs_awips_f083 edit FCSTHR '083' trigger ../../prdgen/jgfs_pgrb2_f83 == complete - endtask task jgfs_awips_f084 edit FCSTHR '084' trigger ../../prdgen/jgfs_pgrb2_f84 == complete - endtask task jgfs_awips_f085 edit FCSTHR '085' trigger ../../prdgen/jgfs_pgrb2_f85 == complete - endtask task jgfs_awips_f086 edit FCSTHR '086' trigger ../../prdgen/jgfs_pgrb2_f86 == complete - endtask task jgfs_awips_f087 edit FCSTHR '087' trigger ../../prdgen/jgfs_pgrb2_f87 == complete - endtask task jgfs_awips_f088 edit FCSTHR '088' trigger ../../prdgen/jgfs_pgrb2_f88 == complete - endtask task jgfs_awips_f089 edit FCSTHR '089' trigger ../../prdgen/jgfs_pgrb2_f89 == complete - endtask task jgfs_awips_f090 edit FCSTHR '090' trigger ../../prdgen/jgfs_pgrb2_f90 == complete - endtask task jgfs_awips_f091 edit FCSTHR '091' trigger ../../prdgen/jgfs_pgrb2_f91 == complete - endtask task jgfs_awips_f092 edit FCSTHR '092' trigger ../../prdgen/jgfs_pgrb2_f92 == complete - endtask task jgfs_awips_f093 edit FCSTHR '093' trigger ../../prdgen/jgfs_pgrb2_f93 == complete - endtask task jgfs_awips_f094 edit FCSTHR '094' trigger ../../prdgen/jgfs_pgrb2_f94 == complete - endtask task jgfs_awips_f095 edit FCSTHR '095' trigger ../../prdgen/jgfs_pgrb2_f95 == complete - endtask task jgfs_awips_f096 edit FCSTHR '096' trigger ../../prdgen/jgfs_pgrb2_f96 == complete - endtask task jgfs_awips_f097 edit FCSTHR '097' trigger ../../prdgen/jgfs_pgrb2_f97 == complete - endtask task jgfs_awips_f098 edit FCSTHR '098' trigger ../../prdgen/jgfs_pgrb2_f98 == complete - endtask task jgfs_awips_f099 edit FCSTHR '099' trigger ../../prdgen/jgfs_pgrb2_f99 == complete - endtask task jgfs_awips_f100 edit FCSTHR '100' trigger ../../prdgen/jgfs_pgrb2_f100 == complete - endtask task jgfs_awips_f101 edit FCSTHR '101' trigger ../../prdgen/jgfs_pgrb2_f101 == complete - endtask task jgfs_awips_f102 edit FCSTHR '102' trigger ../../prdgen/jgfs_pgrb2_f102 == complete - endtask task jgfs_awips_f103 edit FCSTHR '103' trigger ../../prdgen/jgfs_pgrb2_f103 == complete - endtask task jgfs_awips_f104 edit FCSTHR '104' trigger ../../prdgen/jgfs_pgrb2_f104 == complete - endtask task jgfs_awips_f105 edit FCSTHR '105' trigger ../../prdgen/jgfs_pgrb2_f105 == complete - endtask task jgfs_awips_f106 edit FCSTHR '106' trigger ../../prdgen/jgfs_pgrb2_f106 == complete - endtask task jgfs_awips_f107 edit FCSTHR '107' trigger ../../prdgen/jgfs_pgrb2_f107 == complete - endtask task jgfs_awips_f108 edit FCSTHR '108' trigger ../../prdgen/jgfs_pgrb2_f108 == complete - endtask task jgfs_awips_f109 edit FCSTHR '109' trigger ../../prdgen/jgfs_pgrb2_f109 == complete - endtask task jgfs_awips_f110 edit FCSTHR '110' trigger ../../prdgen/jgfs_pgrb2_f110 == complete - endtask task jgfs_awips_f111 edit FCSTHR '111' trigger ../../prdgen/jgfs_pgrb2_f111 == complete - endtask task jgfs_awips_f112 edit FCSTHR '112' trigger ../../prdgen/jgfs_pgrb2_f112 == complete - endtask task jgfs_awips_f113 edit FCSTHR '113' trigger ../../prdgen/jgfs_pgrb2_f113 == complete - endtask task jgfs_awips_f114 edit FCSTHR '114' trigger ../../prdgen/jgfs_pgrb2_f114 == complete - endtask task jgfs_awips_f115 edit FCSTHR '115' trigger ../../prdgen/jgfs_pgrb2_f115 == complete - endtask task jgfs_awips_f116 edit FCSTHR '116' trigger ../../prdgen/jgfs_pgrb2_f116 == complete - endtask task jgfs_awips_f117 edit FCSTHR '117' trigger ../../prdgen/jgfs_pgrb2_f117 == complete - endtask task jgfs_awips_f118 edit FCSTHR '118' trigger ../../prdgen/jgfs_pgrb2_f118 == complete - endtask task jgfs_awips_f119 edit FCSTHR '119' trigger ../../prdgen/jgfs_pgrb2_f119 == complete - endtask task jgfs_awips_f120 edit FCSTHR '120' trigger ../../prdgen/jgfs_pgrb2_f120 == complete - endtask task jgfs_awips_f123 edit FCSTHR '123' trigger ../../prdgen/jgfs_pgrb2_f123 == complete - endtask task jgfs_awips_f126 edit FCSTHR '126' trigger ../../prdgen/jgfs_pgrb2_f126 == complete - endtask task jgfs_awips_f129 edit FCSTHR '129' trigger ../../prdgen/jgfs_pgrb2_f129 == complete - endtask task jgfs_awips_f132 edit FCSTHR '132' trigger ../../prdgen/jgfs_pgrb2_f132 == complete - endtask task jgfs_awips_f135 edit FCSTHR '135' trigger ../../prdgen/jgfs_pgrb2_f135 == complete - endtask task jgfs_awips_f138 edit FCSTHR '138' trigger ../../prdgen/jgfs_pgrb2_f138 == complete - endtask task jgfs_awips_f141 edit FCSTHR '141' trigger ../../prdgen/jgfs_pgrb2_f141 == complete - endtask task jgfs_awips_f144 edit FCSTHR '144' trigger ../../prdgen/jgfs_pgrb2_f144 == complete - endtask task jgfs_awips_f147 edit FCSTHR '147' trigger ../../prdgen/jgfs_pgrb2_f147 == complete - endtask task jgfs_awips_f150 edit FCSTHR '150' trigger ../../prdgen/jgfs_pgrb2_f150 == complete - endtask task jgfs_awips_f153 edit FCSTHR '153' trigger ../../prdgen/jgfs_pgrb2_f153 == complete - endtask task jgfs_awips_f156 edit FCSTHR '156' trigger ../../prdgen/jgfs_pgrb2_f156 == complete - endtask task jgfs_awips_f159 edit FCSTHR '159' trigger ../../prdgen/jgfs_pgrb2_f159 == complete - endtask task jgfs_awips_f162 edit FCSTHR '162' trigger ../../prdgen/jgfs_pgrb2_f162 == complete - endtask task jgfs_awips_f165 edit FCSTHR '165' trigger ../../prdgen/jgfs_pgrb2_f165 == complete - endtask task jgfs_awips_f168 edit FCSTHR '168' trigger ../../prdgen/jgfs_pgrb2_f168 == complete - endtask task jgfs_awips_f171 edit FCSTHR '171' trigger ../../prdgen/jgfs_pgrb2_f171 == complete - endtask task jgfs_awips_f174 edit FCSTHR '174' trigger ../../prdgen/jgfs_pgrb2_f174 == complete - endtask task jgfs_awips_f177 edit FCSTHR '177' trigger ../../prdgen/jgfs_pgrb2_f177 == complete - endtask task jgfs_awips_f180 edit FCSTHR '180' trigger ../../prdgen/jgfs_pgrb2_f180 == complete - endtask task jgfs_awips_f183 edit FCSTHR '183' trigger ../../prdgen/jgfs_pgrb2_f183 == complete - endtask task jgfs_awips_f186 edit FCSTHR '186' trigger ../../prdgen/jgfs_pgrb2_f186 == complete - endtask task jgfs_awips_f189 edit FCSTHR '189' trigger ../../prdgen/jgfs_pgrb2_f189 == complete - endtask task jgfs_awips_f192 edit FCSTHR '192' trigger ../../prdgen/jgfs_pgrb2_f192 == complete - endtask task jgfs_awips_f195 edit FCSTHR '195' trigger ../../prdgen/jgfs_pgrb2_f195 == complete - endtask task jgfs_awips_f198 edit FCSTHR '198' trigger ../../prdgen/jgfs_pgrb2_f198 == complete - endtask task jgfs_awips_f201 edit FCSTHR '201' trigger ../../prdgen/jgfs_pgrb2_f201 == complete - endtask task jgfs_awips_f204 edit FCSTHR '204' trigger ../../prdgen/jgfs_pgrb2_f204 == complete - endtask task jgfs_awips_f207 edit FCSTHR '207' trigger ../../prdgen/jgfs_pgrb2_f207 == complete - endtask task jgfs_awips_f210 edit FCSTHR '210' trigger ../../prdgen/jgfs_pgrb2_f210 == complete - endtask task jgfs_awips_f213 edit FCSTHR '213' trigger ../../prdgen/jgfs_pgrb2_f213 == complete - endtask task jgfs_awips_f216 edit FCSTHR '216' trigger ../../prdgen/jgfs_pgrb2_f216 == complete - endtask task jgfs_awips_f219 edit FCSTHR '219' trigger ../../prdgen/jgfs_pgrb2_f219 == complete - endtask task jgfs_awips_f222 edit FCSTHR '222' trigger ../../prdgen/jgfs_pgrb2_f222 == complete - endtask task jgfs_awips_f225 edit FCSTHR '225' trigger ../../prdgen/jgfs_pgrb2_f225 == complete - endtask task jgfs_awips_f228 edit FCSTHR '228' trigger ../../prdgen/jgfs_pgrb2_f228 == complete - endtask task jgfs_awips_f231 edit FCSTHR '231' trigger ../../prdgen/jgfs_pgrb2_f231 == complete - endtask task jgfs_awips_f234 edit FCSTHR '234' trigger ../../prdgen/jgfs_pgrb2_f234 == complete - endtask task jgfs_awips_f237 edit FCSTHR '237' trigger ../../prdgen/jgfs_pgrb2_f237 == complete - endtask task jgfs_awips_f240 edit FCSTHR '240' trigger ../../prdgen/jgfs_pgrb2_f240 == complete - endtask task jgfs_awips_f252 edit FCSTHR '252' trigger ../../prdgen/jgfs_pgrb2_f252 == complete - endtask task jgfs_awips_f264 edit FCSTHR '264' trigger ../../prdgen/jgfs_pgrb2_f264 == complete - endtask task jgfs_awips_f276 edit FCSTHR '276' trigger ../../prdgen/jgfs_pgrb2_f276 == complete - endtask task jgfs_awips_f288 edit FCSTHR '288' trigger ../../prdgen/jgfs_pgrb2_f288 == complete - endtask task jgfs_awips_f300 edit FCSTHR '300' trigger ../../prdgen/jgfs_pgrb2_f300 == complete - endtask task jgfs_awips_f312 edit FCSTHR '312' trigger ../../prdgen/jgfs_pgrb2_f312 == complete - endtask task jgfs_awips_f324 edit FCSTHR '324' trigger ../../prdgen/jgfs_pgrb2_f324 == complete - endtask task jgfs_awips_f336 edit FCSTHR '336' trigger ../../prdgen/jgfs_pgrb2_f336 == complete - endtask task jgfs_awips_f348 edit FCSTHR '348' trigger ../../prdgen/jgfs_pgrb2_f348 == complete - endtask task jgfs_awips_f360 edit FCSTHR '360' trigger ../../prdgen/jgfs_pgrb2_f360 == complete - endtask task jgfs_awips_f372 edit FCSTHR '372' trigger ../../prdgen/jgfs_pgrb2_f372 == complete - endtask task jgfs_awips_f384 edit FCSTHR '384' trigger ../../prdgen/jgfs_pgrb2_f384 == complete - endtask - endfamily - family awips_20km + endfamily # /prod00/gfs/post_processing/awips_1p0deg + family awips_20km # /prod00/gfs/post_processing/awips_20km edit RES '20km' edit RESC '20KM' #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' task jgfs_awips_f000 edit FCSTHR '000' trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask task jgfs_awips_f001 edit FCSTHR '001' trigger ../../prdgen/jgfs_pgrb2_f01 == complete - endtask task jgfs_awips_f002 edit FCSTHR '002' trigger ../../prdgen/jgfs_pgrb2_f02 == complete - endtask task jgfs_awips_f003 edit FCSTHR '003' trigger ../../prdgen/jgfs_pgrb2_f03 == complete - endtask task jgfs_awips_f004 edit FCSTHR '004' trigger ../../prdgen/jgfs_pgrb2_f04 == complete - endtask task jgfs_awips_f005 edit FCSTHR '005' trigger ../../prdgen/jgfs_pgrb2_f05 == complete - endtask task jgfs_awips_f006 edit FCSTHR '006' trigger ../../prdgen/jgfs_pgrb2_f06 == complete - endtask task jgfs_awips_f007 edit FCSTHR '007' trigger ../../prdgen/jgfs_pgrb2_f07 == complete - endtask task jgfs_awips_f008 edit FCSTHR '008' trigger ../../prdgen/jgfs_pgrb2_f08 == complete - endtask task jgfs_awips_f009 edit FCSTHR '009' trigger ../../prdgen/jgfs_pgrb2_f09 == complete - endtask task jgfs_awips_f010 edit FCSTHR '010' trigger ../../prdgen/jgfs_pgrb2_f10 == complete - endtask task jgfs_awips_f011 edit FCSTHR '011' trigger ../../prdgen/jgfs_pgrb2_f11 == complete - endtask task jgfs_awips_f012 edit FCSTHR '012' trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask task jgfs_awips_f013 edit FCSTHR '013' trigger ../../prdgen/jgfs_pgrb2_f13 == complete - endtask task jgfs_awips_f014 edit FCSTHR '014' trigger ../../prdgen/jgfs_pgrb2_f14 == complete - endtask task jgfs_awips_f015 edit FCSTHR '015' trigger ../../prdgen/jgfs_pgrb2_f15 == complete - endtask task jgfs_awips_f016 edit FCSTHR '016' trigger ../../prdgen/jgfs_pgrb2_f16 == complete - endtask task jgfs_awips_f017 edit FCSTHR '017' trigger ../../prdgen/jgfs_pgrb2_f17 == complete - endtask task jgfs_awips_f018 edit FCSTHR '018' trigger ../../prdgen/jgfs_pgrb2_f18 == complete - endtask task jgfs_awips_f019 edit FCSTHR '019' trigger ../../prdgen/jgfs_pgrb2_f19 == complete - endtask task jgfs_awips_f020 edit FCSTHR '020' trigger ../../prdgen/jgfs_pgrb2_f20 == complete - endtask task jgfs_awips_f021 edit FCSTHR '021' trigger ../../prdgen/jgfs_pgrb2_f21 == complete - endtask task jgfs_awips_f022 edit FCSTHR '022' trigger ../../prdgen/jgfs_pgrb2_f22 == complete - endtask task jgfs_awips_f023 edit FCSTHR '023' trigger ../../prdgen/jgfs_pgrb2_f23 == complete - endtask task jgfs_awips_f024 edit FCSTHR '024' trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask task jgfs_awips_f025 edit FCSTHR '025' trigger ../../prdgen/jgfs_pgrb2_f25 == complete - endtask task jgfs_awips_f026 edit FCSTHR '026' trigger ../../prdgen/jgfs_pgrb2_f26 == complete - endtask task jgfs_awips_f027 edit FCSTHR '027' trigger ../../prdgen/jgfs_pgrb2_f27 == complete - endtask task jgfs_awips_f028 edit FCSTHR '028' trigger ../../prdgen/jgfs_pgrb2_f28 == complete - endtask task jgfs_awips_f029 edit FCSTHR '029' trigger ../../prdgen/jgfs_pgrb2_f29 == complete - endtask task jgfs_awips_f030 edit FCSTHR '030' trigger ../../prdgen/jgfs_pgrb2_f30 == complete - endtask task jgfs_awips_f031 edit FCSTHR '031' trigger ../../prdgen/jgfs_pgrb2_f31 == complete - endtask task jgfs_awips_f032 edit FCSTHR '032' trigger ../../prdgen/jgfs_pgrb2_f32 == complete - endtask task jgfs_awips_f033 edit FCSTHR '033' trigger ../../prdgen/jgfs_pgrb2_f33 == complete - endtask task jgfs_awips_f034 edit FCSTHR '034' trigger ../../prdgen/jgfs_pgrb2_f34 == complete - endtask task jgfs_awips_f035 edit FCSTHR '035' trigger ../../prdgen/jgfs_pgrb2_f35 == complete - endtask task jgfs_awips_f036 edit FCSTHR '036' trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask task jgfs_awips_f037 edit FCSTHR '037' trigger ../../prdgen/jgfs_pgrb2_f37 == complete - endtask task jgfs_awips_f038 edit FCSTHR '038' trigger ../../prdgen/jgfs_pgrb2_f38 == complete - endtask task jgfs_awips_f039 edit FCSTHR '039' trigger ../../prdgen/jgfs_pgrb2_f39 == complete - endtask task jgfs_awips_f040 edit FCSTHR '040' trigger ../../prdgen/jgfs_pgrb2_f40 == complete - endtask task jgfs_awips_f041 edit FCSTHR '041' trigger ../../prdgen/jgfs_pgrb2_f41 == complete - endtask task jgfs_awips_f042 edit FCSTHR '042' trigger ../../prdgen/jgfs_pgrb2_f42 == complete - endtask task jgfs_awips_f043 edit FCSTHR '043' trigger ../../prdgen/jgfs_pgrb2_f43 == complete - endtask task jgfs_awips_f044 edit FCSTHR '044' trigger ../../prdgen/jgfs_pgrb2_f44 == complete - endtask task jgfs_awips_f045 edit FCSTHR '045' trigger ../../prdgen/jgfs_pgrb2_f45 == complete - endtask task jgfs_awips_f046 edit FCSTHR '046' trigger ../../prdgen/jgfs_pgrb2_f46 == complete - endtask task jgfs_awips_f047 edit FCSTHR '047' trigger ../../prdgen/jgfs_pgrb2_f47 == complete - endtask task jgfs_awips_f048 edit FCSTHR '048' trigger ../../prdgen/jgfs_pgrb2_f48 == complete - endtask task jgfs_awips_f049 edit FCSTHR '049' trigger ../../prdgen/jgfs_pgrb2_f49 == complete - endtask task jgfs_awips_f050 edit FCSTHR '050' trigger ../../prdgen/jgfs_pgrb2_f50 == complete - endtask task jgfs_awips_f051 edit FCSTHR '051' trigger ../../prdgen/jgfs_pgrb2_f51 == complete - endtask task jgfs_awips_f052 edit FCSTHR '052' trigger ../../prdgen/jgfs_pgrb2_f52 == complete - endtask task jgfs_awips_f053 edit FCSTHR '053' trigger ../../prdgen/jgfs_pgrb2_f53 == complete - endtask task jgfs_awips_f054 edit FCSTHR '054' trigger ../../prdgen/jgfs_pgrb2_f54 == complete - endtask task jgfs_awips_f055 edit FCSTHR '055' trigger ../../prdgen/jgfs_pgrb2_f55 == complete - endtask task jgfs_awips_f056 edit FCSTHR '056' trigger ../../prdgen/jgfs_pgrb2_f56 == complete - endtask task jgfs_awips_f057 edit FCSTHR '057' trigger ../../prdgen/jgfs_pgrb2_f57 == complete - endtask task jgfs_awips_f058 edit FCSTHR '058' trigger ../../prdgen/jgfs_pgrb2_f58 == complete - endtask task jgfs_awips_f059 edit FCSTHR '059' trigger ../../prdgen/jgfs_pgrb2_f59 == complete - endtask task jgfs_awips_f060 edit FCSTHR '060' trigger ../../prdgen/jgfs_pgrb2_f60 == complete - endtask task jgfs_awips_f061 edit FCSTHR '061' trigger ../../prdgen/jgfs_pgrb2_f61 == complete - endtask task jgfs_awips_f062 edit FCSTHR '062' trigger ../../prdgen/jgfs_pgrb2_f62 == complete - endtask task jgfs_awips_f063 edit FCSTHR '063' trigger ../../prdgen/jgfs_pgrb2_f63 == complete - endtask task jgfs_awips_f064 edit FCSTHR '064' trigger ../../prdgen/jgfs_pgrb2_f64 == complete - endtask task jgfs_awips_f065 edit FCSTHR '065' trigger ../../prdgen/jgfs_pgrb2_f65 == complete - endtask task jgfs_awips_f066 edit FCSTHR '066' trigger ../../prdgen/jgfs_pgrb2_f66 == complete - endtask task jgfs_awips_f067 edit FCSTHR '067' trigger ../../prdgen/jgfs_pgrb2_f67 == complete - endtask task jgfs_awips_f068 edit FCSTHR '068' trigger ../../prdgen/jgfs_pgrb2_f68 == complete - endtask task jgfs_awips_f069 edit FCSTHR '069' trigger ../../prdgen/jgfs_pgrb2_f69 == complete - endtask task jgfs_awips_f070 edit FCSTHR '070' trigger ../../prdgen/jgfs_pgrb2_f70 == complete - endtask task jgfs_awips_f071 edit FCSTHR '071' trigger ../../prdgen/jgfs_pgrb2_f71 == complete - endtask task jgfs_awips_f072 edit FCSTHR '072' trigger ../../prdgen/jgfs_pgrb2_f72 == complete - endtask task jgfs_awips_f073 edit FCSTHR '073' trigger ../../prdgen/jgfs_pgrb2_f73 == complete - endtask task jgfs_awips_f074 edit FCSTHR '074' trigger ../../prdgen/jgfs_pgrb2_f74 == complete - endtask task jgfs_awips_f075 edit FCSTHR '075' trigger ../../prdgen/jgfs_pgrb2_f75 == complete - endtask task jgfs_awips_f076 edit FCSTHR '076' trigger ../../prdgen/jgfs_pgrb2_f76 == complete - endtask task jgfs_awips_f077 edit FCSTHR '077' trigger ../../prdgen/jgfs_pgrb2_f77 == complete - endtask task jgfs_awips_f078 edit FCSTHR '078' trigger ../../prdgen/jgfs_pgrb2_f78 == complete - endtask task jgfs_awips_f079 edit FCSTHR '079' trigger ../../prdgen/jgfs_pgrb2_f79 == complete - endtask task jgfs_awips_f080 edit FCSTHR '080' trigger ../../prdgen/jgfs_pgrb2_f80 == complete - endtask task jgfs_awips_f081 edit FCSTHR '081' trigger ../../prdgen/jgfs_pgrb2_f81 == complete - endtask task jgfs_awips_f082 edit FCSTHR '082' trigger ../../prdgen/jgfs_pgrb2_f82 == complete - endtask task jgfs_awips_f083 edit FCSTHR '083' trigger ../../prdgen/jgfs_pgrb2_f83 == complete - endtask task jgfs_awips_f084 edit FCSTHR '084' trigger ../../prdgen/jgfs_pgrb2_f84 == complete - endtask task jgfs_awips_f085 edit FCSTHR '085' trigger ../../prdgen/jgfs_pgrb2_f85 == complete - endtask task jgfs_awips_f086 edit FCSTHR '086' trigger ../../prdgen/jgfs_pgrb2_f86 == complete - endtask task jgfs_awips_f087 edit FCSTHR '087' trigger ../../prdgen/jgfs_pgrb2_f87 == complete - endtask task jgfs_awips_f088 edit FCSTHR '088' trigger ../../prdgen/jgfs_pgrb2_f88 == complete - endtask task jgfs_awips_f089 edit FCSTHR '089' trigger ../../prdgen/jgfs_pgrb2_f89 == complete - endtask task jgfs_awips_f090 edit FCSTHR '090' trigger ../../prdgen/jgfs_pgrb2_f90 == complete - endtask task jgfs_awips_f091 edit FCSTHR '091' trigger ../../prdgen/jgfs_pgrb2_f91 == complete - endtask task jgfs_awips_f092 edit FCSTHR '092' trigger ../../prdgen/jgfs_pgrb2_f92 == complete - endtask task jgfs_awips_f093 edit FCSTHR '093' trigger ../../prdgen/jgfs_pgrb2_f93 == complete - endtask task jgfs_awips_f094 edit FCSTHR '094' trigger ../../prdgen/jgfs_pgrb2_f94 == complete - endtask task jgfs_awips_f095 edit FCSTHR '095' trigger ../../prdgen/jgfs_pgrb2_f95 == complete - endtask task jgfs_awips_f096 edit FCSTHR '096' trigger ../../prdgen/jgfs_pgrb2_f96 == complete - endtask task jgfs_awips_f097 edit FCSTHR '097' trigger ../../prdgen/jgfs_pgrb2_f97 == complete - endtask task jgfs_awips_f098 edit FCSTHR '098' trigger ../../prdgen/jgfs_pgrb2_f98 == complete - endtask task jgfs_awips_f099 edit FCSTHR '099' trigger ../../prdgen/jgfs_pgrb2_f99 == complete - endtask task jgfs_awips_f100 edit FCSTHR '100' trigger ../../prdgen/jgfs_pgrb2_f100 == complete - endtask task jgfs_awips_f101 edit FCSTHR '101' trigger ../../prdgen/jgfs_pgrb2_f101 == complete - endtask task jgfs_awips_f102 edit FCSTHR '102' trigger ../../prdgen/jgfs_pgrb2_f102 == complete - endtask task jgfs_awips_f103 edit FCSTHR '103' trigger ../../prdgen/jgfs_pgrb2_f103 == complete - endtask task jgfs_awips_f104 edit FCSTHR '104' trigger ../../prdgen/jgfs_pgrb2_f104 == complete - endtask task jgfs_awips_f105 edit FCSTHR '105' trigger ../../prdgen/jgfs_pgrb2_f105 == complete - endtask task jgfs_awips_f106 edit FCSTHR '106' trigger ../../prdgen/jgfs_pgrb2_f106 == complete - endtask task jgfs_awips_f107 edit FCSTHR '107' trigger ../../prdgen/jgfs_pgrb2_f107 == complete - endtask task jgfs_awips_f108 edit FCSTHR '108' trigger ../../prdgen/jgfs_pgrb2_f108 == complete - endtask task jgfs_awips_f109 edit FCSTHR '109' trigger ../../prdgen/jgfs_pgrb2_f109 == complete - endtask task jgfs_awips_f110 edit FCSTHR '110' trigger ../../prdgen/jgfs_pgrb2_f110 == complete - endtask task jgfs_awips_f111 edit FCSTHR '111' trigger ../../prdgen/jgfs_pgrb2_f111 == complete - endtask task jgfs_awips_f112 edit FCSTHR '112' trigger ../../prdgen/jgfs_pgrb2_f112 == complete - endtask task jgfs_awips_f113 edit FCSTHR '113' trigger ../../prdgen/jgfs_pgrb2_f113 == complete - endtask task jgfs_awips_f114 edit FCSTHR '114' trigger ../../prdgen/jgfs_pgrb2_f114 == complete - endtask task jgfs_awips_f115 edit FCSTHR '115' trigger ../../prdgen/jgfs_pgrb2_f115 == complete - endtask task jgfs_awips_f116 edit FCSTHR '116' trigger ../../prdgen/jgfs_pgrb2_f116 == complete - endtask task jgfs_awips_f117 edit FCSTHR '117' trigger ../../prdgen/jgfs_pgrb2_f117 == complete - endtask task jgfs_awips_f118 edit FCSTHR '118' trigger ../../prdgen/jgfs_pgrb2_f118 == complete - endtask task jgfs_awips_f119 edit FCSTHR '119' trigger ../../prdgen/jgfs_pgrb2_f119 == complete - endtask task jgfs_awips_f120 edit FCSTHR '120' trigger ../../prdgen/jgfs_pgrb2_f120 == complete - endtask task jgfs_awips_f123 edit FCSTHR '123' trigger ../../prdgen/jgfs_pgrb2_f123 == complete - endtask task jgfs_awips_f126 edit FCSTHR '126' trigger ../../prdgen/jgfs_pgrb2_f126 == complete - endtask task jgfs_awips_f129 edit FCSTHR '129' trigger ../../prdgen/jgfs_pgrb2_f129 == complete - endtask task jgfs_awips_f132 edit FCSTHR '132' trigger ../../prdgen/jgfs_pgrb2_f132 == complete - endtask task jgfs_awips_f135 edit FCSTHR '135' trigger ../../prdgen/jgfs_pgrb2_f135 == complete - endtask task jgfs_awips_f138 edit FCSTHR '138' trigger ../../prdgen/jgfs_pgrb2_f138 == complete - endtask task jgfs_awips_f141 edit FCSTHR '141' trigger ../../prdgen/jgfs_pgrb2_f141 == complete - endtask task jgfs_awips_f144 edit FCSTHR '144' trigger ../../prdgen/jgfs_pgrb2_f144 == complete - endtask task jgfs_awips_f147 edit FCSTHR '147' trigger ../../prdgen/jgfs_pgrb2_f147 == complete - endtask task jgfs_awips_f150 edit FCSTHR '150' trigger ../../prdgen/jgfs_pgrb2_f150 == complete - endtask task jgfs_awips_f153 edit FCSTHR '153' trigger ../../prdgen/jgfs_pgrb2_f153 == complete - endtask task jgfs_awips_f156 edit FCSTHR '156' trigger ../../prdgen/jgfs_pgrb2_f156 == complete - endtask task jgfs_awips_f159 edit FCSTHR '159' trigger ../../prdgen/jgfs_pgrb2_f159 == complete - endtask task jgfs_awips_f162 edit FCSTHR '162' trigger ../../prdgen/jgfs_pgrb2_f162 == complete - endtask task jgfs_awips_f165 edit FCSTHR '165' trigger ../../prdgen/jgfs_pgrb2_f165 == complete - endtask task jgfs_awips_f168 edit FCSTHR '168' trigger ../../prdgen/jgfs_pgrb2_f168 == complete - endtask task jgfs_awips_f171 edit FCSTHR '171' trigger ../../prdgen/jgfs_pgrb2_f171 == complete - endtask task jgfs_awips_f174 edit FCSTHR '174' trigger ../../prdgen/jgfs_pgrb2_f174 == complete - endtask task jgfs_awips_f177 edit FCSTHR '177' trigger ../../prdgen/jgfs_pgrb2_f177 == complete - endtask task jgfs_awips_f180 edit FCSTHR '180' trigger ../../prdgen/jgfs_pgrb2_f180 == complete - endtask task jgfs_awips_f183 edit FCSTHR '183' trigger ../../prdgen/jgfs_pgrb2_f183 == complete - endtask task jgfs_awips_f186 edit FCSTHR '186' trigger ../../prdgen/jgfs_pgrb2_f186 == complete - endtask task jgfs_awips_f189 edit FCSTHR '189' trigger ../../prdgen/jgfs_pgrb2_f189 == complete - endtask task jgfs_awips_f192 edit FCSTHR '192' trigger ../../prdgen/jgfs_pgrb2_f192 == complete - endtask task jgfs_awips_f195 edit FCSTHR '195' trigger ../../prdgen/jgfs_pgrb2_f195 == complete - endtask task jgfs_awips_f198 edit FCSTHR '198' trigger ../../prdgen/jgfs_pgrb2_f198 == complete - endtask task jgfs_awips_f201 edit FCSTHR '201' trigger ../../prdgen/jgfs_pgrb2_f201 == complete - endtask task jgfs_awips_f204 edit FCSTHR '204' trigger ../../prdgen/jgfs_pgrb2_f204 == complete - endtask task jgfs_awips_f207 edit FCSTHR '207' trigger ../../prdgen/jgfs_pgrb2_f207 == complete - endtask task jgfs_awips_f210 edit FCSTHR '210' trigger ../../prdgen/jgfs_pgrb2_f210 == complete - endtask task jgfs_awips_f213 edit FCSTHR '213' trigger ../../prdgen/jgfs_pgrb2_f213 == complete - endtask task jgfs_awips_f216 edit FCSTHR '216' trigger ../../prdgen/jgfs_pgrb2_f216 == complete - endtask task jgfs_awips_f219 edit FCSTHR '219' trigger ../../prdgen/jgfs_pgrb2_f219 == complete - endtask task jgfs_awips_f222 edit FCSTHR '222' trigger ../../prdgen/jgfs_pgrb2_f222 == complete - endtask task jgfs_awips_f225 edit FCSTHR '225' trigger ../../prdgen/jgfs_pgrb2_f225 == complete - endtask task jgfs_awips_f228 edit FCSTHR '228' trigger ../../prdgen/jgfs_pgrb2_f228 == complete - endtask task jgfs_awips_f231 edit FCSTHR '231' trigger ../../prdgen/jgfs_pgrb2_f231 == complete - endtask task jgfs_awips_f234 edit FCSTHR '234' trigger ../../prdgen/jgfs_pgrb2_f234 == complete - endtask task jgfs_awips_f237 edit FCSTHR '237' trigger ../../prdgen/jgfs_pgrb2_f237 == complete - endtask task jgfs_awips_f240 edit FCSTHR '240' trigger ../../prdgen/jgfs_pgrb2_f240 == complete - endtask task jgfs_awips_f252 edit FCSTHR '252' trigger ../../prdgen/jgfs_pgrb2_f252 == complete - endtask task jgfs_awips_f264 edit FCSTHR '264' trigger ../../prdgen/jgfs_pgrb2_f264 == complete - endtask task jgfs_awips_f276 edit FCSTHR '276' trigger ../../prdgen/jgfs_pgrb2_f276 == complete - endtask task jgfs_awips_f288 edit FCSTHR '288' trigger ../../prdgen/jgfs_pgrb2_f288 == complete - endtask task jgfs_awips_f300 edit FCSTHR '300' trigger ../../prdgen/jgfs_pgrb2_f300 == complete - endtask task jgfs_awips_f312 edit FCSTHR '312' trigger ../../prdgen/jgfs_pgrb2_f312 == complete - endtask task jgfs_awips_f324 edit FCSTHR '324' trigger ../../prdgen/jgfs_pgrb2_f324 == complete - endtask task jgfs_awips_f336 edit FCSTHR '336' trigger ../../prdgen/jgfs_pgrb2_f336 == complete - endtask task jgfs_awips_f348 edit FCSTHR '348' trigger ../../prdgen/jgfs_pgrb2_f348 == complete - endtask task jgfs_awips_f360 edit FCSTHR '360' trigger ../../prdgen/jgfs_pgrb2_f360 == complete - endtask task jgfs_awips_f372 edit FCSTHR '372' trigger ../../prdgen/jgfs_pgrb2_f372 == complete - endtask task jgfs_awips_f384 edit FCSTHR '384' trigger ../../prdgen/jgfs_pgrb2_f384 == complete - endtask - endfamily - endfamily - family post + endfamily # /prod00/gfs/post_processing/awips_20km + endfamily # /prod00/gfs/post_processing + family post # /prod00/gfs/post task jgfs_post_anl edit FHR 'anl' edit HR 'anl' trigger ./jgfs_post_manager:release_postanl event 1 release_pgrb2_anl - endtask task jgfs_pgrb2_spec_post trigger ./jgfs_post_f336 == complete and ./jgfs_post_f348 == complete and ./jgfs_post_f360 == complete and ./jgfs_post_f372 == complete and ./jgfs_post_f384 == complete - endtask task jgfs_post_manager trigger ../jgfs_analysis == complete and ../forecast == complete event 1 release_postanl @@ -2481,878 +1917,706 @@ suite prod00 event 172 release_post360 event 173 release_post372 event 174 release_post384 - endtask task jgfs_post_f00 - edit FHR: 'f00' - edit HR: '00' + edit FHR 'f00' + edit HR '00' trigger ./jgfs_post_manager:release_post00 - endtask task jgfs_post_f01 - edit FHR: 'f01' - edit HR: '01' + edit FHR 'f01' + edit HR '01' trigger ./jgfs_post_manager:release_post01 - endtask task jgfs_post_f02 - edit FHR: 'f02' - edit HR: '02' + edit FHR 'f02' + edit HR '02' trigger ./jgfs_post_manager:release_post02 - endtask task jgfs_post_f03 - edit FHR: 'f03' - edit HR: '03' + edit FHR 'f03' + edit HR '03' trigger ./jgfs_post_manager:release_post03 - endtask task jgfs_post_f04 - edit FHR: 'f04' - edit HR: '04' + edit FHR 'f04' + edit HR '04' trigger ./jgfs_post_manager:release_post04 - endtask task jgfs_post_f05 - edit FHR: 'f05' - edit HR: '05' + edit FHR 'f05' + edit HR '05' trigger ./jgfs_post_manager:release_post05 - endtask task jgfs_post_f06 - edit FHR: 'f06' - edit HR: '06' + edit FHR 'f06' + edit HR '06' trigger ./jgfs_post_manager:release_post06 - endtask task jgfs_post_f07 - edit FHR: 'f07' - edit HR: '07' + edit FHR 'f07' + edit HR '07' trigger ./jgfs_post_manager:release_post07 - endtask task jgfs_post_f08 - edit FHR: 'f08' - edit HR: '08' + edit FHR 'f08' + edit HR '08' trigger ./jgfs_post_manager:release_post08 - endtask task jgfs_post_f09 - edit FHR: 'f09' - edit HR: '09' + edit FHR 'f09' + edit HR '09' trigger ./jgfs_post_manager:release_post09 - endtask task jgfs_post_f10 - edit FHR: 'f10' - edit HR: '10' + edit FHR 'f10' + edit HR '10' trigger ./jgfs_post_manager:release_post10 - endtask task jgfs_post_f11 - edit FHR: 'f11' - edit HR: '11' + edit FHR 'f11' + edit HR '11' trigger ./jgfs_post_manager:release_post11 - endtask task jgfs_post_f12 - edit FHR: 'f12' - edit HR: '12' + edit FHR 'f12' + edit HR '12' trigger ./jgfs_post_manager:release_post12 - endtask task jgfs_post_f13 - edit FHR: 'f13' - edit HR: '13' + edit FHR 'f13' + edit HR '13' trigger ./jgfs_post_manager:release_post13 - endtask task jgfs_post_f14 - edit FHR: 'f14' - edit HR: '14' + edit FHR 'f14' + edit HR '14' trigger ./jgfs_post_manager:release_post14 - endtask task jgfs_post_f15 - edit FHR: 'f15' - edit HR: '15' + edit FHR 'f15' + edit HR '15' trigger ./jgfs_post_manager:release_post15 - endtask task jgfs_post_f16 - edit FHR: 'f16' - edit HR: '16' + edit FHR 'f16' + edit HR '16' trigger ./jgfs_post_manager:release_post16 - endtask task jgfs_post_f17 - edit FHR: 'f17' - edit HR: '17' + edit FHR 'f17' + edit HR '17' trigger ./jgfs_post_manager:release_post17 - endtask task jgfs_post_f18 - edit FHR: 'f18' - edit HR: '18' + edit FHR 'f18' + edit HR '18' trigger ./jgfs_post_manager:release_post18 - endtask task jgfs_post_f19 - edit FHR: 'f19' - edit HR: '19' + edit FHR 'f19' + edit HR '19' trigger ./jgfs_post_manager:release_post19 - endtask task jgfs_post_f20 - edit FHR: 'f20' - edit HR: '20' + edit FHR 'f20' + edit HR '20' trigger ./jgfs_post_manager:release_post20 - endtask task jgfs_post_f21 - edit FHR: 'f21' - edit HR: '21' + edit FHR 'f21' + edit HR '21' trigger ./jgfs_post_manager:release_post21 - endtask task jgfs_post_f22 - edit FHR: 'f22' - edit HR: '22' + edit FHR 'f22' + edit HR '22' trigger ./jgfs_post_manager:release_post22 - endtask task jgfs_post_f23 - edit FHR: 'f23' - edit HR: '23' + edit FHR 'f23' + edit HR '23' trigger ./jgfs_post_manager:release_post23 - endtask task jgfs_post_f24 - edit FHR: 'f24' - edit HR: '24' + edit FHR 'f24' + edit HR '24' trigger ./jgfs_post_manager:release_post24 - endtask task jgfs_post_f25 - edit FHR: 'f25' - edit HR: '25' + edit FHR 'f25' + edit HR '25' trigger ./jgfs_post_manager:release_post25 - endtask task jgfs_post_f26 - edit FHR: 'f26' - edit HR: '26' + edit FHR 'f26' + edit HR '26' trigger ./jgfs_post_manager:release_post26 - endtask task jgfs_post_f27 - edit FHR: 'f27' - edit HR: '27' + edit FHR 'f27' + edit HR '27' trigger ./jgfs_post_manager:release_post27 - endtask task jgfs_post_f28 - edit FHR: 'f28' - edit HR: '28' + edit FHR 'f28' + edit HR '28' trigger ./jgfs_post_manager:release_post28 - endtask task jgfs_post_f29 - edit FHR: 'f29' - edit HR: '29' + edit FHR 'f29' + edit HR '29' trigger ./jgfs_post_manager:release_post29 - endtask task jgfs_post_f30 - edit FHR: 'f30' - edit HR: '30' + edit FHR 'f30' + edit HR '30' trigger ./jgfs_post_manager:release_post30 - endtask task jgfs_post_f31 - edit FHR: 'f31' - edit HR: '31' + edit FHR 'f31' + edit HR '31' trigger ./jgfs_post_manager:release_post31 - endtask task jgfs_post_f32 - edit FHR: 'f32' - edit HR: '32' + edit FHR 'f32' + edit HR '32' trigger ./jgfs_post_manager:release_post32 - endtask task jgfs_post_f33 - edit FHR: 'f33' - edit HR: '33' + edit FHR 'f33' + edit HR '33' trigger ./jgfs_post_manager:release_post33 - endtask task jgfs_post_f34 - edit FHR: 'f34' - edit HR: '34' + edit FHR 'f34' + edit HR '34' trigger ./jgfs_post_manager:release_post34 - endtask task jgfs_post_f35 - edit FHR: 'f35' - edit HR: '35' + edit FHR 'f35' + edit HR '35' trigger ./jgfs_post_manager:release_post35 - endtask task jgfs_post_f36 - edit FHR: 'f36' - edit HR: '36' + edit FHR 'f36' + edit HR '36' trigger ./jgfs_post_manager:release_post36 - endtask task jgfs_post_f37 - edit FHR: 'f37' - edit HR: '37' + edit FHR 'f37' + edit HR '37' trigger ./jgfs_post_manager:release_post37 - endtask task jgfs_post_f38 - edit FHR: 'f38' - edit HR: '38' + edit FHR 'f38' + edit HR '38' trigger ./jgfs_post_manager:release_post38 - endtask task jgfs_post_f39 - edit FHR: 'f39' - edit HR: '39' + edit FHR 'f39' + edit HR '39' trigger ./jgfs_post_manager:release_post39 - endtask task jgfs_post_f40 - edit FHR: 'f40' - edit HR: '40' + edit FHR 'f40' + edit HR '40' trigger ./jgfs_post_manager:release_post40 - endtask task jgfs_post_f41 - edit FHR: 'f41' - edit HR: '41' + edit FHR 'f41' + edit HR '41' trigger ./jgfs_post_manager:release_post41 - endtask task jgfs_post_f42 - edit FHR: 'f42' - edit HR: '42' + edit FHR 'f42' + edit HR '42' trigger ./jgfs_post_manager:release_post42 - endtask task jgfs_post_f43 - edit FHR: 'f43' - edit HR: '43' + edit FHR 'f43' + edit HR '43' trigger ./jgfs_post_manager:release_post43 - endtask task jgfs_post_f44 - edit FHR: 'f44' - edit HR: '44' + edit FHR 'f44' + edit HR '44' trigger ./jgfs_post_manager:release_post44 - endtask task jgfs_post_f45 - edit FHR: 'f45' - edit HR: '45' + edit FHR 'f45' + edit HR '45' trigger ./jgfs_post_manager:release_post45 - endtask task jgfs_post_f46 - edit FHR: 'f46' - edit HR: '46' + edit FHR 'f46' + edit HR '46' trigger ./jgfs_post_manager:release_post46 - endtask task jgfs_post_f47 - edit FHR: 'f47' - edit HR: '47' + edit FHR 'f47' + edit HR '47' trigger ./jgfs_post_manager:release_post47 - endtask task jgfs_post_f48 - edit FHR: 'f48' - edit HR: '48' + edit FHR 'f48' + edit HR '48' trigger ./jgfs_post_manager:release_post48 - endtask task jgfs_post_f49 - edit FHR: 'f49' - edit HR: '49' + edit FHR 'f49' + edit HR '49' trigger ./jgfs_post_manager:release_post49 - endtask task jgfs_post_f50 - edit FHR: 'f50' - edit HR: '50' + edit FHR 'f50' + edit HR '50' trigger ./jgfs_post_manager:release_post50 - endtask task jgfs_post_f51 - edit FHR: 'f51' - edit HR: '51' + edit FHR 'f51' + edit HR '51' trigger ./jgfs_post_manager:release_post51 - endtask task jgfs_post_f52 - edit FHR: 'f52' - edit HR: '52' + edit FHR 'f52' + edit HR '52' trigger ./jgfs_post_manager:release_post52 - endtask task jgfs_post_f53 - edit FHR: 'f53' - edit HR: '53' + edit FHR 'f53' + edit HR '53' trigger ./jgfs_post_manager:release_post53 - endtask task jgfs_post_f54 - edit FHR: 'f54' - edit HR: '54' + edit FHR 'f54' + edit HR '54' trigger ./jgfs_post_manager:release_post54 - endtask task jgfs_post_f55 - edit FHR: 'f55' - edit HR: '55' + edit FHR 'f55' + edit HR '55' trigger ./jgfs_post_manager:release_post55 - endtask task jgfs_post_f56 - edit FHR: 'f56' - edit HR: '56' + edit FHR 'f56' + edit HR '56' trigger ./jgfs_post_manager:release_post56 - endtask task jgfs_post_f57 - edit FHR: 'f57' - edit HR: '57' + edit FHR 'f57' + edit HR '57' trigger ./jgfs_post_manager:release_post57 - endtask task jgfs_post_f58 - edit FHR: 'f58' - edit HR: '58' + edit FHR 'f58' + edit HR '58' trigger ./jgfs_post_manager:release_post58 - endtask task jgfs_post_f59 - edit FHR: 'f59' - edit HR: '59' + edit FHR 'f59' + edit HR '59' trigger ./jgfs_post_manager:release_post59 - endtask task jgfs_post_f60 - edit FHR: 'f60' - edit HR: '60' + edit FHR 'f60' + edit HR '60' trigger ./jgfs_post_manager:release_post60 - endtask task jgfs_post_f61 - edit FHR: 'f61' - edit HR: '61' + edit FHR 'f61' + edit HR '61' trigger ./jgfs_post_manager:release_post61 - endtask task jgfs_post_f62 - edit FHR: 'f62' - edit HR: '62' + edit FHR 'f62' + edit HR '62' trigger ./jgfs_post_manager:release_post62 - endtask task jgfs_post_f63 - edit FHR: 'f63' - edit HR: '63' + edit FHR 'f63' + edit HR '63' trigger ./jgfs_post_manager:release_post63 - endtask task jgfs_post_f64 - edit FHR: 'f64' - edit HR: '64' + edit FHR 'f64' + edit HR '64' trigger ./jgfs_post_manager:release_post64 - endtask task jgfs_post_f65 - edit FHR: 'f65' - edit HR: '65' + edit FHR 'f65' + edit HR '65' trigger ./jgfs_post_manager:release_post65 - endtask task jgfs_post_f66 - edit FHR: 'f66' - edit HR: '66' + edit FHR 'f66' + edit HR '66' trigger ./jgfs_post_manager:release_post66 - endtask task jgfs_post_f67 - edit FHR: 'f67' - edit HR: '67' + edit FHR 'f67' + edit HR '67' trigger ./jgfs_post_manager:release_post67 - endtask task jgfs_post_f68 - edit FHR: 'f68' - edit HR: '68' + edit FHR 'f68' + edit HR '68' trigger ./jgfs_post_manager:release_post68 - endtask task jgfs_post_f69 - edit FHR: 'f69' - edit HR: '69' + edit FHR 'f69' + edit HR '69' trigger ./jgfs_post_manager:release_post69 - endtask task jgfs_post_f70 - edit FHR: 'f70' - edit HR: '70' + edit FHR 'f70' + edit HR '70' trigger ./jgfs_post_manager:release_post70 - endtask task jgfs_post_f71 - edit FHR: 'f71' - edit HR: '71' + edit FHR 'f71' + edit HR '71' trigger ./jgfs_post_manager:release_post71 - endtask task jgfs_post_f72 - edit FHR: 'f72' - edit HR: '72' + edit FHR 'f72' + edit HR '72' trigger ./jgfs_post_manager:release_post72 - endtask task jgfs_post_f73 - edit FHR: 'f73' - edit HR: '73' + edit FHR 'f73' + edit HR '73' trigger ./jgfs_post_manager:release_post73 - endtask task jgfs_post_f74 - edit FHR: 'f74' - edit HR: '74' + edit FHR 'f74' + edit HR '74' trigger ./jgfs_post_manager:release_post74 - endtask task jgfs_post_f75 - edit FHR: 'f75' - edit HR: '75' + edit FHR 'f75' + edit HR '75' trigger ./jgfs_post_manager:release_post75 - endtask task jgfs_post_f76 - edit FHR: 'f76' - edit HR: '76' + edit FHR 'f76' + edit HR '76' trigger ./jgfs_post_manager:release_post76 - endtask task jgfs_post_f77 - edit FHR: 'f77' - edit HR: '77' + edit FHR 'f77' + edit HR '77' trigger ./jgfs_post_manager:release_post77 - endtask task jgfs_post_f78 - edit FHR: 'f78' - edit HR: '78' + edit FHR 'f78' + edit HR '78' trigger ./jgfs_post_manager:release_post78 - endtask task jgfs_post_f79 - edit FHR: 'f79' - edit HR: '79' + edit FHR 'f79' + edit HR '79' trigger ./jgfs_post_manager:release_post79 - endtask task jgfs_post_f80 - edit FHR: 'f80' - edit HR: '80' + edit FHR 'f80' + edit HR '80' trigger ./jgfs_post_manager:release_post80 - endtask task jgfs_post_f81 - edit FHR: 'f81' - edit HR: '81' + edit FHR 'f81' + edit HR '81' trigger ./jgfs_post_manager:release_post81 - endtask task jgfs_post_f82 - edit FHR: 'f82' - edit HR: '82' + edit FHR 'f82' + edit HR '82' trigger ./jgfs_post_manager:release_post82 - endtask task jgfs_post_f83 - edit FHR: 'f83' - edit HR: '83' + edit FHR 'f83' + edit HR '83' trigger ./jgfs_post_manager:release_post83 - endtask task jgfs_post_f84 - edit FHR: 'f84' - edit HR: '84' + edit FHR 'f84' + edit HR '84' trigger ./jgfs_post_manager:release_post84 - endtask task jgfs_post_f85 - edit FHR: 'f85' - edit HR: '85' + edit FHR 'f85' + edit HR '85' trigger ./jgfs_post_manager:release_post85 - endtask task jgfs_post_f86 - edit FHR: 'f86' - edit HR: '86' + edit FHR 'f86' + edit HR '86' trigger ./jgfs_post_manager:release_post86 - endtask task jgfs_post_f87 - edit FHR: 'f87' - edit HR: '87' + edit FHR 'f87' + edit HR '87' trigger ./jgfs_post_manager:release_post87 - endtask task jgfs_post_f88 - edit FHR: 'f88' - edit HR: '88' + edit FHR 'f88' + edit HR '88' trigger ./jgfs_post_manager:release_post88 - endtask task jgfs_post_f89 - edit FHR: 'f89' - edit HR: '89' + edit FHR 'f89' + edit HR '89' trigger ./jgfs_post_manager:release_post89 - endtask task jgfs_post_f90 - edit FHR: 'f90' - edit HR: '90' + edit FHR 'f90' + edit HR '90' trigger ./jgfs_post_manager:release_post90 - endtask task jgfs_post_f91 - edit FHR: 'f91' - edit HR: '91' + edit FHR 'f91' + edit HR '91' trigger ./jgfs_post_manager:release_post91 - endtask task jgfs_post_f92 - edit FHR: 'f92' - edit HR: '92' + edit FHR 'f92' + edit HR '92' trigger ./jgfs_post_manager:release_post92 - endtask task jgfs_post_f93 - edit FHR: 'f93' - edit HR: '93' + edit FHR 'f93' + edit HR '93' trigger ./jgfs_post_manager:release_post93 - endtask task jgfs_post_f94 - edit FHR: 'f94' - edit HR: '94' + edit FHR 'f94' + edit HR '94' trigger ./jgfs_post_manager:release_post94 - endtask task jgfs_post_f95 - edit FHR: 'f95' - edit HR: '95' + edit FHR 'f95' + edit HR '95' trigger ./jgfs_post_manager:release_post95 - endtask task jgfs_post_f96 - edit FHR: 'f96' - edit HR: '96' + edit FHR 'f96' + edit HR '96' trigger ./jgfs_post_manager:release_post96 - endtask task jgfs_post_f97 - edit FHR: 'f97' - edit HR: '97' + edit FHR 'f97' + edit HR '97' trigger ./jgfs_post_manager:release_post97 - endtask task jgfs_post_f98 - edit FHR: 'f98' - edit HR: '98' + edit FHR 'f98' + edit HR '98' trigger ./jgfs_post_manager:release_post98 - endtask task jgfs_post_f99 - edit FHR: 'f99' - edit HR: '99' + edit FHR 'f99' + edit HR '99' trigger ./jgfs_post_manager:release_post99 - endtask task jgfs_post_f100 - edit FHR: 'f100' - edit HR: '100' + edit FHR 'f100' + edit HR '100' trigger ./jgfs_post_manager:release_post100 - endtask task jgfs_post_f101 - edit FHR: 'f101' - edit HR: '101' + edit FHR 'f101' + edit HR '101' trigger ./jgfs_post_manager:release_post101 - endtask task jgfs_post_f102 - edit FHR: 'f102' - edit HR: '102' + edit FHR 'f102' + edit HR '102' trigger ./jgfs_post_manager:release_post102 - endtask task jgfs_post_f103 - edit FHR: 'f103' - edit HR: '103' + edit FHR 'f103' + edit HR '103' trigger ./jgfs_post_manager:release_post103 - endtask task jgfs_post_f104 - edit FHR: 'f104' - edit HR: '104' + edit FHR 'f104' + edit HR '104' trigger ./jgfs_post_manager:release_post104 - endtask task jgfs_post_f105 - edit FHR: 'f105' - edit HR: '105' + edit FHR 'f105' + edit HR '105' trigger ./jgfs_post_manager:release_post105 - endtask task jgfs_post_f106 - edit FHR: 'f106' - edit HR: '106' + edit FHR 'f106' + edit HR '106' trigger ./jgfs_post_manager:release_post106 - endtask task jgfs_post_f107 - edit FHR: 'f107' - edit HR: '107' + edit FHR 'f107' + edit HR '107' trigger ./jgfs_post_manager:release_post107 - endtask task jgfs_post_f108 - edit FHR: 'f108' - edit HR: '108' + edit FHR 'f108' + edit HR '108' trigger ./jgfs_post_manager:release_post108 - endtask task jgfs_post_f109 - edit FHR: 'f109' - edit HR: '109' + edit FHR 'f109' + edit HR '109' trigger ./jgfs_post_manager:release_post109 - endtask task jgfs_post_f110 - edit FHR: 'f110' - edit HR: '110' + edit FHR 'f110' + edit HR '110' trigger ./jgfs_post_manager:release_post110 - endtask task jgfs_post_f111 - edit FHR: 'f111' - edit HR: '111' + edit FHR 'f111' + edit HR '111' trigger ./jgfs_post_manager:release_post111 - endtask task jgfs_post_f112 - edit FHR: 'f112' - edit HR: '112' + edit FHR 'f112' + edit HR '112' trigger ./jgfs_post_manager:release_post112 - endtask task jgfs_post_f113 - edit FHR: 'f113' - edit HR: '113' + edit FHR 'f113' + edit HR '113' trigger ./jgfs_post_manager:release_post113 - endtask task jgfs_post_f114 - edit FHR: 'f114' - edit HR: '114' + edit FHR 'f114' + edit HR '114' trigger ./jgfs_post_manager:release_post114 - endtask task jgfs_post_f115 - edit FHR: 'f115' - edit HR: '115' + edit FHR 'f115' + edit HR '115' trigger ./jgfs_post_manager:release_post115 - endtask task jgfs_post_f116 - edit FHR: 'f116' - edit HR: '116' + edit FHR 'f116' + edit HR '116' trigger ./jgfs_post_manager:release_post116 - endtask task jgfs_post_f117 - edit FHR: 'f117' - edit HR: '117' + edit FHR 'f117' + edit HR '117' trigger ./jgfs_post_manager:release_post117 - endtask task jgfs_post_f118 - edit FHR: 'f118' - edit HR: '118' + edit FHR 'f118' + edit HR '118' trigger ./jgfs_post_manager:release_post118 - endtask task jgfs_post_f119 - edit FHR: 'f119' - edit HR: '119' + edit FHR 'f119' + edit HR '119' trigger ./jgfs_post_manager:release_post119 - endtask task jgfs_post_f120 - edit FHR: 'f120' - edit HR: '120' + edit FHR 'f120' + edit HR '120' trigger ./jgfs_post_manager:release_post120 - endtask task jgfs_post_f123 - edit FHR: 'f123' - edit HR: '123' + edit FHR 'f123' + edit HR '123' trigger ./jgfs_post_manager:release_post123 - endtask task jgfs_post_f126 - edit FHR: 'f126' - edit HR: '126' + edit FHR 'f126' + edit HR '126' trigger ./jgfs_post_manager:release_post126 - endtask task jgfs_post_f129 - edit FHR: 'f129' - edit HR: '129' + edit FHR 'f129' + edit HR '129' trigger ./jgfs_post_manager:release_post129 - endtask task jgfs_post_f132 - edit FHR: 'f132' - edit HR: '132' + edit FHR 'f132' + edit HR '132' trigger ./jgfs_post_manager:release_post132 - endtask task jgfs_post_f135 - edit FHR: 'f135' - edit HR: '135' + edit FHR 'f135' + edit HR '135' trigger ./jgfs_post_manager:release_post135 - endtask task jgfs_post_f138 - edit FHR: 'f138' - edit HR: '138' + edit FHR 'f138' + edit HR '138' trigger ./jgfs_post_manager:release_post138 - endtask task jgfs_post_f141 - edit FHR: 'f141' - edit HR: '141' + edit FHR 'f141' + edit HR '141' trigger ./jgfs_post_manager:release_post141 - endtask task jgfs_post_f144 - edit FHR: 'f144' - edit HR: '144' + edit FHR 'f144' + edit HR '144' trigger ./jgfs_post_manager:release_post144 - endtask task jgfs_post_f147 - edit FHR: 'f147' - edit HR: '147' + edit FHR 'f147' + edit HR '147' trigger ./jgfs_post_manager:release_post147 - endtask task jgfs_post_f150 - edit FHR: 'f150' - edit HR: '150' + edit FHR 'f150' + edit HR '150' trigger ./jgfs_post_manager:release_post150 - endtask task jgfs_post_f153 - edit FHR: 'f153' - edit HR: '153' + edit FHR 'f153' + edit HR '153' trigger ./jgfs_post_manager:release_post153 - endtask task jgfs_post_f156 - edit FHR: 'f156' - edit HR: '156' + edit FHR 'f156' + edit HR '156' trigger ./jgfs_post_manager:release_post156 - endtask task jgfs_post_f159 - edit FHR: 'f159' - edit HR: '159' + edit FHR 'f159' + edit HR '159' trigger ./jgfs_post_manager:release_post159 - endtask task jgfs_post_f162 - edit FHR: 'f162' - edit HR: '162' + edit FHR 'f162' + edit HR '162' trigger ./jgfs_post_manager:release_post162 - endtask task jgfs_post_f165 - edit FHR: 'f165' - edit HR: '165' + edit FHR 'f165' + edit HR '165' trigger ./jgfs_post_manager:release_post165 - endtask task jgfs_post_f168 - edit FHR: 'f168' - edit HR: '168' + edit FHR 'f168' + edit HR '168' trigger ./jgfs_post_manager:release_post168 - endtask task jgfs_post_f171 - edit FHR: 'f171' - edit HR: '171' + edit FHR 'f171' + edit HR '171' trigger ./jgfs_post_manager:release_post171 - endtask task jgfs_post_f174 - edit FHR: 'f174' - edit HR: '174' + edit FHR 'f174' + edit HR '174' trigger ./jgfs_post_manager:release_post174 - endtask task jgfs_post_f177 - edit FHR: 'f177' - edit HR: '177' + edit FHR 'f177' + edit HR '177' trigger ./jgfs_post_manager:release_post177 - endtask task jgfs_post_f180 - edit FHR: 'f180' - edit HR: '180' + edit FHR 'f180' + edit HR '180' trigger ./jgfs_post_manager:release_post180 - endtask task jgfs_post_f183 - edit FHR: 'f183' - edit HR: '183' + edit FHR 'f183' + edit HR '183' trigger ./jgfs_post_manager:release_post183 - endtask task jgfs_post_f186 - edit FHR: 'f186' - edit HR: '186' + edit FHR 'f186' + edit HR '186' trigger ./jgfs_post_manager:release_post186 - endtask task jgfs_post_f189 - edit FHR: 'f189' - edit HR: '189' + edit FHR 'f189' + edit HR '189' trigger ./jgfs_post_manager:release_post189 - endtask task jgfs_post_f192 - edit FHR: 'f192' - edit HR: '192' + edit FHR 'f192' + edit HR '192' trigger ./jgfs_post_manager:release_post192 - endtask task jgfs_post_f195 - edit FHR: 'f195' - edit HR: '195' + edit FHR 'f195' + edit HR '195' trigger ./jgfs_post_manager:release_post195 - endtask task jgfs_post_f198 - edit FHR: 'f198' - edit HR: '198' + edit FHR 'f198' + edit HR '198' trigger ./jgfs_post_manager:release_post198 - endtask task jgfs_post_f201 - edit FHR: 'f201' - edit HR: '201' + edit FHR 'f201' + edit HR '201' trigger ./jgfs_post_manager:release_post201 - endtask task jgfs_post_f204 - edit FHR: 'f204' - edit HR: '204' + edit FHR 'f204' + edit HR '204' trigger ./jgfs_post_manager:release_post204 - endtask task jgfs_post_f207 - edit FHR: 'f207' - edit HR: '207' + edit FHR 'f207' + edit HR '207' trigger ./jgfs_post_manager:release_post207 - endtask task jgfs_post_f210 - edit FHR: 'f210' - edit HR: '210' + edit FHR 'f210' + edit HR '210' trigger ./jgfs_post_manager:release_post210 - endtask task jgfs_post_f213 - edit FHR: 'f213' - edit HR: '213' + edit FHR 'f213' + edit HR '213' trigger ./jgfs_post_manager:release_post213 - endtask task jgfs_post_f216 - edit FHR: 'f216' - edit HR: '216' + edit FHR 'f216' + edit HR '216' trigger ./jgfs_post_manager:release_post216 - endtask task jgfs_post_f219 - edit FHR: 'f219' - edit HR: '219' + edit FHR 'f219' + edit HR '219' trigger ./jgfs_post_manager:release_post219 - endtask task jgfs_post_f222 - edit FHR: 'f222' - edit HR: '222' + edit FHR 'f222' + edit HR '222' trigger ./jgfs_post_manager:release_post222 - endtask task jgfs_post_f225 - edit FHR: 'f225' - edit HR: '225' + edit FHR 'f225' + edit HR '225' trigger ./jgfs_post_manager:release_post225 - endtask task jgfs_post_f228 - edit FHR: 'f228' - edit HR: '228' + edit FHR 'f228' + edit HR '228' trigger ./jgfs_post_manager:release_post228 - endtask task jgfs_post_f231 - edit FHR: 'f231' - edit HR: '231' + edit FHR 'f231' + edit HR '231' trigger ./jgfs_post_manager:release_post231 - endtask task jgfs_post_f234 - edit FHR: 'f234' - edit HR: '234' + edit FHR 'f234' + edit HR '234' trigger ./jgfs_post_manager:release_post234 - endtask task jgfs_post_f237 - edit FHR: 'f237' - edit HR: '237' + edit FHR 'f237' + edit HR '237' trigger ./jgfs_post_manager:release_post237 - endtask task jgfs_post_f240 - edit FHR: 'f240' - edit HR: '240' + edit FHR 'f240' + edit HR '240' trigger ./jgfs_post_manager:release_post240 - endtask task jgfs_post_f252 - edit FHR: 'f252' - edit HR: '252' + edit FHR 'f252' + edit HR '252' trigger ./jgfs_post_manager:release_post252 - endtask task jgfs_post_f264 - edit FHR: 'f264' - edit HR: '264' + edit FHR 'f264' + edit HR '264' trigger ./jgfs_post_manager:release_post264 - endtask task jgfs_post_f276 - edit FHR: 'f276' - edit HR: '276' + edit FHR 'f276' + edit HR '276' trigger ./jgfs_post_manager:release_post276 - endtask task jgfs_post_f288 - edit FHR: 'f288' - edit HR: '288' + edit FHR 'f288' + edit HR '288' trigger ./jgfs_post_manager:release_post288 - endtask task jgfs_post_f300 - edit FHR: 'f300' - edit HR: '300' + edit FHR 'f300' + edit HR '300' trigger ./jgfs_post_manager:release_post300 - endtask task jgfs_post_f312 - edit FHR: 'f312' - edit HR: '312' + edit FHR 'f312' + edit HR '312' trigger ./jgfs_post_manager:release_post312 - endtask task jgfs_post_f324 - edit FHR: 'f324' - edit HR: '324' + edit FHR 'f324' + edit HR '324' trigger ./jgfs_post_manager:release_post324 - endtask task jgfs_post_f336 - edit FHR: 'f336' - edit HR: '336' + edit FHR 'f336' + edit HR '336' trigger ./jgfs_post_manager:release_post336 - endtask task jgfs_post_f348 - edit FHR: 'f348' - edit HR: '348' + edit FHR 'f348' + edit HR '348' trigger ./jgfs_post_manager:release_post348 - endtask task jgfs_post_f360 - edit FHR: 'f360' - edit HR: '360' + edit FHR 'f360' + edit HR '360' trigger ./jgfs_post_manager:release_post360 - endtask task jgfs_post_f372 - edit FHR: 'f372' - edit HR: '372' + edit FHR 'f372' + edit HR '372' trigger ./jgfs_post_manager:release_post372 - endtask task jgfs_post_f384 - edit FHR: 'f384' - edit HR: '384' + edit FHR 'f384' + edit HR '384' trigger ./jgfs_post_manager:release_post384 - endtask - endfamily - family prdgen + endfamily # /prod00/gfs/post + family prdgen # /prod00/gfs/prdgen + task jgfs_npoess_pgrb2_0p5deg + trigger ../post == complete task jgfs_pgrb2_anl edit FHR 'anl' edit HR 'anl' - endtask + trigger ../post/jgfs_post_anl:release_pgrb2_anl task jgfs_pgrb2_manager trigger ../post == complete event 2 release_pgrb2_00 @@ -3528,839 +2792,803 @@ suite prod00 event 172 release_pgrb2_360 event 173 release_pgrb2_372 event 174 release_pgrb2_384 - endtask task jgfs_pgrb2_f00 edit FHR '00' edit HR '00' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_00 and ../post/jgfs_post_f00 == complete task jgfs_pgrb2_f01 edit FHR '01' edit HR '01' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_01 and ../post/jgfs_post_f01 == complete task jgfs_pgrb2_f02 edit FHR '02' edit HR '02' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_02 and ../post/jgfs_post_f02 == complete task jgfs_pgrb2_f03 edit FHR '03' edit HR '03' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_03 and ../post/jgfs_post_f03 == complete task jgfs_pgrb2_f04 edit FHR '04' edit HR '04' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_04 and ../post/jgfs_post_f04 == complete task jgfs_pgrb2_f05 edit FHR '05' edit HR '05' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_05 and ../post/jgfs_post_f05 == complete task jgfs_pgrb2_f06 edit FHR '06' edit HR '06' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_06 and ../post/jgfs_post_f06 == complete task jgfs_pgrb2_f07 edit FHR '07' edit HR '07' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_07 and ../post/jgfs_post_f07 == complete task jgfs_pgrb2_f08 edit FHR '08' edit HR '08' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_08 and ../post/jgfs_post_f08 == complete task jgfs_pgrb2_f09 edit FHR '09' edit HR '09' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_09 and ../post/jgfs_post_f09 == complete task jgfs_pgrb2_f10 edit FHR '10' edit HR '10' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_10 and ../post/jgfs_post_f10 == complete task jgfs_pgrb2_f11 edit FHR '11' edit HR '11' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_11 and ../post/jgfs_post_f11 == complete task jgfs_pgrb2_f12 edit FHR '12' edit HR '12' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_12 and ../post/jgfs_post_f12 == complete task jgfs_pgrb2_f13 edit FHR '13' edit HR '13' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_13 and ../post/jgfs_post_f13 == complete task jgfs_pgrb2_f14 edit FHR '14' edit HR '14' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_14 and ../post/jgfs_post_f14 == complete task jgfs_pgrb2_f15 edit FHR '15' edit HR '15' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_15 and ../post/jgfs_post_f15 == complete task jgfs_pgrb2_f16 edit FHR '16' edit HR '16' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_16 and ../post/jgfs_post_f16 == complete task jgfs_pgrb2_f17 edit FHR '17' edit HR '17' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_17 and ../post/jgfs_post_f17 == complete task jgfs_pgrb2_f18 edit FHR '18' edit HR '18' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_18 and ../post/jgfs_post_f18 == complete task jgfs_pgrb2_f19 edit FHR '19' edit HR '19' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_19 and ../post/jgfs_post_f19 == complete task jgfs_pgrb2_f20 edit FHR '20' edit HR '20' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_20 and ../post/jgfs_post_f20 == complete task jgfs_pgrb2_f21 edit FHR '21' edit HR '21' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_21 and ../post/jgfs_post_f21 == complete task jgfs_pgrb2_f22 edit FHR '22' edit HR '22' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_22 and ../post/jgfs_post_f22 == complete task jgfs_pgrb2_f23 edit FHR '23' edit HR '23' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_23 and ../post/jgfs_post_f23 == complete task jgfs_pgrb2_f24 edit FHR '24' edit HR '24' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_24 and ../post/jgfs_post_f24 == complete task jgfs_pgrb2_f25 edit FHR '25' edit HR '25' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_25 and ../post/jgfs_post_f25 == complete task jgfs_pgrb2_f26 edit FHR '26' edit HR '26' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_26 and ../post/jgfs_post_f26 == complete task jgfs_pgrb2_f27 edit FHR '27' edit HR '27' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_27 and ../post/jgfs_post_f27 == complete task jgfs_pgrb2_f28 edit FHR '28' edit HR '28' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_28 and ../post/jgfs_post_f28 == complete task jgfs_pgrb2_f29 edit FHR '29' edit HR '29' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_29 and ../post/jgfs_post_f29 == complete task jgfs_pgrb2_f30 edit FHR '30' edit HR '30' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_30 and ../post/jgfs_post_f30 == complete task jgfs_pgrb2_f31 edit FHR '31' edit HR '31' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_31 and ../post/jgfs_post_f31 == complete task jgfs_pgrb2_f32 edit FHR '32' edit HR '32' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_32 and ../post/jgfs_post_f32 == complete task jgfs_pgrb2_f33 edit FHR '33' edit HR '33' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_33 and ../post/jgfs_post_f33 == complete task jgfs_pgrb2_f34 edit FHR '34' edit HR '34' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_34 and ../post/jgfs_post_f34 == complete task jgfs_pgrb2_f35 edit FHR '35' edit HR '35' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_35 and ../post/jgfs_post_f35 == complete task jgfs_pgrb2_f36 edit FHR '36' edit HR '36' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_36 and ../post/jgfs_post_f36 == complete task jgfs_pgrb2_f37 edit FHR '37' edit HR '37' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_37 and ../post/jgfs_post_f37 == complete task jgfs_pgrb2_f38 edit FHR '38' edit HR '38' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_38 and ../post/jgfs_post_f38 == complete task jgfs_pgrb2_f39 edit FHR '39' edit HR '39' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_39 and ../post/jgfs_post_f39 == complete task jgfs_pgrb2_f40 edit FHR '40' edit HR '40' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_40 and ../post/jgfs_post_f40 == complete task jgfs_pgrb2_f41 edit FHR '41' edit HR '41' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_41 and ../post/jgfs_post_f41 == complete task jgfs_pgrb2_f42 edit FHR '42' edit HR '42' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_42 and ../post/jgfs_post_f42 == complete task jgfs_pgrb2_f43 edit FHR '43' edit HR '43' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_43 and ../post/jgfs_post_f43 == complete task jgfs_pgrb2_f44 edit FHR '44' edit HR '44' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_44 and ../post/jgfs_post_f44 == complete task jgfs_pgrb2_f45 edit FHR '45' edit HR '45' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_45 and ../post/jgfs_post_f45 == complete task jgfs_pgrb2_f46 edit FHR '46' edit HR '46' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_46 and ../post/jgfs_post_f46 == complete task jgfs_pgrb2_f47 edit FHR '47' edit HR '47' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_47 and ../post/jgfs_post_f47 == complete task jgfs_pgrb2_f48 edit FHR '48' edit HR '48' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_48 and ../post/jgfs_post_f48 == complete task jgfs_pgrb2_f49 edit FHR '49' edit HR '49' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_49 and ../post/jgfs_post_f49 == complete task jgfs_pgrb2_f50 edit FHR '50' edit HR '50' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_50 and ../post/jgfs_post_f50 == complete task jgfs_pgrb2_f51 edit FHR '51' edit HR '51' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_51 and ../post/jgfs_post_f51 == complete task jgfs_pgrb2_f52 edit FHR '52' edit HR '52' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_52 and ../post/jgfs_post_f52 == complete task jgfs_pgrb2_f53 edit FHR '53' edit HR '53' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_53 and ../post/jgfs_post_f53 == complete task jgfs_pgrb2_f54 edit FHR '54' edit HR '54' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_54 and ../post/jgfs_post_f54 == complete task jgfs_pgrb2_f55 edit FHR '55' edit HR '55' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_55 and ../post/jgfs_post_f55 == complete task jgfs_pgrb2_f56 edit FHR '56' edit HR '56' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_56 and ../post/jgfs_post_f56 == complete task jgfs_pgrb2_f57 edit FHR '57' edit HR '57' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_57 and ../post/jgfs_post_f57 == complete task jgfs_pgrb2_f58 edit FHR '58' edit HR '58' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_58 and ../post/jgfs_post_f58 == complete task jgfs_pgrb2_f59 edit FHR '59' edit HR '59' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_59 and ../post/jgfs_post_f59 == complete task jgfs_pgrb2_f60 edit FHR '60' edit HR '60' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_60 and ../post/jgfs_post_f60 == complete task jgfs_pgrb2_f61 edit FHR '61' edit HR '61' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_61 and ../post/jgfs_post_f61 == complete task jgfs_pgrb2_f62 edit FHR '62' edit HR '62' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_62 and ../post/jgfs_post_f62 == complete task jgfs_pgrb2_f63 edit FHR '63' edit HR '63' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_63 and ../post/jgfs_post_f63 == complete task jgfs_pgrb2_f64 edit FHR '64' edit HR '64' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_64 and ../post/jgfs_post_f64 == complete task jgfs_pgrb2_f65 edit FHR '65' edit HR '65' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_65 and ../post/jgfs_post_f65 == complete task jgfs_pgrb2_f66 edit FHR '66' edit HR '66' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_66 and ../post/jgfs_post_f66 == complete task jgfs_pgrb2_f67 edit FHR '67' edit HR '67' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_67 and ../post/jgfs_post_f67 == complete task jgfs_pgrb2_f68 edit FHR '68' edit HR '68' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_68 and ../post/jgfs_post_f68 == complete task jgfs_pgrb2_f69 edit FHR '69' edit HR '69' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_69 and ../post/jgfs_post_f69 == complete task jgfs_pgrb2_f70 edit FHR '70' edit HR '70' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_70 and ../post/jgfs_post_f70 == complete task jgfs_pgrb2_f71 edit FHR '71' edit HR '71' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_71 and ../post/jgfs_post_f71 == complete task jgfs_pgrb2_f72 edit FHR '72' edit HR '72' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_72 and ../post/jgfs_post_f72 == complete task jgfs_pgrb2_f73 edit FHR '73' edit HR '73' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_73 and ../post/jgfs_post_f73 == complete task jgfs_pgrb2_f74 edit FHR '74' edit HR '74' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_74 and ../post/jgfs_post_f74 == complete task jgfs_pgrb2_f75 edit FHR '75' edit HR '75' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_75 and ../post/jgfs_post_f75 == complete task jgfs_pgrb2_f76 edit FHR '76' edit HR '76' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_76 and ../post/jgfs_post_f76 == complete task jgfs_pgrb2_f77 edit FHR '77' edit HR '77' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_77 and ../post/jgfs_post_f77 == complete task jgfs_pgrb2_f78 edit FHR '78' edit HR '78' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_78 and ../post/jgfs_post_f78 == complete task jgfs_pgrb2_f79 edit FHR '79' edit HR '79' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_79 and ../post/jgfs_post_f79 == complete task jgfs_pgrb2_f80 edit FHR '80' edit HR '80' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_80 and ../post/jgfs_post_f80 == complete task jgfs_pgrb2_f81 edit FHR '81' edit HR '81' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_81 and ../post/jgfs_post_f81 == complete task jgfs_pgrb2_f82 edit FHR '82' edit HR '82' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_82 and ../post/jgfs_post_f82 == complete task jgfs_pgrb2_f83 edit FHR '83' edit HR '83' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_83 and ../post/jgfs_post_f83 == complete task jgfs_pgrb2_f84 edit FHR '84' edit HR '84' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_84 and ../post/jgfs_post_f84 == complete task jgfs_pgrb2_f85 edit FHR '85' edit HR '85' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_85 and ../post/jgfs_post_f85 == complete task jgfs_pgrb2_f86 edit FHR '86' edit HR '86' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_86 and ../post/jgfs_post_f86 == complete task jgfs_pgrb2_f87 edit FHR '87' edit HR '87' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_87 and ../post/jgfs_post_f87 == complete task jgfs_pgrb2_f88 edit FHR '88' edit HR '88' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_88 and ../post/jgfs_post_f88 == complete task jgfs_pgrb2_f89 edit FHR '89' edit HR '89' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_89 and ../post/jgfs_post_f89 == complete task jgfs_pgrb2_f90 edit FHR '90' edit HR '90' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_90 and ../post/jgfs_post_f90 == complete task jgfs_pgrb2_f91 edit FHR '91' edit HR '91' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_91 and ../post/jgfs_post_f91 == complete task jgfs_pgrb2_f92 edit FHR '92' edit HR '92' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_92 and ../post/jgfs_post_f92 == complete task jgfs_pgrb2_f93 edit FHR '93' edit HR '93' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_93 and ../post/jgfs_post_f93 == complete task jgfs_pgrb2_f94 edit FHR '94' edit HR '94' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_94 and ../post/jgfs_post_f94 == complete task jgfs_pgrb2_f95 edit FHR '95' edit HR '95' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_95 and ../post/jgfs_post_f95 == complete task jgfs_pgrb2_f96 edit FHR '96' edit HR '96' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_96 and ../post/jgfs_post_f96 == complete task jgfs_pgrb2_f97 edit FHR '97' edit HR '97' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_97 and ../post/jgfs_post_f97 == complete task jgfs_pgrb2_f98 edit FHR '98' edit HR '98' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_98 and ../post/jgfs_post_f98 == complete task jgfs_pgrb2_f99 edit FHR '99' edit HR '99' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_99 and ../post/jgfs_post_f99 == complete task jgfs_pgrb2_f100 edit FHR '100' edit HR '100' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_100 and ../post/jgfs_post_f100 == complete task jgfs_pgrb2_f101 edit FHR '101' edit HR '101' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_101 and ../post/jgfs_post_f101 == complete task jgfs_pgrb2_f102 edit FHR '102' edit HR '102' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_102 and ../post/jgfs_post_f102 == complete task jgfs_pgrb2_f103 edit FHR '103' edit HR '103' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_103 and ../post/jgfs_post_f103 == complete task jgfs_pgrb2_f104 edit FHR '104' edit HR '104' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_104 and ../post/jgfs_post_f104 == complete task jgfs_pgrb2_f105 edit FHR '105' edit HR '105' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_105 and ../post/jgfs_post_f105 == complete task jgfs_pgrb2_f106 edit FHR '106' edit HR '106' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_106 and ../post/jgfs_post_f106 == complete task jgfs_pgrb2_f107 edit FHR '107' edit HR '107' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_107 and ../post/jgfs_post_f107 == complete task jgfs_pgrb2_f108 edit FHR '108' edit HR '108' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_108 and ../post/jgfs_post_f108 == complete task jgfs_pgrb2_f109 edit FHR '109' edit HR '109' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_109 and ../post/jgfs_post_f109 == complete task jgfs_pgrb2_f110 edit FHR '110' edit HR '110' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_110 and ../post/jgfs_post_f110 == complete task jgfs_pgrb2_f111 edit FHR '111' edit HR '111' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_111 and ../post/jgfs_post_f111 == complete task jgfs_pgrb2_f112 edit FHR '112' edit HR '112' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_112 and ../post/jgfs_post_f112 == complete task jgfs_pgrb2_f113 edit FHR '113' edit HR '113' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_113 and ../post/jgfs_post_f113 == complete task jgfs_pgrb2_f114 edit FHR '114' edit HR '114' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_114 and ../post/jgfs_post_f114 == complete task jgfs_pgrb2_f115 edit FHR '115' edit HR '115' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_115 and ../post/jgfs_post_f115 == complete task jgfs_pgrb2_f116 edit FHR '116' edit HR '116' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_116 and ../post/jgfs_post_f116 == complete task jgfs_pgrb2_f117 edit FHR '117' edit HR '117' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_117 and ../post/jgfs_post_f117 == complete task jgfs_pgrb2_f118 edit FHR '118' edit HR '118' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_118 and ../post/jgfs_post_f118 == complete task jgfs_pgrb2_f119 edit FHR '119' edit HR '119' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_119 and ../post/jgfs_post_f119 == complete task jgfs_pgrb2_f120 edit FHR '120' edit HR '120' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_120 and ../post/jgfs_post_f120 == complete task jgfs_pgrb2_f123 edit FHR '123' edit HR '123' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_123 and ../post/jgfs_post_f123 == complete task jgfs_pgrb2_f126 edit FHR '126' edit HR '126' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_126 and ../post/jgfs_post_f126 == complete task jgfs_pgrb2_f129 edit FHR '129' edit HR '129' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_129 and ../post/jgfs_post_f129 == complete task jgfs_pgrb2_f132 edit FHR '132' edit HR '132' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_132 and ../post/jgfs_post_f132 == complete task jgfs_pgrb2_f135 edit FHR '135' edit HR '135' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_135 and ../post/jgfs_post_f135 == complete task jgfs_pgrb2_f138 edit FHR '138' edit HR '138' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_138 and ../post/jgfs_post_f138 == complete task jgfs_pgrb2_f141 edit FHR '141' edit HR '141' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_141 and ../post/jgfs_post_f141 == complete task jgfs_pgrb2_f144 edit FHR '144' edit HR '144' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_144 and ../post/jgfs_post_f144 == complete task jgfs_pgrb2_f147 edit FHR '147' edit HR '147' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_147 and ../post/jgfs_post_f147 == complete task jgfs_pgrb2_f150 edit FHR '150' edit HR '150' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_150 and ../post/jgfs_post_f150 == complete task jgfs_pgrb2_f153 edit FHR '153' edit HR '153' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_153 and ../post/jgfs_post_f153 == complete task jgfs_pgrb2_f156 edit FHR '156' edit HR '156' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_156 and ../post/jgfs_post_f156 == complete task jgfs_pgrb2_f159 edit FHR '159' edit HR '159' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_159 and ../post/jgfs_post_f159 == complete task jgfs_pgrb2_f162 edit FHR '162' edit HR '162' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_162 and ../post/jgfs_post_f162 == complete task jgfs_pgrb2_f165 edit FHR '165' edit HR '165' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_165 and ../post/jgfs_post_f165 == complete task jgfs_pgrb2_f168 edit FHR '168' edit HR '168' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_168 and ../post/jgfs_post_f168 == complete task jgfs_pgrb2_f171 edit FHR '171' edit HR '171' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_171 and ../post/jgfs_post_f171 == complete task jgfs_pgrb2_f174 edit FHR '174' edit HR '174' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_174 and ../post/jgfs_post_f174 == complete task jgfs_pgrb2_f177 edit FHR '177' edit HR '177' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_177 and ../post/jgfs_post_f177 == complete task jgfs_pgrb2_f180 edit FHR '180' edit HR '180' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_180 and ../post/jgfs_post_f180 == complete task jgfs_pgrb2_f183 edit FHR '183' edit HR '183' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_183 and ../post/jgfs_post_f183 == complete task jgfs_pgrb2_f186 edit FHR '186' edit HR '186' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_186 and ../post/jgfs_post_f186 == complete task jgfs_pgrb2_f189 edit FHR '189' edit HR '189' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_189 and ../post/jgfs_post_f189 == complete task jgfs_pgrb2_f192 edit FHR '192' edit HR '192' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_192 and ../post/jgfs_post_f192 == complete task jgfs_pgrb2_f195 edit FHR '195' edit HR '195' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_195 and ../post/jgfs_post_f195 == complete task jgfs_pgrb2_f198 edit FHR '198' edit HR '198' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_198 and ../post/jgfs_post_f198 == complete task jgfs_pgrb2_f201 edit FHR '201' edit HR '201' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_201 and ../post/jgfs_post_f201 == complete task jgfs_pgrb2_f204 edit FHR '204' edit HR '204' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_204 and ../post/jgfs_post_f204 == complete task jgfs_pgrb2_f207 edit FHR '207' edit HR '207' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_207 and ../post/jgfs_post_f207 == complete task jgfs_pgrb2_f210 edit FHR '210' edit HR '210' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_210 and ../post/jgfs_post_f210 == complete task jgfs_pgrb2_f213 edit FHR '213' edit HR '213' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_213 and ../post/jgfs_post_f213 == complete task jgfs_pgrb2_f216 edit FHR '216' edit HR '216' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_216 and ../post/jgfs_post_f216 == complete task jgfs_pgrb2_f219 edit FHR '219' edit HR '219' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_219 and ../post/jgfs_post_f219 == complete task jgfs_pgrb2_f222 edit FHR '222' edit HR '222' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_222 and ../post/jgfs_post_f222 == complete task jgfs_pgrb2_f225 edit FHR '225' edit HR '225' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_225 and ../post/jgfs_post_f225 == complete task jgfs_pgrb2_f228 edit FHR '228' edit HR '228' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_228 and ../post/jgfs_post_f228 == complete task jgfs_pgrb2_f231 edit FHR '231' edit HR '231' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_231 and ../post/jgfs_post_f231 == complete task jgfs_pgrb2_f234 edit FHR '234' edit HR '234' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_234 and ../post/jgfs_post_f234 == complete task jgfs_pgrb2_f237 edit FHR '237' edit HR '237' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_237 and ../post/jgfs_post_f237 == complete task jgfs_pgrb2_f240 edit FHR '240' edit HR '240' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_240 and ../post/jgfs_post_f240 == complete task jgfs_pgrb2_f252 edit FHR '252' edit HR '252' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_252 and ../post/jgfs_post_f252 == complete task jgfs_pgrb2_f264 edit FHR '264' edit HR '264' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_264 and ../post/jgfs_post_f264 == complete task jgfs_pgrb2_f276 edit FHR '276' edit HR '276' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_276 and ../post/jgfs_post_f276 == complete task jgfs_pgrb2_f288 edit FHR '288' edit HR '288' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_288 and ../post/jgfs_post_f288 == complete task jgfs_pgrb2_f300 edit FHR '300' edit HR '300' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_300 and ../post/jgfs_post_f300 == complete task jgfs_pgrb2_f312 edit FHR '312' edit HR '312' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_312 and ../post/jgfs_post_f312 == complete task jgfs_pgrb2_f324 edit FHR '324' edit HR '324' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_324 and ../post/jgfs_post_f324 == complete task jgfs_pgrb2_f336 edit FHR '336' edit HR '336' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_336 and ../post/jgfs_post_f336 == complete task jgfs_pgrb2_f348 edit FHR '348' edit HR '348' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_348 and ../post/jgfs_post_f348 == complete task jgfs_pgrb2_f360 edit FHR '360' edit HR '360' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_360 and ../post/jgfs_post_f360 == complete task jgfs_pgrb2_f372 edit FHR '372' edit HR '372' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_372 and ../post/jgfs_post_f372 == complete task jgfs_pgrb2_f384 edit FHR '384' edit HR '384' - endtask - endfamily - family gempak + trigger ./jgfs_pgrb2_manager:release_pgrb2_384 and ../post/jgfs_post_f384 == complete + endfamily # /prod00/gfs/prdgen + family gempak # /prod00/gfs/gempak task jgfs_gempak_upapgif trigger ../dump/jgfs_dump == complete - endtask task jgfs_gempak_ncdc trigger ./jgfs_gempak == active or ./jgfs_gempak == complete - endtask task jgfs_gempak trigger ../jgfs_analysis == complete - endtask task jgfs_gempak_meta trigger ../jgfs_analysis == complete - endtask - endfamily - endfamily - family gdas + task jgfs_pgrb2_spec_gempak + trigger ../post/jgfs_pgrb2_spec_post == complete + endfamily # /prod00/gfs/gempak + endfamily # /prod00/gfs + family gdas # /prod00/gdas + #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' edit PROJ '%PROJENVIR%' edit MODEL_NAME 'gdas' task jgdas_verfrad trigger ./enkf == complete - endtask task jgdas_vminmon trigger ./analysis/jgdas_analysis_high == complete - endtask - family dump + family dump # /prod00/gdas/dump task jgdas_ics event 1 release_gdas00_ics - endtask task jgdas_tropcy_qc_reloc trigger ./jgdas_dump == complete - endtask task jgdas_dump event 1 release_sfcprep - endtask - endfamily - family prep + endfamily # /prod00/gdas/dump + family prep # /prod00/gdas/prep task jgdas_emcsfc_sfc_prep trigger ../dump/jgdas_dump:release_sfcprep - endtask task jgdas_prep - trigger ../dump/jgdas_dump == complete and ../dump/jgdas_tropcy_qc_reloc == complete - endtask + trigger ../dump/jgdas_dump == complete and ../dump/jgdas_tropcy_qc_reloc == complete and /prod18/gdas/post == complete task jgdas_prep_post trigger ../analysis/jgdas_analysis_high == complete - endtask - endfamily - family analysis + endfamily # /prod00/gdas/prep + family analysis # /prod00/gdas/analysis task jgdas_analysis_high - trigger ../prep/jgdas_prep == complete and ../prep/jgdas_emcsfc_sfc_prep == complete + trigger ../prep/jgdas_prep == complete and ../prep/jgdas_emcsfc_sfc_prep == complete and /prod18/gdas/enkf/jgdas_enkf_post == complete event 1 release_fcst - endtask - endfamily - family forecast + endfamily # /prod00/gdas/analysis + family forecast # /prod00/gdas/forecast task jgdas_forecast trigger ../analysis/jgdas_analysis_high:release_fcst and ../enkf/innovate == complete event 1 release_fcst - endtask - endfamily - family post_processing - family bulletins + endfamily # /prod00/gdas/forecast + family post_processing # /prod00/gdas/post_processing + family bulletins # /prod00/gdas/post_processing/bulletins task jgdas_mknavybulls trigger ../../dump/jgdas_dump == complete - endtask - endfamily - endfamily - family gempak + endfamily # /prod00/gdas/post_processing/bulletins + endfamily # /prod00/gdas/post_processing + family gempak # /prod00/gdas/gempak task jgdas_gempak trigger ../forecast/jgdas_forecast == complete - endtask task jgdas_gempak_meta trigger ./jgdas_gempak == complete - endtask task jgdas_gempak_ncdc trigger ./jgdas_gempak == complete - endtask - endfamily - family post + endfamily # /prod00/gdas/gempak + family post # /prod00/gdas/post task jgdas_post trigger ../forecast/jgdas_forecast == complete - endtask - endfamily - family enkf + endfamily # /prod00/gdas/post + family enkf # /prod00/gdas/enkf task jgdas_enkf_select_obs trigger ../prep/jgdas_prep == complete and /prod18/gdas/enkf/jgdas_enkf_post == complete - endtask - family innovate + family innovate # /prod00/gdas/enkf/innovate trigger ./jgdas_enkf_select_obs == complete task jgdas_enkf_innovate_obs_grp1 - endtask task jgdas_enkf_innovate_obs_grp2 - endtask task jgdas_enkf_innovate_obs_grp3 - endtask task jgdas_enkf_innovate_obs_grp4 - endtask task jgdas_enkf_innovate_obs_grp5 - endtask task jgdas_enkf_innovate_obs_grp6 - endtask task jgdas_enkf_innovate_obs_grp7 - endtask task jgdas_enkf_innovate_obs_grp8 - endtask - endfamily + endfamily # /prod00/gdas/enkf/innovate task jgdas_enkf_update edit ECF_PASS 'FREE' trigger ./innovate == complete - endtask task jgdas_enkf_inflate_recenter trigger ./jgdas_enkf_update == complete and ../analysis/jgdas_analysis_high == complete - endtask - family forecast + family forecast # /prod00/gdas/enkf/forecast trigger ./jgdas_enkf_inflate_recenter == complete task jgdas_enkf_fcst_grp1 - endtask task jgdas_enkf_fcst_grp2 - endtask task jgdas_enkf_fcst_grp3 - endtask task jgdas_enkf_fcst_grp4 - endtask task jgdas_enkf_fcst_grp5 - endtask task jgdas_enkf_fcst_grp6 - endtask task jgdas_enkf_fcst_grp7 - endtask task jgdas_enkf_fcst_grp8 - endtask - endfamily + endfamily # /prod00/gdas/enkf/forecast task jgdas_enkf_post trigger ./forecast == complete - endtask - endfamily - endfamily + endfamily # /prod00/gdas/enkf + endfamily # /prod00/gdas task cycle_end - edit ECF_JOB_CMD '%ECF_JOB% 1> %ECF_JOBOUT% 2>&1' + #edit ECF_JOB_CMD '%ECF_JOB% 1> %ECF_JOBOUT% 2>&1' + #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' edit ECF_PASS 'FREE' - endtask -endsuite +endsuite # /prod00 diff --git a/model/ecflow_fv3gfs/defs/prod06.def b/model/ecflow_fv3gfs/defs/prod06.def index 1834dfb..72d2be4 100644 --- a/model/ecflow_fv3gfs/defs/prod06.def +++ b/model/ecflow_fv3gfs/defs/prod06.def @@ -1,4 +1,5 @@ extern /prod00/gdas/enkf/jgdas_enkf_post +extern /prod00/gdas/post suite prod06 repeat day 1 edit ECF_TRIES '1' @@ -10,6 +11,7 @@ suite prod06 edit CYC '06' edit ENVIR 'prod' edit PROJ 'GFS-T2O' + edit PROJECT 'GFS-T2O' edit E 'jecffv3' #edit QUEUE 'dev' edit QUEUE 'debug' @@ -24,2287 +26,1721 @@ suite prod06 edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' edit MODEL_NAME 'gfs' - family gfs + family gfs # /prod06/gfs edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' edit PROJ '%PROJENVIR%' edit MODEL_NAME 'gfs' - family dump + family dump # /prod06/gfs/dump task jgfs_tropcy_qc_reloc trigger ./jgfs_dump == complete event 1 jtwc_bull_email - endtask task jgfs_dump event 1 release_sfcprep - endtask - endfamily - family prep + endfamily # /prod06/gfs/dump + family prep # /prod06/gfs/prep task jgfs_emcsfc_sfc_prep trigger ../dump/jgfs_dump:release_sfcprep - endtask task jgfs_prep trigger ../dump == complete - endtask task jgfs_prep_post trigger ../jgfs_analysis == complete - endtask - endfamily + endfamily # /prod06/gfs/prep task jgfs_analysis - trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete - endtask + trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete and /prod00/gdas/enkf/jgdas_enkf_post == complete task jgfs_vminmon trigger ./jgfs_analysis == complete - endtask - family forecast + family forecast # /prod06/gfs/forecast task jgfs_forecast_high trigger ../jgfs_analysis == complete - endtask task jgfs_forecast_low trigger ./jgfs_forecast_high == complete - endtask - endfamily - family sminit_guam + endfamily # /prod06/gfs/forecast + family sminit_guam # /prod06/gfs/sminit_guam task jgfs_sminit_guam_even trigger ../post/jgfs_post_anl == active or ../post/jgfs_post_anl == complete - endtask task jgfs_sminit_guam_odd trigger ../post/jgfs_post_anl == active or ../post/jgfs_post_anl == complete - endtask - endfamily - family post_processing - task dummy - trigger ../forecast == complete - endtask - family fax + endfamily # /prod06/gfs/sminit_guam + family post_processing # /prod06/gfs/post_processing + family fax # /prod06/gfs/post_processing/fax task jgfs_fax_f00 edit FCSTHR '00' trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_anl == complete - endtask task jgfs_fax_anl edit FCSTHR 'anl' trigger ../../prdgen/jgfs_pgrb2_anl == complete - endtask task jgfs_fax_wafs_f12 edit FCSTHR '12' trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask task jgfs_fax_wafs_f24 edit FCSTHR '24' trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask task jgfs_fax_wafs_f36 edit FCSTHR '36' trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask - endfamily - family grib_wafs + endfamily # /prod06/gfs/post_processing/fax + family grib_wafs # /prod06/gfs/post_processing/grib_wafs task jgfs_wafs_f00 edit FCSTHR '00' trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f120 == complete and ../grib2_wafs/jgfs_wafs_grib2 == complete - endtask task jgfs_wafs_f06 edit FCSTHR '06' trigger ../../prdgen/jgfs_pgrb2_f06 == complete and ./jgfs_wafs_f00 == complete - endtask task jgfs_wafs_f12 edit FCSTHR '12' trigger ../../prdgen/jgfs_pgrb2_f12 == complete and ./jgfs_wafs_f06 == complete - endtask task jgfs_wafs_f18 edit FCSTHR '18' trigger ../../prdgen/jgfs_pgrb2_f18 == complete and ./jgfs_wafs_f12 == complete - endtask task jgfs_wafs_f24 edit FCSTHR '24' trigger ../../prdgen/jgfs_pgrb2_f24 == complete and ./jgfs_wafs_f18 == complete - endtask task jgfs_wafs_f30 edit FCSTHR '30' trigger ../../prdgen/jgfs_pgrb2_f30 == complete and ./jgfs_wafs_f24 == complete - endtask task jgfs_wafs_f36 edit FCSTHR '36' trigger ../../prdgen/jgfs_pgrb2_f36 == complete and ./jgfs_wafs_f30 == complete - endtask task jgfs_wafs_f42 edit FCSTHR '42' trigger ../../prdgen/jgfs_pgrb2_f42 == complete and ./jgfs_wafs_f36 == complete - endtask task jgfs_wafs_f48 edit FCSTHR '48' trigger ../../prdgen/jgfs_pgrb2_f48 == complete and ./jgfs_wafs_f42 == complete - endtask task jgfs_wafs_f54 edit FCSTHR '54' trigger ../../prdgen/jgfs_pgrb2_f54 == complete and ./jgfs_wafs_f48 == complete - endtask task jgfs_wafs_f60 edit FCSTHR '60' trigger ../../prdgen/jgfs_pgrb2_f60 == complete and ./jgfs_wafs_f54 == complete - endtask task jgfs_wafs_f66 edit FCSTHR '66' trigger ../../prdgen/jgfs_pgrb2_f66 == complete and ./jgfs_wafs_f60 == complete - endtask task jgfs_wafs_f72 edit FCSTHR '72' trigger ../../prdgen/jgfs_pgrb2_f72 == complete and ./jgfs_wafs_f66 == complete - endtask task jgfs_wafs_f78 edit FCSTHR '78' trigger ../../prdgen/jgfs_pgrb2_f78 == complete and ./jgfs_wafs_f72 == complete - endtask task jgfs_wafs_f84 edit FCSTHR '84' trigger ../../prdgen/jgfs_pgrb2_f84 == complete and ./jgfs_wafs_f78 == complete - endtask task jgfs_wafs_f90 edit FCSTHR '90' trigger ../../prdgen/jgfs_pgrb2_f90 == complete and ./jgfs_wafs_f84 == complete - endtask task jgfs_wafs_f96 edit FCSTHR '96' trigger ../../prdgen/jgfs_pgrb2_f96 == complete and ./jgfs_wafs_f90 == complete - endtask task jgfs_wafs_f102 edit FCSTHR '102' trigger ../../prdgen/jgfs_pgrb2_f102 == complete and ./jgfs_wafs_f96 == complete - endtask task jgfs_wafs_f108 edit FCSTHR '108' trigger ../../prdgen/jgfs_pgrb2_f108 == complete and ./jgfs_wafs_f102 == complete - endtask task jgfs_wafs_f114 edit FCSTHR '114' trigger ../../prdgen/jgfs_pgrb2_f114 == complete and ./jgfs_wafs_f108 == complete - endtask task jgfs_wafs_f120 edit FCSTHR '120' trigger ../../prdgen/jgfs_pgrb2_f120 == complete and ./jgfs_wafs_f114 == complete - endtask - endfamily - family bufr_sounding + endfamily # /prod06/gfs/post_processing/grib_wafs + family bufr_sounding # /prod06/gfs/post_processing/bufr_sounding task jgfs_postsnd trigger ../../post/jgfs_post_manager:release_post00 - endtask - endfamily - family bulletins + endfamily # /prod06/gfs/post_processing/bufr_sounding + family bulletins # /prod06/gfs/post_processing/bulletins task jgfs_fbwind trigger ../../post/jgfs_post_f06 == complete and ../../post/jgfs_post_f12 == complete and ../../post/jgfs_post_f24 == complete - endtask task jgfs_cyclone_tracker trigger ../../post/jgfs_post_f00 == complete and ../../post/jgfs_post_f06 == complete and ../../post/jgfs_post_f12 == complete and ../../post/jgfs_post_f18 == complete and ../../post/jgfs_post_f24 == complete and ../../post/jgfs_post_f30 == complete and ../../post/jgfs_post_f36 == complete and ../../post/jgfs_post_f42 == complete and ../../post/jgfs_post_f48 == complete and ../../post/jgfs_post_f54 == complete and ../../post/jgfs_post_f60 == complete and ../../post/jgfs_post_f66 == complete and ../../post/jgfs_post_f72 == complete and ../../post/jgfs_post_f78 == complete and ../../post/jgfs_post_f84 == complete and ../../post/jgfs_post_f90 == complete and ../../post/jgfs_post_f96 == complete and ../../post/jgfs_post_f102 == complete and ../../post/jgfs_post_f108 == complete and ../../post/jgfs_post_f114 == complete and ../../post/jgfs_post_f120 == complete and ../../post/jgfs_post_f126 == complete and ../../post/jgfs_post_f132 == complete and ../../post/jgfs_post_f138 == complete and ../../post/jgfs_post_f144 == complete and ../../post/jgfs_post_f150 == complete and ../../post/jgfs_post_f156 == complete and ../../post/jgfs_post_f162 == complete and ../../post/jgfs_post_f168 == complete and ../../post/jgfs_post_f174 == complete and ../../post/jgfs_post_f180 == complete and ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f06 == complete and ../../prdgen/jgfs_pgrb2_f12 == complete and ../../prdgen/jgfs_pgrb2_f18 == complete and ../../prdgen/jgfs_pgrb2_f24 == complete and ../../prdgen/jgfs_pgrb2_f30 == complete and ../../prdgen/jgfs_pgrb2_f36 == complete and ../../prdgen/jgfs_pgrb2_f42 == complete and ../../prdgen/jgfs_pgrb2_f48 == complete and ../../prdgen/jgfs_pgrb2_f54 == complete and ../../prdgen/jgfs_pgrb2_f60 == complete and ../../prdgen/jgfs_pgrb2_f66 == complete and ../../prdgen/jgfs_pgrb2_f72 == complete and ../../prdgen/jgfs_pgrb2_f78 == complete and ../../prdgen/jgfs_pgrb2_f84 == complete and ../../prdgen/jgfs_pgrb2_f90 == complete and ../../prdgen/jgfs_pgrb2_f96 == complete and ../../prdgen/jgfs_pgrb2_f102 == complete and ../../prdgen/jgfs_pgrb2_f108 == complete and ../../prdgen/jgfs_pgrb2_f114 == complete and ../../prdgen/jgfs_pgrb2_f120 == complete and ../../prdgen/jgfs_pgrb2_f126 == complete and ../../prdgen/jgfs_pgrb2_f132 == complete and ../../prdgen/jgfs_pgrb2_f138 == complete and ../../prdgen/jgfs_pgrb2_f144 == complete and ../../prdgen/jgfs_pgrb2_f150 == complete and ../../prdgen/jgfs_pgrb2_f156 == complete and ../../prdgen/jgfs_pgrb2_f162 == complete and ../../prdgen/jgfs_pgrb2_f168 == complete and ../../prdgen/jgfs_pgrb2_f174 == complete and ../../prdgen/jgfs_pgrb2_f180 == complete - endtask - endfamily - family grib2_wafs + endfamily # /prod06/gfs/post_processing/bulletins + family grib2_wafs # /prod06/gfs/post_processing/grib2_wafs task jgfs_wafs_grib2 trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask task jgfs_wafs_blending trigger ./jgfs_wafs_grib2 == complete - endtask - endfamily - family grib_awips + endfamily # /prod06/gfs/post_processing/grib2_wafs + family grib_awips # /prod06/gfs/post_processing/grib_awips task jgfs_awips_f00 edit FCSTHR '00' trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask task jgfs_awips_f01 edit FCSTHR '01' trigger ../../prdgen/jgfs_pgrb2_f01 == complete - endtask task jgfs_awips_f02 edit FCSTHR '02' trigger ../../prdgen/jgfs_pgrb2_f02 == complete - endtask task jgfs_awips_f03 edit FCSTHR '03' trigger ../../prdgen/jgfs_pgrb2_f03 == complete - endtask task jgfs_awips_f04 edit FCSTHR '04' trigger ../../prdgen/jgfs_pgrb2_f04 == complete - endtask task jgfs_awips_f05 edit FCSTHR '05' trigger ../../prdgen/jgfs_pgrb2_f05 == complete - endtask task jgfs_awips_f06 edit FCSTHR '06' trigger ../../prdgen/jgfs_pgrb2_f06 == complete - endtask task jgfs_awips_f07 edit FCSTHR '07' trigger ../../prdgen/jgfs_pgrb2_f07 == complete - endtask task jgfs_awips_f08 edit FCSTHR '08' trigger ../../prdgen/jgfs_pgrb2_f08 == complete - endtask task jgfs_awips_f09 edit FCSTHR '09' trigger ../../prdgen/jgfs_pgrb2_f09 == complete - endtask task jgfs_awips_f10 edit FCSTHR '10' trigger ../../prdgen/jgfs_pgrb2_f10 == complete - endtask task jgfs_awips_f11 edit FCSTHR '11' trigger ../../prdgen/jgfs_pgrb2_f11 == complete - endtask task jgfs_awips_f12 edit FCSTHR '12' trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask task jgfs_awips_f13 edit FCSTHR '13' trigger ../../prdgen/jgfs_pgrb2_f13 == complete - endtask task jgfs_awips_f14 edit FCSTHR '14' trigger ../../prdgen/jgfs_pgrb2_f14 == complete - endtask task jgfs_awips_f15 edit FCSTHR '15' trigger ../../prdgen/jgfs_pgrb2_f15 == complete - endtask task jgfs_awips_f16 edit FCSTHR '16' trigger ../../prdgen/jgfs_pgrb2_f16 == complete - endtask task jgfs_awips_f17 edit FCSTHR '17' trigger ../../prdgen/jgfs_pgrb2_f17 == complete - endtask task jgfs_awips_f18 edit FCSTHR '18' trigger ../../prdgen/jgfs_pgrb2_f18 == complete - endtask task jgfs_awips_f19 edit FCSTHR '19' trigger ../../prdgen/jgfs_pgrb2_f19 == complete - endtask task jgfs_awips_f20 edit FCSTHR '20' trigger ../../prdgen/jgfs_pgrb2_f20 == complete - endtask task jgfs_awips_f21 edit FCSTHR '21' trigger ../../prdgen/jgfs_pgrb2_f21 == complete - endtask task jgfs_awips_f22 edit FCSTHR '22' trigger ../../prdgen/jgfs_pgrb2_f22 == complete - endtask task jgfs_awips_f23 edit FCSTHR '23' trigger ../../prdgen/jgfs_pgrb2_f23 == complete - endtask task jgfs_awips_f24 edit FCSTHR '24' trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask task jgfs_awips_f25 edit FCSTHR '25' trigger ../../prdgen/jgfs_pgrb2_f25 == complete - endtask task jgfs_awips_f26 edit FCSTHR '26' trigger ../../prdgen/jgfs_pgrb2_f26 == complete - endtask task jgfs_awips_f27 edit FCSTHR '27' trigger ../../prdgen/jgfs_pgrb2_f27 == complete - endtask task jgfs_awips_f28 edit FCSTHR '28' trigger ../../prdgen/jgfs_pgrb2_f28 == complete - endtask task jgfs_awips_f29 edit FCSTHR '29' trigger ../../prdgen/jgfs_pgrb2_f29 == complete - endtask task jgfs_awips_f30 edit FCSTHR '30' trigger ../../prdgen/jgfs_pgrb2_f30 == complete - endtask task jgfs_awips_f31 edit FCSTHR '31' trigger ../../prdgen/jgfs_pgrb2_f31 == complete - endtask task jgfs_awips_f32 edit FCSTHR '32' trigger ../../prdgen/jgfs_pgrb2_f32 == complete - endtask task jgfs_awips_f33 edit FCSTHR '33' trigger ../../prdgen/jgfs_pgrb2_f33 == complete - endtask task jgfs_awips_f34 edit FCSTHR '34' trigger ../../prdgen/jgfs_pgrb2_f34 == complete - endtask task jgfs_awips_f35 edit FCSTHR '35' trigger ../../prdgen/jgfs_pgrb2_f35 == complete - endtask task jgfs_awips_f36 edit FCSTHR '36' trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask task jgfs_awips_f37 edit FCSTHR '37' trigger ../../prdgen/jgfs_pgrb2_f37 == complete - endtask task jgfs_awips_f38 edit FCSTHR '38' trigger ../../prdgen/jgfs_pgrb2_f38 == complete - endtask task jgfs_awips_f39 edit FCSTHR '39' trigger ../../prdgen/jgfs_pgrb2_f39 == complete - endtask task jgfs_awips_f40 edit FCSTHR '40' trigger ../../prdgen/jgfs_pgrb2_f40 == complete - endtask task jgfs_awips_f41 edit FCSTHR '41' trigger ../../prdgen/jgfs_pgrb2_f41 == complete - endtask task jgfs_awips_f42 edit FCSTHR '42' trigger ../../prdgen/jgfs_pgrb2_f42 == complete - endtask task jgfs_awips_f43 edit FCSTHR '43' trigger ../../prdgen/jgfs_pgrb2_f43 == complete - endtask task jgfs_awips_f44 edit FCSTHR '44' trigger ../../prdgen/jgfs_pgrb2_f44 == complete - endtask task jgfs_awips_f45 edit FCSTHR '45' trigger ../../prdgen/jgfs_pgrb2_f45 == complete - endtask task jgfs_awips_f46 edit FCSTHR '46' trigger ../../prdgen/jgfs_pgrb2_f46 == complete - endtask task jgfs_awips_f47 edit FCSTHR '47' trigger ../../prdgen/jgfs_pgrb2_f47 == complete - endtask task jgfs_awips_f48 edit FCSTHR '48' trigger ../../prdgen/jgfs_pgrb2_f48 == complete - endtask task jgfs_awips_f49 edit FCSTHR '49' trigger ../../prdgen/jgfs_pgrb2_f49 == complete - endtask task jgfs_awips_f50 edit FCSTHR '50' trigger ../../prdgen/jgfs_pgrb2_f50 == complete - endtask task jgfs_awips_f51 edit FCSTHR '51' trigger ../../prdgen/jgfs_pgrb2_f51 == complete - endtask task jgfs_awips_f52 edit FCSTHR '52' trigger ../../prdgen/jgfs_pgrb2_f52 == complete - endtask task jgfs_awips_f53 edit FCSTHR '53' trigger ../../prdgen/jgfs_pgrb2_f53 == complete - endtask task jgfs_awips_f54 edit FCSTHR '54' trigger ../../prdgen/jgfs_pgrb2_f54 == complete - endtask task jgfs_awips_f55 edit FCSTHR '55' trigger ../../prdgen/jgfs_pgrb2_f55 == complete - endtask task jgfs_awips_f56 edit FCSTHR '56' trigger ../../prdgen/jgfs_pgrb2_f56 == complete - endtask task jgfs_awips_f57 edit FCSTHR '57' trigger ../../prdgen/jgfs_pgrb2_f57 == complete - endtask task jgfs_awips_f58 edit FCSTHR '58' trigger ../../prdgen/jgfs_pgrb2_f58 == complete - endtask task jgfs_awips_f59 edit FCSTHR '59' trigger ../../prdgen/jgfs_pgrb2_f59 == complete - endtask task jgfs_awips_f60 edit FCSTHR '60' trigger ../../prdgen/jgfs_pgrb2_f60 == complete - endtask task jgfs_awips_f61 edit FCSTHR '61' trigger ../../prdgen/jgfs_pgrb2_f61 == complete - endtask task jgfs_awips_f62 edit FCSTHR '62' trigger ../../prdgen/jgfs_pgrb2_f62 == complete - endtask task jgfs_awips_f63 edit FCSTHR '63' trigger ../../prdgen/jgfs_pgrb2_f63 == complete - endtask task jgfs_awips_f64 edit FCSTHR '64' trigger ../../prdgen/jgfs_pgrb2_f64 == complete - endtask task jgfs_awips_f65 edit FCSTHR '65' trigger ../../prdgen/jgfs_pgrb2_f65 == complete - endtask task jgfs_awips_f66 edit FCSTHR '66' trigger ../../prdgen/jgfs_pgrb2_f66 == complete - endtask task jgfs_awips_f67 edit FCSTHR '67' trigger ../../prdgen/jgfs_pgrb2_f67 == complete - endtask task jgfs_awips_f68 edit FCSTHR '68' trigger ../../prdgen/jgfs_pgrb2_f68 == complete - endtask task jgfs_awips_f69 edit FCSTHR '69' trigger ../../prdgen/jgfs_pgrb2_f69 == complete - endtask task jgfs_awips_f70 edit FCSTHR '70' trigger ../../prdgen/jgfs_pgrb2_f70 == complete - endtask task jgfs_awips_f71 edit FCSTHR '71' trigger ../../prdgen/jgfs_pgrb2_f71 == complete - endtask task jgfs_awips_f72 edit FCSTHR '72' trigger ../../prdgen/jgfs_pgrb2_f72 == complete - endtask task jgfs_awips_f73 edit FCSTHR '73' trigger ../../prdgen/jgfs_pgrb2_f73 == complete - endtask task jgfs_awips_f74 edit FCSTHR '74' trigger ../../prdgen/jgfs_pgrb2_f74 == complete - endtask task jgfs_awips_f75 edit FCSTHR '75' trigger ../../prdgen/jgfs_pgrb2_f75 == complete - endtask task jgfs_awips_f76 edit FCSTHR '76' trigger ../../prdgen/jgfs_pgrb2_f76 == complete - endtask task jgfs_awips_f77 edit FCSTHR '77' trigger ../../prdgen/jgfs_pgrb2_f77 == complete - endtask task jgfs_awips_f78 edit FCSTHR '78' trigger ../../prdgen/jgfs_pgrb2_f78 == complete - endtask task jgfs_awips_f79 edit FCSTHR '79' trigger ../../prdgen/jgfs_pgrb2_f79 == complete - endtask task jgfs_awips_f80 edit FCSTHR '80' trigger ../../prdgen/jgfs_pgrb2_f80 == complete - endtask task jgfs_awips_f81 edit FCSTHR '81' trigger ../../prdgen/jgfs_pgrb2_f81 == complete - endtask task jgfs_awips_f82 edit FCSTHR '82' trigger ../../prdgen/jgfs_pgrb2_f82 == complete - endtask task jgfs_awips_f83 edit FCSTHR '83' trigger ../../prdgen/jgfs_pgrb2_f83 == complete - endtask task jgfs_awips_f84 edit FCSTHR '84' trigger ../../prdgen/jgfs_pgrb2_f84 == complete - endtask task jgfs_awips_f85 edit FCSTHR '85' trigger ../../prdgen/jgfs_pgrb2_f85 == complete - endtask task jgfs_awips_f86 edit FCSTHR '86' trigger ../../prdgen/jgfs_pgrb2_f86 == complete - endtask task jgfs_awips_f87 edit FCSTHR '87' trigger ../../prdgen/jgfs_pgrb2_f87 == complete - endtask task jgfs_awips_f88 edit FCSTHR '88' trigger ../../prdgen/jgfs_pgrb2_f88 == complete - endtask task jgfs_awips_f89 edit FCSTHR '89' trigger ../../prdgen/jgfs_pgrb2_f89 == complete - endtask task jgfs_awips_f90 edit FCSTHR '90' trigger ../../prdgen/jgfs_pgrb2_f90 == complete - endtask task jgfs_awips_f91 edit FCSTHR '91' trigger ../../prdgen/jgfs_pgrb2_f91 == complete - endtask task jgfs_awips_f92 edit FCSTHR '92' trigger ../../prdgen/jgfs_pgrb2_f92 == complete - endtask task jgfs_awips_f93 edit FCSTHR '93' trigger ../../prdgen/jgfs_pgrb2_f93 == complete - endtask task jgfs_awips_f94 edit FCSTHR '94' trigger ../../prdgen/jgfs_pgrb2_f94 == complete - endtask task jgfs_awips_f95 edit FCSTHR '95' trigger ../../prdgen/jgfs_pgrb2_f95 == complete - endtask task jgfs_awips_f96 edit FCSTHR '96' trigger ../../prdgen/jgfs_pgrb2_f96 == complete - endtask task jgfs_awips_f97 edit FCSTHR '97' trigger ../../prdgen/jgfs_pgrb2_f97 == complete - endtask task jgfs_awips_f98 edit FCSTHR '98' trigger ../../prdgen/jgfs_pgrb2_f98 == complete - endtask task jgfs_awips_f99 edit FCSTHR '99' trigger ../../prdgen/jgfs_pgrb2_f99 == complete - endtask task jgfs_awips_f100 edit FCSTHR '100' trigger ../../prdgen/jgfs_pgrb2_f100 == complete - endtask task jgfs_awips_f101 edit FCSTHR '101' trigger ../../prdgen/jgfs_pgrb2_f101 == complete - endtask task jgfs_awips_f102 edit FCSTHR '102' trigger ../../prdgen/jgfs_pgrb2_f102 == complete - endtask task jgfs_awips_f103 edit FCSTHR '103' trigger ../../prdgen/jgfs_pgrb2_f103 == complete - endtask task jgfs_awips_f104 edit FCSTHR '104' trigger ../../prdgen/jgfs_pgrb2_f104 == complete - endtask task jgfs_awips_f105 edit FCSTHR '105' trigger ../../prdgen/jgfs_pgrb2_f105 == complete - endtask task jgfs_awips_f106 edit FCSTHR '106' trigger ../../prdgen/jgfs_pgrb2_f106 == complete - endtask task jgfs_awips_f107 edit FCSTHR '107' trigger ../../prdgen/jgfs_pgrb2_f107 == complete - endtask task jgfs_awips_f108 edit FCSTHR '108' trigger ../../prdgen/jgfs_pgrb2_f108 == complete - endtask task jgfs_awips_f109 edit FCSTHR '109' trigger ../../prdgen/jgfs_pgrb2_f109 == complete - endtask task jgfs_awips_f110 edit FCSTHR '110' trigger ../../prdgen/jgfs_pgrb2_f110 == complete - endtask task jgfs_awips_f111 edit FCSTHR '111' trigger ../../prdgen/jgfs_pgrb2_f111 == complete - endtask task jgfs_awips_f112 edit FCSTHR '112' trigger ../../prdgen/jgfs_pgrb2_f112 == complete - endtask task jgfs_awips_f113 edit FCSTHR '113' trigger ../../prdgen/jgfs_pgrb2_f113 == complete - endtask task jgfs_awips_f114 edit FCSTHR '114' trigger ../../prdgen/jgfs_pgrb2_f114 == complete - endtask task jgfs_awips_f115 edit FCSTHR '115' trigger ../../prdgen/jgfs_pgrb2_f115 == complete - endtask task jgfs_awips_f116 edit FCSTHR '116' trigger ../../prdgen/jgfs_pgrb2_f116 == complete - endtask task jgfs_awips_f117 edit FCSTHR '117' trigger ../../prdgen/jgfs_pgrb2_f117 == complete - endtask task jgfs_awips_f118 edit FCSTHR '118' trigger ../../prdgen/jgfs_pgrb2_f118 == complete - endtask task jgfs_awips_f119 edit FCSTHR '119' trigger ../../prdgen/jgfs_pgrb2_f119 == complete - endtask task jgfs_awips_f120 edit FCSTHR '120' trigger ../../prdgen/jgfs_pgrb2_f120 == complete - endtask task jgfs_awips_f123 edit FCSTHR '123' trigger ../../prdgen/jgfs_pgrb2_f123 == complete - endtask task jgfs_awips_f126 edit FCSTHR '126' trigger ../../prdgen/jgfs_pgrb2_f126 == complete - endtask task jgfs_awips_f129 edit FCSTHR '129' trigger ../../prdgen/jgfs_pgrb2_f129 == complete - endtask task jgfs_awips_f132 edit FCSTHR '132' trigger ../../prdgen/jgfs_pgrb2_f132 == complete - endtask task jgfs_awips_f135 edit FCSTHR '135' trigger ../../prdgen/jgfs_pgrb2_f135 == complete - endtask task jgfs_awips_f138 edit FCSTHR '138' trigger ../../prdgen/jgfs_pgrb2_f138 == complete - endtask task jgfs_awips_f141 edit FCSTHR '141' trigger ../../prdgen/jgfs_pgrb2_f141 == complete - endtask task jgfs_awips_f144 edit FCSTHR '144' trigger ../../prdgen/jgfs_pgrb2_f144 == complete - endtask task jgfs_awips_f147 edit FCSTHR '147' trigger ../../prdgen/jgfs_pgrb2_f147 == complete - endtask task jgfs_awips_f150 edit FCSTHR '150' trigger ../../prdgen/jgfs_pgrb2_f150 == complete - endtask task jgfs_awips_f153 edit FCSTHR '153' trigger ../../prdgen/jgfs_pgrb2_f153 == complete - endtask task jgfs_awips_f156 edit FCSTHR '156' trigger ../../prdgen/jgfs_pgrb2_f156 == complete - endtask task jgfs_awips_f159 edit FCSTHR '159' trigger ../../prdgen/jgfs_pgrb2_f159 == complete - endtask task jgfs_awips_f162 edit FCSTHR '162' trigger ../../prdgen/jgfs_pgrb2_f162 == complete - endtask task jgfs_awips_f165 edit FCSTHR '165' trigger ../../prdgen/jgfs_pgrb2_f165 == complete - endtask task jgfs_awips_f168 edit FCSTHR '168' trigger ../../prdgen/jgfs_pgrb2_f168 == complete - endtask task jgfs_awips_f171 edit FCSTHR '171' trigger ../../prdgen/jgfs_pgrb2_f171 == complete - endtask task jgfs_awips_f174 edit FCSTHR '174' trigger ../../prdgen/jgfs_pgrb2_f174 == complete - endtask task jgfs_awips_f177 edit FCSTHR '177' trigger ../../prdgen/jgfs_pgrb2_f177 == complete - endtask task jgfs_awips_f180 edit FCSTHR '180' trigger ../../prdgen/jgfs_pgrb2_f180 == complete - endtask task jgfs_awips_f183 edit FCSTHR '183' trigger ../../prdgen/jgfs_pgrb2_f183 == complete - endtask task jgfs_awips_f186 edit FCSTHR '186' trigger ../../prdgen/jgfs_pgrb2_f186 == complete - endtask task jgfs_awips_f189 edit FCSTHR '189' trigger ../../prdgen/jgfs_pgrb2_f189 == complete - endtask task jgfs_awips_f192 edit FCSTHR '192' trigger ../../prdgen/jgfs_pgrb2_f192 == complete - endtask task jgfs_awips_f195 edit FCSTHR '195' trigger ../../prdgen/jgfs_pgrb2_f195 == complete - endtask task jgfs_awips_f198 edit FCSTHR '198' trigger ../../prdgen/jgfs_pgrb2_f198 == complete - endtask task jgfs_awips_f201 edit FCSTHR '201' trigger ../../prdgen/jgfs_pgrb2_f201 == complete - endtask task jgfs_awips_f204 edit FCSTHR '204' trigger ../../prdgen/jgfs_pgrb2_f204 == complete - endtask task jgfs_awips_f207 edit FCSTHR '207' trigger ../../prdgen/jgfs_pgrb2_f207 == complete - endtask task jgfs_awips_f210 edit FCSTHR '210' trigger ../../prdgen/jgfs_pgrb2_f210 == complete - endtask task jgfs_awips_f213 edit FCSTHR '213' trigger ../../prdgen/jgfs_pgrb2_f213 == complete - endtask task jgfs_awips_f216 edit FCSTHR '216' trigger ../../prdgen/jgfs_pgrb2_f216 == complete - endtask task jgfs_awips_f219 edit FCSTHR '219' trigger ../../prdgen/jgfs_pgrb2_f219 == complete - endtask task jgfs_awips_f222 edit FCSTHR '222' trigger ../../prdgen/jgfs_pgrb2_f222 == complete - endtask task jgfs_awips_f225 edit FCSTHR '225' trigger ../../prdgen/jgfs_pgrb2_f225 == complete - endtask task jgfs_awips_f228 edit FCSTHR '228' trigger ../../prdgen/jgfs_pgrb2_f228 == complete - endtask task jgfs_awips_f231 edit FCSTHR '231' trigger ../../prdgen/jgfs_pgrb2_f231 == complete - endtask task jgfs_awips_f234 edit FCSTHR '234' trigger ../../prdgen/jgfs_pgrb2_f234 == complete - endtask task jgfs_awips_f237 edit FCSTHR '237' trigger ../../prdgen/jgfs_pgrb2_f237 == complete - endtask task jgfs_awips_f240 edit FCSTHR '240' trigger ../../prdgen/jgfs_pgrb2_f240 == complete - endtask task jgfs_awips_f252 edit FCSTHR '252' trigger ../../prdgen/jgfs_pgrb2_f252 == complete - endtask task jgfs_awips_f264 edit FCSTHR '264' trigger ../../prdgen/jgfs_pgrb2_f264 == complete - endtask task jgfs_awips_f276 edit FCSTHR '276' trigger ../../prdgen/jgfs_pgrb2_f276 == complete - endtask task jgfs_awips_f288 edit FCSTHR '288' trigger ../../prdgen/jgfs_pgrb2_f288 == complete - endtask task jgfs_awips_f300 edit FCSTHR '300' trigger ../../prdgen/jgfs_pgrb2_f300 == complete - endtask task jgfs_awips_f312 edit FCSTHR '312' trigger ../../prdgen/jgfs_pgrb2_f312 == complete - endtask task jgfs_awips_f324 edit FCSTHR '324' trigger ../../prdgen/jgfs_pgrb2_f324 == complete - endtask task jgfs_awips_f336 edit FCSTHR '336' trigger ../../prdgen/jgfs_pgrb2_f336 == complete - endtask task jgfs_awips_f348 edit FCSTHR '348' trigger ../../prdgen/jgfs_pgrb2_f348 == complete - endtask task jgfs_awips_f360 edit FCSTHR '360' trigger ../../prdgen/jgfs_pgrb2_f360 == complete - endtask task jgfs_awips_f372 edit FCSTHR '372' trigger ../../prdgen/jgfs_pgrb2_f372 == complete - endtask task jgfs_awips_f384 edit FCSTHR '384' trigger ../../prdgen/jgfs_pgrb2_f384 == complete - endtask - endfamily - family awips_1p0deg + endfamily # /prod06/gfs/post_processing/grib_awips + family awips_1p0deg # /prod06/gfs/post_processing/awips_1p0deg edit RES '1p0deg' edit RESC '1P0DEG' #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' task jgfs_awips_f000 edit FCSTHR '000' trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask task jgfs_awips_f001 edit FCSTHR '001' trigger ../../prdgen/jgfs_pgrb2_f01 == complete - endtask task jgfs_awips_f002 edit FCSTHR '002' trigger ../../prdgen/jgfs_pgrb2_f02 == complete - endtask task jgfs_awips_f003 edit FCSTHR '003' trigger ../../prdgen/jgfs_pgrb2_f03 == complete - endtask task jgfs_awips_f004 edit FCSTHR '004' trigger ../../prdgen/jgfs_pgrb2_f04 == complete - endtask task jgfs_awips_f005 edit FCSTHR '005' trigger ../../prdgen/jgfs_pgrb2_f05 == complete - endtask task jgfs_awips_f006 edit FCSTHR '006' trigger ../../prdgen/jgfs_pgrb2_f06 == complete - endtask task jgfs_awips_f007 edit FCSTHR '007' trigger ../../prdgen/jgfs_pgrb2_f07 == complete - endtask task jgfs_awips_f008 edit FCSTHR '008' trigger ../../prdgen/jgfs_pgrb2_f08 == complete - endtask task jgfs_awips_f009 edit FCSTHR '009' trigger ../../prdgen/jgfs_pgrb2_f09 == complete - endtask task jgfs_awips_f010 edit FCSTHR '010' trigger ../../prdgen/jgfs_pgrb2_f10 == complete - endtask task jgfs_awips_f011 edit FCSTHR '011' trigger ../../prdgen/jgfs_pgrb2_f11 == complete - endtask task jgfs_awips_f012 edit FCSTHR '012' trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask task jgfs_awips_f013 edit FCSTHR '013' trigger ../../prdgen/jgfs_pgrb2_f13 == complete - endtask task jgfs_awips_f014 edit FCSTHR '014' trigger ../../prdgen/jgfs_pgrb2_f14 == complete - endtask task jgfs_awips_f015 edit FCSTHR '015' trigger ../../prdgen/jgfs_pgrb2_f15 == complete - endtask task jgfs_awips_f016 edit FCSTHR '016' trigger ../../prdgen/jgfs_pgrb2_f16 == complete - endtask task jgfs_awips_f017 edit FCSTHR '017' trigger ../../prdgen/jgfs_pgrb2_f17 == complete - endtask task jgfs_awips_f018 edit FCSTHR '018' trigger ../../prdgen/jgfs_pgrb2_f18 == complete - endtask task jgfs_awips_f019 edit FCSTHR '019' trigger ../../prdgen/jgfs_pgrb2_f19 == complete - endtask task jgfs_awips_f020 edit FCSTHR '020' trigger ../../prdgen/jgfs_pgrb2_f20 == complete - endtask task jgfs_awips_f021 edit FCSTHR '021' trigger ../../prdgen/jgfs_pgrb2_f21 == complete - endtask task jgfs_awips_f022 edit FCSTHR '022' trigger ../../prdgen/jgfs_pgrb2_f22 == complete - endtask task jgfs_awips_f023 edit FCSTHR '023' trigger ../../prdgen/jgfs_pgrb2_f23 == complete - endtask task jgfs_awips_f024 edit FCSTHR '024' trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask task jgfs_awips_f025 edit FCSTHR '025' trigger ../../prdgen/jgfs_pgrb2_f25 == complete - endtask task jgfs_awips_f026 edit FCSTHR '026' trigger ../../prdgen/jgfs_pgrb2_f26 == complete - endtask task jgfs_awips_f027 edit FCSTHR '027' trigger ../../prdgen/jgfs_pgrb2_f27 == complete - endtask task jgfs_awips_f028 edit FCSTHR '028' trigger ../../prdgen/jgfs_pgrb2_f28 == complete - endtask task jgfs_awips_f029 edit FCSTHR '029' trigger ../../prdgen/jgfs_pgrb2_f29 == complete - endtask task jgfs_awips_f030 edit FCSTHR '030' trigger ../../prdgen/jgfs_pgrb2_f30 == complete - endtask task jgfs_awips_f031 edit FCSTHR '031' trigger ../../prdgen/jgfs_pgrb2_f31 == complete - endtask task jgfs_awips_f032 edit FCSTHR '032' trigger ../../prdgen/jgfs_pgrb2_f32 == complete - endtask task jgfs_awips_f033 edit FCSTHR '033' trigger ../../prdgen/jgfs_pgrb2_f33 == complete - endtask task jgfs_awips_f034 edit FCSTHR '034' trigger ../../prdgen/jgfs_pgrb2_f34 == complete - endtask task jgfs_awips_f035 edit FCSTHR '035' trigger ../../prdgen/jgfs_pgrb2_f35 == complete - endtask task jgfs_awips_f036 edit FCSTHR '036' trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask task jgfs_awips_f037 edit FCSTHR '037' trigger ../../prdgen/jgfs_pgrb2_f37 == complete - endtask task jgfs_awips_f038 edit FCSTHR '038' trigger ../../prdgen/jgfs_pgrb2_f38 == complete - endtask task jgfs_awips_f039 edit FCSTHR '039' trigger ../../prdgen/jgfs_pgrb2_f39 == complete - endtask task jgfs_awips_f040 edit FCSTHR '040' trigger ../../prdgen/jgfs_pgrb2_f40 == complete - endtask task jgfs_awips_f041 edit FCSTHR '041' trigger ../../prdgen/jgfs_pgrb2_f41 == complete - endtask task jgfs_awips_f042 edit FCSTHR '042' trigger ../../prdgen/jgfs_pgrb2_f42 == complete - endtask task jgfs_awips_f043 edit FCSTHR '043' trigger ../../prdgen/jgfs_pgrb2_f43 == complete - endtask task jgfs_awips_f044 edit FCSTHR '044' trigger ../../prdgen/jgfs_pgrb2_f44 == complete - endtask task jgfs_awips_f045 edit FCSTHR '045' trigger ../../prdgen/jgfs_pgrb2_f45 == complete - endtask task jgfs_awips_f046 edit FCSTHR '046' trigger ../../prdgen/jgfs_pgrb2_f46 == complete - endtask task jgfs_awips_f047 edit FCSTHR '047' trigger ../../prdgen/jgfs_pgrb2_f47 == complete - endtask task jgfs_awips_f048 edit FCSTHR '048' trigger ../../prdgen/jgfs_pgrb2_f48 == complete - endtask task jgfs_awips_f049 edit FCSTHR '049' trigger ../../prdgen/jgfs_pgrb2_f49 == complete - endtask task jgfs_awips_f050 edit FCSTHR '050' trigger ../../prdgen/jgfs_pgrb2_f50 == complete - endtask task jgfs_awips_f051 edit FCSTHR '051' trigger ../../prdgen/jgfs_pgrb2_f51 == complete - endtask task jgfs_awips_f052 edit FCSTHR '052' trigger ../../prdgen/jgfs_pgrb2_f52 == complete - endtask task jgfs_awips_f053 edit FCSTHR '053' trigger ../../prdgen/jgfs_pgrb2_f53 == complete - endtask task jgfs_awips_f054 edit FCSTHR '054' trigger ../../prdgen/jgfs_pgrb2_f54 == complete - endtask task jgfs_awips_f055 edit FCSTHR '055' trigger ../../prdgen/jgfs_pgrb2_f55 == complete - endtask task jgfs_awips_f056 edit FCSTHR '056' trigger ../../prdgen/jgfs_pgrb2_f56 == complete - endtask task jgfs_awips_f057 edit FCSTHR '057' trigger ../../prdgen/jgfs_pgrb2_f57 == complete - endtask task jgfs_awips_f058 edit FCSTHR '058' trigger ../../prdgen/jgfs_pgrb2_f58 == complete - endtask task jgfs_awips_f059 edit FCSTHR '059' trigger ../../prdgen/jgfs_pgrb2_f59 == complete - endtask task jgfs_awips_f060 edit FCSTHR '060' trigger ../../prdgen/jgfs_pgrb2_f60 == complete - endtask task jgfs_awips_f061 edit FCSTHR '061' trigger ../../prdgen/jgfs_pgrb2_f61 == complete - endtask task jgfs_awips_f062 edit FCSTHR '062' trigger ../../prdgen/jgfs_pgrb2_f62 == complete - endtask task jgfs_awips_f063 edit FCSTHR '063' trigger ../../prdgen/jgfs_pgrb2_f63 == complete - endtask task jgfs_awips_f064 edit FCSTHR '064' trigger ../../prdgen/jgfs_pgrb2_f64 == complete - endtask task jgfs_awips_f065 edit FCSTHR '065' trigger ../../prdgen/jgfs_pgrb2_f65 == complete - endtask task jgfs_awips_f066 edit FCSTHR '066' trigger ../../prdgen/jgfs_pgrb2_f66 == complete - endtask task jgfs_awips_f067 edit FCSTHR '067' trigger ../../prdgen/jgfs_pgrb2_f67 == complete - endtask task jgfs_awips_f068 edit FCSTHR '068' trigger ../../prdgen/jgfs_pgrb2_f68 == complete - endtask task jgfs_awips_f069 edit FCSTHR '069' trigger ../../prdgen/jgfs_pgrb2_f69 == complete - endtask task jgfs_awips_f070 edit FCSTHR '070' trigger ../../prdgen/jgfs_pgrb2_f70 == complete - endtask task jgfs_awips_f071 edit FCSTHR '071' trigger ../../prdgen/jgfs_pgrb2_f71 == complete - endtask task jgfs_awips_f072 edit FCSTHR '072' trigger ../../prdgen/jgfs_pgrb2_f72 == complete - endtask task jgfs_awips_f073 edit FCSTHR '073' trigger ../../prdgen/jgfs_pgrb2_f73 == complete - endtask task jgfs_awips_f074 edit FCSTHR '074' trigger ../../prdgen/jgfs_pgrb2_f74 == complete - endtask task jgfs_awips_f075 edit FCSTHR '075' trigger ../../prdgen/jgfs_pgrb2_f75 == complete - endtask task jgfs_awips_f076 edit FCSTHR '076' trigger ../../prdgen/jgfs_pgrb2_f76 == complete - endtask task jgfs_awips_f077 edit FCSTHR '077' trigger ../../prdgen/jgfs_pgrb2_f77 == complete - endtask task jgfs_awips_f078 edit FCSTHR '078' trigger ../../prdgen/jgfs_pgrb2_f78 == complete - endtask task jgfs_awips_f079 edit FCSTHR '079' trigger ../../prdgen/jgfs_pgrb2_f79 == complete - endtask task jgfs_awips_f080 edit FCSTHR '080' trigger ../../prdgen/jgfs_pgrb2_f80 == complete - endtask task jgfs_awips_f081 edit FCSTHR '081' trigger ../../prdgen/jgfs_pgrb2_f81 == complete - endtask task jgfs_awips_f082 edit FCSTHR '082' trigger ../../prdgen/jgfs_pgrb2_f82 == complete - endtask task jgfs_awips_f083 edit FCSTHR '083' trigger ../../prdgen/jgfs_pgrb2_f83 == complete - endtask task jgfs_awips_f084 edit FCSTHR '084' trigger ../../prdgen/jgfs_pgrb2_f84 == complete - endtask task jgfs_awips_f085 edit FCSTHR '085' trigger ../../prdgen/jgfs_pgrb2_f85 == complete - endtask task jgfs_awips_f086 edit FCSTHR '086' trigger ../../prdgen/jgfs_pgrb2_f86 == complete - endtask task jgfs_awips_f087 edit FCSTHR '087' trigger ../../prdgen/jgfs_pgrb2_f87 == complete - endtask task jgfs_awips_f088 edit FCSTHR '088' trigger ../../prdgen/jgfs_pgrb2_f88 == complete - endtask task jgfs_awips_f089 edit FCSTHR '089' trigger ../../prdgen/jgfs_pgrb2_f89 == complete - endtask task jgfs_awips_f090 edit FCSTHR '090' trigger ../../prdgen/jgfs_pgrb2_f90 == complete - endtask task jgfs_awips_f091 edit FCSTHR '091' trigger ../../prdgen/jgfs_pgrb2_f91 == complete - endtask task jgfs_awips_f092 edit FCSTHR '092' trigger ../../prdgen/jgfs_pgrb2_f92 == complete - endtask task jgfs_awips_f093 edit FCSTHR '093' trigger ../../prdgen/jgfs_pgrb2_f93 == complete - endtask task jgfs_awips_f094 edit FCSTHR '094' trigger ../../prdgen/jgfs_pgrb2_f94 == complete - endtask task jgfs_awips_f095 edit FCSTHR '095' trigger ../../prdgen/jgfs_pgrb2_f95 == complete - endtask task jgfs_awips_f096 edit FCSTHR '096' trigger ../../prdgen/jgfs_pgrb2_f96 == complete - endtask task jgfs_awips_f097 edit FCSTHR '097' trigger ../../prdgen/jgfs_pgrb2_f97 == complete - endtask task jgfs_awips_f098 edit FCSTHR '098' trigger ../../prdgen/jgfs_pgrb2_f98 == complete - endtask task jgfs_awips_f099 edit FCSTHR '099' trigger ../../prdgen/jgfs_pgrb2_f99 == complete - endtask task jgfs_awips_f100 edit FCSTHR '100' trigger ../../prdgen/jgfs_pgrb2_f100 == complete - endtask task jgfs_awips_f101 edit FCSTHR '101' trigger ../../prdgen/jgfs_pgrb2_f101 == complete - endtask task jgfs_awips_f102 edit FCSTHR '102' trigger ../../prdgen/jgfs_pgrb2_f102 == complete - endtask task jgfs_awips_f103 edit FCSTHR '103' trigger ../../prdgen/jgfs_pgrb2_f103 == complete - endtask task jgfs_awips_f104 edit FCSTHR '104' trigger ../../prdgen/jgfs_pgrb2_f104 == complete - endtask task jgfs_awips_f105 edit FCSTHR '105' trigger ../../prdgen/jgfs_pgrb2_f105 == complete - endtask task jgfs_awips_f106 edit FCSTHR '106' trigger ../../prdgen/jgfs_pgrb2_f106 == complete - endtask task jgfs_awips_f107 edit FCSTHR '107' trigger ../../prdgen/jgfs_pgrb2_f107 == complete - endtask task jgfs_awips_f108 edit FCSTHR '108' trigger ../../prdgen/jgfs_pgrb2_f108 == complete - endtask task jgfs_awips_f109 edit FCSTHR '109' trigger ../../prdgen/jgfs_pgrb2_f109 == complete - endtask task jgfs_awips_f110 edit FCSTHR '110' trigger ../../prdgen/jgfs_pgrb2_f110 == complete - endtask task jgfs_awips_f111 edit FCSTHR '111' trigger ../../prdgen/jgfs_pgrb2_f111 == complete - endtask task jgfs_awips_f112 edit FCSTHR '112' trigger ../../prdgen/jgfs_pgrb2_f112 == complete - endtask task jgfs_awips_f113 edit FCSTHR '113' trigger ../../prdgen/jgfs_pgrb2_f113 == complete - endtask task jgfs_awips_f114 edit FCSTHR '114' trigger ../../prdgen/jgfs_pgrb2_f114 == complete - endtask task jgfs_awips_f115 edit FCSTHR '115' trigger ../../prdgen/jgfs_pgrb2_f115 == complete - endtask task jgfs_awips_f116 edit FCSTHR '116' trigger ../../prdgen/jgfs_pgrb2_f116 == complete - endtask task jgfs_awips_f117 edit FCSTHR '117' trigger ../../prdgen/jgfs_pgrb2_f117 == complete - endtask task jgfs_awips_f118 edit FCSTHR '118' trigger ../../prdgen/jgfs_pgrb2_f118 == complete - endtask task jgfs_awips_f119 edit FCSTHR '119' trigger ../../prdgen/jgfs_pgrb2_f119 == complete - endtask task jgfs_awips_f120 edit FCSTHR '120' trigger ../../prdgen/jgfs_pgrb2_f120 == complete - endtask task jgfs_awips_f123 edit FCSTHR '123' trigger ../../prdgen/jgfs_pgrb2_f123 == complete - endtask task jgfs_awips_f126 edit FCSTHR '126' trigger ../../prdgen/jgfs_pgrb2_f126 == complete - endtask task jgfs_awips_f129 edit FCSTHR '129' trigger ../../prdgen/jgfs_pgrb2_f129 == complete - endtask task jgfs_awips_f132 edit FCSTHR '132' trigger ../../prdgen/jgfs_pgrb2_f132 == complete - endtask task jgfs_awips_f135 edit FCSTHR '135' trigger ../../prdgen/jgfs_pgrb2_f135 == complete - endtask task jgfs_awips_f138 edit FCSTHR '138' trigger ../../prdgen/jgfs_pgrb2_f138 == complete - endtask task jgfs_awips_f141 edit FCSTHR '141' trigger ../../prdgen/jgfs_pgrb2_f141 == complete - endtask task jgfs_awips_f144 edit FCSTHR '144' trigger ../../prdgen/jgfs_pgrb2_f144 == complete - endtask task jgfs_awips_f147 edit FCSTHR '147' trigger ../../prdgen/jgfs_pgrb2_f147 == complete - endtask task jgfs_awips_f150 edit FCSTHR '150' trigger ../../prdgen/jgfs_pgrb2_f150 == complete - endtask task jgfs_awips_f153 edit FCSTHR '153' trigger ../../prdgen/jgfs_pgrb2_f153 == complete - endtask task jgfs_awips_f156 edit FCSTHR '156' trigger ../../prdgen/jgfs_pgrb2_f156 == complete - endtask task jgfs_awips_f159 edit FCSTHR '159' trigger ../../prdgen/jgfs_pgrb2_f159 == complete - endtask task jgfs_awips_f162 edit FCSTHR '162' trigger ../../prdgen/jgfs_pgrb2_f162 == complete - endtask task jgfs_awips_f165 edit FCSTHR '165' trigger ../../prdgen/jgfs_pgrb2_f165 == complete - endtask task jgfs_awips_f168 edit FCSTHR '168' trigger ../../prdgen/jgfs_pgrb2_f168 == complete - endtask task jgfs_awips_f171 edit FCSTHR '171' trigger ../../prdgen/jgfs_pgrb2_f171 == complete - endtask task jgfs_awips_f174 edit FCSTHR '174' trigger ../../prdgen/jgfs_pgrb2_f174 == complete - endtask task jgfs_awips_f177 edit FCSTHR '177' trigger ../../prdgen/jgfs_pgrb2_f177 == complete - endtask task jgfs_awips_f180 edit FCSTHR '180' trigger ../../prdgen/jgfs_pgrb2_f180 == complete - endtask task jgfs_awips_f183 edit FCSTHR '183' trigger ../../prdgen/jgfs_pgrb2_f183 == complete - endtask task jgfs_awips_f186 edit FCSTHR '186' trigger ../../prdgen/jgfs_pgrb2_f186 == complete - endtask task jgfs_awips_f189 edit FCSTHR '189' trigger ../../prdgen/jgfs_pgrb2_f189 == complete - endtask task jgfs_awips_f192 edit FCSTHR '192' trigger ../../prdgen/jgfs_pgrb2_f192 == complete - endtask task jgfs_awips_f195 edit FCSTHR '195' trigger ../../prdgen/jgfs_pgrb2_f195 == complete - endtask task jgfs_awips_f198 edit FCSTHR '198' trigger ../../prdgen/jgfs_pgrb2_f198 == complete - endtask task jgfs_awips_f201 edit FCSTHR '201' trigger ../../prdgen/jgfs_pgrb2_f201 == complete - endtask task jgfs_awips_f204 edit FCSTHR '204' trigger ../../prdgen/jgfs_pgrb2_f204 == complete - endtask task jgfs_awips_f207 edit FCSTHR '207' trigger ../../prdgen/jgfs_pgrb2_f207 == complete - endtask task jgfs_awips_f210 edit FCSTHR '210' trigger ../../prdgen/jgfs_pgrb2_f210 == complete - endtask task jgfs_awips_f213 edit FCSTHR '213' trigger ../../prdgen/jgfs_pgrb2_f213 == complete - endtask task jgfs_awips_f216 edit FCSTHR '216' trigger ../../prdgen/jgfs_pgrb2_f216 == complete - endtask task jgfs_awips_f219 edit FCSTHR '219' trigger ../../prdgen/jgfs_pgrb2_f219 == complete - endtask task jgfs_awips_f222 edit FCSTHR '222' trigger ../../prdgen/jgfs_pgrb2_f222 == complete - endtask task jgfs_awips_f225 edit FCSTHR '225' trigger ../../prdgen/jgfs_pgrb2_f225 == complete - endtask task jgfs_awips_f228 edit FCSTHR '228' trigger ../../prdgen/jgfs_pgrb2_f228 == complete - endtask task jgfs_awips_f231 edit FCSTHR '231' trigger ../../prdgen/jgfs_pgrb2_f231 == complete - endtask task jgfs_awips_f234 edit FCSTHR '234' trigger ../../prdgen/jgfs_pgrb2_f234 == complete - endtask task jgfs_awips_f237 edit FCSTHR '237' trigger ../../prdgen/jgfs_pgrb2_f237 == complete - endtask task jgfs_awips_f240 edit FCSTHR '240' trigger ../../prdgen/jgfs_pgrb2_f240 == complete - endtask task jgfs_awips_f252 edit FCSTHR '252' trigger ../../prdgen/jgfs_pgrb2_f252 == complete - endtask task jgfs_awips_f264 edit FCSTHR '264' trigger ../../prdgen/jgfs_pgrb2_f264 == complete - endtask task jgfs_awips_f276 edit FCSTHR '276' trigger ../../prdgen/jgfs_pgrb2_f276 == complete - endtask task jgfs_awips_f288 edit FCSTHR '288' trigger ../../prdgen/jgfs_pgrb2_f288 == complete - endtask task jgfs_awips_f300 edit FCSTHR '300' trigger ../../prdgen/jgfs_pgrb2_f300 == complete - endtask task jgfs_awips_f312 edit FCSTHR '312' trigger ../../prdgen/jgfs_pgrb2_f312 == complete - endtask task jgfs_awips_f324 edit FCSTHR '324' trigger ../../prdgen/jgfs_pgrb2_f324 == complete - endtask task jgfs_awips_f336 edit FCSTHR '336' trigger ../../prdgen/jgfs_pgrb2_f336 == complete - endtask task jgfs_awips_f348 edit FCSTHR '348' trigger ../../prdgen/jgfs_pgrb2_f348 == complete - endtask task jgfs_awips_f360 edit FCSTHR '360' trigger ../../prdgen/jgfs_pgrb2_f360 == complete - endtask task jgfs_awips_f372 edit FCSTHR '372' trigger ../../prdgen/jgfs_pgrb2_f372 == complete - endtask task jgfs_awips_f384 edit FCSTHR '384' trigger ../../prdgen/jgfs_pgrb2_f384 == complete - endtask - endfamily - family awips_20km + endfamily # /prod06/gfs/post_processing/awips_1p0deg + family awips_20km # /prod06/gfs/post_processing/awips_20km edit RES '20km' edit RESC '20KM' #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' task jgfs_awips_f000 edit FCSTHR '000' trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask task jgfs_awips_f001 edit FCSTHR '001' trigger ../../prdgen/jgfs_pgrb2_f01 == complete - endtask task jgfs_awips_f002 edit FCSTHR '002' trigger ../../prdgen/jgfs_pgrb2_f02 == complete - endtask task jgfs_awips_f003 edit FCSTHR '003' trigger ../../prdgen/jgfs_pgrb2_f03 == complete - endtask task jgfs_awips_f004 edit FCSTHR '004' trigger ../../prdgen/jgfs_pgrb2_f04 == complete - endtask task jgfs_awips_f005 edit FCSTHR '005' trigger ../../prdgen/jgfs_pgrb2_f05 == complete - endtask task jgfs_awips_f006 edit FCSTHR '006' trigger ../../prdgen/jgfs_pgrb2_f06 == complete - endtask task jgfs_awips_f007 edit FCSTHR '007' trigger ../../prdgen/jgfs_pgrb2_f07 == complete - endtask task jgfs_awips_f008 edit FCSTHR '008' trigger ../../prdgen/jgfs_pgrb2_f08 == complete - endtask task jgfs_awips_f009 edit FCSTHR '009' trigger ../../prdgen/jgfs_pgrb2_f09 == complete - endtask task jgfs_awips_f010 edit FCSTHR '010' trigger ../../prdgen/jgfs_pgrb2_f10 == complete - endtask task jgfs_awips_f011 edit FCSTHR '011' trigger ../../prdgen/jgfs_pgrb2_f11 == complete - endtask task jgfs_awips_f012 edit FCSTHR '012' trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask task jgfs_awips_f013 edit FCSTHR '013' trigger ../../prdgen/jgfs_pgrb2_f13 == complete - endtask task jgfs_awips_f014 edit FCSTHR '014' trigger ../../prdgen/jgfs_pgrb2_f14 == complete - endtask task jgfs_awips_f015 edit FCSTHR '015' trigger ../../prdgen/jgfs_pgrb2_f15 == complete - endtask task jgfs_awips_f016 edit FCSTHR '016' trigger ../../prdgen/jgfs_pgrb2_f16 == complete - endtask task jgfs_awips_f017 edit FCSTHR '017' trigger ../../prdgen/jgfs_pgrb2_f17 == complete - endtask task jgfs_awips_f018 edit FCSTHR '018' trigger ../../prdgen/jgfs_pgrb2_f18 == complete - endtask task jgfs_awips_f019 edit FCSTHR '019' trigger ../../prdgen/jgfs_pgrb2_f19 == complete - endtask task jgfs_awips_f020 edit FCSTHR '020' trigger ../../prdgen/jgfs_pgrb2_f20 == complete - endtask task jgfs_awips_f021 edit FCSTHR '021' trigger ../../prdgen/jgfs_pgrb2_f21 == complete - endtask task jgfs_awips_f022 edit FCSTHR '022' trigger ../../prdgen/jgfs_pgrb2_f22 == complete - endtask task jgfs_awips_f023 edit FCSTHR '023' trigger ../../prdgen/jgfs_pgrb2_f23 == complete - endtask task jgfs_awips_f024 edit FCSTHR '024' trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask task jgfs_awips_f025 edit FCSTHR '025' trigger ../../prdgen/jgfs_pgrb2_f25 == complete - endtask task jgfs_awips_f026 edit FCSTHR '026' trigger ../../prdgen/jgfs_pgrb2_f26 == complete - endtask task jgfs_awips_f027 edit FCSTHR '027' trigger ../../prdgen/jgfs_pgrb2_f27 == complete - endtask task jgfs_awips_f028 edit FCSTHR '028' trigger ../../prdgen/jgfs_pgrb2_f28 == complete - endtask task jgfs_awips_f029 edit FCSTHR '029' trigger ../../prdgen/jgfs_pgrb2_f29 == complete - endtask task jgfs_awips_f030 edit FCSTHR '030' trigger ../../prdgen/jgfs_pgrb2_f30 == complete - endtask task jgfs_awips_f031 edit FCSTHR '031' trigger ../../prdgen/jgfs_pgrb2_f31 == complete - endtask task jgfs_awips_f032 edit FCSTHR '032' trigger ../../prdgen/jgfs_pgrb2_f32 == complete - endtask task jgfs_awips_f033 edit FCSTHR '033' trigger ../../prdgen/jgfs_pgrb2_f33 == complete - endtask task jgfs_awips_f034 edit FCSTHR '034' trigger ../../prdgen/jgfs_pgrb2_f34 == complete - endtask task jgfs_awips_f035 edit FCSTHR '035' trigger ../../prdgen/jgfs_pgrb2_f35 == complete - endtask task jgfs_awips_f036 edit FCSTHR '036' trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask task jgfs_awips_f037 edit FCSTHR '037' trigger ../../prdgen/jgfs_pgrb2_f37 == complete - endtask task jgfs_awips_f038 edit FCSTHR '038' trigger ../../prdgen/jgfs_pgrb2_f38 == complete - endtask task jgfs_awips_f039 edit FCSTHR '039' trigger ../../prdgen/jgfs_pgrb2_f39 == complete - endtask task jgfs_awips_f040 edit FCSTHR '040' trigger ../../prdgen/jgfs_pgrb2_f40 == complete - endtask task jgfs_awips_f041 edit FCSTHR '041' trigger ../../prdgen/jgfs_pgrb2_f41 == complete - endtask task jgfs_awips_f042 edit FCSTHR '042' trigger ../../prdgen/jgfs_pgrb2_f42 == complete - endtask task jgfs_awips_f043 edit FCSTHR '043' trigger ../../prdgen/jgfs_pgrb2_f43 == complete - endtask task jgfs_awips_f044 edit FCSTHR '044' trigger ../../prdgen/jgfs_pgrb2_f44 == complete - endtask task jgfs_awips_f045 edit FCSTHR '045' trigger ../../prdgen/jgfs_pgrb2_f45 == complete - endtask task jgfs_awips_f046 edit FCSTHR '046' trigger ../../prdgen/jgfs_pgrb2_f46 == complete - endtask task jgfs_awips_f047 edit FCSTHR '047' trigger ../../prdgen/jgfs_pgrb2_f47 == complete - endtask task jgfs_awips_f048 edit FCSTHR '048' trigger ../../prdgen/jgfs_pgrb2_f48 == complete - endtask task jgfs_awips_f049 edit FCSTHR '049' trigger ../../prdgen/jgfs_pgrb2_f49 == complete - endtask task jgfs_awips_f050 edit FCSTHR '050' trigger ../../prdgen/jgfs_pgrb2_f50 == complete - endtask task jgfs_awips_f051 edit FCSTHR '051' trigger ../../prdgen/jgfs_pgrb2_f51 == complete - endtask task jgfs_awips_f052 edit FCSTHR '052' trigger ../../prdgen/jgfs_pgrb2_f52 == complete - endtask task jgfs_awips_f053 edit FCSTHR '053' trigger ../../prdgen/jgfs_pgrb2_f53 == complete - endtask task jgfs_awips_f054 edit FCSTHR '054' trigger ../../prdgen/jgfs_pgrb2_f54 == complete - endtask task jgfs_awips_f055 edit FCSTHR '055' trigger ../../prdgen/jgfs_pgrb2_f55 == complete - endtask task jgfs_awips_f056 edit FCSTHR '056' trigger ../../prdgen/jgfs_pgrb2_f56 == complete - endtask task jgfs_awips_f057 edit FCSTHR '057' trigger ../../prdgen/jgfs_pgrb2_f57 == complete - endtask task jgfs_awips_f058 edit FCSTHR '058' trigger ../../prdgen/jgfs_pgrb2_f58 == complete - endtask task jgfs_awips_f059 edit FCSTHR '059' trigger ../../prdgen/jgfs_pgrb2_f59 == complete - endtask task jgfs_awips_f060 edit FCSTHR '060' trigger ../../prdgen/jgfs_pgrb2_f60 == complete - endtask task jgfs_awips_f061 edit FCSTHR '061' trigger ../../prdgen/jgfs_pgrb2_f61 == complete - endtask task jgfs_awips_f062 edit FCSTHR '062' trigger ../../prdgen/jgfs_pgrb2_f62 == complete - endtask task jgfs_awips_f063 edit FCSTHR '063' trigger ../../prdgen/jgfs_pgrb2_f63 == complete - endtask task jgfs_awips_f064 edit FCSTHR '064' trigger ../../prdgen/jgfs_pgrb2_f64 == complete - endtask task jgfs_awips_f065 edit FCSTHR '065' trigger ../../prdgen/jgfs_pgrb2_f65 == complete - endtask task jgfs_awips_f066 edit FCSTHR '066' trigger ../../prdgen/jgfs_pgrb2_f66 == complete - endtask task jgfs_awips_f067 edit FCSTHR '067' trigger ../../prdgen/jgfs_pgrb2_f67 == complete - endtask task jgfs_awips_f068 edit FCSTHR '068' trigger ../../prdgen/jgfs_pgrb2_f68 == complete - endtask task jgfs_awips_f069 edit FCSTHR '069' trigger ../../prdgen/jgfs_pgrb2_f69 == complete - endtask task jgfs_awips_f070 edit FCSTHR '070' trigger ../../prdgen/jgfs_pgrb2_f70 == complete - endtask task jgfs_awips_f071 edit FCSTHR '071' trigger ../../prdgen/jgfs_pgrb2_f71 == complete - endtask task jgfs_awips_f072 edit FCSTHR '072' trigger ../../prdgen/jgfs_pgrb2_f72 == complete - endtask task jgfs_awips_f073 edit FCSTHR '073' trigger ../../prdgen/jgfs_pgrb2_f73 == complete - endtask task jgfs_awips_f074 edit FCSTHR '074' trigger ../../prdgen/jgfs_pgrb2_f74 == complete - endtask task jgfs_awips_f075 edit FCSTHR '075' trigger ../../prdgen/jgfs_pgrb2_f75 == complete - endtask task jgfs_awips_f076 edit FCSTHR '076' trigger ../../prdgen/jgfs_pgrb2_f76 == complete - endtask task jgfs_awips_f077 edit FCSTHR '077' trigger ../../prdgen/jgfs_pgrb2_f77 == complete - endtask task jgfs_awips_f078 edit FCSTHR '078' trigger ../../prdgen/jgfs_pgrb2_f78 == complete - endtask task jgfs_awips_f079 edit FCSTHR '079' trigger ../../prdgen/jgfs_pgrb2_f79 == complete - endtask task jgfs_awips_f080 edit FCSTHR '080' trigger ../../prdgen/jgfs_pgrb2_f80 == complete - endtask task jgfs_awips_f081 edit FCSTHR '081' trigger ../../prdgen/jgfs_pgrb2_f81 == complete - endtask task jgfs_awips_f082 edit FCSTHR '082' trigger ../../prdgen/jgfs_pgrb2_f82 == complete - endtask task jgfs_awips_f083 edit FCSTHR '083' trigger ../../prdgen/jgfs_pgrb2_f83 == complete - endtask task jgfs_awips_f084 edit FCSTHR '084' trigger ../../prdgen/jgfs_pgrb2_f84 == complete - endtask task jgfs_awips_f085 edit FCSTHR '085' trigger ../../prdgen/jgfs_pgrb2_f85 == complete - endtask task jgfs_awips_f086 edit FCSTHR '086' trigger ../../prdgen/jgfs_pgrb2_f86 == complete - endtask task jgfs_awips_f087 edit FCSTHR '087' trigger ../../prdgen/jgfs_pgrb2_f87 == complete - endtask task jgfs_awips_f088 edit FCSTHR '088' trigger ../../prdgen/jgfs_pgrb2_f88 == complete - endtask task jgfs_awips_f089 edit FCSTHR '089' trigger ../../prdgen/jgfs_pgrb2_f89 == complete - endtask task jgfs_awips_f090 edit FCSTHR '090' trigger ../../prdgen/jgfs_pgrb2_f90 == complete - endtask task jgfs_awips_f091 edit FCSTHR '091' trigger ../../prdgen/jgfs_pgrb2_f91 == complete - endtask task jgfs_awips_f092 edit FCSTHR '092' trigger ../../prdgen/jgfs_pgrb2_f92 == complete - endtask task jgfs_awips_f093 edit FCSTHR '093' trigger ../../prdgen/jgfs_pgrb2_f93 == complete - endtask task jgfs_awips_f094 edit FCSTHR '094' trigger ../../prdgen/jgfs_pgrb2_f94 == complete - endtask task jgfs_awips_f095 edit FCSTHR '095' trigger ../../prdgen/jgfs_pgrb2_f95 == complete - endtask task jgfs_awips_f096 edit FCSTHR '096' trigger ../../prdgen/jgfs_pgrb2_f96 == complete - endtask task jgfs_awips_f097 edit FCSTHR '097' trigger ../../prdgen/jgfs_pgrb2_f97 == complete - endtask task jgfs_awips_f098 edit FCSTHR '098' trigger ../../prdgen/jgfs_pgrb2_f98 == complete - endtask task jgfs_awips_f099 edit FCSTHR '099' trigger ../../prdgen/jgfs_pgrb2_f99 == complete - endtask task jgfs_awips_f100 edit FCSTHR '100' trigger ../../prdgen/jgfs_pgrb2_f100 == complete - endtask task jgfs_awips_f101 edit FCSTHR '101' trigger ../../prdgen/jgfs_pgrb2_f101 == complete - endtask task jgfs_awips_f102 edit FCSTHR '102' trigger ../../prdgen/jgfs_pgrb2_f102 == complete - endtask task jgfs_awips_f103 edit FCSTHR '103' trigger ../../prdgen/jgfs_pgrb2_f103 == complete - endtask task jgfs_awips_f104 edit FCSTHR '104' trigger ../../prdgen/jgfs_pgrb2_f104 == complete - endtask task jgfs_awips_f105 edit FCSTHR '105' trigger ../../prdgen/jgfs_pgrb2_f105 == complete - endtask task jgfs_awips_f106 edit FCSTHR '106' trigger ../../prdgen/jgfs_pgrb2_f106 == complete - endtask task jgfs_awips_f107 edit FCSTHR '107' trigger ../../prdgen/jgfs_pgrb2_f107 == complete - endtask task jgfs_awips_f108 edit FCSTHR '108' trigger ../../prdgen/jgfs_pgrb2_f108 == complete - endtask task jgfs_awips_f109 edit FCSTHR '109' trigger ../../prdgen/jgfs_pgrb2_f109 == complete - endtask task jgfs_awips_f110 edit FCSTHR '110' trigger ../../prdgen/jgfs_pgrb2_f110 == complete - endtask task jgfs_awips_f111 edit FCSTHR '111' trigger ../../prdgen/jgfs_pgrb2_f111 == complete - endtask task jgfs_awips_f112 edit FCSTHR '112' trigger ../../prdgen/jgfs_pgrb2_f112 == complete - endtask task jgfs_awips_f113 edit FCSTHR '113' trigger ../../prdgen/jgfs_pgrb2_f113 == complete - endtask task jgfs_awips_f114 edit FCSTHR '114' trigger ../../prdgen/jgfs_pgrb2_f114 == complete - endtask task jgfs_awips_f115 edit FCSTHR '115' trigger ../../prdgen/jgfs_pgrb2_f115 == complete - endtask task jgfs_awips_f116 edit FCSTHR '116' trigger ../../prdgen/jgfs_pgrb2_f116 == complete - endtask task jgfs_awips_f117 edit FCSTHR '117' trigger ../../prdgen/jgfs_pgrb2_f117 == complete - endtask task jgfs_awips_f118 edit FCSTHR '118' trigger ../../prdgen/jgfs_pgrb2_f118 == complete - endtask task jgfs_awips_f119 edit FCSTHR '119' trigger ../../prdgen/jgfs_pgrb2_f119 == complete - endtask task jgfs_awips_f120 edit FCSTHR '120' trigger ../../prdgen/jgfs_pgrb2_f120 == complete - endtask task jgfs_awips_f123 edit FCSTHR '123' trigger ../../prdgen/jgfs_pgrb2_f123 == complete - endtask task jgfs_awips_f126 edit FCSTHR '126' trigger ../../prdgen/jgfs_pgrb2_f126 == complete - endtask task jgfs_awips_f129 edit FCSTHR '129' trigger ../../prdgen/jgfs_pgrb2_f129 == complete - endtask task jgfs_awips_f132 edit FCSTHR '132' trigger ../../prdgen/jgfs_pgrb2_f132 == complete - endtask task jgfs_awips_f135 edit FCSTHR '135' trigger ../../prdgen/jgfs_pgrb2_f135 == complete - endtask task jgfs_awips_f138 edit FCSTHR '138' trigger ../../prdgen/jgfs_pgrb2_f138 == complete - endtask task jgfs_awips_f141 edit FCSTHR '141' trigger ../../prdgen/jgfs_pgrb2_f141 == complete - endtask task jgfs_awips_f144 edit FCSTHR '144' trigger ../../prdgen/jgfs_pgrb2_f144 == complete - endtask task jgfs_awips_f147 edit FCSTHR '147' trigger ../../prdgen/jgfs_pgrb2_f147 == complete - endtask task jgfs_awips_f150 edit FCSTHR '150' trigger ../../prdgen/jgfs_pgrb2_f150 == complete - endtask task jgfs_awips_f153 edit FCSTHR '153' trigger ../../prdgen/jgfs_pgrb2_f153 == complete - endtask task jgfs_awips_f156 edit FCSTHR '156' trigger ../../prdgen/jgfs_pgrb2_f156 == complete - endtask task jgfs_awips_f159 edit FCSTHR '159' trigger ../../prdgen/jgfs_pgrb2_f159 == complete - endtask task jgfs_awips_f162 edit FCSTHR '162' trigger ../../prdgen/jgfs_pgrb2_f162 == complete - endtask task jgfs_awips_f165 edit FCSTHR '165' trigger ../../prdgen/jgfs_pgrb2_f165 == complete - endtask task jgfs_awips_f168 edit FCSTHR '168' trigger ../../prdgen/jgfs_pgrb2_f168 == complete - endtask task jgfs_awips_f171 edit FCSTHR '171' trigger ../../prdgen/jgfs_pgrb2_f171 == complete - endtask task jgfs_awips_f174 edit FCSTHR '174' trigger ../../prdgen/jgfs_pgrb2_f174 == complete - endtask task jgfs_awips_f177 edit FCSTHR '177' trigger ../../prdgen/jgfs_pgrb2_f177 == complete - endtask task jgfs_awips_f180 edit FCSTHR '180' trigger ../../prdgen/jgfs_pgrb2_f180 == complete - endtask task jgfs_awips_f183 edit FCSTHR '183' trigger ../../prdgen/jgfs_pgrb2_f183 == complete - endtask task jgfs_awips_f186 edit FCSTHR '186' trigger ../../prdgen/jgfs_pgrb2_f186 == complete - endtask task jgfs_awips_f189 edit FCSTHR '189' trigger ../../prdgen/jgfs_pgrb2_f189 == complete - endtask task jgfs_awips_f192 edit FCSTHR '192' trigger ../../prdgen/jgfs_pgrb2_f192 == complete - endtask task jgfs_awips_f195 edit FCSTHR '195' trigger ../../prdgen/jgfs_pgrb2_f195 == complete - endtask task jgfs_awips_f198 edit FCSTHR '198' trigger ../../prdgen/jgfs_pgrb2_f198 == complete - endtask task jgfs_awips_f201 edit FCSTHR '201' trigger ../../prdgen/jgfs_pgrb2_f201 == complete - endtask task jgfs_awips_f204 edit FCSTHR '204' trigger ../../prdgen/jgfs_pgrb2_f204 == complete - endtask task jgfs_awips_f207 edit FCSTHR '207' trigger ../../prdgen/jgfs_pgrb2_f207 == complete - endtask task jgfs_awips_f210 edit FCSTHR '210' trigger ../../prdgen/jgfs_pgrb2_f210 == complete - endtask task jgfs_awips_f213 edit FCSTHR '213' trigger ../../prdgen/jgfs_pgrb2_f213 == complete - endtask task jgfs_awips_f216 edit FCSTHR '216' trigger ../../prdgen/jgfs_pgrb2_f216 == complete - endtask task jgfs_awips_f219 edit FCSTHR '219' trigger ../../prdgen/jgfs_pgrb2_f219 == complete - endtask task jgfs_awips_f222 edit FCSTHR '222' trigger ../../prdgen/jgfs_pgrb2_f222 == complete - endtask task jgfs_awips_f225 edit FCSTHR '225' trigger ../../prdgen/jgfs_pgrb2_f225 == complete - endtask task jgfs_awips_f228 edit FCSTHR '228' trigger ../../prdgen/jgfs_pgrb2_f228 == complete - endtask task jgfs_awips_f231 edit FCSTHR '231' trigger ../../prdgen/jgfs_pgrb2_f231 == complete - endtask task jgfs_awips_f234 edit FCSTHR '234' trigger ../../prdgen/jgfs_pgrb2_f234 == complete - endtask task jgfs_awips_f237 edit FCSTHR '237' trigger ../../prdgen/jgfs_pgrb2_f237 == complete - endtask task jgfs_awips_f240 edit FCSTHR '240' trigger ../../prdgen/jgfs_pgrb2_f240 == complete - endtask task jgfs_awips_f252 edit FCSTHR '252' trigger ../../prdgen/jgfs_pgrb2_f252 == complete - endtask task jgfs_awips_f264 edit FCSTHR '264' trigger ../../prdgen/jgfs_pgrb2_f264 == complete - endtask task jgfs_awips_f276 edit FCSTHR '276' trigger ../../prdgen/jgfs_pgrb2_f276 == complete - endtask task jgfs_awips_f288 edit FCSTHR '288' trigger ../../prdgen/jgfs_pgrb2_f288 == complete - endtask task jgfs_awips_f300 edit FCSTHR '300' trigger ../../prdgen/jgfs_pgrb2_f300 == complete - endtask task jgfs_awips_f312 edit FCSTHR '312' trigger ../../prdgen/jgfs_pgrb2_f312 == complete - endtask task jgfs_awips_f324 edit FCSTHR '324' trigger ../../prdgen/jgfs_pgrb2_f324 == complete - endtask task jgfs_awips_f336 edit FCSTHR '336' trigger ../../prdgen/jgfs_pgrb2_f336 == complete - endtask task jgfs_awips_f348 edit FCSTHR '348' trigger ../../prdgen/jgfs_pgrb2_f348 == complete - endtask task jgfs_awips_f360 edit FCSTHR '360' trigger ../../prdgen/jgfs_pgrb2_f360 == complete - endtask task jgfs_awips_f372 edit FCSTHR '372' trigger ../../prdgen/jgfs_pgrb2_f372 == complete - endtask task jgfs_awips_f384 edit FCSTHR '384' trigger ../../prdgen/jgfs_pgrb2_f384 == complete - endtask - endfamily - endfamily - family post + endfamily # /prod06/gfs/post_processing/awips_20km + endfamily # /prod06/gfs/post_processing + family post # /prod06/gfs/post task jgfs_post_anl edit FHR 'anl' edit HR 'anl' trigger ./jgfs_post_manager:release_postanl event 1 release_pgrb2_anl - endtask task jgfs_pgrb2_spec_post trigger ./jgfs_post_f336 == complete and ./jgfs_post_f348 == complete and ./jgfs_post_f360 == complete and ./jgfs_post_f372 == complete and ./jgfs_post_f384 == complete - endtask task jgfs_post_manager trigger ../jgfs_analysis == complete and ../forecast == complete event 1 release_postanl @@ -2481,878 +1917,706 @@ suite prod06 event 172 release_post360 event 173 release_post372 event 174 release_post384 - endtask task jgfs_post_f00 - edit FHR: 'f00' - edit HR: '00' + edit FHR 'f00' + edit HR '00' trigger ./jgfs_post_manager:release_post00 - endtask task jgfs_post_f01 - edit FHR: 'f01' - edit HR: '01' + edit FHR 'f01' + edit HR '01' trigger ./jgfs_post_manager:release_post01 - endtask task jgfs_post_f02 - edit FHR: 'f02' - edit HR: '02' + edit FHR 'f02' + edit HR '02' trigger ./jgfs_post_manager:release_post02 - endtask task jgfs_post_f03 - edit FHR: 'f03' - edit HR: '03' + edit FHR 'f03' + edit HR '03' trigger ./jgfs_post_manager:release_post03 - endtask task jgfs_post_f04 - edit FHR: 'f04' - edit HR: '04' + edit FHR 'f04' + edit HR '04' trigger ./jgfs_post_manager:release_post04 - endtask task jgfs_post_f05 - edit FHR: 'f05' - edit HR: '05' + edit FHR 'f05' + edit HR '05' trigger ./jgfs_post_manager:release_post05 - endtask task jgfs_post_f06 - edit FHR: 'f06' - edit HR: '06' + edit FHR 'f06' + edit HR '06' trigger ./jgfs_post_manager:release_post06 - endtask task jgfs_post_f07 - edit FHR: 'f07' - edit HR: '07' + edit FHR 'f07' + edit HR '07' trigger ./jgfs_post_manager:release_post07 - endtask task jgfs_post_f08 - edit FHR: 'f08' - edit HR: '08' + edit FHR 'f08' + edit HR '08' trigger ./jgfs_post_manager:release_post08 - endtask task jgfs_post_f09 - edit FHR: 'f09' - edit HR: '09' + edit FHR 'f09' + edit HR '09' trigger ./jgfs_post_manager:release_post09 - endtask task jgfs_post_f10 - edit FHR: 'f10' - edit HR: '10' + edit FHR 'f10' + edit HR '10' trigger ./jgfs_post_manager:release_post10 - endtask task jgfs_post_f11 - edit FHR: 'f11' - edit HR: '11' + edit FHR 'f11' + edit HR '11' trigger ./jgfs_post_manager:release_post11 - endtask task jgfs_post_f12 - edit FHR: 'f12' - edit HR: '12' + edit FHR 'f12' + edit HR '12' trigger ./jgfs_post_manager:release_post12 - endtask task jgfs_post_f13 - edit FHR: 'f13' - edit HR: '13' + edit FHR 'f13' + edit HR '13' trigger ./jgfs_post_manager:release_post13 - endtask task jgfs_post_f14 - edit FHR: 'f14' - edit HR: '14' + edit FHR 'f14' + edit HR '14' trigger ./jgfs_post_manager:release_post14 - endtask task jgfs_post_f15 - edit FHR: 'f15' - edit HR: '15' + edit FHR 'f15' + edit HR '15' trigger ./jgfs_post_manager:release_post15 - endtask task jgfs_post_f16 - edit FHR: 'f16' - edit HR: '16' + edit FHR 'f16' + edit HR '16' trigger ./jgfs_post_manager:release_post16 - endtask task jgfs_post_f17 - edit FHR: 'f17' - edit HR: '17' + edit FHR 'f17' + edit HR '17' trigger ./jgfs_post_manager:release_post17 - endtask task jgfs_post_f18 - edit FHR: 'f18' - edit HR: '18' + edit FHR 'f18' + edit HR '18' trigger ./jgfs_post_manager:release_post18 - endtask task jgfs_post_f19 - edit FHR: 'f19' - edit HR: '19' + edit FHR 'f19' + edit HR '19' trigger ./jgfs_post_manager:release_post19 - endtask task jgfs_post_f20 - edit FHR: 'f20' - edit HR: '20' + edit FHR 'f20' + edit HR '20' trigger ./jgfs_post_manager:release_post20 - endtask task jgfs_post_f21 - edit FHR: 'f21' - edit HR: '21' + edit FHR 'f21' + edit HR '21' trigger ./jgfs_post_manager:release_post21 - endtask task jgfs_post_f22 - edit FHR: 'f22' - edit HR: '22' + edit FHR 'f22' + edit HR '22' trigger ./jgfs_post_manager:release_post22 - endtask task jgfs_post_f23 - edit FHR: 'f23' - edit HR: '23' + edit FHR 'f23' + edit HR '23' trigger ./jgfs_post_manager:release_post23 - endtask task jgfs_post_f24 - edit FHR: 'f24' - edit HR: '24' + edit FHR 'f24' + edit HR '24' trigger ./jgfs_post_manager:release_post24 - endtask task jgfs_post_f25 - edit FHR: 'f25' - edit HR: '25' + edit FHR 'f25' + edit HR '25' trigger ./jgfs_post_manager:release_post25 - endtask task jgfs_post_f26 - edit FHR: 'f26' - edit HR: '26' + edit FHR 'f26' + edit HR '26' trigger ./jgfs_post_manager:release_post26 - endtask task jgfs_post_f27 - edit FHR: 'f27' - edit HR: '27' + edit FHR 'f27' + edit HR '27' trigger ./jgfs_post_manager:release_post27 - endtask task jgfs_post_f28 - edit FHR: 'f28' - edit HR: '28' + edit FHR 'f28' + edit HR '28' trigger ./jgfs_post_manager:release_post28 - endtask task jgfs_post_f29 - edit FHR: 'f29' - edit HR: '29' + edit FHR 'f29' + edit HR '29' trigger ./jgfs_post_manager:release_post29 - endtask task jgfs_post_f30 - edit FHR: 'f30' - edit HR: '30' + edit FHR 'f30' + edit HR '30' trigger ./jgfs_post_manager:release_post30 - endtask task jgfs_post_f31 - edit FHR: 'f31' - edit HR: '31' + edit FHR 'f31' + edit HR '31' trigger ./jgfs_post_manager:release_post31 - endtask task jgfs_post_f32 - edit FHR: 'f32' - edit HR: '32' + edit FHR 'f32' + edit HR '32' trigger ./jgfs_post_manager:release_post32 - endtask task jgfs_post_f33 - edit FHR: 'f33' - edit HR: '33' + edit FHR 'f33' + edit HR '33' trigger ./jgfs_post_manager:release_post33 - endtask task jgfs_post_f34 - edit FHR: 'f34' - edit HR: '34' + edit FHR 'f34' + edit HR '34' trigger ./jgfs_post_manager:release_post34 - endtask task jgfs_post_f35 - edit FHR: 'f35' - edit HR: '35' + edit FHR 'f35' + edit HR '35' trigger ./jgfs_post_manager:release_post35 - endtask task jgfs_post_f36 - edit FHR: 'f36' - edit HR: '36' + edit FHR 'f36' + edit HR '36' trigger ./jgfs_post_manager:release_post36 - endtask task jgfs_post_f37 - edit FHR: 'f37' - edit HR: '37' + edit FHR 'f37' + edit HR '37' trigger ./jgfs_post_manager:release_post37 - endtask task jgfs_post_f38 - edit FHR: 'f38' - edit HR: '38' + edit FHR 'f38' + edit HR '38' trigger ./jgfs_post_manager:release_post38 - endtask task jgfs_post_f39 - edit FHR: 'f39' - edit HR: '39' + edit FHR 'f39' + edit HR '39' trigger ./jgfs_post_manager:release_post39 - endtask task jgfs_post_f40 - edit FHR: 'f40' - edit HR: '40' + edit FHR 'f40' + edit HR '40' trigger ./jgfs_post_manager:release_post40 - endtask task jgfs_post_f41 - edit FHR: 'f41' - edit HR: '41' + edit FHR 'f41' + edit HR '41' trigger ./jgfs_post_manager:release_post41 - endtask task jgfs_post_f42 - edit FHR: 'f42' - edit HR: '42' + edit FHR 'f42' + edit HR '42' trigger ./jgfs_post_manager:release_post42 - endtask task jgfs_post_f43 - edit FHR: 'f43' - edit HR: '43' + edit FHR 'f43' + edit HR '43' trigger ./jgfs_post_manager:release_post43 - endtask task jgfs_post_f44 - edit FHR: 'f44' - edit HR: '44' + edit FHR 'f44' + edit HR '44' trigger ./jgfs_post_manager:release_post44 - endtask task jgfs_post_f45 - edit FHR: 'f45' - edit HR: '45' + edit FHR 'f45' + edit HR '45' trigger ./jgfs_post_manager:release_post45 - endtask task jgfs_post_f46 - edit FHR: 'f46' - edit HR: '46' + edit FHR 'f46' + edit HR '46' trigger ./jgfs_post_manager:release_post46 - endtask task jgfs_post_f47 - edit FHR: 'f47' - edit HR: '47' + edit FHR 'f47' + edit HR '47' trigger ./jgfs_post_manager:release_post47 - endtask task jgfs_post_f48 - edit FHR: 'f48' - edit HR: '48' + edit FHR 'f48' + edit HR '48' trigger ./jgfs_post_manager:release_post48 - endtask task jgfs_post_f49 - edit FHR: 'f49' - edit HR: '49' + edit FHR 'f49' + edit HR '49' trigger ./jgfs_post_manager:release_post49 - endtask task jgfs_post_f50 - edit FHR: 'f50' - edit HR: '50' + edit FHR 'f50' + edit HR '50' trigger ./jgfs_post_manager:release_post50 - endtask task jgfs_post_f51 - edit FHR: 'f51' - edit HR: '51' + edit FHR 'f51' + edit HR '51' trigger ./jgfs_post_manager:release_post51 - endtask task jgfs_post_f52 - edit FHR: 'f52' - edit HR: '52' + edit FHR 'f52' + edit HR '52' trigger ./jgfs_post_manager:release_post52 - endtask task jgfs_post_f53 - edit FHR: 'f53' - edit HR: '53' + edit FHR 'f53' + edit HR '53' trigger ./jgfs_post_manager:release_post53 - endtask task jgfs_post_f54 - edit FHR: 'f54' - edit HR: '54' + edit FHR 'f54' + edit HR '54' trigger ./jgfs_post_manager:release_post54 - endtask task jgfs_post_f55 - edit FHR: 'f55' - edit HR: '55' + edit FHR 'f55' + edit HR '55' trigger ./jgfs_post_manager:release_post55 - endtask task jgfs_post_f56 - edit FHR: 'f56' - edit HR: '56' + edit FHR 'f56' + edit HR '56' trigger ./jgfs_post_manager:release_post56 - endtask task jgfs_post_f57 - edit FHR: 'f57' - edit HR: '57' + edit FHR 'f57' + edit HR '57' trigger ./jgfs_post_manager:release_post57 - endtask task jgfs_post_f58 - edit FHR: 'f58' - edit HR: '58' + edit FHR 'f58' + edit HR '58' trigger ./jgfs_post_manager:release_post58 - endtask task jgfs_post_f59 - edit FHR: 'f59' - edit HR: '59' + edit FHR 'f59' + edit HR '59' trigger ./jgfs_post_manager:release_post59 - endtask task jgfs_post_f60 - edit FHR: 'f60' - edit HR: '60' + edit FHR 'f60' + edit HR '60' trigger ./jgfs_post_manager:release_post60 - endtask task jgfs_post_f61 - edit FHR: 'f61' - edit HR: '61' + edit FHR 'f61' + edit HR '61' trigger ./jgfs_post_manager:release_post61 - endtask task jgfs_post_f62 - edit FHR: 'f62' - edit HR: '62' + edit FHR 'f62' + edit HR '62' trigger ./jgfs_post_manager:release_post62 - endtask task jgfs_post_f63 - edit FHR: 'f63' - edit HR: '63' + edit FHR 'f63' + edit HR '63' trigger ./jgfs_post_manager:release_post63 - endtask task jgfs_post_f64 - edit FHR: 'f64' - edit HR: '64' + edit FHR 'f64' + edit HR '64' trigger ./jgfs_post_manager:release_post64 - endtask task jgfs_post_f65 - edit FHR: 'f65' - edit HR: '65' + edit FHR 'f65' + edit HR '65' trigger ./jgfs_post_manager:release_post65 - endtask task jgfs_post_f66 - edit FHR: 'f66' - edit HR: '66' + edit FHR 'f66' + edit HR '66' trigger ./jgfs_post_manager:release_post66 - endtask task jgfs_post_f67 - edit FHR: 'f67' - edit HR: '67' + edit FHR 'f67' + edit HR '67' trigger ./jgfs_post_manager:release_post67 - endtask task jgfs_post_f68 - edit FHR: 'f68' - edit HR: '68' + edit FHR 'f68' + edit HR '68' trigger ./jgfs_post_manager:release_post68 - endtask task jgfs_post_f69 - edit FHR: 'f69' - edit HR: '69' + edit FHR 'f69' + edit HR '69' trigger ./jgfs_post_manager:release_post69 - endtask task jgfs_post_f70 - edit FHR: 'f70' - edit HR: '70' + edit FHR 'f70' + edit HR '70' trigger ./jgfs_post_manager:release_post70 - endtask task jgfs_post_f71 - edit FHR: 'f71' - edit HR: '71' + edit FHR 'f71' + edit HR '71' trigger ./jgfs_post_manager:release_post71 - endtask task jgfs_post_f72 - edit FHR: 'f72' - edit HR: '72' + edit FHR 'f72' + edit HR '72' trigger ./jgfs_post_manager:release_post72 - endtask task jgfs_post_f73 - edit FHR: 'f73' - edit HR: '73' + edit FHR 'f73' + edit HR '73' trigger ./jgfs_post_manager:release_post73 - endtask task jgfs_post_f74 - edit FHR: 'f74' - edit HR: '74' + edit FHR 'f74' + edit HR '74' trigger ./jgfs_post_manager:release_post74 - endtask task jgfs_post_f75 - edit FHR: 'f75' - edit HR: '75' + edit FHR 'f75' + edit HR '75' trigger ./jgfs_post_manager:release_post75 - endtask task jgfs_post_f76 - edit FHR: 'f76' - edit HR: '76' + edit FHR 'f76' + edit HR '76' trigger ./jgfs_post_manager:release_post76 - endtask task jgfs_post_f77 - edit FHR: 'f77' - edit HR: '77' + edit FHR 'f77' + edit HR '77' trigger ./jgfs_post_manager:release_post77 - endtask task jgfs_post_f78 - edit FHR: 'f78' - edit HR: '78' + edit FHR 'f78' + edit HR '78' trigger ./jgfs_post_manager:release_post78 - endtask task jgfs_post_f79 - edit FHR: 'f79' - edit HR: '79' + edit FHR 'f79' + edit HR '79' trigger ./jgfs_post_manager:release_post79 - endtask task jgfs_post_f80 - edit FHR: 'f80' - edit HR: '80' + edit FHR 'f80' + edit HR '80' trigger ./jgfs_post_manager:release_post80 - endtask task jgfs_post_f81 - edit FHR: 'f81' - edit HR: '81' + edit FHR 'f81' + edit HR '81' trigger ./jgfs_post_manager:release_post81 - endtask task jgfs_post_f82 - edit FHR: 'f82' - edit HR: '82' + edit FHR 'f82' + edit HR '82' trigger ./jgfs_post_manager:release_post82 - endtask task jgfs_post_f83 - edit FHR: 'f83' - edit HR: '83' + edit FHR 'f83' + edit HR '83' trigger ./jgfs_post_manager:release_post83 - endtask task jgfs_post_f84 - edit FHR: 'f84' - edit HR: '84' + edit FHR 'f84' + edit HR '84' trigger ./jgfs_post_manager:release_post84 - endtask task jgfs_post_f85 - edit FHR: 'f85' - edit HR: '85' + edit FHR 'f85' + edit HR '85' trigger ./jgfs_post_manager:release_post85 - endtask task jgfs_post_f86 - edit FHR: 'f86' - edit HR: '86' + edit FHR 'f86' + edit HR '86' trigger ./jgfs_post_manager:release_post86 - endtask task jgfs_post_f87 - edit FHR: 'f87' - edit HR: '87' + edit FHR 'f87' + edit HR '87' trigger ./jgfs_post_manager:release_post87 - endtask task jgfs_post_f88 - edit FHR: 'f88' - edit HR: '88' + edit FHR 'f88' + edit HR '88' trigger ./jgfs_post_manager:release_post88 - endtask task jgfs_post_f89 - edit FHR: 'f89' - edit HR: '89' + edit FHR 'f89' + edit HR '89' trigger ./jgfs_post_manager:release_post89 - endtask task jgfs_post_f90 - edit FHR: 'f90' - edit HR: '90' + edit FHR 'f90' + edit HR '90' trigger ./jgfs_post_manager:release_post90 - endtask task jgfs_post_f91 - edit FHR: 'f91' - edit HR: '91' + edit FHR 'f91' + edit HR '91' trigger ./jgfs_post_manager:release_post91 - endtask task jgfs_post_f92 - edit FHR: 'f92' - edit HR: '92' + edit FHR 'f92' + edit HR '92' trigger ./jgfs_post_manager:release_post92 - endtask task jgfs_post_f93 - edit FHR: 'f93' - edit HR: '93' + edit FHR 'f93' + edit HR '93' trigger ./jgfs_post_manager:release_post93 - endtask task jgfs_post_f94 - edit FHR: 'f94' - edit HR: '94' + edit FHR 'f94' + edit HR '94' trigger ./jgfs_post_manager:release_post94 - endtask task jgfs_post_f95 - edit FHR: 'f95' - edit HR: '95' + edit FHR 'f95' + edit HR '95' trigger ./jgfs_post_manager:release_post95 - endtask task jgfs_post_f96 - edit FHR: 'f96' - edit HR: '96' + edit FHR 'f96' + edit HR '96' trigger ./jgfs_post_manager:release_post96 - endtask task jgfs_post_f97 - edit FHR: 'f97' - edit HR: '97' + edit FHR 'f97' + edit HR '97' trigger ./jgfs_post_manager:release_post97 - endtask task jgfs_post_f98 - edit FHR: 'f98' - edit HR: '98' + edit FHR 'f98' + edit HR '98' trigger ./jgfs_post_manager:release_post98 - endtask task jgfs_post_f99 - edit FHR: 'f99' - edit HR: '99' + edit FHR 'f99' + edit HR '99' trigger ./jgfs_post_manager:release_post99 - endtask task jgfs_post_f100 - edit FHR: 'f100' - edit HR: '100' + edit FHR 'f100' + edit HR '100' trigger ./jgfs_post_manager:release_post100 - endtask task jgfs_post_f101 - edit FHR: 'f101' - edit HR: '101' + edit FHR 'f101' + edit HR '101' trigger ./jgfs_post_manager:release_post101 - endtask task jgfs_post_f102 - edit FHR: 'f102' - edit HR: '102' + edit FHR 'f102' + edit HR '102' trigger ./jgfs_post_manager:release_post102 - endtask task jgfs_post_f103 - edit FHR: 'f103' - edit HR: '103' + edit FHR 'f103' + edit HR '103' trigger ./jgfs_post_manager:release_post103 - endtask task jgfs_post_f104 - edit FHR: 'f104' - edit HR: '104' + edit FHR 'f104' + edit HR '104' trigger ./jgfs_post_manager:release_post104 - endtask task jgfs_post_f105 - edit FHR: 'f105' - edit HR: '105' + edit FHR 'f105' + edit HR '105' trigger ./jgfs_post_manager:release_post105 - endtask task jgfs_post_f106 - edit FHR: 'f106' - edit HR: '106' + edit FHR 'f106' + edit HR '106' trigger ./jgfs_post_manager:release_post106 - endtask task jgfs_post_f107 - edit FHR: 'f107' - edit HR: '107' + edit FHR 'f107' + edit HR '107' trigger ./jgfs_post_manager:release_post107 - endtask task jgfs_post_f108 - edit FHR: 'f108' - edit HR: '108' + edit FHR 'f108' + edit HR '108' trigger ./jgfs_post_manager:release_post108 - endtask task jgfs_post_f109 - edit FHR: 'f109' - edit HR: '109' + edit FHR 'f109' + edit HR '109' trigger ./jgfs_post_manager:release_post109 - endtask task jgfs_post_f110 - edit FHR: 'f110' - edit HR: '110' + edit FHR 'f110' + edit HR '110' trigger ./jgfs_post_manager:release_post110 - endtask task jgfs_post_f111 - edit FHR: 'f111' - edit HR: '111' + edit FHR 'f111' + edit HR '111' trigger ./jgfs_post_manager:release_post111 - endtask task jgfs_post_f112 - edit FHR: 'f112' - edit HR: '112' + edit FHR 'f112' + edit HR '112' trigger ./jgfs_post_manager:release_post112 - endtask task jgfs_post_f113 - edit FHR: 'f113' - edit HR: '113' + edit FHR 'f113' + edit HR '113' trigger ./jgfs_post_manager:release_post113 - endtask task jgfs_post_f114 - edit FHR: 'f114' - edit HR: '114' + edit FHR 'f114' + edit HR '114' trigger ./jgfs_post_manager:release_post114 - endtask task jgfs_post_f115 - edit FHR: 'f115' - edit HR: '115' + edit FHR 'f115' + edit HR '115' trigger ./jgfs_post_manager:release_post115 - endtask task jgfs_post_f116 - edit FHR: 'f116' - edit HR: '116' + edit FHR 'f116' + edit HR '116' trigger ./jgfs_post_manager:release_post116 - endtask task jgfs_post_f117 - edit FHR: 'f117' - edit HR: '117' + edit FHR 'f117' + edit HR '117' trigger ./jgfs_post_manager:release_post117 - endtask task jgfs_post_f118 - edit FHR: 'f118' - edit HR: '118' + edit FHR 'f118' + edit HR '118' trigger ./jgfs_post_manager:release_post118 - endtask task jgfs_post_f119 - edit FHR: 'f119' - edit HR: '119' + edit FHR 'f119' + edit HR '119' trigger ./jgfs_post_manager:release_post119 - endtask task jgfs_post_f120 - edit FHR: 'f120' - edit HR: '120' + edit FHR 'f120' + edit HR '120' trigger ./jgfs_post_manager:release_post120 - endtask task jgfs_post_f123 - edit FHR: 'f123' - edit HR: '123' + edit FHR 'f123' + edit HR '123' trigger ./jgfs_post_manager:release_post123 - endtask task jgfs_post_f126 - edit FHR: 'f126' - edit HR: '126' + edit FHR 'f126' + edit HR '126' trigger ./jgfs_post_manager:release_post126 - endtask task jgfs_post_f129 - edit FHR: 'f129' - edit HR: '129' + edit FHR 'f129' + edit HR '129' trigger ./jgfs_post_manager:release_post129 - endtask task jgfs_post_f132 - edit FHR: 'f132' - edit HR: '132' + edit FHR 'f132' + edit HR '132' trigger ./jgfs_post_manager:release_post132 - endtask task jgfs_post_f135 - edit FHR: 'f135' - edit HR: '135' + edit FHR 'f135' + edit HR '135' trigger ./jgfs_post_manager:release_post135 - endtask task jgfs_post_f138 - edit FHR: 'f138' - edit HR: '138' + edit FHR 'f138' + edit HR '138' trigger ./jgfs_post_manager:release_post138 - endtask task jgfs_post_f141 - edit FHR: 'f141' - edit HR: '141' + edit FHR 'f141' + edit HR '141' trigger ./jgfs_post_manager:release_post141 - endtask task jgfs_post_f144 - edit FHR: 'f144' - edit HR: '144' + edit FHR 'f144' + edit HR '144' trigger ./jgfs_post_manager:release_post144 - endtask task jgfs_post_f147 - edit FHR: 'f147' - edit HR: '147' + edit FHR 'f147' + edit HR '147' trigger ./jgfs_post_manager:release_post147 - endtask task jgfs_post_f150 - edit FHR: 'f150' - edit HR: '150' + edit FHR 'f150' + edit HR '150' trigger ./jgfs_post_manager:release_post150 - endtask task jgfs_post_f153 - edit FHR: 'f153' - edit HR: '153' + edit FHR 'f153' + edit HR '153' trigger ./jgfs_post_manager:release_post153 - endtask task jgfs_post_f156 - edit FHR: 'f156' - edit HR: '156' + edit FHR 'f156' + edit HR '156' trigger ./jgfs_post_manager:release_post156 - endtask task jgfs_post_f159 - edit FHR: 'f159' - edit HR: '159' + edit FHR 'f159' + edit HR '159' trigger ./jgfs_post_manager:release_post159 - endtask task jgfs_post_f162 - edit FHR: 'f162' - edit HR: '162' + edit FHR 'f162' + edit HR '162' trigger ./jgfs_post_manager:release_post162 - endtask task jgfs_post_f165 - edit FHR: 'f165' - edit HR: '165' + edit FHR 'f165' + edit HR '165' trigger ./jgfs_post_manager:release_post165 - endtask task jgfs_post_f168 - edit FHR: 'f168' - edit HR: '168' + edit FHR 'f168' + edit HR '168' trigger ./jgfs_post_manager:release_post168 - endtask task jgfs_post_f171 - edit FHR: 'f171' - edit HR: '171' + edit FHR 'f171' + edit HR '171' trigger ./jgfs_post_manager:release_post171 - endtask task jgfs_post_f174 - edit FHR: 'f174' - edit HR: '174' + edit FHR 'f174' + edit HR '174' trigger ./jgfs_post_manager:release_post174 - endtask task jgfs_post_f177 - edit FHR: 'f177' - edit HR: '177' + edit FHR 'f177' + edit HR '177' trigger ./jgfs_post_manager:release_post177 - endtask task jgfs_post_f180 - edit FHR: 'f180' - edit HR: '180' + edit FHR 'f180' + edit HR '180' trigger ./jgfs_post_manager:release_post180 - endtask task jgfs_post_f183 - edit FHR: 'f183' - edit HR: '183' + edit FHR 'f183' + edit HR '183' trigger ./jgfs_post_manager:release_post183 - endtask task jgfs_post_f186 - edit FHR: 'f186' - edit HR: '186' + edit FHR 'f186' + edit HR '186' trigger ./jgfs_post_manager:release_post186 - endtask task jgfs_post_f189 - edit FHR: 'f189' - edit HR: '189' + edit FHR 'f189' + edit HR '189' trigger ./jgfs_post_manager:release_post189 - endtask task jgfs_post_f192 - edit FHR: 'f192' - edit HR: '192' + edit FHR 'f192' + edit HR '192' trigger ./jgfs_post_manager:release_post192 - endtask task jgfs_post_f195 - edit FHR: 'f195' - edit HR: '195' + edit FHR 'f195' + edit HR '195' trigger ./jgfs_post_manager:release_post195 - endtask task jgfs_post_f198 - edit FHR: 'f198' - edit HR: '198' + edit FHR 'f198' + edit HR '198' trigger ./jgfs_post_manager:release_post198 - endtask task jgfs_post_f201 - edit FHR: 'f201' - edit HR: '201' + edit FHR 'f201' + edit HR '201' trigger ./jgfs_post_manager:release_post201 - endtask task jgfs_post_f204 - edit FHR: 'f204' - edit HR: '204' + edit FHR 'f204' + edit HR '204' trigger ./jgfs_post_manager:release_post204 - endtask task jgfs_post_f207 - edit FHR: 'f207' - edit HR: '207' + edit FHR 'f207' + edit HR '207' trigger ./jgfs_post_manager:release_post207 - endtask task jgfs_post_f210 - edit FHR: 'f210' - edit HR: '210' + edit FHR 'f210' + edit HR '210' trigger ./jgfs_post_manager:release_post210 - endtask task jgfs_post_f213 - edit FHR: 'f213' - edit HR: '213' + edit FHR 'f213' + edit HR '213' trigger ./jgfs_post_manager:release_post213 - endtask task jgfs_post_f216 - edit FHR: 'f216' - edit HR: '216' + edit FHR 'f216' + edit HR '216' trigger ./jgfs_post_manager:release_post216 - endtask task jgfs_post_f219 - edit FHR: 'f219' - edit HR: '219' + edit FHR 'f219' + edit HR '219' trigger ./jgfs_post_manager:release_post219 - endtask task jgfs_post_f222 - edit FHR: 'f222' - edit HR: '222' + edit FHR 'f222' + edit HR '222' trigger ./jgfs_post_manager:release_post222 - endtask task jgfs_post_f225 - edit FHR: 'f225' - edit HR: '225' + edit FHR 'f225' + edit HR '225' trigger ./jgfs_post_manager:release_post225 - endtask task jgfs_post_f228 - edit FHR: 'f228' - edit HR: '228' + edit FHR 'f228' + edit HR '228' trigger ./jgfs_post_manager:release_post228 - endtask task jgfs_post_f231 - edit FHR: 'f231' - edit HR: '231' + edit FHR 'f231' + edit HR '231' trigger ./jgfs_post_manager:release_post231 - endtask task jgfs_post_f234 - edit FHR: 'f234' - edit HR: '234' + edit FHR 'f234' + edit HR '234' trigger ./jgfs_post_manager:release_post234 - endtask task jgfs_post_f237 - edit FHR: 'f237' - edit HR: '237' + edit FHR 'f237' + edit HR '237' trigger ./jgfs_post_manager:release_post237 - endtask task jgfs_post_f240 - edit FHR: 'f240' - edit HR: '240' + edit FHR 'f240' + edit HR '240' trigger ./jgfs_post_manager:release_post240 - endtask task jgfs_post_f252 - edit FHR: 'f252' - edit HR: '252' + edit FHR 'f252' + edit HR '252' trigger ./jgfs_post_manager:release_post252 - endtask task jgfs_post_f264 - edit FHR: 'f264' - edit HR: '264' + edit FHR 'f264' + edit HR '264' trigger ./jgfs_post_manager:release_post264 - endtask task jgfs_post_f276 - edit FHR: 'f276' - edit HR: '276' + edit FHR 'f276' + edit HR '276' trigger ./jgfs_post_manager:release_post276 - endtask task jgfs_post_f288 - edit FHR: 'f288' - edit HR: '288' + edit FHR 'f288' + edit HR '288' trigger ./jgfs_post_manager:release_post288 - endtask task jgfs_post_f300 - edit FHR: 'f300' - edit HR: '300' + edit FHR 'f300' + edit HR '300' trigger ./jgfs_post_manager:release_post300 - endtask task jgfs_post_f312 - edit FHR: 'f312' - edit HR: '312' + edit FHR 'f312' + edit HR '312' trigger ./jgfs_post_manager:release_post312 - endtask task jgfs_post_f324 - edit FHR: 'f324' - edit HR: '324' + edit FHR 'f324' + edit HR '324' trigger ./jgfs_post_manager:release_post324 - endtask task jgfs_post_f336 - edit FHR: 'f336' - edit HR: '336' + edit FHR 'f336' + edit HR '336' trigger ./jgfs_post_manager:release_post336 - endtask task jgfs_post_f348 - edit FHR: 'f348' - edit HR: '348' + edit FHR 'f348' + edit HR '348' trigger ./jgfs_post_manager:release_post348 - endtask task jgfs_post_f360 - edit FHR: 'f360' - edit HR: '360' + edit FHR 'f360' + edit HR '360' trigger ./jgfs_post_manager:release_post360 - endtask task jgfs_post_f372 - edit FHR: 'f372' - edit HR: '372' + edit FHR 'f372' + edit HR '372' trigger ./jgfs_post_manager:release_post372 - endtask task jgfs_post_f384 - edit FHR: 'f384' - edit HR: '384' + edit FHR 'f384' + edit HR '384' trigger ./jgfs_post_manager:release_post384 - endtask - endfamily - family prdgen + endfamily # /prod06/gfs/post + family prdgen # /prod06/gfs/prdgen + task jgfs_npoess_pgrb2_0p5deg + trigger ../post == complete task jgfs_pgrb2_anl edit FHR 'anl' edit HR 'anl' - endtask + trigger ../post/jgfs_post_anl:release_pgrb2_anl task jgfs_pgrb2_manager trigger ../post == complete event 2 release_pgrb2_00 @@ -3528,839 +2792,803 @@ suite prod06 event 172 release_pgrb2_360 event 173 release_pgrb2_372 event 174 release_pgrb2_384 - endtask task jgfs_pgrb2_f00 edit FHR '00' edit HR '00' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_00 and ../post/jgfs_post_f00 == complete task jgfs_pgrb2_f01 edit FHR '01' edit HR '01' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_01 and ../post/jgfs_post_f01 == complete task jgfs_pgrb2_f02 edit FHR '02' edit HR '02' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_02 and ../post/jgfs_post_f02 == complete task jgfs_pgrb2_f03 edit FHR '03' edit HR '03' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_03 and ../post/jgfs_post_f03 == complete task jgfs_pgrb2_f04 edit FHR '04' edit HR '04' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_04 and ../post/jgfs_post_f04 == complete task jgfs_pgrb2_f05 edit FHR '05' edit HR '05' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_05 and ../post/jgfs_post_f05 == complete task jgfs_pgrb2_f06 edit FHR '06' edit HR '06' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_06 and ../post/jgfs_post_f06 == complete task jgfs_pgrb2_f07 edit FHR '07' edit HR '07' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_07 and ../post/jgfs_post_f07 == complete task jgfs_pgrb2_f08 edit FHR '08' edit HR '08' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_08 and ../post/jgfs_post_f08 == complete task jgfs_pgrb2_f09 edit FHR '09' edit HR '09' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_09 and ../post/jgfs_post_f09 == complete task jgfs_pgrb2_f10 edit FHR '10' edit HR '10' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_10 and ../post/jgfs_post_f10 == complete task jgfs_pgrb2_f11 edit FHR '11' edit HR '11' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_11 and ../post/jgfs_post_f11 == complete task jgfs_pgrb2_f12 edit FHR '12' edit HR '12' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_12 and ../post/jgfs_post_f12 == complete task jgfs_pgrb2_f13 edit FHR '13' edit HR '13' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_13 and ../post/jgfs_post_f13 == complete task jgfs_pgrb2_f14 edit FHR '14' edit HR '14' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_14 and ../post/jgfs_post_f14 == complete task jgfs_pgrb2_f15 edit FHR '15' edit HR '15' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_15 and ../post/jgfs_post_f15 == complete task jgfs_pgrb2_f16 edit FHR '16' edit HR '16' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_16 and ../post/jgfs_post_f16 == complete task jgfs_pgrb2_f17 edit FHR '17' edit HR '17' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_17 and ../post/jgfs_post_f17 == complete task jgfs_pgrb2_f18 edit FHR '18' edit HR '18' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_18 and ../post/jgfs_post_f18 == complete task jgfs_pgrb2_f19 edit FHR '19' edit HR '19' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_19 and ../post/jgfs_post_f19 == complete task jgfs_pgrb2_f20 edit FHR '20' edit HR '20' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_20 and ../post/jgfs_post_f20 == complete task jgfs_pgrb2_f21 edit FHR '21' edit HR '21' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_21 and ../post/jgfs_post_f21 == complete task jgfs_pgrb2_f22 edit FHR '22' edit HR '22' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_22 and ../post/jgfs_post_f22 == complete task jgfs_pgrb2_f23 edit FHR '23' edit HR '23' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_23 and ../post/jgfs_post_f23 == complete task jgfs_pgrb2_f24 edit FHR '24' edit HR '24' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_24 and ../post/jgfs_post_f24 == complete task jgfs_pgrb2_f25 edit FHR '25' edit HR '25' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_25 and ../post/jgfs_post_f25 == complete task jgfs_pgrb2_f26 edit FHR '26' edit HR '26' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_26 and ../post/jgfs_post_f26 == complete task jgfs_pgrb2_f27 edit FHR '27' edit HR '27' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_27 and ../post/jgfs_post_f27 == complete task jgfs_pgrb2_f28 edit FHR '28' edit HR '28' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_28 and ../post/jgfs_post_f28 == complete task jgfs_pgrb2_f29 edit FHR '29' edit HR '29' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_29 and ../post/jgfs_post_f29 == complete task jgfs_pgrb2_f30 edit FHR '30' edit HR '30' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_30 and ../post/jgfs_post_f30 == complete task jgfs_pgrb2_f31 edit FHR '31' edit HR '31' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_31 and ../post/jgfs_post_f31 == complete task jgfs_pgrb2_f32 edit FHR '32' edit HR '32' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_32 and ../post/jgfs_post_f32 == complete task jgfs_pgrb2_f33 edit FHR '33' edit HR '33' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_33 and ../post/jgfs_post_f33 == complete task jgfs_pgrb2_f34 edit FHR '34' edit HR '34' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_34 and ../post/jgfs_post_f34 == complete task jgfs_pgrb2_f35 edit FHR '35' edit HR '35' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_35 and ../post/jgfs_post_f35 == complete task jgfs_pgrb2_f36 edit FHR '36' edit HR '36' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_36 and ../post/jgfs_post_f36 == complete task jgfs_pgrb2_f37 edit FHR '37' edit HR '37' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_37 and ../post/jgfs_post_f37 == complete task jgfs_pgrb2_f38 edit FHR '38' edit HR '38' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_38 and ../post/jgfs_post_f38 == complete task jgfs_pgrb2_f39 edit FHR '39' edit HR '39' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_39 and ../post/jgfs_post_f39 == complete task jgfs_pgrb2_f40 edit FHR '40' edit HR '40' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_40 and ../post/jgfs_post_f40 == complete task jgfs_pgrb2_f41 edit FHR '41' edit HR '41' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_41 and ../post/jgfs_post_f41 == complete task jgfs_pgrb2_f42 edit FHR '42' edit HR '42' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_42 and ../post/jgfs_post_f42 == complete task jgfs_pgrb2_f43 edit FHR '43' edit HR '43' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_43 and ../post/jgfs_post_f43 == complete task jgfs_pgrb2_f44 edit FHR '44' edit HR '44' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_44 and ../post/jgfs_post_f44 == complete task jgfs_pgrb2_f45 edit FHR '45' edit HR '45' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_45 and ../post/jgfs_post_f45 == complete task jgfs_pgrb2_f46 edit FHR '46' edit HR '46' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_46 and ../post/jgfs_post_f46 == complete task jgfs_pgrb2_f47 edit FHR '47' edit HR '47' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_47 and ../post/jgfs_post_f47 == complete task jgfs_pgrb2_f48 edit FHR '48' edit HR '48' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_48 and ../post/jgfs_post_f48 == complete task jgfs_pgrb2_f49 edit FHR '49' edit HR '49' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_49 and ../post/jgfs_post_f49 == complete task jgfs_pgrb2_f50 edit FHR '50' edit HR '50' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_50 and ../post/jgfs_post_f50 == complete task jgfs_pgrb2_f51 edit FHR '51' edit HR '51' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_51 and ../post/jgfs_post_f51 == complete task jgfs_pgrb2_f52 edit FHR '52' edit HR '52' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_52 and ../post/jgfs_post_f52 == complete task jgfs_pgrb2_f53 edit FHR '53' edit HR '53' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_53 and ../post/jgfs_post_f53 == complete task jgfs_pgrb2_f54 edit FHR '54' edit HR '54' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_54 and ../post/jgfs_post_f54 == complete task jgfs_pgrb2_f55 edit FHR '55' edit HR '55' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_55 and ../post/jgfs_post_f55 == complete task jgfs_pgrb2_f56 edit FHR '56' edit HR '56' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_56 and ../post/jgfs_post_f56 == complete task jgfs_pgrb2_f57 edit FHR '57' edit HR '57' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_57 and ../post/jgfs_post_f57 == complete task jgfs_pgrb2_f58 edit FHR '58' edit HR '58' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_58 and ../post/jgfs_post_f58 == complete task jgfs_pgrb2_f59 edit FHR '59' edit HR '59' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_59 and ../post/jgfs_post_f59 == complete task jgfs_pgrb2_f60 edit FHR '60' edit HR '60' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_60 and ../post/jgfs_post_f60 == complete task jgfs_pgrb2_f61 edit FHR '61' edit HR '61' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_61 and ../post/jgfs_post_f61 == complete task jgfs_pgrb2_f62 edit FHR '62' edit HR '62' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_62 and ../post/jgfs_post_f62 == complete task jgfs_pgrb2_f63 edit FHR '63' edit HR '63' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_63 and ../post/jgfs_post_f63 == complete task jgfs_pgrb2_f64 edit FHR '64' edit HR '64' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_64 and ../post/jgfs_post_f64 == complete task jgfs_pgrb2_f65 edit FHR '65' edit HR '65' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_65 and ../post/jgfs_post_f65 == complete task jgfs_pgrb2_f66 edit FHR '66' edit HR '66' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_66 and ../post/jgfs_post_f66 == complete task jgfs_pgrb2_f67 edit FHR '67' edit HR '67' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_67 and ../post/jgfs_post_f67 == complete task jgfs_pgrb2_f68 edit FHR '68' edit HR '68' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_68 and ../post/jgfs_post_f68 == complete task jgfs_pgrb2_f69 edit FHR '69' edit HR '69' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_69 and ../post/jgfs_post_f69 == complete task jgfs_pgrb2_f70 edit FHR '70' edit HR '70' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_70 and ../post/jgfs_post_f70 == complete task jgfs_pgrb2_f71 edit FHR '71' edit HR '71' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_71 and ../post/jgfs_post_f71 == complete task jgfs_pgrb2_f72 edit FHR '72' edit HR '72' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_72 and ../post/jgfs_post_f72 == complete task jgfs_pgrb2_f73 edit FHR '73' edit HR '73' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_73 and ../post/jgfs_post_f73 == complete task jgfs_pgrb2_f74 edit FHR '74' edit HR '74' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_74 and ../post/jgfs_post_f74 == complete task jgfs_pgrb2_f75 edit FHR '75' edit HR '75' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_75 and ../post/jgfs_post_f75 == complete task jgfs_pgrb2_f76 edit FHR '76' edit HR '76' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_76 and ../post/jgfs_post_f76 == complete task jgfs_pgrb2_f77 edit FHR '77' edit HR '77' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_77 and ../post/jgfs_post_f77 == complete task jgfs_pgrb2_f78 edit FHR '78' edit HR '78' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_78 and ../post/jgfs_post_f78 == complete task jgfs_pgrb2_f79 edit FHR '79' edit HR '79' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_79 and ../post/jgfs_post_f79 == complete task jgfs_pgrb2_f80 edit FHR '80' edit HR '80' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_80 and ../post/jgfs_post_f80 == complete task jgfs_pgrb2_f81 edit FHR '81' edit HR '81' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_81 and ../post/jgfs_post_f81 == complete task jgfs_pgrb2_f82 edit FHR '82' edit HR '82' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_82 and ../post/jgfs_post_f82 == complete task jgfs_pgrb2_f83 edit FHR '83' edit HR '83' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_83 and ../post/jgfs_post_f83 == complete task jgfs_pgrb2_f84 edit FHR '84' edit HR '84' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_84 and ../post/jgfs_post_f84 == complete task jgfs_pgrb2_f85 edit FHR '85' edit HR '85' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_85 and ../post/jgfs_post_f85 == complete task jgfs_pgrb2_f86 edit FHR '86' edit HR '86' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_86 and ../post/jgfs_post_f86 == complete task jgfs_pgrb2_f87 edit FHR '87' edit HR '87' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_87 and ../post/jgfs_post_f87 == complete task jgfs_pgrb2_f88 edit FHR '88' edit HR '88' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_88 and ../post/jgfs_post_f88 == complete task jgfs_pgrb2_f89 edit FHR '89' edit HR '89' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_89 and ../post/jgfs_post_f89 == complete task jgfs_pgrb2_f90 edit FHR '90' edit HR '90' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_90 and ../post/jgfs_post_f90 == complete task jgfs_pgrb2_f91 edit FHR '91' edit HR '91' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_91 and ../post/jgfs_post_f91 == complete task jgfs_pgrb2_f92 edit FHR '92' edit HR '92' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_92 and ../post/jgfs_post_f92 == complete task jgfs_pgrb2_f93 edit FHR '93' edit HR '93' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_93 and ../post/jgfs_post_f93 == complete task jgfs_pgrb2_f94 edit FHR '94' edit HR '94' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_94 and ../post/jgfs_post_f94 == complete task jgfs_pgrb2_f95 edit FHR '95' edit HR '95' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_95 and ../post/jgfs_post_f95 == complete task jgfs_pgrb2_f96 edit FHR '96' edit HR '96' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_96 and ../post/jgfs_post_f96 == complete task jgfs_pgrb2_f97 edit FHR '97' edit HR '97' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_97 and ../post/jgfs_post_f97 == complete task jgfs_pgrb2_f98 edit FHR '98' edit HR '98' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_98 and ../post/jgfs_post_f98 == complete task jgfs_pgrb2_f99 edit FHR '99' edit HR '99' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_99 and ../post/jgfs_post_f99 == complete task jgfs_pgrb2_f100 edit FHR '100' edit HR '100' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_100 and ../post/jgfs_post_f100 == complete task jgfs_pgrb2_f101 edit FHR '101' edit HR '101' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_101 and ../post/jgfs_post_f101 == complete task jgfs_pgrb2_f102 edit FHR '102' edit HR '102' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_102 and ../post/jgfs_post_f102 == complete task jgfs_pgrb2_f103 edit FHR '103' edit HR '103' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_103 and ../post/jgfs_post_f103 == complete task jgfs_pgrb2_f104 edit FHR '104' edit HR '104' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_104 and ../post/jgfs_post_f104 == complete task jgfs_pgrb2_f105 edit FHR '105' edit HR '105' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_105 and ../post/jgfs_post_f105 == complete task jgfs_pgrb2_f106 edit FHR '106' edit HR '106' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_106 and ../post/jgfs_post_f106 == complete task jgfs_pgrb2_f107 edit FHR '107' edit HR '107' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_107 and ../post/jgfs_post_f107 == complete task jgfs_pgrb2_f108 edit FHR '108' edit HR '108' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_108 and ../post/jgfs_post_f108 == complete task jgfs_pgrb2_f109 edit FHR '109' edit HR '109' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_109 and ../post/jgfs_post_f109 == complete task jgfs_pgrb2_f110 edit FHR '110' edit HR '110' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_110 and ../post/jgfs_post_f110 == complete task jgfs_pgrb2_f111 edit FHR '111' edit HR '111' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_111 and ../post/jgfs_post_f111 == complete task jgfs_pgrb2_f112 edit FHR '112' edit HR '112' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_112 and ../post/jgfs_post_f112 == complete task jgfs_pgrb2_f113 edit FHR '113' edit HR '113' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_113 and ../post/jgfs_post_f113 == complete task jgfs_pgrb2_f114 edit FHR '114' edit HR '114' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_114 and ../post/jgfs_post_f114 == complete task jgfs_pgrb2_f115 edit FHR '115' edit HR '115' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_115 and ../post/jgfs_post_f115 == complete task jgfs_pgrb2_f116 edit FHR '116' edit HR '116' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_116 and ../post/jgfs_post_f116 == complete task jgfs_pgrb2_f117 edit FHR '117' edit HR '117' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_117 and ../post/jgfs_post_f117 == complete task jgfs_pgrb2_f118 edit FHR '118' edit HR '118' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_118 and ../post/jgfs_post_f118 == complete task jgfs_pgrb2_f119 edit FHR '119' edit HR '119' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_119 and ../post/jgfs_post_f119 == complete task jgfs_pgrb2_f120 edit FHR '120' edit HR '120' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_120 and ../post/jgfs_post_f120 == complete task jgfs_pgrb2_f123 edit FHR '123' edit HR '123' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_123 and ../post/jgfs_post_f123 == complete task jgfs_pgrb2_f126 edit FHR '126' edit HR '126' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_126 and ../post/jgfs_post_f126 == complete task jgfs_pgrb2_f129 edit FHR '129' edit HR '129' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_129 and ../post/jgfs_post_f129 == complete task jgfs_pgrb2_f132 edit FHR '132' edit HR '132' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_132 and ../post/jgfs_post_f132 == complete task jgfs_pgrb2_f135 edit FHR '135' edit HR '135' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_135 and ../post/jgfs_post_f135 == complete task jgfs_pgrb2_f138 edit FHR '138' edit HR '138' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_138 and ../post/jgfs_post_f138 == complete task jgfs_pgrb2_f141 edit FHR '141' edit HR '141' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_141 and ../post/jgfs_post_f141 == complete task jgfs_pgrb2_f144 edit FHR '144' edit HR '144' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_144 and ../post/jgfs_post_f144 == complete task jgfs_pgrb2_f147 edit FHR '147' edit HR '147' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_147 and ../post/jgfs_post_f147 == complete task jgfs_pgrb2_f150 edit FHR '150' edit HR '150' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_150 and ../post/jgfs_post_f150 == complete task jgfs_pgrb2_f153 edit FHR '153' edit HR '153' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_153 and ../post/jgfs_post_f153 == complete task jgfs_pgrb2_f156 edit FHR '156' edit HR '156' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_156 and ../post/jgfs_post_f156 == complete task jgfs_pgrb2_f159 edit FHR '159' edit HR '159' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_159 and ../post/jgfs_post_f159 == complete task jgfs_pgrb2_f162 edit FHR '162' edit HR '162' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_162 and ../post/jgfs_post_f162 == complete task jgfs_pgrb2_f165 edit FHR '165' edit HR '165' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_165 and ../post/jgfs_post_f165 == complete task jgfs_pgrb2_f168 edit FHR '168' edit HR '168' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_168 and ../post/jgfs_post_f168 == complete task jgfs_pgrb2_f171 edit FHR '171' edit HR '171' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_171 and ../post/jgfs_post_f171 == complete task jgfs_pgrb2_f174 edit FHR '174' edit HR '174' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_174 and ../post/jgfs_post_f174 == complete task jgfs_pgrb2_f177 edit FHR '177' edit HR '177' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_177 and ../post/jgfs_post_f177 == complete task jgfs_pgrb2_f180 edit FHR '180' edit HR '180' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_180 and ../post/jgfs_post_f180 == complete task jgfs_pgrb2_f183 edit FHR '183' edit HR '183' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_183 and ../post/jgfs_post_f183 == complete task jgfs_pgrb2_f186 edit FHR '186' edit HR '186' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_186 and ../post/jgfs_post_f186 == complete task jgfs_pgrb2_f189 edit FHR '189' edit HR '189' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_189 and ../post/jgfs_post_f189 == complete task jgfs_pgrb2_f192 edit FHR '192' edit HR '192' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_192 and ../post/jgfs_post_f192 == complete task jgfs_pgrb2_f195 edit FHR '195' edit HR '195' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_195 and ../post/jgfs_post_f195 == complete task jgfs_pgrb2_f198 edit FHR '198' edit HR '198' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_198 and ../post/jgfs_post_f198 == complete task jgfs_pgrb2_f201 edit FHR '201' edit HR '201' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_201 and ../post/jgfs_post_f201 == complete task jgfs_pgrb2_f204 edit FHR '204' edit HR '204' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_204 and ../post/jgfs_post_f204 == complete task jgfs_pgrb2_f207 edit FHR '207' edit HR '207' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_207 and ../post/jgfs_post_f207 == complete task jgfs_pgrb2_f210 edit FHR '210' edit HR '210' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_210 and ../post/jgfs_post_f210 == complete task jgfs_pgrb2_f213 edit FHR '213' edit HR '213' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_213 and ../post/jgfs_post_f213 == complete task jgfs_pgrb2_f216 edit FHR '216' edit HR '216' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_216 and ../post/jgfs_post_f216 == complete task jgfs_pgrb2_f219 edit FHR '219' edit HR '219' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_219 and ../post/jgfs_post_f219 == complete task jgfs_pgrb2_f222 edit FHR '222' edit HR '222' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_222 and ../post/jgfs_post_f222 == complete task jgfs_pgrb2_f225 edit FHR '225' edit HR '225' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_225 and ../post/jgfs_post_f225 == complete task jgfs_pgrb2_f228 edit FHR '228' edit HR '228' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_228 and ../post/jgfs_post_f228 == complete task jgfs_pgrb2_f231 edit FHR '231' edit HR '231' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_231 and ../post/jgfs_post_f231 == complete task jgfs_pgrb2_f234 edit FHR '234' edit HR '234' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_234 and ../post/jgfs_post_f234 == complete task jgfs_pgrb2_f237 edit FHR '237' edit HR '237' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_237 and ../post/jgfs_post_f237 == complete task jgfs_pgrb2_f240 edit FHR '240' edit HR '240' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_240 and ../post/jgfs_post_f240 == complete task jgfs_pgrb2_f252 edit FHR '252' edit HR '252' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_252 and ../post/jgfs_post_f252 == complete task jgfs_pgrb2_f264 edit FHR '264' edit HR '264' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_264 and ../post/jgfs_post_f264 == complete task jgfs_pgrb2_f276 edit FHR '276' edit HR '276' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_276 and ../post/jgfs_post_f276 == complete task jgfs_pgrb2_f288 edit FHR '288' edit HR '288' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_288 and ../post/jgfs_post_f288 == complete task jgfs_pgrb2_f300 edit FHR '300' edit HR '300' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_300 and ../post/jgfs_post_f300 == complete task jgfs_pgrb2_f312 edit FHR '312' edit HR '312' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_312 and ../post/jgfs_post_f312 == complete task jgfs_pgrb2_f324 edit FHR '324' edit HR '324' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_324 and ../post/jgfs_post_f324 == complete task jgfs_pgrb2_f336 edit FHR '336' edit HR '336' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_336 and ../post/jgfs_post_f336 == complete task jgfs_pgrb2_f348 edit FHR '348' edit HR '348' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_348 and ../post/jgfs_post_f348 == complete task jgfs_pgrb2_f360 edit FHR '360' edit HR '360' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_360 and ../post/jgfs_post_f360 == complete task jgfs_pgrb2_f372 edit FHR '372' edit HR '372' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_372 and ../post/jgfs_post_f372 == complete task jgfs_pgrb2_f384 edit FHR '384' edit HR '384' - endtask - endfamily - family gempak + trigger ./jgfs_pgrb2_manager:release_pgrb2_384 and ../post/jgfs_post_f384 == complete + endfamily # /prod06/gfs/prdgen + family gempak # /prod06/gfs/gempak task jgfs_gempak_upapgif trigger ../dump/jgfs_dump == complete - endtask task jgfs_gempak_ncdc trigger ./jgfs_gempak == active or ./jgfs_gempak == complete - endtask task jgfs_gempak trigger ../jgfs_analysis == complete - endtask task jgfs_gempak_meta trigger ../jgfs_analysis == complete - endtask - endfamily - endfamily - family gdas + task jgfs_pgrb2_spec_gempak + trigger ../post/jgfs_pgrb2_spec_post == complete + endfamily # /prod06/gfs/gempak + endfamily # /prod06/gfs + family gdas # /prod06/gdas + #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' edit PROJ '%PROJENVIR%' edit MODEL_NAME 'gdas' task jgdas_verfrad trigger ./enkf == complete - endtask task jgdas_vminmon trigger ./analysis/jgdas_analysis_high == complete - endtask - family dump + family dump # /prod06/gdas/dump task jgdas_ics event 1 release_gdas00_ics - endtask task jgdas_tropcy_qc_reloc trigger ./jgdas_dump == complete - endtask task jgdas_dump event 1 release_sfcprep - endtask - endfamily - family prep + endfamily # /prod06/gdas/dump + family prep # /prod06/gdas/prep task jgdas_emcsfc_sfc_prep trigger ../dump/jgdas_dump:release_sfcprep - endtask task jgdas_prep - trigger ../dump/jgdas_dump == complete and ../dump/jgdas_tropcy_qc_reloc == complete - endtask + trigger ../dump/jgdas_dump == complete and ../dump/jgdas_tropcy_qc_reloc == complete and /prod00/gdas/post == complete task jgdas_prep_post trigger ../analysis/jgdas_analysis_high == complete - endtask - endfamily - family analysis + endfamily # /prod06/gdas/prep + family analysis # /prod06/gdas/analysis task jgdas_analysis_high - trigger ../prep/jgdas_prep == complete and ../prep/jgdas_emcsfc_sfc_prep == complete + trigger ../prep/jgdas_prep == complete and ../prep/jgdas_emcsfc_sfc_prep == complete and /prod00/gdas/enkf/jgdas_enkf_post == complete event 1 release_fcst - endtask - endfamily - family forecast + endfamily # /prod06/gdas/analysis + family forecast # /prod06/gdas/forecast task jgdas_forecast trigger ../analysis/jgdas_analysis_high:release_fcst and ../enkf/innovate == complete event 1 release_fcst - endtask - endfamily - family post_processing - family bulletins + endfamily # /prod06/gdas/forecast + family post_processing # /prod06/gdas/post_processing + family bulletins # /prod06/gdas/post_processing/bulletins task jgdas_mknavybulls trigger ../../dump/jgdas_dump == complete - endtask - endfamily - endfamily - family gempak + endfamily # /prod06/gdas/post_processing/bulletins + endfamily # /prod06/gdas/post_processing + family gempak # /prod06/gdas/gempak task jgdas_gempak trigger ../forecast/jgdas_forecast == complete - endtask task jgdas_gempak_meta trigger ./jgdas_gempak == complete - endtask task jgdas_gempak_ncdc trigger ./jgdas_gempak == complete - endtask - endfamily - family post + endfamily # /prod06/gdas/gempak + family post # /prod06/gdas/post task jgdas_post trigger ../forecast/jgdas_forecast == complete - endtask - endfamily - family enkf + endfamily # /prod06/gdas/post + family enkf # /prod06/gdas/enkf task jgdas_enkf_select_obs trigger ../prep/jgdas_prep == complete and /prod00/gdas/enkf/jgdas_enkf_post == complete - endtask - family innovate + family innovate # /prod06/gdas/enkf/innovate trigger ./jgdas_enkf_select_obs == complete task jgdas_enkf_innovate_obs_grp1 - endtask task jgdas_enkf_innovate_obs_grp2 - endtask task jgdas_enkf_innovate_obs_grp3 - endtask task jgdas_enkf_innovate_obs_grp4 - endtask task jgdas_enkf_innovate_obs_grp5 - endtask task jgdas_enkf_innovate_obs_grp6 - endtask task jgdas_enkf_innovate_obs_grp7 - endtask task jgdas_enkf_innovate_obs_grp8 - endtask - endfamily + endfamily # /prod06/gdas/enkf/innovate task jgdas_enkf_update edit ECF_PASS 'FREE' trigger ./innovate == complete - endtask task jgdas_enkf_inflate_recenter trigger ./jgdas_enkf_update == complete and ../analysis/jgdas_analysis_high == complete - endtask - family forecast + family forecast # /prod06/gdas/enkf/forecast trigger ./jgdas_enkf_inflate_recenter == complete task jgdas_enkf_fcst_grp1 - endtask task jgdas_enkf_fcst_grp2 - endtask task jgdas_enkf_fcst_grp3 - endtask task jgdas_enkf_fcst_grp4 - endtask task jgdas_enkf_fcst_grp5 - endtask task jgdas_enkf_fcst_grp6 - endtask task jgdas_enkf_fcst_grp7 - endtask task jgdas_enkf_fcst_grp8 - endtask - endfamily + endfamily # /prod06/gdas/enkf/forecast task jgdas_enkf_post trigger ./forecast == complete - endtask - endfamily - endfamily + endfamily # /prod06/gdas/enkf + endfamily # /prod06/gdas task cycle_end - edit ECF_JOB_CMD '%ECF_JOB% 1> %ECF_JOBOUT% 2>&1' + #edit ECF_JOB_CMD '%ECF_JOB% 1> %ECF_JOBOUT% 2>&1' + #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' edit ECF_PASS 'FREE' - endtask -endsuite +endsuite # /prod06 diff --git a/model/ecflow_fv3gfs/defs/prod12.def b/model/ecflow_fv3gfs/defs/prod12.def index 7c90390..ebf34d4 100644 --- a/model/ecflow_fv3gfs/defs/prod12.def +++ b/model/ecflow_fv3gfs/defs/prod12.def @@ -1,4 +1,5 @@ extern /prod06/gdas/enkf/jgdas_enkf_post +extern /prod06/gdas/post suite prod12 repeat day 1 edit ECF_TRIES '1' @@ -10,6 +11,7 @@ suite prod12 edit CYC '12' edit ENVIR 'prod' edit PROJ 'GFS-T2O' + edit PROJECT 'GFS-T2O' edit E 'jecffv3' #edit QUEUE 'dev' edit QUEUE 'debug' @@ -24,2287 +26,1721 @@ suite prod12 edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' edit MODEL_NAME 'gfs' - family gfs + family gfs # /prod12/gfs edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' edit PROJ '%PROJENVIR%' edit MODEL_NAME 'gfs' - family dump + family dump # /prod12/gfs/dump task jgfs_tropcy_qc_reloc trigger ./jgfs_dump == complete event 1 jtwc_bull_email - endtask task jgfs_dump event 1 release_sfcprep - endtask - endfamily - family prep + endfamily # /prod12/gfs/dump + family prep # /prod12/gfs/prep task jgfs_emcsfc_sfc_prep trigger ../dump/jgfs_dump:release_sfcprep - endtask task jgfs_prep trigger ../dump == complete - endtask task jgfs_prep_post trigger ../jgfs_analysis == complete - endtask - endfamily + endfamily # /prod12/gfs/prep task jgfs_analysis - trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete - endtask + trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete and /prod06/gdas/enkf/jgdas_enkf_post == complete task jgfs_vminmon trigger ./jgfs_analysis == complete - endtask - family forecast + family forecast # /prod12/gfs/forecast task jgfs_forecast_high trigger ../jgfs_analysis == complete - endtask task jgfs_forecast_low trigger ./jgfs_forecast_high == complete - endtask - endfamily - family sminit_guam + endfamily # /prod12/gfs/forecast + family sminit_guam # /prod12/gfs/sminit_guam task jgfs_sminit_guam_even trigger ../post/jgfs_post_anl == active or ../post/jgfs_post_anl == complete - endtask task jgfs_sminit_guam_odd trigger ../post/jgfs_post_anl == active or ../post/jgfs_post_anl == complete - endtask - endfamily - family post_processing - task dummy - trigger ../forecast == complete - endtask - family fax + endfamily # /prod12/gfs/sminit_guam + family post_processing # /prod12/gfs/post_processing + family fax # /prod12/gfs/post_processing/fax task jgfs_fax_f00 edit FCSTHR '00' trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_anl == complete - endtask task jgfs_fax_anl edit FCSTHR 'anl' trigger ../../prdgen/jgfs_pgrb2_anl == complete - endtask task jgfs_fax_wafs_f12 edit FCSTHR '12' trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask task jgfs_fax_wafs_f24 edit FCSTHR '24' trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask task jgfs_fax_wafs_f36 edit FCSTHR '36' trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask - endfamily - family grib_wafs + endfamily # /prod12/gfs/post_processing/fax + family grib_wafs # /prod12/gfs/post_processing/grib_wafs task jgfs_wafs_f00 edit FCSTHR '00' trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f120 == complete and ../grib2_wafs/jgfs_wafs_grib2 == complete - endtask task jgfs_wafs_f06 edit FCSTHR '06' trigger ../../prdgen/jgfs_pgrb2_f06 == complete and ./jgfs_wafs_f00 == complete - endtask task jgfs_wafs_f12 edit FCSTHR '12' trigger ../../prdgen/jgfs_pgrb2_f12 == complete and ./jgfs_wafs_f06 == complete - endtask task jgfs_wafs_f18 edit FCSTHR '18' trigger ../../prdgen/jgfs_pgrb2_f18 == complete and ./jgfs_wafs_f12 == complete - endtask task jgfs_wafs_f24 edit FCSTHR '24' trigger ../../prdgen/jgfs_pgrb2_f24 == complete and ./jgfs_wafs_f18 == complete - endtask task jgfs_wafs_f30 edit FCSTHR '30' trigger ../../prdgen/jgfs_pgrb2_f30 == complete and ./jgfs_wafs_f24 == complete - endtask task jgfs_wafs_f36 edit FCSTHR '36' trigger ../../prdgen/jgfs_pgrb2_f36 == complete and ./jgfs_wafs_f30 == complete - endtask task jgfs_wafs_f42 edit FCSTHR '42' trigger ../../prdgen/jgfs_pgrb2_f42 == complete and ./jgfs_wafs_f36 == complete - endtask task jgfs_wafs_f48 edit FCSTHR '48' trigger ../../prdgen/jgfs_pgrb2_f48 == complete and ./jgfs_wafs_f42 == complete - endtask task jgfs_wafs_f54 edit FCSTHR '54' trigger ../../prdgen/jgfs_pgrb2_f54 == complete and ./jgfs_wafs_f48 == complete - endtask task jgfs_wafs_f60 edit FCSTHR '60' trigger ../../prdgen/jgfs_pgrb2_f60 == complete and ./jgfs_wafs_f54 == complete - endtask task jgfs_wafs_f66 edit FCSTHR '66' trigger ../../prdgen/jgfs_pgrb2_f66 == complete and ./jgfs_wafs_f60 == complete - endtask task jgfs_wafs_f72 edit FCSTHR '72' trigger ../../prdgen/jgfs_pgrb2_f72 == complete and ./jgfs_wafs_f66 == complete - endtask task jgfs_wafs_f78 edit FCSTHR '78' trigger ../../prdgen/jgfs_pgrb2_f78 == complete and ./jgfs_wafs_f72 == complete - endtask task jgfs_wafs_f84 edit FCSTHR '84' trigger ../../prdgen/jgfs_pgrb2_f84 == complete and ./jgfs_wafs_f78 == complete - endtask task jgfs_wafs_f90 edit FCSTHR '90' trigger ../../prdgen/jgfs_pgrb2_f90 == complete and ./jgfs_wafs_f84 == complete - endtask task jgfs_wafs_f96 edit FCSTHR '96' trigger ../../prdgen/jgfs_pgrb2_f96 == complete and ./jgfs_wafs_f90 == complete - endtask task jgfs_wafs_f102 edit FCSTHR '102' trigger ../../prdgen/jgfs_pgrb2_f102 == complete and ./jgfs_wafs_f96 == complete - endtask task jgfs_wafs_f108 edit FCSTHR '108' trigger ../../prdgen/jgfs_pgrb2_f108 == complete and ./jgfs_wafs_f102 == complete - endtask task jgfs_wafs_f114 edit FCSTHR '114' trigger ../../prdgen/jgfs_pgrb2_f114 == complete and ./jgfs_wafs_f108 == complete - endtask task jgfs_wafs_f120 edit FCSTHR '120' trigger ../../prdgen/jgfs_pgrb2_f120 == complete and ./jgfs_wafs_f114 == complete - endtask - endfamily - family bufr_sounding + endfamily # /prod12/gfs/post_processing/grib_wafs + family bufr_sounding # /prod12/gfs/post_processing/bufr_sounding task jgfs_postsnd trigger ../../post/jgfs_post_manager:release_post00 - endtask - endfamily - family bulletins + endfamily # /prod12/gfs/post_processing/bufr_sounding + family bulletins # /prod12/gfs/post_processing/bulletins task jgfs_fbwind trigger ../../post/jgfs_post_f06 == complete and ../../post/jgfs_post_f12 == complete and ../../post/jgfs_post_f24 == complete - endtask task jgfs_cyclone_tracker trigger ../../post/jgfs_post_f00 == complete and ../../post/jgfs_post_f06 == complete and ../../post/jgfs_post_f12 == complete and ../../post/jgfs_post_f18 == complete and ../../post/jgfs_post_f24 == complete and ../../post/jgfs_post_f30 == complete and ../../post/jgfs_post_f36 == complete and ../../post/jgfs_post_f42 == complete and ../../post/jgfs_post_f48 == complete and ../../post/jgfs_post_f54 == complete and ../../post/jgfs_post_f60 == complete and ../../post/jgfs_post_f66 == complete and ../../post/jgfs_post_f72 == complete and ../../post/jgfs_post_f78 == complete and ../../post/jgfs_post_f84 == complete and ../../post/jgfs_post_f90 == complete and ../../post/jgfs_post_f96 == complete and ../../post/jgfs_post_f102 == complete and ../../post/jgfs_post_f108 == complete and ../../post/jgfs_post_f114 == complete and ../../post/jgfs_post_f120 == complete and ../../post/jgfs_post_f126 == complete and ../../post/jgfs_post_f132 == complete and ../../post/jgfs_post_f138 == complete and ../../post/jgfs_post_f144 == complete and ../../post/jgfs_post_f150 == complete and ../../post/jgfs_post_f156 == complete and ../../post/jgfs_post_f162 == complete and ../../post/jgfs_post_f168 == complete and ../../post/jgfs_post_f174 == complete and ../../post/jgfs_post_f180 == complete and ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f06 == complete and ../../prdgen/jgfs_pgrb2_f12 == complete and ../../prdgen/jgfs_pgrb2_f18 == complete and ../../prdgen/jgfs_pgrb2_f24 == complete and ../../prdgen/jgfs_pgrb2_f30 == complete and ../../prdgen/jgfs_pgrb2_f36 == complete and ../../prdgen/jgfs_pgrb2_f42 == complete and ../../prdgen/jgfs_pgrb2_f48 == complete and ../../prdgen/jgfs_pgrb2_f54 == complete and ../../prdgen/jgfs_pgrb2_f60 == complete and ../../prdgen/jgfs_pgrb2_f66 == complete and ../../prdgen/jgfs_pgrb2_f72 == complete and ../../prdgen/jgfs_pgrb2_f78 == complete and ../../prdgen/jgfs_pgrb2_f84 == complete and ../../prdgen/jgfs_pgrb2_f90 == complete and ../../prdgen/jgfs_pgrb2_f96 == complete and ../../prdgen/jgfs_pgrb2_f102 == complete and ../../prdgen/jgfs_pgrb2_f108 == complete and ../../prdgen/jgfs_pgrb2_f114 == complete and ../../prdgen/jgfs_pgrb2_f120 == complete and ../../prdgen/jgfs_pgrb2_f126 == complete and ../../prdgen/jgfs_pgrb2_f132 == complete and ../../prdgen/jgfs_pgrb2_f138 == complete and ../../prdgen/jgfs_pgrb2_f144 == complete and ../../prdgen/jgfs_pgrb2_f150 == complete and ../../prdgen/jgfs_pgrb2_f156 == complete and ../../prdgen/jgfs_pgrb2_f162 == complete and ../../prdgen/jgfs_pgrb2_f168 == complete and ../../prdgen/jgfs_pgrb2_f174 == complete and ../../prdgen/jgfs_pgrb2_f180 == complete - endtask - endfamily - family grib2_wafs + endfamily # /prod12/gfs/post_processing/bulletins + family grib2_wafs # /prod12/gfs/post_processing/grib2_wafs task jgfs_wafs_grib2 trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask task jgfs_wafs_blending trigger ./jgfs_wafs_grib2 == complete - endtask - endfamily - family grib_awips + endfamily # /prod12/gfs/post_processing/grib2_wafs + family grib_awips # /prod12/gfs/post_processing/grib_awips task jgfs_awips_f00 edit FCSTHR '00' trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask task jgfs_awips_f01 edit FCSTHR '01' trigger ../../prdgen/jgfs_pgrb2_f01 == complete - endtask task jgfs_awips_f02 edit FCSTHR '02' trigger ../../prdgen/jgfs_pgrb2_f02 == complete - endtask task jgfs_awips_f03 edit FCSTHR '03' trigger ../../prdgen/jgfs_pgrb2_f03 == complete - endtask task jgfs_awips_f04 edit FCSTHR '04' trigger ../../prdgen/jgfs_pgrb2_f04 == complete - endtask task jgfs_awips_f05 edit FCSTHR '05' trigger ../../prdgen/jgfs_pgrb2_f05 == complete - endtask task jgfs_awips_f06 edit FCSTHR '06' trigger ../../prdgen/jgfs_pgrb2_f06 == complete - endtask task jgfs_awips_f07 edit FCSTHR '07' trigger ../../prdgen/jgfs_pgrb2_f07 == complete - endtask task jgfs_awips_f08 edit FCSTHR '08' trigger ../../prdgen/jgfs_pgrb2_f08 == complete - endtask task jgfs_awips_f09 edit FCSTHR '09' trigger ../../prdgen/jgfs_pgrb2_f09 == complete - endtask task jgfs_awips_f10 edit FCSTHR '10' trigger ../../prdgen/jgfs_pgrb2_f10 == complete - endtask task jgfs_awips_f11 edit FCSTHR '11' trigger ../../prdgen/jgfs_pgrb2_f11 == complete - endtask task jgfs_awips_f12 edit FCSTHR '12' trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask task jgfs_awips_f13 edit FCSTHR '13' trigger ../../prdgen/jgfs_pgrb2_f13 == complete - endtask task jgfs_awips_f14 edit FCSTHR '14' trigger ../../prdgen/jgfs_pgrb2_f14 == complete - endtask task jgfs_awips_f15 edit FCSTHR '15' trigger ../../prdgen/jgfs_pgrb2_f15 == complete - endtask task jgfs_awips_f16 edit FCSTHR '16' trigger ../../prdgen/jgfs_pgrb2_f16 == complete - endtask task jgfs_awips_f17 edit FCSTHR '17' trigger ../../prdgen/jgfs_pgrb2_f17 == complete - endtask task jgfs_awips_f18 edit FCSTHR '18' trigger ../../prdgen/jgfs_pgrb2_f18 == complete - endtask task jgfs_awips_f19 edit FCSTHR '19' trigger ../../prdgen/jgfs_pgrb2_f19 == complete - endtask task jgfs_awips_f20 edit FCSTHR '20' trigger ../../prdgen/jgfs_pgrb2_f20 == complete - endtask task jgfs_awips_f21 edit FCSTHR '21' trigger ../../prdgen/jgfs_pgrb2_f21 == complete - endtask task jgfs_awips_f22 edit FCSTHR '22' trigger ../../prdgen/jgfs_pgrb2_f22 == complete - endtask task jgfs_awips_f23 edit FCSTHR '23' trigger ../../prdgen/jgfs_pgrb2_f23 == complete - endtask task jgfs_awips_f24 edit FCSTHR '24' trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask task jgfs_awips_f25 edit FCSTHR '25' trigger ../../prdgen/jgfs_pgrb2_f25 == complete - endtask task jgfs_awips_f26 edit FCSTHR '26' trigger ../../prdgen/jgfs_pgrb2_f26 == complete - endtask task jgfs_awips_f27 edit FCSTHR '27' trigger ../../prdgen/jgfs_pgrb2_f27 == complete - endtask task jgfs_awips_f28 edit FCSTHR '28' trigger ../../prdgen/jgfs_pgrb2_f28 == complete - endtask task jgfs_awips_f29 edit FCSTHR '29' trigger ../../prdgen/jgfs_pgrb2_f29 == complete - endtask task jgfs_awips_f30 edit FCSTHR '30' trigger ../../prdgen/jgfs_pgrb2_f30 == complete - endtask task jgfs_awips_f31 edit FCSTHR '31' trigger ../../prdgen/jgfs_pgrb2_f31 == complete - endtask task jgfs_awips_f32 edit FCSTHR '32' trigger ../../prdgen/jgfs_pgrb2_f32 == complete - endtask task jgfs_awips_f33 edit FCSTHR '33' trigger ../../prdgen/jgfs_pgrb2_f33 == complete - endtask task jgfs_awips_f34 edit FCSTHR '34' trigger ../../prdgen/jgfs_pgrb2_f34 == complete - endtask task jgfs_awips_f35 edit FCSTHR '35' trigger ../../prdgen/jgfs_pgrb2_f35 == complete - endtask task jgfs_awips_f36 edit FCSTHR '36' trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask task jgfs_awips_f37 edit FCSTHR '37' trigger ../../prdgen/jgfs_pgrb2_f37 == complete - endtask task jgfs_awips_f38 edit FCSTHR '38' trigger ../../prdgen/jgfs_pgrb2_f38 == complete - endtask task jgfs_awips_f39 edit FCSTHR '39' trigger ../../prdgen/jgfs_pgrb2_f39 == complete - endtask task jgfs_awips_f40 edit FCSTHR '40' trigger ../../prdgen/jgfs_pgrb2_f40 == complete - endtask task jgfs_awips_f41 edit FCSTHR '41' trigger ../../prdgen/jgfs_pgrb2_f41 == complete - endtask task jgfs_awips_f42 edit FCSTHR '42' trigger ../../prdgen/jgfs_pgrb2_f42 == complete - endtask task jgfs_awips_f43 edit FCSTHR '43' trigger ../../prdgen/jgfs_pgrb2_f43 == complete - endtask task jgfs_awips_f44 edit FCSTHR '44' trigger ../../prdgen/jgfs_pgrb2_f44 == complete - endtask task jgfs_awips_f45 edit FCSTHR '45' trigger ../../prdgen/jgfs_pgrb2_f45 == complete - endtask task jgfs_awips_f46 edit FCSTHR '46' trigger ../../prdgen/jgfs_pgrb2_f46 == complete - endtask task jgfs_awips_f47 edit FCSTHR '47' trigger ../../prdgen/jgfs_pgrb2_f47 == complete - endtask task jgfs_awips_f48 edit FCSTHR '48' trigger ../../prdgen/jgfs_pgrb2_f48 == complete - endtask task jgfs_awips_f49 edit FCSTHR '49' trigger ../../prdgen/jgfs_pgrb2_f49 == complete - endtask task jgfs_awips_f50 edit FCSTHR '50' trigger ../../prdgen/jgfs_pgrb2_f50 == complete - endtask task jgfs_awips_f51 edit FCSTHR '51' trigger ../../prdgen/jgfs_pgrb2_f51 == complete - endtask task jgfs_awips_f52 edit FCSTHR '52' trigger ../../prdgen/jgfs_pgrb2_f52 == complete - endtask task jgfs_awips_f53 edit FCSTHR '53' trigger ../../prdgen/jgfs_pgrb2_f53 == complete - endtask task jgfs_awips_f54 edit FCSTHR '54' trigger ../../prdgen/jgfs_pgrb2_f54 == complete - endtask task jgfs_awips_f55 edit FCSTHR '55' trigger ../../prdgen/jgfs_pgrb2_f55 == complete - endtask task jgfs_awips_f56 edit FCSTHR '56' trigger ../../prdgen/jgfs_pgrb2_f56 == complete - endtask task jgfs_awips_f57 edit FCSTHR '57' trigger ../../prdgen/jgfs_pgrb2_f57 == complete - endtask task jgfs_awips_f58 edit FCSTHR '58' trigger ../../prdgen/jgfs_pgrb2_f58 == complete - endtask task jgfs_awips_f59 edit FCSTHR '59' trigger ../../prdgen/jgfs_pgrb2_f59 == complete - endtask task jgfs_awips_f60 edit FCSTHR '60' trigger ../../prdgen/jgfs_pgrb2_f60 == complete - endtask task jgfs_awips_f61 edit FCSTHR '61' trigger ../../prdgen/jgfs_pgrb2_f61 == complete - endtask task jgfs_awips_f62 edit FCSTHR '62' trigger ../../prdgen/jgfs_pgrb2_f62 == complete - endtask task jgfs_awips_f63 edit FCSTHR '63' trigger ../../prdgen/jgfs_pgrb2_f63 == complete - endtask task jgfs_awips_f64 edit FCSTHR '64' trigger ../../prdgen/jgfs_pgrb2_f64 == complete - endtask task jgfs_awips_f65 edit FCSTHR '65' trigger ../../prdgen/jgfs_pgrb2_f65 == complete - endtask task jgfs_awips_f66 edit FCSTHR '66' trigger ../../prdgen/jgfs_pgrb2_f66 == complete - endtask task jgfs_awips_f67 edit FCSTHR '67' trigger ../../prdgen/jgfs_pgrb2_f67 == complete - endtask task jgfs_awips_f68 edit FCSTHR '68' trigger ../../prdgen/jgfs_pgrb2_f68 == complete - endtask task jgfs_awips_f69 edit FCSTHR '69' trigger ../../prdgen/jgfs_pgrb2_f69 == complete - endtask task jgfs_awips_f70 edit FCSTHR '70' trigger ../../prdgen/jgfs_pgrb2_f70 == complete - endtask task jgfs_awips_f71 edit FCSTHR '71' trigger ../../prdgen/jgfs_pgrb2_f71 == complete - endtask task jgfs_awips_f72 edit FCSTHR '72' trigger ../../prdgen/jgfs_pgrb2_f72 == complete - endtask task jgfs_awips_f73 edit FCSTHR '73' trigger ../../prdgen/jgfs_pgrb2_f73 == complete - endtask task jgfs_awips_f74 edit FCSTHR '74' trigger ../../prdgen/jgfs_pgrb2_f74 == complete - endtask task jgfs_awips_f75 edit FCSTHR '75' trigger ../../prdgen/jgfs_pgrb2_f75 == complete - endtask task jgfs_awips_f76 edit FCSTHR '76' trigger ../../prdgen/jgfs_pgrb2_f76 == complete - endtask task jgfs_awips_f77 edit FCSTHR '77' trigger ../../prdgen/jgfs_pgrb2_f77 == complete - endtask task jgfs_awips_f78 edit FCSTHR '78' trigger ../../prdgen/jgfs_pgrb2_f78 == complete - endtask task jgfs_awips_f79 edit FCSTHR '79' trigger ../../prdgen/jgfs_pgrb2_f79 == complete - endtask task jgfs_awips_f80 edit FCSTHR '80' trigger ../../prdgen/jgfs_pgrb2_f80 == complete - endtask task jgfs_awips_f81 edit FCSTHR '81' trigger ../../prdgen/jgfs_pgrb2_f81 == complete - endtask task jgfs_awips_f82 edit FCSTHR '82' trigger ../../prdgen/jgfs_pgrb2_f82 == complete - endtask task jgfs_awips_f83 edit FCSTHR '83' trigger ../../prdgen/jgfs_pgrb2_f83 == complete - endtask task jgfs_awips_f84 edit FCSTHR '84' trigger ../../prdgen/jgfs_pgrb2_f84 == complete - endtask task jgfs_awips_f85 edit FCSTHR '85' trigger ../../prdgen/jgfs_pgrb2_f85 == complete - endtask task jgfs_awips_f86 edit FCSTHR '86' trigger ../../prdgen/jgfs_pgrb2_f86 == complete - endtask task jgfs_awips_f87 edit FCSTHR '87' trigger ../../prdgen/jgfs_pgrb2_f87 == complete - endtask task jgfs_awips_f88 edit FCSTHR '88' trigger ../../prdgen/jgfs_pgrb2_f88 == complete - endtask task jgfs_awips_f89 edit FCSTHR '89' trigger ../../prdgen/jgfs_pgrb2_f89 == complete - endtask task jgfs_awips_f90 edit FCSTHR '90' trigger ../../prdgen/jgfs_pgrb2_f90 == complete - endtask task jgfs_awips_f91 edit FCSTHR '91' trigger ../../prdgen/jgfs_pgrb2_f91 == complete - endtask task jgfs_awips_f92 edit FCSTHR '92' trigger ../../prdgen/jgfs_pgrb2_f92 == complete - endtask task jgfs_awips_f93 edit FCSTHR '93' trigger ../../prdgen/jgfs_pgrb2_f93 == complete - endtask task jgfs_awips_f94 edit FCSTHR '94' trigger ../../prdgen/jgfs_pgrb2_f94 == complete - endtask task jgfs_awips_f95 edit FCSTHR '95' trigger ../../prdgen/jgfs_pgrb2_f95 == complete - endtask task jgfs_awips_f96 edit FCSTHR '96' trigger ../../prdgen/jgfs_pgrb2_f96 == complete - endtask task jgfs_awips_f97 edit FCSTHR '97' trigger ../../prdgen/jgfs_pgrb2_f97 == complete - endtask task jgfs_awips_f98 edit FCSTHR '98' trigger ../../prdgen/jgfs_pgrb2_f98 == complete - endtask task jgfs_awips_f99 edit FCSTHR '99' trigger ../../prdgen/jgfs_pgrb2_f99 == complete - endtask task jgfs_awips_f100 edit FCSTHR '100' trigger ../../prdgen/jgfs_pgrb2_f100 == complete - endtask task jgfs_awips_f101 edit FCSTHR '101' trigger ../../prdgen/jgfs_pgrb2_f101 == complete - endtask task jgfs_awips_f102 edit FCSTHR '102' trigger ../../prdgen/jgfs_pgrb2_f102 == complete - endtask task jgfs_awips_f103 edit FCSTHR '103' trigger ../../prdgen/jgfs_pgrb2_f103 == complete - endtask task jgfs_awips_f104 edit FCSTHR '104' trigger ../../prdgen/jgfs_pgrb2_f104 == complete - endtask task jgfs_awips_f105 edit FCSTHR '105' trigger ../../prdgen/jgfs_pgrb2_f105 == complete - endtask task jgfs_awips_f106 edit FCSTHR '106' trigger ../../prdgen/jgfs_pgrb2_f106 == complete - endtask task jgfs_awips_f107 edit FCSTHR '107' trigger ../../prdgen/jgfs_pgrb2_f107 == complete - endtask task jgfs_awips_f108 edit FCSTHR '108' trigger ../../prdgen/jgfs_pgrb2_f108 == complete - endtask task jgfs_awips_f109 edit FCSTHR '109' trigger ../../prdgen/jgfs_pgrb2_f109 == complete - endtask task jgfs_awips_f110 edit FCSTHR '110' trigger ../../prdgen/jgfs_pgrb2_f110 == complete - endtask task jgfs_awips_f111 edit FCSTHR '111' trigger ../../prdgen/jgfs_pgrb2_f111 == complete - endtask task jgfs_awips_f112 edit FCSTHR '112' trigger ../../prdgen/jgfs_pgrb2_f112 == complete - endtask task jgfs_awips_f113 edit FCSTHR '113' trigger ../../prdgen/jgfs_pgrb2_f113 == complete - endtask task jgfs_awips_f114 edit FCSTHR '114' trigger ../../prdgen/jgfs_pgrb2_f114 == complete - endtask task jgfs_awips_f115 edit FCSTHR '115' trigger ../../prdgen/jgfs_pgrb2_f115 == complete - endtask task jgfs_awips_f116 edit FCSTHR '116' trigger ../../prdgen/jgfs_pgrb2_f116 == complete - endtask task jgfs_awips_f117 edit FCSTHR '117' trigger ../../prdgen/jgfs_pgrb2_f117 == complete - endtask task jgfs_awips_f118 edit FCSTHR '118' trigger ../../prdgen/jgfs_pgrb2_f118 == complete - endtask task jgfs_awips_f119 edit FCSTHR '119' trigger ../../prdgen/jgfs_pgrb2_f119 == complete - endtask task jgfs_awips_f120 edit FCSTHR '120' trigger ../../prdgen/jgfs_pgrb2_f120 == complete - endtask task jgfs_awips_f123 edit FCSTHR '123' trigger ../../prdgen/jgfs_pgrb2_f123 == complete - endtask task jgfs_awips_f126 edit FCSTHR '126' trigger ../../prdgen/jgfs_pgrb2_f126 == complete - endtask task jgfs_awips_f129 edit FCSTHR '129' trigger ../../prdgen/jgfs_pgrb2_f129 == complete - endtask task jgfs_awips_f132 edit FCSTHR '132' trigger ../../prdgen/jgfs_pgrb2_f132 == complete - endtask task jgfs_awips_f135 edit FCSTHR '135' trigger ../../prdgen/jgfs_pgrb2_f135 == complete - endtask task jgfs_awips_f138 edit FCSTHR '138' trigger ../../prdgen/jgfs_pgrb2_f138 == complete - endtask task jgfs_awips_f141 edit FCSTHR '141' trigger ../../prdgen/jgfs_pgrb2_f141 == complete - endtask task jgfs_awips_f144 edit FCSTHR '144' trigger ../../prdgen/jgfs_pgrb2_f144 == complete - endtask task jgfs_awips_f147 edit FCSTHR '147' trigger ../../prdgen/jgfs_pgrb2_f147 == complete - endtask task jgfs_awips_f150 edit FCSTHR '150' trigger ../../prdgen/jgfs_pgrb2_f150 == complete - endtask task jgfs_awips_f153 edit FCSTHR '153' trigger ../../prdgen/jgfs_pgrb2_f153 == complete - endtask task jgfs_awips_f156 edit FCSTHR '156' trigger ../../prdgen/jgfs_pgrb2_f156 == complete - endtask task jgfs_awips_f159 edit FCSTHR '159' trigger ../../prdgen/jgfs_pgrb2_f159 == complete - endtask task jgfs_awips_f162 edit FCSTHR '162' trigger ../../prdgen/jgfs_pgrb2_f162 == complete - endtask task jgfs_awips_f165 edit FCSTHR '165' trigger ../../prdgen/jgfs_pgrb2_f165 == complete - endtask task jgfs_awips_f168 edit FCSTHR '168' trigger ../../prdgen/jgfs_pgrb2_f168 == complete - endtask task jgfs_awips_f171 edit FCSTHR '171' trigger ../../prdgen/jgfs_pgrb2_f171 == complete - endtask task jgfs_awips_f174 edit FCSTHR '174' trigger ../../prdgen/jgfs_pgrb2_f174 == complete - endtask task jgfs_awips_f177 edit FCSTHR '177' trigger ../../prdgen/jgfs_pgrb2_f177 == complete - endtask task jgfs_awips_f180 edit FCSTHR '180' trigger ../../prdgen/jgfs_pgrb2_f180 == complete - endtask task jgfs_awips_f183 edit FCSTHR '183' trigger ../../prdgen/jgfs_pgrb2_f183 == complete - endtask task jgfs_awips_f186 edit FCSTHR '186' trigger ../../prdgen/jgfs_pgrb2_f186 == complete - endtask task jgfs_awips_f189 edit FCSTHR '189' trigger ../../prdgen/jgfs_pgrb2_f189 == complete - endtask task jgfs_awips_f192 edit FCSTHR '192' trigger ../../prdgen/jgfs_pgrb2_f192 == complete - endtask task jgfs_awips_f195 edit FCSTHR '195' trigger ../../prdgen/jgfs_pgrb2_f195 == complete - endtask task jgfs_awips_f198 edit FCSTHR '198' trigger ../../prdgen/jgfs_pgrb2_f198 == complete - endtask task jgfs_awips_f201 edit FCSTHR '201' trigger ../../prdgen/jgfs_pgrb2_f201 == complete - endtask task jgfs_awips_f204 edit FCSTHR '204' trigger ../../prdgen/jgfs_pgrb2_f204 == complete - endtask task jgfs_awips_f207 edit FCSTHR '207' trigger ../../prdgen/jgfs_pgrb2_f207 == complete - endtask task jgfs_awips_f210 edit FCSTHR '210' trigger ../../prdgen/jgfs_pgrb2_f210 == complete - endtask task jgfs_awips_f213 edit FCSTHR '213' trigger ../../prdgen/jgfs_pgrb2_f213 == complete - endtask task jgfs_awips_f216 edit FCSTHR '216' trigger ../../prdgen/jgfs_pgrb2_f216 == complete - endtask task jgfs_awips_f219 edit FCSTHR '219' trigger ../../prdgen/jgfs_pgrb2_f219 == complete - endtask task jgfs_awips_f222 edit FCSTHR '222' trigger ../../prdgen/jgfs_pgrb2_f222 == complete - endtask task jgfs_awips_f225 edit FCSTHR '225' trigger ../../prdgen/jgfs_pgrb2_f225 == complete - endtask task jgfs_awips_f228 edit FCSTHR '228' trigger ../../prdgen/jgfs_pgrb2_f228 == complete - endtask task jgfs_awips_f231 edit FCSTHR '231' trigger ../../prdgen/jgfs_pgrb2_f231 == complete - endtask task jgfs_awips_f234 edit FCSTHR '234' trigger ../../prdgen/jgfs_pgrb2_f234 == complete - endtask task jgfs_awips_f237 edit FCSTHR '237' trigger ../../prdgen/jgfs_pgrb2_f237 == complete - endtask task jgfs_awips_f240 edit FCSTHR '240' trigger ../../prdgen/jgfs_pgrb2_f240 == complete - endtask task jgfs_awips_f252 edit FCSTHR '252' trigger ../../prdgen/jgfs_pgrb2_f252 == complete - endtask task jgfs_awips_f264 edit FCSTHR '264' trigger ../../prdgen/jgfs_pgrb2_f264 == complete - endtask task jgfs_awips_f276 edit FCSTHR '276' trigger ../../prdgen/jgfs_pgrb2_f276 == complete - endtask task jgfs_awips_f288 edit FCSTHR '288' trigger ../../prdgen/jgfs_pgrb2_f288 == complete - endtask task jgfs_awips_f300 edit FCSTHR '300' trigger ../../prdgen/jgfs_pgrb2_f300 == complete - endtask task jgfs_awips_f312 edit FCSTHR '312' trigger ../../prdgen/jgfs_pgrb2_f312 == complete - endtask task jgfs_awips_f324 edit FCSTHR '324' trigger ../../prdgen/jgfs_pgrb2_f324 == complete - endtask task jgfs_awips_f336 edit FCSTHR '336' trigger ../../prdgen/jgfs_pgrb2_f336 == complete - endtask task jgfs_awips_f348 edit FCSTHR '348' trigger ../../prdgen/jgfs_pgrb2_f348 == complete - endtask task jgfs_awips_f360 edit FCSTHR '360' trigger ../../prdgen/jgfs_pgrb2_f360 == complete - endtask task jgfs_awips_f372 edit FCSTHR '372' trigger ../../prdgen/jgfs_pgrb2_f372 == complete - endtask task jgfs_awips_f384 edit FCSTHR '384' trigger ../../prdgen/jgfs_pgrb2_f384 == complete - endtask - endfamily - family awips_1p0deg + endfamily # /prod12/gfs/post_processing/grib_awips + family awips_1p0deg # /prod12/gfs/post_processing/awips_1p0deg edit RES '1p0deg' edit RESC '1P0DEG' #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' task jgfs_awips_f000 edit FCSTHR '000' trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask task jgfs_awips_f001 edit FCSTHR '001' trigger ../../prdgen/jgfs_pgrb2_f01 == complete - endtask task jgfs_awips_f002 edit FCSTHR '002' trigger ../../prdgen/jgfs_pgrb2_f02 == complete - endtask task jgfs_awips_f003 edit FCSTHR '003' trigger ../../prdgen/jgfs_pgrb2_f03 == complete - endtask task jgfs_awips_f004 edit FCSTHR '004' trigger ../../prdgen/jgfs_pgrb2_f04 == complete - endtask task jgfs_awips_f005 edit FCSTHR '005' trigger ../../prdgen/jgfs_pgrb2_f05 == complete - endtask task jgfs_awips_f006 edit FCSTHR '006' trigger ../../prdgen/jgfs_pgrb2_f06 == complete - endtask task jgfs_awips_f007 edit FCSTHR '007' trigger ../../prdgen/jgfs_pgrb2_f07 == complete - endtask task jgfs_awips_f008 edit FCSTHR '008' trigger ../../prdgen/jgfs_pgrb2_f08 == complete - endtask task jgfs_awips_f009 edit FCSTHR '009' trigger ../../prdgen/jgfs_pgrb2_f09 == complete - endtask task jgfs_awips_f010 edit FCSTHR '010' trigger ../../prdgen/jgfs_pgrb2_f10 == complete - endtask task jgfs_awips_f011 edit FCSTHR '011' trigger ../../prdgen/jgfs_pgrb2_f11 == complete - endtask task jgfs_awips_f012 edit FCSTHR '012' trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask task jgfs_awips_f013 edit FCSTHR '013' trigger ../../prdgen/jgfs_pgrb2_f13 == complete - endtask task jgfs_awips_f014 edit FCSTHR '014' trigger ../../prdgen/jgfs_pgrb2_f14 == complete - endtask task jgfs_awips_f015 edit FCSTHR '015' trigger ../../prdgen/jgfs_pgrb2_f15 == complete - endtask task jgfs_awips_f016 edit FCSTHR '016' trigger ../../prdgen/jgfs_pgrb2_f16 == complete - endtask task jgfs_awips_f017 edit FCSTHR '017' trigger ../../prdgen/jgfs_pgrb2_f17 == complete - endtask task jgfs_awips_f018 edit FCSTHR '018' trigger ../../prdgen/jgfs_pgrb2_f18 == complete - endtask task jgfs_awips_f019 edit FCSTHR '019' trigger ../../prdgen/jgfs_pgrb2_f19 == complete - endtask task jgfs_awips_f020 edit FCSTHR '020' trigger ../../prdgen/jgfs_pgrb2_f20 == complete - endtask task jgfs_awips_f021 edit FCSTHR '021' trigger ../../prdgen/jgfs_pgrb2_f21 == complete - endtask task jgfs_awips_f022 edit FCSTHR '022' trigger ../../prdgen/jgfs_pgrb2_f22 == complete - endtask task jgfs_awips_f023 edit FCSTHR '023' trigger ../../prdgen/jgfs_pgrb2_f23 == complete - endtask task jgfs_awips_f024 edit FCSTHR '024' trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask task jgfs_awips_f025 edit FCSTHR '025' trigger ../../prdgen/jgfs_pgrb2_f25 == complete - endtask task jgfs_awips_f026 edit FCSTHR '026' trigger ../../prdgen/jgfs_pgrb2_f26 == complete - endtask task jgfs_awips_f027 edit FCSTHR '027' trigger ../../prdgen/jgfs_pgrb2_f27 == complete - endtask task jgfs_awips_f028 edit FCSTHR '028' trigger ../../prdgen/jgfs_pgrb2_f28 == complete - endtask task jgfs_awips_f029 edit FCSTHR '029' trigger ../../prdgen/jgfs_pgrb2_f29 == complete - endtask task jgfs_awips_f030 edit FCSTHR '030' trigger ../../prdgen/jgfs_pgrb2_f30 == complete - endtask task jgfs_awips_f031 edit FCSTHR '031' trigger ../../prdgen/jgfs_pgrb2_f31 == complete - endtask task jgfs_awips_f032 edit FCSTHR '032' trigger ../../prdgen/jgfs_pgrb2_f32 == complete - endtask task jgfs_awips_f033 edit FCSTHR '033' trigger ../../prdgen/jgfs_pgrb2_f33 == complete - endtask task jgfs_awips_f034 edit FCSTHR '034' trigger ../../prdgen/jgfs_pgrb2_f34 == complete - endtask task jgfs_awips_f035 edit FCSTHR '035' trigger ../../prdgen/jgfs_pgrb2_f35 == complete - endtask task jgfs_awips_f036 edit FCSTHR '036' trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask task jgfs_awips_f037 edit FCSTHR '037' trigger ../../prdgen/jgfs_pgrb2_f37 == complete - endtask task jgfs_awips_f038 edit FCSTHR '038' trigger ../../prdgen/jgfs_pgrb2_f38 == complete - endtask task jgfs_awips_f039 edit FCSTHR '039' trigger ../../prdgen/jgfs_pgrb2_f39 == complete - endtask task jgfs_awips_f040 edit FCSTHR '040' trigger ../../prdgen/jgfs_pgrb2_f40 == complete - endtask task jgfs_awips_f041 edit FCSTHR '041' trigger ../../prdgen/jgfs_pgrb2_f41 == complete - endtask task jgfs_awips_f042 edit FCSTHR '042' trigger ../../prdgen/jgfs_pgrb2_f42 == complete - endtask task jgfs_awips_f043 edit FCSTHR '043' trigger ../../prdgen/jgfs_pgrb2_f43 == complete - endtask task jgfs_awips_f044 edit FCSTHR '044' trigger ../../prdgen/jgfs_pgrb2_f44 == complete - endtask task jgfs_awips_f045 edit FCSTHR '045' trigger ../../prdgen/jgfs_pgrb2_f45 == complete - endtask task jgfs_awips_f046 edit FCSTHR '046' trigger ../../prdgen/jgfs_pgrb2_f46 == complete - endtask task jgfs_awips_f047 edit FCSTHR '047' trigger ../../prdgen/jgfs_pgrb2_f47 == complete - endtask task jgfs_awips_f048 edit FCSTHR '048' trigger ../../prdgen/jgfs_pgrb2_f48 == complete - endtask task jgfs_awips_f049 edit FCSTHR '049' trigger ../../prdgen/jgfs_pgrb2_f49 == complete - endtask task jgfs_awips_f050 edit FCSTHR '050' trigger ../../prdgen/jgfs_pgrb2_f50 == complete - endtask task jgfs_awips_f051 edit FCSTHR '051' trigger ../../prdgen/jgfs_pgrb2_f51 == complete - endtask task jgfs_awips_f052 edit FCSTHR '052' trigger ../../prdgen/jgfs_pgrb2_f52 == complete - endtask task jgfs_awips_f053 edit FCSTHR '053' trigger ../../prdgen/jgfs_pgrb2_f53 == complete - endtask task jgfs_awips_f054 edit FCSTHR '054' trigger ../../prdgen/jgfs_pgrb2_f54 == complete - endtask task jgfs_awips_f055 edit FCSTHR '055' trigger ../../prdgen/jgfs_pgrb2_f55 == complete - endtask task jgfs_awips_f056 edit FCSTHR '056' trigger ../../prdgen/jgfs_pgrb2_f56 == complete - endtask task jgfs_awips_f057 edit FCSTHR '057' trigger ../../prdgen/jgfs_pgrb2_f57 == complete - endtask task jgfs_awips_f058 edit FCSTHR '058' trigger ../../prdgen/jgfs_pgrb2_f58 == complete - endtask task jgfs_awips_f059 edit FCSTHR '059' trigger ../../prdgen/jgfs_pgrb2_f59 == complete - endtask task jgfs_awips_f060 edit FCSTHR '060' trigger ../../prdgen/jgfs_pgrb2_f60 == complete - endtask task jgfs_awips_f061 edit FCSTHR '061' trigger ../../prdgen/jgfs_pgrb2_f61 == complete - endtask task jgfs_awips_f062 edit FCSTHR '062' trigger ../../prdgen/jgfs_pgrb2_f62 == complete - endtask task jgfs_awips_f063 edit FCSTHR '063' trigger ../../prdgen/jgfs_pgrb2_f63 == complete - endtask task jgfs_awips_f064 edit FCSTHR '064' trigger ../../prdgen/jgfs_pgrb2_f64 == complete - endtask task jgfs_awips_f065 edit FCSTHR '065' trigger ../../prdgen/jgfs_pgrb2_f65 == complete - endtask task jgfs_awips_f066 edit FCSTHR '066' trigger ../../prdgen/jgfs_pgrb2_f66 == complete - endtask task jgfs_awips_f067 edit FCSTHR '067' trigger ../../prdgen/jgfs_pgrb2_f67 == complete - endtask task jgfs_awips_f068 edit FCSTHR '068' trigger ../../prdgen/jgfs_pgrb2_f68 == complete - endtask task jgfs_awips_f069 edit FCSTHR '069' trigger ../../prdgen/jgfs_pgrb2_f69 == complete - endtask task jgfs_awips_f070 edit FCSTHR '070' trigger ../../prdgen/jgfs_pgrb2_f70 == complete - endtask task jgfs_awips_f071 edit FCSTHR '071' trigger ../../prdgen/jgfs_pgrb2_f71 == complete - endtask task jgfs_awips_f072 edit FCSTHR '072' trigger ../../prdgen/jgfs_pgrb2_f72 == complete - endtask task jgfs_awips_f073 edit FCSTHR '073' trigger ../../prdgen/jgfs_pgrb2_f73 == complete - endtask task jgfs_awips_f074 edit FCSTHR '074' trigger ../../prdgen/jgfs_pgrb2_f74 == complete - endtask task jgfs_awips_f075 edit FCSTHR '075' trigger ../../prdgen/jgfs_pgrb2_f75 == complete - endtask task jgfs_awips_f076 edit FCSTHR '076' trigger ../../prdgen/jgfs_pgrb2_f76 == complete - endtask task jgfs_awips_f077 edit FCSTHR '077' trigger ../../prdgen/jgfs_pgrb2_f77 == complete - endtask task jgfs_awips_f078 edit FCSTHR '078' trigger ../../prdgen/jgfs_pgrb2_f78 == complete - endtask task jgfs_awips_f079 edit FCSTHR '079' trigger ../../prdgen/jgfs_pgrb2_f79 == complete - endtask task jgfs_awips_f080 edit FCSTHR '080' trigger ../../prdgen/jgfs_pgrb2_f80 == complete - endtask task jgfs_awips_f081 edit FCSTHR '081' trigger ../../prdgen/jgfs_pgrb2_f81 == complete - endtask task jgfs_awips_f082 edit FCSTHR '082' trigger ../../prdgen/jgfs_pgrb2_f82 == complete - endtask task jgfs_awips_f083 edit FCSTHR '083' trigger ../../prdgen/jgfs_pgrb2_f83 == complete - endtask task jgfs_awips_f084 edit FCSTHR '084' trigger ../../prdgen/jgfs_pgrb2_f84 == complete - endtask task jgfs_awips_f085 edit FCSTHR '085' trigger ../../prdgen/jgfs_pgrb2_f85 == complete - endtask task jgfs_awips_f086 edit FCSTHR '086' trigger ../../prdgen/jgfs_pgrb2_f86 == complete - endtask task jgfs_awips_f087 edit FCSTHR '087' trigger ../../prdgen/jgfs_pgrb2_f87 == complete - endtask task jgfs_awips_f088 edit FCSTHR '088' trigger ../../prdgen/jgfs_pgrb2_f88 == complete - endtask task jgfs_awips_f089 edit FCSTHR '089' trigger ../../prdgen/jgfs_pgrb2_f89 == complete - endtask task jgfs_awips_f090 edit FCSTHR '090' trigger ../../prdgen/jgfs_pgrb2_f90 == complete - endtask task jgfs_awips_f091 edit FCSTHR '091' trigger ../../prdgen/jgfs_pgrb2_f91 == complete - endtask task jgfs_awips_f092 edit FCSTHR '092' trigger ../../prdgen/jgfs_pgrb2_f92 == complete - endtask task jgfs_awips_f093 edit FCSTHR '093' trigger ../../prdgen/jgfs_pgrb2_f93 == complete - endtask task jgfs_awips_f094 edit FCSTHR '094' trigger ../../prdgen/jgfs_pgrb2_f94 == complete - endtask task jgfs_awips_f095 edit FCSTHR '095' trigger ../../prdgen/jgfs_pgrb2_f95 == complete - endtask task jgfs_awips_f096 edit FCSTHR '096' trigger ../../prdgen/jgfs_pgrb2_f96 == complete - endtask task jgfs_awips_f097 edit FCSTHR '097' trigger ../../prdgen/jgfs_pgrb2_f97 == complete - endtask task jgfs_awips_f098 edit FCSTHR '098' trigger ../../prdgen/jgfs_pgrb2_f98 == complete - endtask task jgfs_awips_f099 edit FCSTHR '099' trigger ../../prdgen/jgfs_pgrb2_f99 == complete - endtask task jgfs_awips_f100 edit FCSTHR '100' trigger ../../prdgen/jgfs_pgrb2_f100 == complete - endtask task jgfs_awips_f101 edit FCSTHR '101' trigger ../../prdgen/jgfs_pgrb2_f101 == complete - endtask task jgfs_awips_f102 edit FCSTHR '102' trigger ../../prdgen/jgfs_pgrb2_f102 == complete - endtask task jgfs_awips_f103 edit FCSTHR '103' trigger ../../prdgen/jgfs_pgrb2_f103 == complete - endtask task jgfs_awips_f104 edit FCSTHR '104' trigger ../../prdgen/jgfs_pgrb2_f104 == complete - endtask task jgfs_awips_f105 edit FCSTHR '105' trigger ../../prdgen/jgfs_pgrb2_f105 == complete - endtask task jgfs_awips_f106 edit FCSTHR '106' trigger ../../prdgen/jgfs_pgrb2_f106 == complete - endtask task jgfs_awips_f107 edit FCSTHR '107' trigger ../../prdgen/jgfs_pgrb2_f107 == complete - endtask task jgfs_awips_f108 edit FCSTHR '108' trigger ../../prdgen/jgfs_pgrb2_f108 == complete - endtask task jgfs_awips_f109 edit FCSTHR '109' trigger ../../prdgen/jgfs_pgrb2_f109 == complete - endtask task jgfs_awips_f110 edit FCSTHR '110' trigger ../../prdgen/jgfs_pgrb2_f110 == complete - endtask task jgfs_awips_f111 edit FCSTHR '111' trigger ../../prdgen/jgfs_pgrb2_f111 == complete - endtask task jgfs_awips_f112 edit FCSTHR '112' trigger ../../prdgen/jgfs_pgrb2_f112 == complete - endtask task jgfs_awips_f113 edit FCSTHR '113' trigger ../../prdgen/jgfs_pgrb2_f113 == complete - endtask task jgfs_awips_f114 edit FCSTHR '114' trigger ../../prdgen/jgfs_pgrb2_f114 == complete - endtask task jgfs_awips_f115 edit FCSTHR '115' trigger ../../prdgen/jgfs_pgrb2_f115 == complete - endtask task jgfs_awips_f116 edit FCSTHR '116' trigger ../../prdgen/jgfs_pgrb2_f116 == complete - endtask task jgfs_awips_f117 edit FCSTHR '117' trigger ../../prdgen/jgfs_pgrb2_f117 == complete - endtask task jgfs_awips_f118 edit FCSTHR '118' trigger ../../prdgen/jgfs_pgrb2_f118 == complete - endtask task jgfs_awips_f119 edit FCSTHR '119' trigger ../../prdgen/jgfs_pgrb2_f119 == complete - endtask task jgfs_awips_f120 edit FCSTHR '120' trigger ../../prdgen/jgfs_pgrb2_f120 == complete - endtask task jgfs_awips_f123 edit FCSTHR '123' trigger ../../prdgen/jgfs_pgrb2_f123 == complete - endtask task jgfs_awips_f126 edit FCSTHR '126' trigger ../../prdgen/jgfs_pgrb2_f126 == complete - endtask task jgfs_awips_f129 edit FCSTHR '129' trigger ../../prdgen/jgfs_pgrb2_f129 == complete - endtask task jgfs_awips_f132 edit FCSTHR '132' trigger ../../prdgen/jgfs_pgrb2_f132 == complete - endtask task jgfs_awips_f135 edit FCSTHR '135' trigger ../../prdgen/jgfs_pgrb2_f135 == complete - endtask task jgfs_awips_f138 edit FCSTHR '138' trigger ../../prdgen/jgfs_pgrb2_f138 == complete - endtask task jgfs_awips_f141 edit FCSTHR '141' trigger ../../prdgen/jgfs_pgrb2_f141 == complete - endtask task jgfs_awips_f144 edit FCSTHR '144' trigger ../../prdgen/jgfs_pgrb2_f144 == complete - endtask task jgfs_awips_f147 edit FCSTHR '147' trigger ../../prdgen/jgfs_pgrb2_f147 == complete - endtask task jgfs_awips_f150 edit FCSTHR '150' trigger ../../prdgen/jgfs_pgrb2_f150 == complete - endtask task jgfs_awips_f153 edit FCSTHR '153' trigger ../../prdgen/jgfs_pgrb2_f153 == complete - endtask task jgfs_awips_f156 edit FCSTHR '156' trigger ../../prdgen/jgfs_pgrb2_f156 == complete - endtask task jgfs_awips_f159 edit FCSTHR '159' trigger ../../prdgen/jgfs_pgrb2_f159 == complete - endtask task jgfs_awips_f162 edit FCSTHR '162' trigger ../../prdgen/jgfs_pgrb2_f162 == complete - endtask task jgfs_awips_f165 edit FCSTHR '165' trigger ../../prdgen/jgfs_pgrb2_f165 == complete - endtask task jgfs_awips_f168 edit FCSTHR '168' trigger ../../prdgen/jgfs_pgrb2_f168 == complete - endtask task jgfs_awips_f171 edit FCSTHR '171' trigger ../../prdgen/jgfs_pgrb2_f171 == complete - endtask task jgfs_awips_f174 edit FCSTHR '174' trigger ../../prdgen/jgfs_pgrb2_f174 == complete - endtask task jgfs_awips_f177 edit FCSTHR '177' trigger ../../prdgen/jgfs_pgrb2_f177 == complete - endtask task jgfs_awips_f180 edit FCSTHR '180' trigger ../../prdgen/jgfs_pgrb2_f180 == complete - endtask task jgfs_awips_f183 edit FCSTHR '183' trigger ../../prdgen/jgfs_pgrb2_f183 == complete - endtask task jgfs_awips_f186 edit FCSTHR '186' trigger ../../prdgen/jgfs_pgrb2_f186 == complete - endtask task jgfs_awips_f189 edit FCSTHR '189' trigger ../../prdgen/jgfs_pgrb2_f189 == complete - endtask task jgfs_awips_f192 edit FCSTHR '192' trigger ../../prdgen/jgfs_pgrb2_f192 == complete - endtask task jgfs_awips_f195 edit FCSTHR '195' trigger ../../prdgen/jgfs_pgrb2_f195 == complete - endtask task jgfs_awips_f198 edit FCSTHR '198' trigger ../../prdgen/jgfs_pgrb2_f198 == complete - endtask task jgfs_awips_f201 edit FCSTHR '201' trigger ../../prdgen/jgfs_pgrb2_f201 == complete - endtask task jgfs_awips_f204 edit FCSTHR '204' trigger ../../prdgen/jgfs_pgrb2_f204 == complete - endtask task jgfs_awips_f207 edit FCSTHR '207' trigger ../../prdgen/jgfs_pgrb2_f207 == complete - endtask task jgfs_awips_f210 edit FCSTHR '210' trigger ../../prdgen/jgfs_pgrb2_f210 == complete - endtask task jgfs_awips_f213 edit FCSTHR '213' trigger ../../prdgen/jgfs_pgrb2_f213 == complete - endtask task jgfs_awips_f216 edit FCSTHR '216' trigger ../../prdgen/jgfs_pgrb2_f216 == complete - endtask task jgfs_awips_f219 edit FCSTHR '219' trigger ../../prdgen/jgfs_pgrb2_f219 == complete - endtask task jgfs_awips_f222 edit FCSTHR '222' trigger ../../prdgen/jgfs_pgrb2_f222 == complete - endtask task jgfs_awips_f225 edit FCSTHR '225' trigger ../../prdgen/jgfs_pgrb2_f225 == complete - endtask task jgfs_awips_f228 edit FCSTHR '228' trigger ../../prdgen/jgfs_pgrb2_f228 == complete - endtask task jgfs_awips_f231 edit FCSTHR '231' trigger ../../prdgen/jgfs_pgrb2_f231 == complete - endtask task jgfs_awips_f234 edit FCSTHR '234' trigger ../../prdgen/jgfs_pgrb2_f234 == complete - endtask task jgfs_awips_f237 edit FCSTHR '237' trigger ../../prdgen/jgfs_pgrb2_f237 == complete - endtask task jgfs_awips_f240 edit FCSTHR '240' trigger ../../prdgen/jgfs_pgrb2_f240 == complete - endtask task jgfs_awips_f252 edit FCSTHR '252' trigger ../../prdgen/jgfs_pgrb2_f252 == complete - endtask task jgfs_awips_f264 edit FCSTHR '264' trigger ../../prdgen/jgfs_pgrb2_f264 == complete - endtask task jgfs_awips_f276 edit FCSTHR '276' trigger ../../prdgen/jgfs_pgrb2_f276 == complete - endtask task jgfs_awips_f288 edit FCSTHR '288' trigger ../../prdgen/jgfs_pgrb2_f288 == complete - endtask task jgfs_awips_f300 edit FCSTHR '300' trigger ../../prdgen/jgfs_pgrb2_f300 == complete - endtask task jgfs_awips_f312 edit FCSTHR '312' trigger ../../prdgen/jgfs_pgrb2_f312 == complete - endtask task jgfs_awips_f324 edit FCSTHR '324' trigger ../../prdgen/jgfs_pgrb2_f324 == complete - endtask task jgfs_awips_f336 edit FCSTHR '336' trigger ../../prdgen/jgfs_pgrb2_f336 == complete - endtask task jgfs_awips_f348 edit FCSTHR '348' trigger ../../prdgen/jgfs_pgrb2_f348 == complete - endtask task jgfs_awips_f360 edit FCSTHR '360' trigger ../../prdgen/jgfs_pgrb2_f360 == complete - endtask task jgfs_awips_f372 edit FCSTHR '372' trigger ../../prdgen/jgfs_pgrb2_f372 == complete - endtask task jgfs_awips_f384 edit FCSTHR '384' trigger ../../prdgen/jgfs_pgrb2_f384 == complete - endtask - endfamily - family awips_20km + endfamily # /prod12/gfs/post_processing/awips_1p0deg + family awips_20km # /prod12/gfs/post_processing/awips_20km edit RES '20km' edit RESC '20KM' #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' task jgfs_awips_f000 edit FCSTHR '000' trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask task jgfs_awips_f001 edit FCSTHR '001' trigger ../../prdgen/jgfs_pgrb2_f01 == complete - endtask task jgfs_awips_f002 edit FCSTHR '002' trigger ../../prdgen/jgfs_pgrb2_f02 == complete - endtask task jgfs_awips_f003 edit FCSTHR '003' trigger ../../prdgen/jgfs_pgrb2_f03 == complete - endtask task jgfs_awips_f004 edit FCSTHR '004' trigger ../../prdgen/jgfs_pgrb2_f04 == complete - endtask task jgfs_awips_f005 edit FCSTHR '005' trigger ../../prdgen/jgfs_pgrb2_f05 == complete - endtask task jgfs_awips_f006 edit FCSTHR '006' trigger ../../prdgen/jgfs_pgrb2_f06 == complete - endtask task jgfs_awips_f007 edit FCSTHR '007' trigger ../../prdgen/jgfs_pgrb2_f07 == complete - endtask task jgfs_awips_f008 edit FCSTHR '008' trigger ../../prdgen/jgfs_pgrb2_f08 == complete - endtask task jgfs_awips_f009 edit FCSTHR '009' trigger ../../prdgen/jgfs_pgrb2_f09 == complete - endtask task jgfs_awips_f010 edit FCSTHR '010' trigger ../../prdgen/jgfs_pgrb2_f10 == complete - endtask task jgfs_awips_f011 edit FCSTHR '011' trigger ../../prdgen/jgfs_pgrb2_f11 == complete - endtask task jgfs_awips_f012 edit FCSTHR '012' trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask task jgfs_awips_f013 edit FCSTHR '013' trigger ../../prdgen/jgfs_pgrb2_f13 == complete - endtask task jgfs_awips_f014 edit FCSTHR '014' trigger ../../prdgen/jgfs_pgrb2_f14 == complete - endtask task jgfs_awips_f015 edit FCSTHR '015' trigger ../../prdgen/jgfs_pgrb2_f15 == complete - endtask task jgfs_awips_f016 edit FCSTHR '016' trigger ../../prdgen/jgfs_pgrb2_f16 == complete - endtask task jgfs_awips_f017 edit FCSTHR '017' trigger ../../prdgen/jgfs_pgrb2_f17 == complete - endtask task jgfs_awips_f018 edit FCSTHR '018' trigger ../../prdgen/jgfs_pgrb2_f18 == complete - endtask task jgfs_awips_f019 edit FCSTHR '019' trigger ../../prdgen/jgfs_pgrb2_f19 == complete - endtask task jgfs_awips_f020 edit FCSTHR '020' trigger ../../prdgen/jgfs_pgrb2_f20 == complete - endtask task jgfs_awips_f021 edit FCSTHR '021' trigger ../../prdgen/jgfs_pgrb2_f21 == complete - endtask task jgfs_awips_f022 edit FCSTHR '022' trigger ../../prdgen/jgfs_pgrb2_f22 == complete - endtask task jgfs_awips_f023 edit FCSTHR '023' trigger ../../prdgen/jgfs_pgrb2_f23 == complete - endtask task jgfs_awips_f024 edit FCSTHR '024' trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask task jgfs_awips_f025 edit FCSTHR '025' trigger ../../prdgen/jgfs_pgrb2_f25 == complete - endtask task jgfs_awips_f026 edit FCSTHR '026' trigger ../../prdgen/jgfs_pgrb2_f26 == complete - endtask task jgfs_awips_f027 edit FCSTHR '027' trigger ../../prdgen/jgfs_pgrb2_f27 == complete - endtask task jgfs_awips_f028 edit FCSTHR '028' trigger ../../prdgen/jgfs_pgrb2_f28 == complete - endtask task jgfs_awips_f029 edit FCSTHR '029' trigger ../../prdgen/jgfs_pgrb2_f29 == complete - endtask task jgfs_awips_f030 edit FCSTHR '030' trigger ../../prdgen/jgfs_pgrb2_f30 == complete - endtask task jgfs_awips_f031 edit FCSTHR '031' trigger ../../prdgen/jgfs_pgrb2_f31 == complete - endtask task jgfs_awips_f032 edit FCSTHR '032' trigger ../../prdgen/jgfs_pgrb2_f32 == complete - endtask task jgfs_awips_f033 edit FCSTHR '033' trigger ../../prdgen/jgfs_pgrb2_f33 == complete - endtask task jgfs_awips_f034 edit FCSTHR '034' trigger ../../prdgen/jgfs_pgrb2_f34 == complete - endtask task jgfs_awips_f035 edit FCSTHR '035' trigger ../../prdgen/jgfs_pgrb2_f35 == complete - endtask task jgfs_awips_f036 edit FCSTHR '036' trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask task jgfs_awips_f037 edit FCSTHR '037' trigger ../../prdgen/jgfs_pgrb2_f37 == complete - endtask task jgfs_awips_f038 edit FCSTHR '038' trigger ../../prdgen/jgfs_pgrb2_f38 == complete - endtask task jgfs_awips_f039 edit FCSTHR '039' trigger ../../prdgen/jgfs_pgrb2_f39 == complete - endtask task jgfs_awips_f040 edit FCSTHR '040' trigger ../../prdgen/jgfs_pgrb2_f40 == complete - endtask task jgfs_awips_f041 edit FCSTHR '041' trigger ../../prdgen/jgfs_pgrb2_f41 == complete - endtask task jgfs_awips_f042 edit FCSTHR '042' trigger ../../prdgen/jgfs_pgrb2_f42 == complete - endtask task jgfs_awips_f043 edit FCSTHR '043' trigger ../../prdgen/jgfs_pgrb2_f43 == complete - endtask task jgfs_awips_f044 edit FCSTHR '044' trigger ../../prdgen/jgfs_pgrb2_f44 == complete - endtask task jgfs_awips_f045 edit FCSTHR '045' trigger ../../prdgen/jgfs_pgrb2_f45 == complete - endtask task jgfs_awips_f046 edit FCSTHR '046' trigger ../../prdgen/jgfs_pgrb2_f46 == complete - endtask task jgfs_awips_f047 edit FCSTHR '047' trigger ../../prdgen/jgfs_pgrb2_f47 == complete - endtask task jgfs_awips_f048 edit FCSTHR '048' trigger ../../prdgen/jgfs_pgrb2_f48 == complete - endtask task jgfs_awips_f049 edit FCSTHR '049' trigger ../../prdgen/jgfs_pgrb2_f49 == complete - endtask task jgfs_awips_f050 edit FCSTHR '050' trigger ../../prdgen/jgfs_pgrb2_f50 == complete - endtask task jgfs_awips_f051 edit FCSTHR '051' trigger ../../prdgen/jgfs_pgrb2_f51 == complete - endtask task jgfs_awips_f052 edit FCSTHR '052' trigger ../../prdgen/jgfs_pgrb2_f52 == complete - endtask task jgfs_awips_f053 edit FCSTHR '053' trigger ../../prdgen/jgfs_pgrb2_f53 == complete - endtask task jgfs_awips_f054 edit FCSTHR '054' trigger ../../prdgen/jgfs_pgrb2_f54 == complete - endtask task jgfs_awips_f055 edit FCSTHR '055' trigger ../../prdgen/jgfs_pgrb2_f55 == complete - endtask task jgfs_awips_f056 edit FCSTHR '056' trigger ../../prdgen/jgfs_pgrb2_f56 == complete - endtask task jgfs_awips_f057 edit FCSTHR '057' trigger ../../prdgen/jgfs_pgrb2_f57 == complete - endtask task jgfs_awips_f058 edit FCSTHR '058' trigger ../../prdgen/jgfs_pgrb2_f58 == complete - endtask task jgfs_awips_f059 edit FCSTHR '059' trigger ../../prdgen/jgfs_pgrb2_f59 == complete - endtask task jgfs_awips_f060 edit FCSTHR '060' trigger ../../prdgen/jgfs_pgrb2_f60 == complete - endtask task jgfs_awips_f061 edit FCSTHR '061' trigger ../../prdgen/jgfs_pgrb2_f61 == complete - endtask task jgfs_awips_f062 edit FCSTHR '062' trigger ../../prdgen/jgfs_pgrb2_f62 == complete - endtask task jgfs_awips_f063 edit FCSTHR '063' trigger ../../prdgen/jgfs_pgrb2_f63 == complete - endtask task jgfs_awips_f064 edit FCSTHR '064' trigger ../../prdgen/jgfs_pgrb2_f64 == complete - endtask task jgfs_awips_f065 edit FCSTHR '065' trigger ../../prdgen/jgfs_pgrb2_f65 == complete - endtask task jgfs_awips_f066 edit FCSTHR '066' trigger ../../prdgen/jgfs_pgrb2_f66 == complete - endtask task jgfs_awips_f067 edit FCSTHR '067' trigger ../../prdgen/jgfs_pgrb2_f67 == complete - endtask task jgfs_awips_f068 edit FCSTHR '068' trigger ../../prdgen/jgfs_pgrb2_f68 == complete - endtask task jgfs_awips_f069 edit FCSTHR '069' trigger ../../prdgen/jgfs_pgrb2_f69 == complete - endtask task jgfs_awips_f070 edit FCSTHR '070' trigger ../../prdgen/jgfs_pgrb2_f70 == complete - endtask task jgfs_awips_f071 edit FCSTHR '071' trigger ../../prdgen/jgfs_pgrb2_f71 == complete - endtask task jgfs_awips_f072 edit FCSTHR '072' trigger ../../prdgen/jgfs_pgrb2_f72 == complete - endtask task jgfs_awips_f073 edit FCSTHR '073' trigger ../../prdgen/jgfs_pgrb2_f73 == complete - endtask task jgfs_awips_f074 edit FCSTHR '074' trigger ../../prdgen/jgfs_pgrb2_f74 == complete - endtask task jgfs_awips_f075 edit FCSTHR '075' trigger ../../prdgen/jgfs_pgrb2_f75 == complete - endtask task jgfs_awips_f076 edit FCSTHR '076' trigger ../../prdgen/jgfs_pgrb2_f76 == complete - endtask task jgfs_awips_f077 edit FCSTHR '077' trigger ../../prdgen/jgfs_pgrb2_f77 == complete - endtask task jgfs_awips_f078 edit FCSTHR '078' trigger ../../prdgen/jgfs_pgrb2_f78 == complete - endtask task jgfs_awips_f079 edit FCSTHR '079' trigger ../../prdgen/jgfs_pgrb2_f79 == complete - endtask task jgfs_awips_f080 edit FCSTHR '080' trigger ../../prdgen/jgfs_pgrb2_f80 == complete - endtask task jgfs_awips_f081 edit FCSTHR '081' trigger ../../prdgen/jgfs_pgrb2_f81 == complete - endtask task jgfs_awips_f082 edit FCSTHR '082' trigger ../../prdgen/jgfs_pgrb2_f82 == complete - endtask task jgfs_awips_f083 edit FCSTHR '083' trigger ../../prdgen/jgfs_pgrb2_f83 == complete - endtask task jgfs_awips_f084 edit FCSTHR '084' trigger ../../prdgen/jgfs_pgrb2_f84 == complete - endtask task jgfs_awips_f085 edit FCSTHR '085' trigger ../../prdgen/jgfs_pgrb2_f85 == complete - endtask task jgfs_awips_f086 edit FCSTHR '086' trigger ../../prdgen/jgfs_pgrb2_f86 == complete - endtask task jgfs_awips_f087 edit FCSTHR '087' trigger ../../prdgen/jgfs_pgrb2_f87 == complete - endtask task jgfs_awips_f088 edit FCSTHR '088' trigger ../../prdgen/jgfs_pgrb2_f88 == complete - endtask task jgfs_awips_f089 edit FCSTHR '089' trigger ../../prdgen/jgfs_pgrb2_f89 == complete - endtask task jgfs_awips_f090 edit FCSTHR '090' trigger ../../prdgen/jgfs_pgrb2_f90 == complete - endtask task jgfs_awips_f091 edit FCSTHR '091' trigger ../../prdgen/jgfs_pgrb2_f91 == complete - endtask task jgfs_awips_f092 edit FCSTHR '092' trigger ../../prdgen/jgfs_pgrb2_f92 == complete - endtask task jgfs_awips_f093 edit FCSTHR '093' trigger ../../prdgen/jgfs_pgrb2_f93 == complete - endtask task jgfs_awips_f094 edit FCSTHR '094' trigger ../../prdgen/jgfs_pgrb2_f94 == complete - endtask task jgfs_awips_f095 edit FCSTHR '095' trigger ../../prdgen/jgfs_pgrb2_f95 == complete - endtask task jgfs_awips_f096 edit FCSTHR '096' trigger ../../prdgen/jgfs_pgrb2_f96 == complete - endtask task jgfs_awips_f097 edit FCSTHR '097' trigger ../../prdgen/jgfs_pgrb2_f97 == complete - endtask task jgfs_awips_f098 edit FCSTHR '098' trigger ../../prdgen/jgfs_pgrb2_f98 == complete - endtask task jgfs_awips_f099 edit FCSTHR '099' trigger ../../prdgen/jgfs_pgrb2_f99 == complete - endtask task jgfs_awips_f100 edit FCSTHR '100' trigger ../../prdgen/jgfs_pgrb2_f100 == complete - endtask task jgfs_awips_f101 edit FCSTHR '101' trigger ../../prdgen/jgfs_pgrb2_f101 == complete - endtask task jgfs_awips_f102 edit FCSTHR '102' trigger ../../prdgen/jgfs_pgrb2_f102 == complete - endtask task jgfs_awips_f103 edit FCSTHR '103' trigger ../../prdgen/jgfs_pgrb2_f103 == complete - endtask task jgfs_awips_f104 edit FCSTHR '104' trigger ../../prdgen/jgfs_pgrb2_f104 == complete - endtask task jgfs_awips_f105 edit FCSTHR '105' trigger ../../prdgen/jgfs_pgrb2_f105 == complete - endtask task jgfs_awips_f106 edit FCSTHR '106' trigger ../../prdgen/jgfs_pgrb2_f106 == complete - endtask task jgfs_awips_f107 edit FCSTHR '107' trigger ../../prdgen/jgfs_pgrb2_f107 == complete - endtask task jgfs_awips_f108 edit FCSTHR '108' trigger ../../prdgen/jgfs_pgrb2_f108 == complete - endtask task jgfs_awips_f109 edit FCSTHR '109' trigger ../../prdgen/jgfs_pgrb2_f109 == complete - endtask task jgfs_awips_f110 edit FCSTHR '110' trigger ../../prdgen/jgfs_pgrb2_f110 == complete - endtask task jgfs_awips_f111 edit FCSTHR '111' trigger ../../prdgen/jgfs_pgrb2_f111 == complete - endtask task jgfs_awips_f112 edit FCSTHR '112' trigger ../../prdgen/jgfs_pgrb2_f112 == complete - endtask task jgfs_awips_f113 edit FCSTHR '113' trigger ../../prdgen/jgfs_pgrb2_f113 == complete - endtask task jgfs_awips_f114 edit FCSTHR '114' trigger ../../prdgen/jgfs_pgrb2_f114 == complete - endtask task jgfs_awips_f115 edit FCSTHR '115' trigger ../../prdgen/jgfs_pgrb2_f115 == complete - endtask task jgfs_awips_f116 edit FCSTHR '116' trigger ../../prdgen/jgfs_pgrb2_f116 == complete - endtask task jgfs_awips_f117 edit FCSTHR '117' trigger ../../prdgen/jgfs_pgrb2_f117 == complete - endtask task jgfs_awips_f118 edit FCSTHR '118' trigger ../../prdgen/jgfs_pgrb2_f118 == complete - endtask task jgfs_awips_f119 edit FCSTHR '119' trigger ../../prdgen/jgfs_pgrb2_f119 == complete - endtask task jgfs_awips_f120 edit FCSTHR '120' trigger ../../prdgen/jgfs_pgrb2_f120 == complete - endtask task jgfs_awips_f123 edit FCSTHR '123' trigger ../../prdgen/jgfs_pgrb2_f123 == complete - endtask task jgfs_awips_f126 edit FCSTHR '126' trigger ../../prdgen/jgfs_pgrb2_f126 == complete - endtask task jgfs_awips_f129 edit FCSTHR '129' trigger ../../prdgen/jgfs_pgrb2_f129 == complete - endtask task jgfs_awips_f132 edit FCSTHR '132' trigger ../../prdgen/jgfs_pgrb2_f132 == complete - endtask task jgfs_awips_f135 edit FCSTHR '135' trigger ../../prdgen/jgfs_pgrb2_f135 == complete - endtask task jgfs_awips_f138 edit FCSTHR '138' trigger ../../prdgen/jgfs_pgrb2_f138 == complete - endtask task jgfs_awips_f141 edit FCSTHR '141' trigger ../../prdgen/jgfs_pgrb2_f141 == complete - endtask task jgfs_awips_f144 edit FCSTHR '144' trigger ../../prdgen/jgfs_pgrb2_f144 == complete - endtask task jgfs_awips_f147 edit FCSTHR '147' trigger ../../prdgen/jgfs_pgrb2_f147 == complete - endtask task jgfs_awips_f150 edit FCSTHR '150' trigger ../../prdgen/jgfs_pgrb2_f150 == complete - endtask task jgfs_awips_f153 edit FCSTHR '153' trigger ../../prdgen/jgfs_pgrb2_f153 == complete - endtask task jgfs_awips_f156 edit FCSTHR '156' trigger ../../prdgen/jgfs_pgrb2_f156 == complete - endtask task jgfs_awips_f159 edit FCSTHR '159' trigger ../../prdgen/jgfs_pgrb2_f159 == complete - endtask task jgfs_awips_f162 edit FCSTHR '162' trigger ../../prdgen/jgfs_pgrb2_f162 == complete - endtask task jgfs_awips_f165 edit FCSTHR '165' trigger ../../prdgen/jgfs_pgrb2_f165 == complete - endtask task jgfs_awips_f168 edit FCSTHR '168' trigger ../../prdgen/jgfs_pgrb2_f168 == complete - endtask task jgfs_awips_f171 edit FCSTHR '171' trigger ../../prdgen/jgfs_pgrb2_f171 == complete - endtask task jgfs_awips_f174 edit FCSTHR '174' trigger ../../prdgen/jgfs_pgrb2_f174 == complete - endtask task jgfs_awips_f177 edit FCSTHR '177' trigger ../../prdgen/jgfs_pgrb2_f177 == complete - endtask task jgfs_awips_f180 edit FCSTHR '180' trigger ../../prdgen/jgfs_pgrb2_f180 == complete - endtask task jgfs_awips_f183 edit FCSTHR '183' trigger ../../prdgen/jgfs_pgrb2_f183 == complete - endtask task jgfs_awips_f186 edit FCSTHR '186' trigger ../../prdgen/jgfs_pgrb2_f186 == complete - endtask task jgfs_awips_f189 edit FCSTHR '189' trigger ../../prdgen/jgfs_pgrb2_f189 == complete - endtask task jgfs_awips_f192 edit FCSTHR '192' trigger ../../prdgen/jgfs_pgrb2_f192 == complete - endtask task jgfs_awips_f195 edit FCSTHR '195' trigger ../../prdgen/jgfs_pgrb2_f195 == complete - endtask task jgfs_awips_f198 edit FCSTHR '198' trigger ../../prdgen/jgfs_pgrb2_f198 == complete - endtask task jgfs_awips_f201 edit FCSTHR '201' trigger ../../prdgen/jgfs_pgrb2_f201 == complete - endtask task jgfs_awips_f204 edit FCSTHR '204' trigger ../../prdgen/jgfs_pgrb2_f204 == complete - endtask task jgfs_awips_f207 edit FCSTHR '207' trigger ../../prdgen/jgfs_pgrb2_f207 == complete - endtask task jgfs_awips_f210 edit FCSTHR '210' trigger ../../prdgen/jgfs_pgrb2_f210 == complete - endtask task jgfs_awips_f213 edit FCSTHR '213' trigger ../../prdgen/jgfs_pgrb2_f213 == complete - endtask task jgfs_awips_f216 edit FCSTHR '216' trigger ../../prdgen/jgfs_pgrb2_f216 == complete - endtask task jgfs_awips_f219 edit FCSTHR '219' trigger ../../prdgen/jgfs_pgrb2_f219 == complete - endtask task jgfs_awips_f222 edit FCSTHR '222' trigger ../../prdgen/jgfs_pgrb2_f222 == complete - endtask task jgfs_awips_f225 edit FCSTHR '225' trigger ../../prdgen/jgfs_pgrb2_f225 == complete - endtask task jgfs_awips_f228 edit FCSTHR '228' trigger ../../prdgen/jgfs_pgrb2_f228 == complete - endtask task jgfs_awips_f231 edit FCSTHR '231' trigger ../../prdgen/jgfs_pgrb2_f231 == complete - endtask task jgfs_awips_f234 edit FCSTHR '234' trigger ../../prdgen/jgfs_pgrb2_f234 == complete - endtask task jgfs_awips_f237 edit FCSTHR '237' trigger ../../prdgen/jgfs_pgrb2_f237 == complete - endtask task jgfs_awips_f240 edit FCSTHR '240' trigger ../../prdgen/jgfs_pgrb2_f240 == complete - endtask task jgfs_awips_f252 edit FCSTHR '252' trigger ../../prdgen/jgfs_pgrb2_f252 == complete - endtask task jgfs_awips_f264 edit FCSTHR '264' trigger ../../prdgen/jgfs_pgrb2_f264 == complete - endtask task jgfs_awips_f276 edit FCSTHR '276' trigger ../../prdgen/jgfs_pgrb2_f276 == complete - endtask task jgfs_awips_f288 edit FCSTHR '288' trigger ../../prdgen/jgfs_pgrb2_f288 == complete - endtask task jgfs_awips_f300 edit FCSTHR '300' trigger ../../prdgen/jgfs_pgrb2_f300 == complete - endtask task jgfs_awips_f312 edit FCSTHR '312' trigger ../../prdgen/jgfs_pgrb2_f312 == complete - endtask task jgfs_awips_f324 edit FCSTHR '324' trigger ../../prdgen/jgfs_pgrb2_f324 == complete - endtask task jgfs_awips_f336 edit FCSTHR '336' trigger ../../prdgen/jgfs_pgrb2_f336 == complete - endtask task jgfs_awips_f348 edit FCSTHR '348' trigger ../../prdgen/jgfs_pgrb2_f348 == complete - endtask task jgfs_awips_f360 edit FCSTHR '360' trigger ../../prdgen/jgfs_pgrb2_f360 == complete - endtask task jgfs_awips_f372 edit FCSTHR '372' trigger ../../prdgen/jgfs_pgrb2_f372 == complete - endtask task jgfs_awips_f384 edit FCSTHR '384' trigger ../../prdgen/jgfs_pgrb2_f384 == complete - endtask - endfamily - endfamily - family post + endfamily # /prod12/gfs/post_processing/awips_20km + endfamily # /prod12/gfs/post_processing + family post # /prod12/gfs/post task jgfs_post_anl edit FHR 'anl' edit HR 'anl' trigger ./jgfs_post_manager:release_postanl event 1 release_pgrb2_anl - endtask task jgfs_pgrb2_spec_post trigger ./jgfs_post_f336 == complete and ./jgfs_post_f348 == complete and ./jgfs_post_f360 == complete and ./jgfs_post_f372 == complete and ./jgfs_post_f384 == complete - endtask task jgfs_post_manager trigger ../jgfs_analysis == complete and ../forecast == complete event 1 release_postanl @@ -2481,878 +1917,706 @@ suite prod12 event 172 release_post360 event 173 release_post372 event 174 release_post384 - endtask task jgfs_post_f00 - edit FHR: 'f00' - edit HR: '00' + edit FHR 'f00' + edit HR '00' trigger ./jgfs_post_manager:release_post00 - endtask task jgfs_post_f01 - edit FHR: 'f01' - edit HR: '01' + edit FHR 'f01' + edit HR '01' trigger ./jgfs_post_manager:release_post01 - endtask task jgfs_post_f02 - edit FHR: 'f02' - edit HR: '02' + edit FHR 'f02' + edit HR '02' trigger ./jgfs_post_manager:release_post02 - endtask task jgfs_post_f03 - edit FHR: 'f03' - edit HR: '03' + edit FHR 'f03' + edit HR '03' trigger ./jgfs_post_manager:release_post03 - endtask task jgfs_post_f04 - edit FHR: 'f04' - edit HR: '04' + edit FHR 'f04' + edit HR '04' trigger ./jgfs_post_manager:release_post04 - endtask task jgfs_post_f05 - edit FHR: 'f05' - edit HR: '05' + edit FHR 'f05' + edit HR '05' trigger ./jgfs_post_manager:release_post05 - endtask task jgfs_post_f06 - edit FHR: 'f06' - edit HR: '06' + edit FHR 'f06' + edit HR '06' trigger ./jgfs_post_manager:release_post06 - endtask task jgfs_post_f07 - edit FHR: 'f07' - edit HR: '07' + edit FHR 'f07' + edit HR '07' trigger ./jgfs_post_manager:release_post07 - endtask task jgfs_post_f08 - edit FHR: 'f08' - edit HR: '08' + edit FHR 'f08' + edit HR '08' trigger ./jgfs_post_manager:release_post08 - endtask task jgfs_post_f09 - edit FHR: 'f09' - edit HR: '09' + edit FHR 'f09' + edit HR '09' trigger ./jgfs_post_manager:release_post09 - endtask task jgfs_post_f10 - edit FHR: 'f10' - edit HR: '10' + edit FHR 'f10' + edit HR '10' trigger ./jgfs_post_manager:release_post10 - endtask task jgfs_post_f11 - edit FHR: 'f11' - edit HR: '11' + edit FHR 'f11' + edit HR '11' trigger ./jgfs_post_manager:release_post11 - endtask task jgfs_post_f12 - edit FHR: 'f12' - edit HR: '12' + edit FHR 'f12' + edit HR '12' trigger ./jgfs_post_manager:release_post12 - endtask task jgfs_post_f13 - edit FHR: 'f13' - edit HR: '13' + edit FHR 'f13' + edit HR '13' trigger ./jgfs_post_manager:release_post13 - endtask task jgfs_post_f14 - edit FHR: 'f14' - edit HR: '14' + edit FHR 'f14' + edit HR '14' trigger ./jgfs_post_manager:release_post14 - endtask task jgfs_post_f15 - edit FHR: 'f15' - edit HR: '15' + edit FHR 'f15' + edit HR '15' trigger ./jgfs_post_manager:release_post15 - endtask task jgfs_post_f16 - edit FHR: 'f16' - edit HR: '16' + edit FHR 'f16' + edit HR '16' trigger ./jgfs_post_manager:release_post16 - endtask task jgfs_post_f17 - edit FHR: 'f17' - edit HR: '17' + edit FHR 'f17' + edit HR '17' trigger ./jgfs_post_manager:release_post17 - endtask task jgfs_post_f18 - edit FHR: 'f18' - edit HR: '18' + edit FHR 'f18' + edit HR '18' trigger ./jgfs_post_manager:release_post18 - endtask task jgfs_post_f19 - edit FHR: 'f19' - edit HR: '19' + edit FHR 'f19' + edit HR '19' trigger ./jgfs_post_manager:release_post19 - endtask task jgfs_post_f20 - edit FHR: 'f20' - edit HR: '20' + edit FHR 'f20' + edit HR '20' trigger ./jgfs_post_manager:release_post20 - endtask task jgfs_post_f21 - edit FHR: 'f21' - edit HR: '21' + edit FHR 'f21' + edit HR '21' trigger ./jgfs_post_manager:release_post21 - endtask task jgfs_post_f22 - edit FHR: 'f22' - edit HR: '22' + edit FHR 'f22' + edit HR '22' trigger ./jgfs_post_manager:release_post22 - endtask task jgfs_post_f23 - edit FHR: 'f23' - edit HR: '23' + edit FHR 'f23' + edit HR '23' trigger ./jgfs_post_manager:release_post23 - endtask task jgfs_post_f24 - edit FHR: 'f24' - edit HR: '24' + edit FHR 'f24' + edit HR '24' trigger ./jgfs_post_manager:release_post24 - endtask task jgfs_post_f25 - edit FHR: 'f25' - edit HR: '25' + edit FHR 'f25' + edit HR '25' trigger ./jgfs_post_manager:release_post25 - endtask task jgfs_post_f26 - edit FHR: 'f26' - edit HR: '26' + edit FHR 'f26' + edit HR '26' trigger ./jgfs_post_manager:release_post26 - endtask task jgfs_post_f27 - edit FHR: 'f27' - edit HR: '27' + edit FHR 'f27' + edit HR '27' trigger ./jgfs_post_manager:release_post27 - endtask task jgfs_post_f28 - edit FHR: 'f28' - edit HR: '28' + edit FHR 'f28' + edit HR '28' trigger ./jgfs_post_manager:release_post28 - endtask task jgfs_post_f29 - edit FHR: 'f29' - edit HR: '29' + edit FHR 'f29' + edit HR '29' trigger ./jgfs_post_manager:release_post29 - endtask task jgfs_post_f30 - edit FHR: 'f30' - edit HR: '30' + edit FHR 'f30' + edit HR '30' trigger ./jgfs_post_manager:release_post30 - endtask task jgfs_post_f31 - edit FHR: 'f31' - edit HR: '31' + edit FHR 'f31' + edit HR '31' trigger ./jgfs_post_manager:release_post31 - endtask task jgfs_post_f32 - edit FHR: 'f32' - edit HR: '32' + edit FHR 'f32' + edit HR '32' trigger ./jgfs_post_manager:release_post32 - endtask task jgfs_post_f33 - edit FHR: 'f33' - edit HR: '33' + edit FHR 'f33' + edit HR '33' trigger ./jgfs_post_manager:release_post33 - endtask task jgfs_post_f34 - edit FHR: 'f34' - edit HR: '34' + edit FHR 'f34' + edit HR '34' trigger ./jgfs_post_manager:release_post34 - endtask task jgfs_post_f35 - edit FHR: 'f35' - edit HR: '35' + edit FHR 'f35' + edit HR '35' trigger ./jgfs_post_manager:release_post35 - endtask task jgfs_post_f36 - edit FHR: 'f36' - edit HR: '36' + edit FHR 'f36' + edit HR '36' trigger ./jgfs_post_manager:release_post36 - endtask task jgfs_post_f37 - edit FHR: 'f37' - edit HR: '37' + edit FHR 'f37' + edit HR '37' trigger ./jgfs_post_manager:release_post37 - endtask task jgfs_post_f38 - edit FHR: 'f38' - edit HR: '38' + edit FHR 'f38' + edit HR '38' trigger ./jgfs_post_manager:release_post38 - endtask task jgfs_post_f39 - edit FHR: 'f39' - edit HR: '39' + edit FHR 'f39' + edit HR '39' trigger ./jgfs_post_manager:release_post39 - endtask task jgfs_post_f40 - edit FHR: 'f40' - edit HR: '40' + edit FHR 'f40' + edit HR '40' trigger ./jgfs_post_manager:release_post40 - endtask task jgfs_post_f41 - edit FHR: 'f41' - edit HR: '41' + edit FHR 'f41' + edit HR '41' trigger ./jgfs_post_manager:release_post41 - endtask task jgfs_post_f42 - edit FHR: 'f42' - edit HR: '42' + edit FHR 'f42' + edit HR '42' trigger ./jgfs_post_manager:release_post42 - endtask task jgfs_post_f43 - edit FHR: 'f43' - edit HR: '43' + edit FHR 'f43' + edit HR '43' trigger ./jgfs_post_manager:release_post43 - endtask task jgfs_post_f44 - edit FHR: 'f44' - edit HR: '44' + edit FHR 'f44' + edit HR '44' trigger ./jgfs_post_manager:release_post44 - endtask task jgfs_post_f45 - edit FHR: 'f45' - edit HR: '45' + edit FHR 'f45' + edit HR '45' trigger ./jgfs_post_manager:release_post45 - endtask task jgfs_post_f46 - edit FHR: 'f46' - edit HR: '46' + edit FHR 'f46' + edit HR '46' trigger ./jgfs_post_manager:release_post46 - endtask task jgfs_post_f47 - edit FHR: 'f47' - edit HR: '47' + edit FHR 'f47' + edit HR '47' trigger ./jgfs_post_manager:release_post47 - endtask task jgfs_post_f48 - edit FHR: 'f48' - edit HR: '48' + edit FHR 'f48' + edit HR '48' trigger ./jgfs_post_manager:release_post48 - endtask task jgfs_post_f49 - edit FHR: 'f49' - edit HR: '49' + edit FHR 'f49' + edit HR '49' trigger ./jgfs_post_manager:release_post49 - endtask task jgfs_post_f50 - edit FHR: 'f50' - edit HR: '50' + edit FHR 'f50' + edit HR '50' trigger ./jgfs_post_manager:release_post50 - endtask task jgfs_post_f51 - edit FHR: 'f51' - edit HR: '51' + edit FHR 'f51' + edit HR '51' trigger ./jgfs_post_manager:release_post51 - endtask task jgfs_post_f52 - edit FHR: 'f52' - edit HR: '52' + edit FHR 'f52' + edit HR '52' trigger ./jgfs_post_manager:release_post52 - endtask task jgfs_post_f53 - edit FHR: 'f53' - edit HR: '53' + edit FHR 'f53' + edit HR '53' trigger ./jgfs_post_manager:release_post53 - endtask task jgfs_post_f54 - edit FHR: 'f54' - edit HR: '54' + edit FHR 'f54' + edit HR '54' trigger ./jgfs_post_manager:release_post54 - endtask task jgfs_post_f55 - edit FHR: 'f55' - edit HR: '55' + edit FHR 'f55' + edit HR '55' trigger ./jgfs_post_manager:release_post55 - endtask task jgfs_post_f56 - edit FHR: 'f56' - edit HR: '56' + edit FHR 'f56' + edit HR '56' trigger ./jgfs_post_manager:release_post56 - endtask task jgfs_post_f57 - edit FHR: 'f57' - edit HR: '57' + edit FHR 'f57' + edit HR '57' trigger ./jgfs_post_manager:release_post57 - endtask task jgfs_post_f58 - edit FHR: 'f58' - edit HR: '58' + edit FHR 'f58' + edit HR '58' trigger ./jgfs_post_manager:release_post58 - endtask task jgfs_post_f59 - edit FHR: 'f59' - edit HR: '59' + edit FHR 'f59' + edit HR '59' trigger ./jgfs_post_manager:release_post59 - endtask task jgfs_post_f60 - edit FHR: 'f60' - edit HR: '60' + edit FHR 'f60' + edit HR '60' trigger ./jgfs_post_manager:release_post60 - endtask task jgfs_post_f61 - edit FHR: 'f61' - edit HR: '61' + edit FHR 'f61' + edit HR '61' trigger ./jgfs_post_manager:release_post61 - endtask task jgfs_post_f62 - edit FHR: 'f62' - edit HR: '62' + edit FHR 'f62' + edit HR '62' trigger ./jgfs_post_manager:release_post62 - endtask task jgfs_post_f63 - edit FHR: 'f63' - edit HR: '63' + edit FHR 'f63' + edit HR '63' trigger ./jgfs_post_manager:release_post63 - endtask task jgfs_post_f64 - edit FHR: 'f64' - edit HR: '64' + edit FHR 'f64' + edit HR '64' trigger ./jgfs_post_manager:release_post64 - endtask task jgfs_post_f65 - edit FHR: 'f65' - edit HR: '65' + edit FHR 'f65' + edit HR '65' trigger ./jgfs_post_manager:release_post65 - endtask task jgfs_post_f66 - edit FHR: 'f66' - edit HR: '66' + edit FHR 'f66' + edit HR '66' trigger ./jgfs_post_manager:release_post66 - endtask task jgfs_post_f67 - edit FHR: 'f67' - edit HR: '67' + edit FHR 'f67' + edit HR '67' trigger ./jgfs_post_manager:release_post67 - endtask task jgfs_post_f68 - edit FHR: 'f68' - edit HR: '68' + edit FHR 'f68' + edit HR '68' trigger ./jgfs_post_manager:release_post68 - endtask task jgfs_post_f69 - edit FHR: 'f69' - edit HR: '69' + edit FHR 'f69' + edit HR '69' trigger ./jgfs_post_manager:release_post69 - endtask task jgfs_post_f70 - edit FHR: 'f70' - edit HR: '70' + edit FHR 'f70' + edit HR '70' trigger ./jgfs_post_manager:release_post70 - endtask task jgfs_post_f71 - edit FHR: 'f71' - edit HR: '71' + edit FHR 'f71' + edit HR '71' trigger ./jgfs_post_manager:release_post71 - endtask task jgfs_post_f72 - edit FHR: 'f72' - edit HR: '72' + edit FHR 'f72' + edit HR '72' trigger ./jgfs_post_manager:release_post72 - endtask task jgfs_post_f73 - edit FHR: 'f73' - edit HR: '73' + edit FHR 'f73' + edit HR '73' trigger ./jgfs_post_manager:release_post73 - endtask task jgfs_post_f74 - edit FHR: 'f74' - edit HR: '74' + edit FHR 'f74' + edit HR '74' trigger ./jgfs_post_manager:release_post74 - endtask task jgfs_post_f75 - edit FHR: 'f75' - edit HR: '75' + edit FHR 'f75' + edit HR '75' trigger ./jgfs_post_manager:release_post75 - endtask task jgfs_post_f76 - edit FHR: 'f76' - edit HR: '76' + edit FHR 'f76' + edit HR '76' trigger ./jgfs_post_manager:release_post76 - endtask task jgfs_post_f77 - edit FHR: 'f77' - edit HR: '77' + edit FHR 'f77' + edit HR '77' trigger ./jgfs_post_manager:release_post77 - endtask task jgfs_post_f78 - edit FHR: 'f78' - edit HR: '78' + edit FHR 'f78' + edit HR '78' trigger ./jgfs_post_manager:release_post78 - endtask task jgfs_post_f79 - edit FHR: 'f79' - edit HR: '79' + edit FHR 'f79' + edit HR '79' trigger ./jgfs_post_manager:release_post79 - endtask task jgfs_post_f80 - edit FHR: 'f80' - edit HR: '80' + edit FHR 'f80' + edit HR '80' trigger ./jgfs_post_manager:release_post80 - endtask task jgfs_post_f81 - edit FHR: 'f81' - edit HR: '81' + edit FHR 'f81' + edit HR '81' trigger ./jgfs_post_manager:release_post81 - endtask task jgfs_post_f82 - edit FHR: 'f82' - edit HR: '82' + edit FHR 'f82' + edit HR '82' trigger ./jgfs_post_manager:release_post82 - endtask task jgfs_post_f83 - edit FHR: 'f83' - edit HR: '83' + edit FHR 'f83' + edit HR '83' trigger ./jgfs_post_manager:release_post83 - endtask task jgfs_post_f84 - edit FHR: 'f84' - edit HR: '84' + edit FHR 'f84' + edit HR '84' trigger ./jgfs_post_manager:release_post84 - endtask task jgfs_post_f85 - edit FHR: 'f85' - edit HR: '85' + edit FHR 'f85' + edit HR '85' trigger ./jgfs_post_manager:release_post85 - endtask task jgfs_post_f86 - edit FHR: 'f86' - edit HR: '86' + edit FHR 'f86' + edit HR '86' trigger ./jgfs_post_manager:release_post86 - endtask task jgfs_post_f87 - edit FHR: 'f87' - edit HR: '87' + edit FHR 'f87' + edit HR '87' trigger ./jgfs_post_manager:release_post87 - endtask task jgfs_post_f88 - edit FHR: 'f88' - edit HR: '88' + edit FHR 'f88' + edit HR '88' trigger ./jgfs_post_manager:release_post88 - endtask task jgfs_post_f89 - edit FHR: 'f89' - edit HR: '89' + edit FHR 'f89' + edit HR '89' trigger ./jgfs_post_manager:release_post89 - endtask task jgfs_post_f90 - edit FHR: 'f90' - edit HR: '90' + edit FHR 'f90' + edit HR '90' trigger ./jgfs_post_manager:release_post90 - endtask task jgfs_post_f91 - edit FHR: 'f91' - edit HR: '91' + edit FHR 'f91' + edit HR '91' trigger ./jgfs_post_manager:release_post91 - endtask task jgfs_post_f92 - edit FHR: 'f92' - edit HR: '92' + edit FHR 'f92' + edit HR '92' trigger ./jgfs_post_manager:release_post92 - endtask task jgfs_post_f93 - edit FHR: 'f93' - edit HR: '93' + edit FHR 'f93' + edit HR '93' trigger ./jgfs_post_manager:release_post93 - endtask task jgfs_post_f94 - edit FHR: 'f94' - edit HR: '94' + edit FHR 'f94' + edit HR '94' trigger ./jgfs_post_manager:release_post94 - endtask task jgfs_post_f95 - edit FHR: 'f95' - edit HR: '95' + edit FHR 'f95' + edit HR '95' trigger ./jgfs_post_manager:release_post95 - endtask task jgfs_post_f96 - edit FHR: 'f96' - edit HR: '96' + edit FHR 'f96' + edit HR '96' trigger ./jgfs_post_manager:release_post96 - endtask task jgfs_post_f97 - edit FHR: 'f97' - edit HR: '97' + edit FHR 'f97' + edit HR '97' trigger ./jgfs_post_manager:release_post97 - endtask task jgfs_post_f98 - edit FHR: 'f98' - edit HR: '98' + edit FHR 'f98' + edit HR '98' trigger ./jgfs_post_manager:release_post98 - endtask task jgfs_post_f99 - edit FHR: 'f99' - edit HR: '99' + edit FHR 'f99' + edit HR '99' trigger ./jgfs_post_manager:release_post99 - endtask task jgfs_post_f100 - edit FHR: 'f100' - edit HR: '100' + edit FHR 'f100' + edit HR '100' trigger ./jgfs_post_manager:release_post100 - endtask task jgfs_post_f101 - edit FHR: 'f101' - edit HR: '101' + edit FHR 'f101' + edit HR '101' trigger ./jgfs_post_manager:release_post101 - endtask task jgfs_post_f102 - edit FHR: 'f102' - edit HR: '102' + edit FHR 'f102' + edit HR '102' trigger ./jgfs_post_manager:release_post102 - endtask task jgfs_post_f103 - edit FHR: 'f103' - edit HR: '103' + edit FHR 'f103' + edit HR '103' trigger ./jgfs_post_manager:release_post103 - endtask task jgfs_post_f104 - edit FHR: 'f104' - edit HR: '104' + edit FHR 'f104' + edit HR '104' trigger ./jgfs_post_manager:release_post104 - endtask task jgfs_post_f105 - edit FHR: 'f105' - edit HR: '105' + edit FHR 'f105' + edit HR '105' trigger ./jgfs_post_manager:release_post105 - endtask task jgfs_post_f106 - edit FHR: 'f106' - edit HR: '106' + edit FHR 'f106' + edit HR '106' trigger ./jgfs_post_manager:release_post106 - endtask task jgfs_post_f107 - edit FHR: 'f107' - edit HR: '107' + edit FHR 'f107' + edit HR '107' trigger ./jgfs_post_manager:release_post107 - endtask task jgfs_post_f108 - edit FHR: 'f108' - edit HR: '108' + edit FHR 'f108' + edit HR '108' trigger ./jgfs_post_manager:release_post108 - endtask task jgfs_post_f109 - edit FHR: 'f109' - edit HR: '109' + edit FHR 'f109' + edit HR '109' trigger ./jgfs_post_manager:release_post109 - endtask task jgfs_post_f110 - edit FHR: 'f110' - edit HR: '110' + edit FHR 'f110' + edit HR '110' trigger ./jgfs_post_manager:release_post110 - endtask task jgfs_post_f111 - edit FHR: 'f111' - edit HR: '111' + edit FHR 'f111' + edit HR '111' trigger ./jgfs_post_manager:release_post111 - endtask task jgfs_post_f112 - edit FHR: 'f112' - edit HR: '112' + edit FHR 'f112' + edit HR '112' trigger ./jgfs_post_manager:release_post112 - endtask task jgfs_post_f113 - edit FHR: 'f113' - edit HR: '113' + edit FHR 'f113' + edit HR '113' trigger ./jgfs_post_manager:release_post113 - endtask task jgfs_post_f114 - edit FHR: 'f114' - edit HR: '114' + edit FHR 'f114' + edit HR '114' trigger ./jgfs_post_manager:release_post114 - endtask task jgfs_post_f115 - edit FHR: 'f115' - edit HR: '115' + edit FHR 'f115' + edit HR '115' trigger ./jgfs_post_manager:release_post115 - endtask task jgfs_post_f116 - edit FHR: 'f116' - edit HR: '116' + edit FHR 'f116' + edit HR '116' trigger ./jgfs_post_manager:release_post116 - endtask task jgfs_post_f117 - edit FHR: 'f117' - edit HR: '117' + edit FHR 'f117' + edit HR '117' trigger ./jgfs_post_manager:release_post117 - endtask task jgfs_post_f118 - edit FHR: 'f118' - edit HR: '118' + edit FHR 'f118' + edit HR '118' trigger ./jgfs_post_manager:release_post118 - endtask task jgfs_post_f119 - edit FHR: 'f119' - edit HR: '119' + edit FHR 'f119' + edit HR '119' trigger ./jgfs_post_manager:release_post119 - endtask task jgfs_post_f120 - edit FHR: 'f120' - edit HR: '120' + edit FHR 'f120' + edit HR '120' trigger ./jgfs_post_manager:release_post120 - endtask task jgfs_post_f123 - edit FHR: 'f123' - edit HR: '123' + edit FHR 'f123' + edit HR '123' trigger ./jgfs_post_manager:release_post123 - endtask task jgfs_post_f126 - edit FHR: 'f126' - edit HR: '126' + edit FHR 'f126' + edit HR '126' trigger ./jgfs_post_manager:release_post126 - endtask task jgfs_post_f129 - edit FHR: 'f129' - edit HR: '129' + edit FHR 'f129' + edit HR '129' trigger ./jgfs_post_manager:release_post129 - endtask task jgfs_post_f132 - edit FHR: 'f132' - edit HR: '132' + edit FHR 'f132' + edit HR '132' trigger ./jgfs_post_manager:release_post132 - endtask task jgfs_post_f135 - edit FHR: 'f135' - edit HR: '135' + edit FHR 'f135' + edit HR '135' trigger ./jgfs_post_manager:release_post135 - endtask task jgfs_post_f138 - edit FHR: 'f138' - edit HR: '138' + edit FHR 'f138' + edit HR '138' trigger ./jgfs_post_manager:release_post138 - endtask task jgfs_post_f141 - edit FHR: 'f141' - edit HR: '141' + edit FHR 'f141' + edit HR '141' trigger ./jgfs_post_manager:release_post141 - endtask task jgfs_post_f144 - edit FHR: 'f144' - edit HR: '144' + edit FHR 'f144' + edit HR '144' trigger ./jgfs_post_manager:release_post144 - endtask task jgfs_post_f147 - edit FHR: 'f147' - edit HR: '147' + edit FHR 'f147' + edit HR '147' trigger ./jgfs_post_manager:release_post147 - endtask task jgfs_post_f150 - edit FHR: 'f150' - edit HR: '150' + edit FHR 'f150' + edit HR '150' trigger ./jgfs_post_manager:release_post150 - endtask task jgfs_post_f153 - edit FHR: 'f153' - edit HR: '153' + edit FHR 'f153' + edit HR '153' trigger ./jgfs_post_manager:release_post153 - endtask task jgfs_post_f156 - edit FHR: 'f156' - edit HR: '156' + edit FHR 'f156' + edit HR '156' trigger ./jgfs_post_manager:release_post156 - endtask task jgfs_post_f159 - edit FHR: 'f159' - edit HR: '159' + edit FHR 'f159' + edit HR '159' trigger ./jgfs_post_manager:release_post159 - endtask task jgfs_post_f162 - edit FHR: 'f162' - edit HR: '162' + edit FHR 'f162' + edit HR '162' trigger ./jgfs_post_manager:release_post162 - endtask task jgfs_post_f165 - edit FHR: 'f165' - edit HR: '165' + edit FHR 'f165' + edit HR '165' trigger ./jgfs_post_manager:release_post165 - endtask task jgfs_post_f168 - edit FHR: 'f168' - edit HR: '168' + edit FHR 'f168' + edit HR '168' trigger ./jgfs_post_manager:release_post168 - endtask task jgfs_post_f171 - edit FHR: 'f171' - edit HR: '171' + edit FHR 'f171' + edit HR '171' trigger ./jgfs_post_manager:release_post171 - endtask task jgfs_post_f174 - edit FHR: 'f174' - edit HR: '174' + edit FHR 'f174' + edit HR '174' trigger ./jgfs_post_manager:release_post174 - endtask task jgfs_post_f177 - edit FHR: 'f177' - edit HR: '177' + edit FHR 'f177' + edit HR '177' trigger ./jgfs_post_manager:release_post177 - endtask task jgfs_post_f180 - edit FHR: 'f180' - edit HR: '180' + edit FHR 'f180' + edit HR '180' trigger ./jgfs_post_manager:release_post180 - endtask task jgfs_post_f183 - edit FHR: 'f183' - edit HR: '183' + edit FHR 'f183' + edit HR '183' trigger ./jgfs_post_manager:release_post183 - endtask task jgfs_post_f186 - edit FHR: 'f186' - edit HR: '186' + edit FHR 'f186' + edit HR '186' trigger ./jgfs_post_manager:release_post186 - endtask task jgfs_post_f189 - edit FHR: 'f189' - edit HR: '189' + edit FHR 'f189' + edit HR '189' trigger ./jgfs_post_manager:release_post189 - endtask task jgfs_post_f192 - edit FHR: 'f192' - edit HR: '192' + edit FHR 'f192' + edit HR '192' trigger ./jgfs_post_manager:release_post192 - endtask task jgfs_post_f195 - edit FHR: 'f195' - edit HR: '195' + edit FHR 'f195' + edit HR '195' trigger ./jgfs_post_manager:release_post195 - endtask task jgfs_post_f198 - edit FHR: 'f198' - edit HR: '198' + edit FHR 'f198' + edit HR '198' trigger ./jgfs_post_manager:release_post198 - endtask task jgfs_post_f201 - edit FHR: 'f201' - edit HR: '201' + edit FHR 'f201' + edit HR '201' trigger ./jgfs_post_manager:release_post201 - endtask task jgfs_post_f204 - edit FHR: 'f204' - edit HR: '204' + edit FHR 'f204' + edit HR '204' trigger ./jgfs_post_manager:release_post204 - endtask task jgfs_post_f207 - edit FHR: 'f207' - edit HR: '207' + edit FHR 'f207' + edit HR '207' trigger ./jgfs_post_manager:release_post207 - endtask task jgfs_post_f210 - edit FHR: 'f210' - edit HR: '210' + edit FHR 'f210' + edit HR '210' trigger ./jgfs_post_manager:release_post210 - endtask task jgfs_post_f213 - edit FHR: 'f213' - edit HR: '213' + edit FHR 'f213' + edit HR '213' trigger ./jgfs_post_manager:release_post213 - endtask task jgfs_post_f216 - edit FHR: 'f216' - edit HR: '216' + edit FHR 'f216' + edit HR '216' trigger ./jgfs_post_manager:release_post216 - endtask task jgfs_post_f219 - edit FHR: 'f219' - edit HR: '219' + edit FHR 'f219' + edit HR '219' trigger ./jgfs_post_manager:release_post219 - endtask task jgfs_post_f222 - edit FHR: 'f222' - edit HR: '222' + edit FHR 'f222' + edit HR '222' trigger ./jgfs_post_manager:release_post222 - endtask task jgfs_post_f225 - edit FHR: 'f225' - edit HR: '225' + edit FHR 'f225' + edit HR '225' trigger ./jgfs_post_manager:release_post225 - endtask task jgfs_post_f228 - edit FHR: 'f228' - edit HR: '228' + edit FHR 'f228' + edit HR '228' trigger ./jgfs_post_manager:release_post228 - endtask task jgfs_post_f231 - edit FHR: 'f231' - edit HR: '231' + edit FHR 'f231' + edit HR '231' trigger ./jgfs_post_manager:release_post231 - endtask task jgfs_post_f234 - edit FHR: 'f234' - edit HR: '234' + edit FHR 'f234' + edit HR '234' trigger ./jgfs_post_manager:release_post234 - endtask task jgfs_post_f237 - edit FHR: 'f237' - edit HR: '237' + edit FHR 'f237' + edit HR '237' trigger ./jgfs_post_manager:release_post237 - endtask task jgfs_post_f240 - edit FHR: 'f240' - edit HR: '240' + edit FHR 'f240' + edit HR '240' trigger ./jgfs_post_manager:release_post240 - endtask task jgfs_post_f252 - edit FHR: 'f252' - edit HR: '252' + edit FHR 'f252' + edit HR '252' trigger ./jgfs_post_manager:release_post252 - endtask task jgfs_post_f264 - edit FHR: 'f264' - edit HR: '264' + edit FHR 'f264' + edit HR '264' trigger ./jgfs_post_manager:release_post264 - endtask task jgfs_post_f276 - edit FHR: 'f276' - edit HR: '276' + edit FHR 'f276' + edit HR '276' trigger ./jgfs_post_manager:release_post276 - endtask task jgfs_post_f288 - edit FHR: 'f288' - edit HR: '288' + edit FHR 'f288' + edit HR '288' trigger ./jgfs_post_manager:release_post288 - endtask task jgfs_post_f300 - edit FHR: 'f300' - edit HR: '300' + edit FHR 'f300' + edit HR '300' trigger ./jgfs_post_manager:release_post300 - endtask task jgfs_post_f312 - edit FHR: 'f312' - edit HR: '312' + edit FHR 'f312' + edit HR '312' trigger ./jgfs_post_manager:release_post312 - endtask task jgfs_post_f324 - edit FHR: 'f324' - edit HR: '324' + edit FHR 'f324' + edit HR '324' trigger ./jgfs_post_manager:release_post324 - endtask task jgfs_post_f336 - edit FHR: 'f336' - edit HR: '336' + edit FHR 'f336' + edit HR '336' trigger ./jgfs_post_manager:release_post336 - endtask task jgfs_post_f348 - edit FHR: 'f348' - edit HR: '348' + edit FHR 'f348' + edit HR '348' trigger ./jgfs_post_manager:release_post348 - endtask task jgfs_post_f360 - edit FHR: 'f360' - edit HR: '360' + edit FHR 'f360' + edit HR '360' trigger ./jgfs_post_manager:release_post360 - endtask task jgfs_post_f372 - edit FHR: 'f372' - edit HR: '372' + edit FHR 'f372' + edit HR '372' trigger ./jgfs_post_manager:release_post372 - endtask task jgfs_post_f384 - edit FHR: 'f384' - edit HR: '384' + edit FHR 'f384' + edit HR '384' trigger ./jgfs_post_manager:release_post384 - endtask - endfamily - family prdgen + endfamily # /prod12/gfs/post + family prdgen # /prod12/gfs/prdgen + task jgfs_npoess_pgrb2_0p5deg + trigger ../post == complete task jgfs_pgrb2_anl edit FHR 'anl' edit HR 'anl' - endtask + trigger ../post/jgfs_post_anl:release_pgrb2_anl task jgfs_pgrb2_manager trigger ../post == complete event 2 release_pgrb2_00 @@ -3528,839 +2792,803 @@ suite prod12 event 172 release_pgrb2_360 event 173 release_pgrb2_372 event 174 release_pgrb2_384 - endtask task jgfs_pgrb2_f00 edit FHR '00' edit HR '00' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_00 and ../post/jgfs_post_f00 == complete task jgfs_pgrb2_f01 edit FHR '01' edit HR '01' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_01 and ../post/jgfs_post_f01 == complete task jgfs_pgrb2_f02 edit FHR '02' edit HR '02' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_02 and ../post/jgfs_post_f02 == complete task jgfs_pgrb2_f03 edit FHR '03' edit HR '03' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_03 and ../post/jgfs_post_f03 == complete task jgfs_pgrb2_f04 edit FHR '04' edit HR '04' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_04 and ../post/jgfs_post_f04 == complete task jgfs_pgrb2_f05 edit FHR '05' edit HR '05' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_05 and ../post/jgfs_post_f05 == complete task jgfs_pgrb2_f06 edit FHR '06' edit HR '06' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_06 and ../post/jgfs_post_f06 == complete task jgfs_pgrb2_f07 edit FHR '07' edit HR '07' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_07 and ../post/jgfs_post_f07 == complete task jgfs_pgrb2_f08 edit FHR '08' edit HR '08' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_08 and ../post/jgfs_post_f08 == complete task jgfs_pgrb2_f09 edit FHR '09' edit HR '09' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_09 and ../post/jgfs_post_f09 == complete task jgfs_pgrb2_f10 edit FHR '10' edit HR '10' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_10 and ../post/jgfs_post_f10 == complete task jgfs_pgrb2_f11 edit FHR '11' edit HR '11' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_11 and ../post/jgfs_post_f11 == complete task jgfs_pgrb2_f12 edit FHR '12' edit HR '12' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_12 and ../post/jgfs_post_f12 == complete task jgfs_pgrb2_f13 edit FHR '13' edit HR '13' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_13 and ../post/jgfs_post_f13 == complete task jgfs_pgrb2_f14 edit FHR '14' edit HR '14' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_14 and ../post/jgfs_post_f14 == complete task jgfs_pgrb2_f15 edit FHR '15' edit HR '15' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_15 and ../post/jgfs_post_f15 == complete task jgfs_pgrb2_f16 edit FHR '16' edit HR '16' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_16 and ../post/jgfs_post_f16 == complete task jgfs_pgrb2_f17 edit FHR '17' edit HR '17' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_17 and ../post/jgfs_post_f17 == complete task jgfs_pgrb2_f18 edit FHR '18' edit HR '18' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_18 and ../post/jgfs_post_f18 == complete task jgfs_pgrb2_f19 edit FHR '19' edit HR '19' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_19 and ../post/jgfs_post_f19 == complete task jgfs_pgrb2_f20 edit FHR '20' edit HR '20' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_20 and ../post/jgfs_post_f20 == complete task jgfs_pgrb2_f21 edit FHR '21' edit HR '21' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_21 and ../post/jgfs_post_f21 == complete task jgfs_pgrb2_f22 edit FHR '22' edit HR '22' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_22 and ../post/jgfs_post_f22 == complete task jgfs_pgrb2_f23 edit FHR '23' edit HR '23' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_23 and ../post/jgfs_post_f23 == complete task jgfs_pgrb2_f24 edit FHR '24' edit HR '24' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_24 and ../post/jgfs_post_f24 == complete task jgfs_pgrb2_f25 edit FHR '25' edit HR '25' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_25 and ../post/jgfs_post_f25 == complete task jgfs_pgrb2_f26 edit FHR '26' edit HR '26' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_26 and ../post/jgfs_post_f26 == complete task jgfs_pgrb2_f27 edit FHR '27' edit HR '27' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_27 and ../post/jgfs_post_f27 == complete task jgfs_pgrb2_f28 edit FHR '28' edit HR '28' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_28 and ../post/jgfs_post_f28 == complete task jgfs_pgrb2_f29 edit FHR '29' edit HR '29' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_29 and ../post/jgfs_post_f29 == complete task jgfs_pgrb2_f30 edit FHR '30' edit HR '30' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_30 and ../post/jgfs_post_f30 == complete task jgfs_pgrb2_f31 edit FHR '31' edit HR '31' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_31 and ../post/jgfs_post_f31 == complete task jgfs_pgrb2_f32 edit FHR '32' edit HR '32' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_32 and ../post/jgfs_post_f32 == complete task jgfs_pgrb2_f33 edit FHR '33' edit HR '33' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_33 and ../post/jgfs_post_f33 == complete task jgfs_pgrb2_f34 edit FHR '34' edit HR '34' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_34 and ../post/jgfs_post_f34 == complete task jgfs_pgrb2_f35 edit FHR '35' edit HR '35' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_35 and ../post/jgfs_post_f35 == complete task jgfs_pgrb2_f36 edit FHR '36' edit HR '36' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_36 and ../post/jgfs_post_f36 == complete task jgfs_pgrb2_f37 edit FHR '37' edit HR '37' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_37 and ../post/jgfs_post_f37 == complete task jgfs_pgrb2_f38 edit FHR '38' edit HR '38' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_38 and ../post/jgfs_post_f38 == complete task jgfs_pgrb2_f39 edit FHR '39' edit HR '39' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_39 and ../post/jgfs_post_f39 == complete task jgfs_pgrb2_f40 edit FHR '40' edit HR '40' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_40 and ../post/jgfs_post_f40 == complete task jgfs_pgrb2_f41 edit FHR '41' edit HR '41' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_41 and ../post/jgfs_post_f41 == complete task jgfs_pgrb2_f42 edit FHR '42' edit HR '42' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_42 and ../post/jgfs_post_f42 == complete task jgfs_pgrb2_f43 edit FHR '43' edit HR '43' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_43 and ../post/jgfs_post_f43 == complete task jgfs_pgrb2_f44 edit FHR '44' edit HR '44' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_44 and ../post/jgfs_post_f44 == complete task jgfs_pgrb2_f45 edit FHR '45' edit HR '45' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_45 and ../post/jgfs_post_f45 == complete task jgfs_pgrb2_f46 edit FHR '46' edit HR '46' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_46 and ../post/jgfs_post_f46 == complete task jgfs_pgrb2_f47 edit FHR '47' edit HR '47' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_47 and ../post/jgfs_post_f47 == complete task jgfs_pgrb2_f48 edit FHR '48' edit HR '48' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_48 and ../post/jgfs_post_f48 == complete task jgfs_pgrb2_f49 edit FHR '49' edit HR '49' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_49 and ../post/jgfs_post_f49 == complete task jgfs_pgrb2_f50 edit FHR '50' edit HR '50' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_50 and ../post/jgfs_post_f50 == complete task jgfs_pgrb2_f51 edit FHR '51' edit HR '51' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_51 and ../post/jgfs_post_f51 == complete task jgfs_pgrb2_f52 edit FHR '52' edit HR '52' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_52 and ../post/jgfs_post_f52 == complete task jgfs_pgrb2_f53 edit FHR '53' edit HR '53' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_53 and ../post/jgfs_post_f53 == complete task jgfs_pgrb2_f54 edit FHR '54' edit HR '54' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_54 and ../post/jgfs_post_f54 == complete task jgfs_pgrb2_f55 edit FHR '55' edit HR '55' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_55 and ../post/jgfs_post_f55 == complete task jgfs_pgrb2_f56 edit FHR '56' edit HR '56' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_56 and ../post/jgfs_post_f56 == complete task jgfs_pgrb2_f57 edit FHR '57' edit HR '57' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_57 and ../post/jgfs_post_f57 == complete task jgfs_pgrb2_f58 edit FHR '58' edit HR '58' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_58 and ../post/jgfs_post_f58 == complete task jgfs_pgrb2_f59 edit FHR '59' edit HR '59' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_59 and ../post/jgfs_post_f59 == complete task jgfs_pgrb2_f60 edit FHR '60' edit HR '60' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_60 and ../post/jgfs_post_f60 == complete task jgfs_pgrb2_f61 edit FHR '61' edit HR '61' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_61 and ../post/jgfs_post_f61 == complete task jgfs_pgrb2_f62 edit FHR '62' edit HR '62' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_62 and ../post/jgfs_post_f62 == complete task jgfs_pgrb2_f63 edit FHR '63' edit HR '63' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_63 and ../post/jgfs_post_f63 == complete task jgfs_pgrb2_f64 edit FHR '64' edit HR '64' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_64 and ../post/jgfs_post_f64 == complete task jgfs_pgrb2_f65 edit FHR '65' edit HR '65' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_65 and ../post/jgfs_post_f65 == complete task jgfs_pgrb2_f66 edit FHR '66' edit HR '66' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_66 and ../post/jgfs_post_f66 == complete task jgfs_pgrb2_f67 edit FHR '67' edit HR '67' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_67 and ../post/jgfs_post_f67 == complete task jgfs_pgrb2_f68 edit FHR '68' edit HR '68' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_68 and ../post/jgfs_post_f68 == complete task jgfs_pgrb2_f69 edit FHR '69' edit HR '69' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_69 and ../post/jgfs_post_f69 == complete task jgfs_pgrb2_f70 edit FHR '70' edit HR '70' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_70 and ../post/jgfs_post_f70 == complete task jgfs_pgrb2_f71 edit FHR '71' edit HR '71' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_71 and ../post/jgfs_post_f71 == complete task jgfs_pgrb2_f72 edit FHR '72' edit HR '72' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_72 and ../post/jgfs_post_f72 == complete task jgfs_pgrb2_f73 edit FHR '73' edit HR '73' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_73 and ../post/jgfs_post_f73 == complete task jgfs_pgrb2_f74 edit FHR '74' edit HR '74' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_74 and ../post/jgfs_post_f74 == complete task jgfs_pgrb2_f75 edit FHR '75' edit HR '75' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_75 and ../post/jgfs_post_f75 == complete task jgfs_pgrb2_f76 edit FHR '76' edit HR '76' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_76 and ../post/jgfs_post_f76 == complete task jgfs_pgrb2_f77 edit FHR '77' edit HR '77' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_77 and ../post/jgfs_post_f77 == complete task jgfs_pgrb2_f78 edit FHR '78' edit HR '78' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_78 and ../post/jgfs_post_f78 == complete task jgfs_pgrb2_f79 edit FHR '79' edit HR '79' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_79 and ../post/jgfs_post_f79 == complete task jgfs_pgrb2_f80 edit FHR '80' edit HR '80' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_80 and ../post/jgfs_post_f80 == complete task jgfs_pgrb2_f81 edit FHR '81' edit HR '81' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_81 and ../post/jgfs_post_f81 == complete task jgfs_pgrb2_f82 edit FHR '82' edit HR '82' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_82 and ../post/jgfs_post_f82 == complete task jgfs_pgrb2_f83 edit FHR '83' edit HR '83' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_83 and ../post/jgfs_post_f83 == complete task jgfs_pgrb2_f84 edit FHR '84' edit HR '84' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_84 and ../post/jgfs_post_f84 == complete task jgfs_pgrb2_f85 edit FHR '85' edit HR '85' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_85 and ../post/jgfs_post_f85 == complete task jgfs_pgrb2_f86 edit FHR '86' edit HR '86' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_86 and ../post/jgfs_post_f86 == complete task jgfs_pgrb2_f87 edit FHR '87' edit HR '87' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_87 and ../post/jgfs_post_f87 == complete task jgfs_pgrb2_f88 edit FHR '88' edit HR '88' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_88 and ../post/jgfs_post_f88 == complete task jgfs_pgrb2_f89 edit FHR '89' edit HR '89' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_89 and ../post/jgfs_post_f89 == complete task jgfs_pgrb2_f90 edit FHR '90' edit HR '90' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_90 and ../post/jgfs_post_f90 == complete task jgfs_pgrb2_f91 edit FHR '91' edit HR '91' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_91 and ../post/jgfs_post_f91 == complete task jgfs_pgrb2_f92 edit FHR '92' edit HR '92' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_92 and ../post/jgfs_post_f92 == complete task jgfs_pgrb2_f93 edit FHR '93' edit HR '93' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_93 and ../post/jgfs_post_f93 == complete task jgfs_pgrb2_f94 edit FHR '94' edit HR '94' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_94 and ../post/jgfs_post_f94 == complete task jgfs_pgrb2_f95 edit FHR '95' edit HR '95' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_95 and ../post/jgfs_post_f95 == complete task jgfs_pgrb2_f96 edit FHR '96' edit HR '96' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_96 and ../post/jgfs_post_f96 == complete task jgfs_pgrb2_f97 edit FHR '97' edit HR '97' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_97 and ../post/jgfs_post_f97 == complete task jgfs_pgrb2_f98 edit FHR '98' edit HR '98' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_98 and ../post/jgfs_post_f98 == complete task jgfs_pgrb2_f99 edit FHR '99' edit HR '99' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_99 and ../post/jgfs_post_f99 == complete task jgfs_pgrb2_f100 edit FHR '100' edit HR '100' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_100 and ../post/jgfs_post_f100 == complete task jgfs_pgrb2_f101 edit FHR '101' edit HR '101' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_101 and ../post/jgfs_post_f101 == complete task jgfs_pgrb2_f102 edit FHR '102' edit HR '102' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_102 and ../post/jgfs_post_f102 == complete task jgfs_pgrb2_f103 edit FHR '103' edit HR '103' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_103 and ../post/jgfs_post_f103 == complete task jgfs_pgrb2_f104 edit FHR '104' edit HR '104' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_104 and ../post/jgfs_post_f104 == complete task jgfs_pgrb2_f105 edit FHR '105' edit HR '105' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_105 and ../post/jgfs_post_f105 == complete task jgfs_pgrb2_f106 edit FHR '106' edit HR '106' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_106 and ../post/jgfs_post_f106 == complete task jgfs_pgrb2_f107 edit FHR '107' edit HR '107' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_107 and ../post/jgfs_post_f107 == complete task jgfs_pgrb2_f108 edit FHR '108' edit HR '108' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_108 and ../post/jgfs_post_f108 == complete task jgfs_pgrb2_f109 edit FHR '109' edit HR '109' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_109 and ../post/jgfs_post_f109 == complete task jgfs_pgrb2_f110 edit FHR '110' edit HR '110' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_110 and ../post/jgfs_post_f110 == complete task jgfs_pgrb2_f111 edit FHR '111' edit HR '111' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_111 and ../post/jgfs_post_f111 == complete task jgfs_pgrb2_f112 edit FHR '112' edit HR '112' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_112 and ../post/jgfs_post_f112 == complete task jgfs_pgrb2_f113 edit FHR '113' edit HR '113' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_113 and ../post/jgfs_post_f113 == complete task jgfs_pgrb2_f114 edit FHR '114' edit HR '114' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_114 and ../post/jgfs_post_f114 == complete task jgfs_pgrb2_f115 edit FHR '115' edit HR '115' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_115 and ../post/jgfs_post_f115 == complete task jgfs_pgrb2_f116 edit FHR '116' edit HR '116' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_116 and ../post/jgfs_post_f116 == complete task jgfs_pgrb2_f117 edit FHR '117' edit HR '117' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_117 and ../post/jgfs_post_f117 == complete task jgfs_pgrb2_f118 edit FHR '118' edit HR '118' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_118 and ../post/jgfs_post_f118 == complete task jgfs_pgrb2_f119 edit FHR '119' edit HR '119' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_119 and ../post/jgfs_post_f119 == complete task jgfs_pgrb2_f120 edit FHR '120' edit HR '120' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_120 and ../post/jgfs_post_f120 == complete task jgfs_pgrb2_f123 edit FHR '123' edit HR '123' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_123 and ../post/jgfs_post_f123 == complete task jgfs_pgrb2_f126 edit FHR '126' edit HR '126' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_126 and ../post/jgfs_post_f126 == complete task jgfs_pgrb2_f129 edit FHR '129' edit HR '129' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_129 and ../post/jgfs_post_f129 == complete task jgfs_pgrb2_f132 edit FHR '132' edit HR '132' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_132 and ../post/jgfs_post_f132 == complete task jgfs_pgrb2_f135 edit FHR '135' edit HR '135' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_135 and ../post/jgfs_post_f135 == complete task jgfs_pgrb2_f138 edit FHR '138' edit HR '138' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_138 and ../post/jgfs_post_f138 == complete task jgfs_pgrb2_f141 edit FHR '141' edit HR '141' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_141 and ../post/jgfs_post_f141 == complete task jgfs_pgrb2_f144 edit FHR '144' edit HR '144' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_144 and ../post/jgfs_post_f144 == complete task jgfs_pgrb2_f147 edit FHR '147' edit HR '147' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_147 and ../post/jgfs_post_f147 == complete task jgfs_pgrb2_f150 edit FHR '150' edit HR '150' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_150 and ../post/jgfs_post_f150 == complete task jgfs_pgrb2_f153 edit FHR '153' edit HR '153' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_153 and ../post/jgfs_post_f153 == complete task jgfs_pgrb2_f156 edit FHR '156' edit HR '156' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_156 and ../post/jgfs_post_f156 == complete task jgfs_pgrb2_f159 edit FHR '159' edit HR '159' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_159 and ../post/jgfs_post_f159 == complete task jgfs_pgrb2_f162 edit FHR '162' edit HR '162' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_162 and ../post/jgfs_post_f162 == complete task jgfs_pgrb2_f165 edit FHR '165' edit HR '165' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_165 and ../post/jgfs_post_f165 == complete task jgfs_pgrb2_f168 edit FHR '168' edit HR '168' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_168 and ../post/jgfs_post_f168 == complete task jgfs_pgrb2_f171 edit FHR '171' edit HR '171' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_171 and ../post/jgfs_post_f171 == complete task jgfs_pgrb2_f174 edit FHR '174' edit HR '174' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_174 and ../post/jgfs_post_f174 == complete task jgfs_pgrb2_f177 edit FHR '177' edit HR '177' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_177 and ../post/jgfs_post_f177 == complete task jgfs_pgrb2_f180 edit FHR '180' edit HR '180' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_180 and ../post/jgfs_post_f180 == complete task jgfs_pgrb2_f183 edit FHR '183' edit HR '183' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_183 and ../post/jgfs_post_f183 == complete task jgfs_pgrb2_f186 edit FHR '186' edit HR '186' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_186 and ../post/jgfs_post_f186 == complete task jgfs_pgrb2_f189 edit FHR '189' edit HR '189' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_189 and ../post/jgfs_post_f189 == complete task jgfs_pgrb2_f192 edit FHR '192' edit HR '192' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_192 and ../post/jgfs_post_f192 == complete task jgfs_pgrb2_f195 edit FHR '195' edit HR '195' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_195 and ../post/jgfs_post_f195 == complete task jgfs_pgrb2_f198 edit FHR '198' edit HR '198' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_198 and ../post/jgfs_post_f198 == complete task jgfs_pgrb2_f201 edit FHR '201' edit HR '201' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_201 and ../post/jgfs_post_f201 == complete task jgfs_pgrb2_f204 edit FHR '204' edit HR '204' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_204 and ../post/jgfs_post_f204 == complete task jgfs_pgrb2_f207 edit FHR '207' edit HR '207' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_207 and ../post/jgfs_post_f207 == complete task jgfs_pgrb2_f210 edit FHR '210' edit HR '210' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_210 and ../post/jgfs_post_f210 == complete task jgfs_pgrb2_f213 edit FHR '213' edit HR '213' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_213 and ../post/jgfs_post_f213 == complete task jgfs_pgrb2_f216 edit FHR '216' edit HR '216' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_216 and ../post/jgfs_post_f216 == complete task jgfs_pgrb2_f219 edit FHR '219' edit HR '219' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_219 and ../post/jgfs_post_f219 == complete task jgfs_pgrb2_f222 edit FHR '222' edit HR '222' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_222 and ../post/jgfs_post_f222 == complete task jgfs_pgrb2_f225 edit FHR '225' edit HR '225' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_225 and ../post/jgfs_post_f225 == complete task jgfs_pgrb2_f228 edit FHR '228' edit HR '228' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_228 and ../post/jgfs_post_f228 == complete task jgfs_pgrb2_f231 edit FHR '231' edit HR '231' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_231 and ../post/jgfs_post_f231 == complete task jgfs_pgrb2_f234 edit FHR '234' edit HR '234' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_234 and ../post/jgfs_post_f234 == complete task jgfs_pgrb2_f237 edit FHR '237' edit HR '237' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_237 and ../post/jgfs_post_f237 == complete task jgfs_pgrb2_f240 edit FHR '240' edit HR '240' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_240 and ../post/jgfs_post_f240 == complete task jgfs_pgrb2_f252 edit FHR '252' edit HR '252' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_252 and ../post/jgfs_post_f252 == complete task jgfs_pgrb2_f264 edit FHR '264' edit HR '264' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_264 and ../post/jgfs_post_f264 == complete task jgfs_pgrb2_f276 edit FHR '276' edit HR '276' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_276 and ../post/jgfs_post_f276 == complete task jgfs_pgrb2_f288 edit FHR '288' edit HR '288' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_288 and ../post/jgfs_post_f288 == complete task jgfs_pgrb2_f300 edit FHR '300' edit HR '300' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_300 and ../post/jgfs_post_f300 == complete task jgfs_pgrb2_f312 edit FHR '312' edit HR '312' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_312 and ../post/jgfs_post_f312 == complete task jgfs_pgrb2_f324 edit FHR '324' edit HR '324' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_324 and ../post/jgfs_post_f324 == complete task jgfs_pgrb2_f336 edit FHR '336' edit HR '336' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_336 and ../post/jgfs_post_f336 == complete task jgfs_pgrb2_f348 edit FHR '348' edit HR '348' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_348 and ../post/jgfs_post_f348 == complete task jgfs_pgrb2_f360 edit FHR '360' edit HR '360' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_360 and ../post/jgfs_post_f360 == complete task jgfs_pgrb2_f372 edit FHR '372' edit HR '372' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_372 and ../post/jgfs_post_f372 == complete task jgfs_pgrb2_f384 edit FHR '384' edit HR '384' - endtask - endfamily - family gempak + trigger ./jgfs_pgrb2_manager:release_pgrb2_384 and ../post/jgfs_post_f384 == complete + endfamily # /prod12/gfs/prdgen + family gempak # /prod12/gfs/gempak task jgfs_gempak_upapgif trigger ../dump/jgfs_dump == complete - endtask task jgfs_gempak_ncdc trigger ./jgfs_gempak == active or ./jgfs_gempak == complete - endtask task jgfs_gempak trigger ../jgfs_analysis == complete - endtask task jgfs_gempak_meta trigger ../jgfs_analysis == complete - endtask - endfamily - endfamily - family gdas + task jgfs_pgrb2_spec_gempak + trigger ../post/jgfs_pgrb2_spec_post == complete + endfamily # /prod12/gfs/gempak + endfamily # /prod12/gfs + family gdas # /prod12/gdas + #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' edit PROJ '%PROJENVIR%' edit MODEL_NAME 'gdas' task jgdas_verfrad trigger ./enkf == complete - endtask task jgdas_vminmon trigger ./analysis/jgdas_analysis_high == complete - endtask - family dump + family dump # /prod12/gdas/dump task jgdas_ics event 1 release_gdas00_ics - endtask task jgdas_tropcy_qc_reloc trigger ./jgdas_dump == complete - endtask task jgdas_dump event 1 release_sfcprep - endtask - endfamily - family prep + endfamily # /prod12/gdas/dump + family prep # /prod12/gdas/prep task jgdas_emcsfc_sfc_prep trigger ../dump/jgdas_dump:release_sfcprep - endtask task jgdas_prep - trigger ../dump/jgdas_dump == complete and ../dump/jgdas_tropcy_qc_reloc == complete - endtask + trigger ../dump/jgdas_dump == complete and ../dump/jgdas_tropcy_qc_reloc == complete and /prod06/gdas/post == complete task jgdas_prep_post trigger ../analysis/jgdas_analysis_high == complete - endtask - endfamily - family analysis + endfamily # /prod12/gdas/prep + family analysis # /prod12/gdas/analysis task jgdas_analysis_high - trigger ../prep/jgdas_prep == complete and ../prep/jgdas_emcsfc_sfc_prep == complete + trigger ../prep/jgdas_prep == complete and ../prep/jgdas_emcsfc_sfc_prep == complete and /prod06/gdas/enkf/jgdas_enkf_post == complete event 1 release_fcst - endtask - endfamily - family forecast + endfamily # /prod12/gdas/analysis + family forecast # /prod12/gdas/forecast task jgdas_forecast trigger ../analysis/jgdas_analysis_high:release_fcst and ../enkf/innovate == complete event 1 release_fcst - endtask - endfamily - family post_processing - family bulletins + endfamily # /prod12/gdas/forecast + family post_processing # /prod12/gdas/post_processing + family bulletins # /prod12/gdas/post_processing/bulletins task jgdas_mknavybulls trigger ../../dump/jgdas_dump == complete - endtask - endfamily - endfamily - family gempak + endfamily # /prod12/gdas/post_processing/bulletins + endfamily # /prod12/gdas/post_processing + family gempak # /prod12/gdas/gempak task jgdas_gempak trigger ../forecast/jgdas_forecast == complete - endtask task jgdas_gempak_meta trigger ./jgdas_gempak == complete - endtask task jgdas_gempak_ncdc trigger ./jgdas_gempak == complete - endtask - endfamily - family post + endfamily # /prod12/gdas/gempak + family post # /prod12/gdas/post task jgdas_post trigger ../forecast/jgdas_forecast == complete - endtask - endfamily - family enkf + endfamily # /prod12/gdas/post + family enkf # /prod12/gdas/enkf task jgdas_enkf_select_obs trigger ../prep/jgdas_prep == complete and /prod06/gdas/enkf/jgdas_enkf_post == complete - endtask - family innovate + family innovate # /prod12/gdas/enkf/innovate trigger ./jgdas_enkf_select_obs == complete task jgdas_enkf_innovate_obs_grp1 - endtask task jgdas_enkf_innovate_obs_grp2 - endtask task jgdas_enkf_innovate_obs_grp3 - endtask task jgdas_enkf_innovate_obs_grp4 - endtask task jgdas_enkf_innovate_obs_grp5 - endtask task jgdas_enkf_innovate_obs_grp6 - endtask task jgdas_enkf_innovate_obs_grp7 - endtask task jgdas_enkf_innovate_obs_grp8 - endtask - endfamily + endfamily # /prod12/gdas/enkf/innovate task jgdas_enkf_update edit ECF_PASS 'FREE' trigger ./innovate == complete - endtask task jgdas_enkf_inflate_recenter trigger ./jgdas_enkf_update == complete and ../analysis/jgdas_analysis_high == complete - endtask - family forecast + family forecast # /prod12/gdas/enkf/forecast trigger ./jgdas_enkf_inflate_recenter == complete task jgdas_enkf_fcst_grp1 - endtask task jgdas_enkf_fcst_grp2 - endtask task jgdas_enkf_fcst_grp3 - endtask task jgdas_enkf_fcst_grp4 - endtask task jgdas_enkf_fcst_grp5 - endtask task jgdas_enkf_fcst_grp6 - endtask task jgdas_enkf_fcst_grp7 - endtask task jgdas_enkf_fcst_grp8 - endtask - endfamily + endfamily # /prod12/gdas/enkf/forecast task jgdas_enkf_post trigger ./forecast == complete - endtask - endfamily - endfamily + endfamily # /prod12/gdas/enkf + endfamily # /prod12/gdas task cycle_end - edit ECF_JOB_CMD '%ECF_JOB% 1> %ECF_JOBOUT% 2>&1' + #edit ECF_JOB_CMD '%ECF_JOB% 1> %ECF_JOBOUT% 2>&1' + #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' edit ECF_PASS 'FREE' - endtask -endsuite +endsuite # /prod12 diff --git a/model/ecflow_fv3gfs/defs/prod18.def b/model/ecflow_fv3gfs/defs/prod18.def index 2916df6..2839a28 100644 --- a/model/ecflow_fv3gfs/defs/prod18.def +++ b/model/ecflow_fv3gfs/defs/prod18.def @@ -1,4 +1,5 @@ extern /prod12/gdas/enkf/jgdas_enkf_post +extern /prod12/gdas/post suite prod18 repeat day 1 edit ECF_TRIES '1' @@ -10,6 +11,7 @@ suite prod18 edit CYC '18' edit ENVIR 'prod' edit PROJ 'GFS-T2O' + edit PROJECT 'GFS-T2O' edit E 'jecffv3' #edit QUEUE 'dev' edit QUEUE 'debug' @@ -24,2287 +26,1721 @@ suite prod18 edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' edit MODEL_NAME 'gfs' - family gfs + family gfs # /prod18/gfs edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' edit PROJ '%PROJENVIR%' edit MODEL_NAME 'gfs' - family dump + family dump # /prod18/gfs/dump task jgfs_tropcy_qc_reloc trigger ./jgfs_dump == complete event 1 jtwc_bull_email - endtask task jgfs_dump event 1 release_sfcprep - endtask - endfamily - family prep + endfamily # /prod18/gfs/dump + family prep # /prod18/gfs/prep task jgfs_emcsfc_sfc_prep trigger ../dump/jgfs_dump:release_sfcprep - endtask task jgfs_prep trigger ../dump == complete - endtask task jgfs_prep_post trigger ../jgfs_analysis == complete - endtask - endfamily + endfamily # /prod18/gfs/prep task jgfs_analysis - trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete - endtask + trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete and /prod12/gdas/enkf/jgdas_enkf_post == complete task jgfs_vminmon trigger ./jgfs_analysis == complete - endtask - family forecast + family forecast # /prod18/gfs/forecast task jgfs_forecast_high trigger ../jgfs_analysis == complete - endtask task jgfs_forecast_low trigger ./jgfs_forecast_high == complete - endtask - endfamily - family sminit_guam + endfamily # /prod18/gfs/forecast + family sminit_guam # /prod18/gfs/sminit_guam task jgfs_sminit_guam_even trigger ../post/jgfs_post_anl == active or ../post/jgfs_post_anl == complete - endtask task jgfs_sminit_guam_odd trigger ../post/jgfs_post_anl == active or ../post/jgfs_post_anl == complete - endtask - endfamily - family post_processing - task dummy - trigger ../forecast == complete - endtask - family fax + endfamily # /prod18/gfs/sminit_guam + family post_processing # /prod18/gfs/post_processing + family fax # /prod18/gfs/post_processing/fax task jgfs_fax_f00 edit FCSTHR '00' trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_anl == complete - endtask task jgfs_fax_anl edit FCSTHR 'anl' trigger ../../prdgen/jgfs_pgrb2_anl == complete - endtask task jgfs_fax_wafs_f12 edit FCSTHR '12' trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask task jgfs_fax_wafs_f24 edit FCSTHR '24' trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask task jgfs_fax_wafs_f36 edit FCSTHR '36' trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask - endfamily - family grib_wafs + endfamily # /prod18/gfs/post_processing/fax + family grib_wafs # /prod18/gfs/post_processing/grib_wafs task jgfs_wafs_f00 edit FCSTHR '00' trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f120 == complete and ../grib2_wafs/jgfs_wafs_grib2 == complete - endtask task jgfs_wafs_f06 edit FCSTHR '06' trigger ../../prdgen/jgfs_pgrb2_f06 == complete and ./jgfs_wafs_f00 == complete - endtask task jgfs_wafs_f12 edit FCSTHR '12' trigger ../../prdgen/jgfs_pgrb2_f12 == complete and ./jgfs_wafs_f06 == complete - endtask task jgfs_wafs_f18 edit FCSTHR '18' trigger ../../prdgen/jgfs_pgrb2_f18 == complete and ./jgfs_wafs_f12 == complete - endtask task jgfs_wafs_f24 edit FCSTHR '24' trigger ../../prdgen/jgfs_pgrb2_f24 == complete and ./jgfs_wafs_f18 == complete - endtask task jgfs_wafs_f30 edit FCSTHR '30' trigger ../../prdgen/jgfs_pgrb2_f30 == complete and ./jgfs_wafs_f24 == complete - endtask task jgfs_wafs_f36 edit FCSTHR '36' trigger ../../prdgen/jgfs_pgrb2_f36 == complete and ./jgfs_wafs_f30 == complete - endtask task jgfs_wafs_f42 edit FCSTHR '42' trigger ../../prdgen/jgfs_pgrb2_f42 == complete and ./jgfs_wafs_f36 == complete - endtask task jgfs_wafs_f48 edit FCSTHR '48' trigger ../../prdgen/jgfs_pgrb2_f48 == complete and ./jgfs_wafs_f42 == complete - endtask task jgfs_wafs_f54 edit FCSTHR '54' trigger ../../prdgen/jgfs_pgrb2_f54 == complete and ./jgfs_wafs_f48 == complete - endtask task jgfs_wafs_f60 edit FCSTHR '60' trigger ../../prdgen/jgfs_pgrb2_f60 == complete and ./jgfs_wafs_f54 == complete - endtask task jgfs_wafs_f66 edit FCSTHR '66' trigger ../../prdgen/jgfs_pgrb2_f66 == complete and ./jgfs_wafs_f60 == complete - endtask task jgfs_wafs_f72 edit FCSTHR '72' trigger ../../prdgen/jgfs_pgrb2_f72 == complete and ./jgfs_wafs_f66 == complete - endtask task jgfs_wafs_f78 edit FCSTHR '78' trigger ../../prdgen/jgfs_pgrb2_f78 == complete and ./jgfs_wafs_f72 == complete - endtask task jgfs_wafs_f84 edit FCSTHR '84' trigger ../../prdgen/jgfs_pgrb2_f84 == complete and ./jgfs_wafs_f78 == complete - endtask task jgfs_wafs_f90 edit FCSTHR '90' trigger ../../prdgen/jgfs_pgrb2_f90 == complete and ./jgfs_wafs_f84 == complete - endtask task jgfs_wafs_f96 edit FCSTHR '96' trigger ../../prdgen/jgfs_pgrb2_f96 == complete and ./jgfs_wafs_f90 == complete - endtask task jgfs_wafs_f102 edit FCSTHR '102' trigger ../../prdgen/jgfs_pgrb2_f102 == complete and ./jgfs_wafs_f96 == complete - endtask task jgfs_wafs_f108 edit FCSTHR '108' trigger ../../prdgen/jgfs_pgrb2_f108 == complete and ./jgfs_wafs_f102 == complete - endtask task jgfs_wafs_f114 edit FCSTHR '114' trigger ../../prdgen/jgfs_pgrb2_f114 == complete and ./jgfs_wafs_f108 == complete - endtask task jgfs_wafs_f120 edit FCSTHR '120' trigger ../../prdgen/jgfs_pgrb2_f120 == complete and ./jgfs_wafs_f114 == complete - endtask - endfamily - family bufr_sounding + endfamily # /prod18/gfs/post_processing/grib_wafs + family bufr_sounding # /prod18/gfs/post_processing/bufr_sounding task jgfs_postsnd trigger ../../post/jgfs_post_manager:release_post00 - endtask - endfamily - family bulletins + endfamily # /prod18/gfs/post_processing/bufr_sounding + family bulletins # /prod18/gfs/post_processing/bulletins task jgfs_fbwind trigger ../../post/jgfs_post_f06 == complete and ../../post/jgfs_post_f12 == complete and ../../post/jgfs_post_f24 == complete - endtask task jgfs_cyclone_tracker trigger ../../post/jgfs_post_f00 == complete and ../../post/jgfs_post_f06 == complete and ../../post/jgfs_post_f12 == complete and ../../post/jgfs_post_f18 == complete and ../../post/jgfs_post_f24 == complete and ../../post/jgfs_post_f30 == complete and ../../post/jgfs_post_f36 == complete and ../../post/jgfs_post_f42 == complete and ../../post/jgfs_post_f48 == complete and ../../post/jgfs_post_f54 == complete and ../../post/jgfs_post_f60 == complete and ../../post/jgfs_post_f66 == complete and ../../post/jgfs_post_f72 == complete and ../../post/jgfs_post_f78 == complete and ../../post/jgfs_post_f84 == complete and ../../post/jgfs_post_f90 == complete and ../../post/jgfs_post_f96 == complete and ../../post/jgfs_post_f102 == complete and ../../post/jgfs_post_f108 == complete and ../../post/jgfs_post_f114 == complete and ../../post/jgfs_post_f120 == complete and ../../post/jgfs_post_f126 == complete and ../../post/jgfs_post_f132 == complete and ../../post/jgfs_post_f138 == complete and ../../post/jgfs_post_f144 == complete and ../../post/jgfs_post_f150 == complete and ../../post/jgfs_post_f156 == complete and ../../post/jgfs_post_f162 == complete and ../../post/jgfs_post_f168 == complete and ../../post/jgfs_post_f174 == complete and ../../post/jgfs_post_f180 == complete and ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f06 == complete and ../../prdgen/jgfs_pgrb2_f12 == complete and ../../prdgen/jgfs_pgrb2_f18 == complete and ../../prdgen/jgfs_pgrb2_f24 == complete and ../../prdgen/jgfs_pgrb2_f30 == complete and ../../prdgen/jgfs_pgrb2_f36 == complete and ../../prdgen/jgfs_pgrb2_f42 == complete and ../../prdgen/jgfs_pgrb2_f48 == complete and ../../prdgen/jgfs_pgrb2_f54 == complete and ../../prdgen/jgfs_pgrb2_f60 == complete and ../../prdgen/jgfs_pgrb2_f66 == complete and ../../prdgen/jgfs_pgrb2_f72 == complete and ../../prdgen/jgfs_pgrb2_f78 == complete and ../../prdgen/jgfs_pgrb2_f84 == complete and ../../prdgen/jgfs_pgrb2_f90 == complete and ../../prdgen/jgfs_pgrb2_f96 == complete and ../../prdgen/jgfs_pgrb2_f102 == complete and ../../prdgen/jgfs_pgrb2_f108 == complete and ../../prdgen/jgfs_pgrb2_f114 == complete and ../../prdgen/jgfs_pgrb2_f120 == complete and ../../prdgen/jgfs_pgrb2_f126 == complete and ../../prdgen/jgfs_pgrb2_f132 == complete and ../../prdgen/jgfs_pgrb2_f138 == complete and ../../prdgen/jgfs_pgrb2_f144 == complete and ../../prdgen/jgfs_pgrb2_f150 == complete and ../../prdgen/jgfs_pgrb2_f156 == complete and ../../prdgen/jgfs_pgrb2_f162 == complete and ../../prdgen/jgfs_pgrb2_f168 == complete and ../../prdgen/jgfs_pgrb2_f174 == complete and ../../prdgen/jgfs_pgrb2_f180 == complete - endtask - endfamily - family grib2_wafs + endfamily # /prod18/gfs/post_processing/bulletins + family grib2_wafs # /prod18/gfs/post_processing/grib2_wafs task jgfs_wafs_grib2 trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask task jgfs_wafs_blending trigger ./jgfs_wafs_grib2 == complete - endtask - endfamily - family grib_awips + endfamily # /prod18/gfs/post_processing/grib2_wafs + family grib_awips # /prod18/gfs/post_processing/grib_awips task jgfs_awips_f00 edit FCSTHR '00' trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask task jgfs_awips_f01 edit FCSTHR '01' trigger ../../prdgen/jgfs_pgrb2_f01 == complete - endtask task jgfs_awips_f02 edit FCSTHR '02' trigger ../../prdgen/jgfs_pgrb2_f02 == complete - endtask task jgfs_awips_f03 edit FCSTHR '03' trigger ../../prdgen/jgfs_pgrb2_f03 == complete - endtask task jgfs_awips_f04 edit FCSTHR '04' trigger ../../prdgen/jgfs_pgrb2_f04 == complete - endtask task jgfs_awips_f05 edit FCSTHR '05' trigger ../../prdgen/jgfs_pgrb2_f05 == complete - endtask task jgfs_awips_f06 edit FCSTHR '06' trigger ../../prdgen/jgfs_pgrb2_f06 == complete - endtask task jgfs_awips_f07 edit FCSTHR '07' trigger ../../prdgen/jgfs_pgrb2_f07 == complete - endtask task jgfs_awips_f08 edit FCSTHR '08' trigger ../../prdgen/jgfs_pgrb2_f08 == complete - endtask task jgfs_awips_f09 edit FCSTHR '09' trigger ../../prdgen/jgfs_pgrb2_f09 == complete - endtask task jgfs_awips_f10 edit FCSTHR '10' trigger ../../prdgen/jgfs_pgrb2_f10 == complete - endtask task jgfs_awips_f11 edit FCSTHR '11' trigger ../../prdgen/jgfs_pgrb2_f11 == complete - endtask task jgfs_awips_f12 edit FCSTHR '12' trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask task jgfs_awips_f13 edit FCSTHR '13' trigger ../../prdgen/jgfs_pgrb2_f13 == complete - endtask task jgfs_awips_f14 edit FCSTHR '14' trigger ../../prdgen/jgfs_pgrb2_f14 == complete - endtask task jgfs_awips_f15 edit FCSTHR '15' trigger ../../prdgen/jgfs_pgrb2_f15 == complete - endtask task jgfs_awips_f16 edit FCSTHR '16' trigger ../../prdgen/jgfs_pgrb2_f16 == complete - endtask task jgfs_awips_f17 edit FCSTHR '17' trigger ../../prdgen/jgfs_pgrb2_f17 == complete - endtask task jgfs_awips_f18 edit FCSTHR '18' trigger ../../prdgen/jgfs_pgrb2_f18 == complete - endtask task jgfs_awips_f19 edit FCSTHR '19' trigger ../../prdgen/jgfs_pgrb2_f19 == complete - endtask task jgfs_awips_f20 edit FCSTHR '20' trigger ../../prdgen/jgfs_pgrb2_f20 == complete - endtask task jgfs_awips_f21 edit FCSTHR '21' trigger ../../prdgen/jgfs_pgrb2_f21 == complete - endtask task jgfs_awips_f22 edit FCSTHR '22' trigger ../../prdgen/jgfs_pgrb2_f22 == complete - endtask task jgfs_awips_f23 edit FCSTHR '23' trigger ../../prdgen/jgfs_pgrb2_f23 == complete - endtask task jgfs_awips_f24 edit FCSTHR '24' trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask task jgfs_awips_f25 edit FCSTHR '25' trigger ../../prdgen/jgfs_pgrb2_f25 == complete - endtask task jgfs_awips_f26 edit FCSTHR '26' trigger ../../prdgen/jgfs_pgrb2_f26 == complete - endtask task jgfs_awips_f27 edit FCSTHR '27' trigger ../../prdgen/jgfs_pgrb2_f27 == complete - endtask task jgfs_awips_f28 edit FCSTHR '28' trigger ../../prdgen/jgfs_pgrb2_f28 == complete - endtask task jgfs_awips_f29 edit FCSTHR '29' trigger ../../prdgen/jgfs_pgrb2_f29 == complete - endtask task jgfs_awips_f30 edit FCSTHR '30' trigger ../../prdgen/jgfs_pgrb2_f30 == complete - endtask task jgfs_awips_f31 edit FCSTHR '31' trigger ../../prdgen/jgfs_pgrb2_f31 == complete - endtask task jgfs_awips_f32 edit FCSTHR '32' trigger ../../prdgen/jgfs_pgrb2_f32 == complete - endtask task jgfs_awips_f33 edit FCSTHR '33' trigger ../../prdgen/jgfs_pgrb2_f33 == complete - endtask task jgfs_awips_f34 edit FCSTHR '34' trigger ../../prdgen/jgfs_pgrb2_f34 == complete - endtask task jgfs_awips_f35 edit FCSTHR '35' trigger ../../prdgen/jgfs_pgrb2_f35 == complete - endtask task jgfs_awips_f36 edit FCSTHR '36' trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask task jgfs_awips_f37 edit FCSTHR '37' trigger ../../prdgen/jgfs_pgrb2_f37 == complete - endtask task jgfs_awips_f38 edit FCSTHR '38' trigger ../../prdgen/jgfs_pgrb2_f38 == complete - endtask task jgfs_awips_f39 edit FCSTHR '39' trigger ../../prdgen/jgfs_pgrb2_f39 == complete - endtask task jgfs_awips_f40 edit FCSTHR '40' trigger ../../prdgen/jgfs_pgrb2_f40 == complete - endtask task jgfs_awips_f41 edit FCSTHR '41' trigger ../../prdgen/jgfs_pgrb2_f41 == complete - endtask task jgfs_awips_f42 edit FCSTHR '42' trigger ../../prdgen/jgfs_pgrb2_f42 == complete - endtask task jgfs_awips_f43 edit FCSTHR '43' trigger ../../prdgen/jgfs_pgrb2_f43 == complete - endtask task jgfs_awips_f44 edit FCSTHR '44' trigger ../../prdgen/jgfs_pgrb2_f44 == complete - endtask task jgfs_awips_f45 edit FCSTHR '45' trigger ../../prdgen/jgfs_pgrb2_f45 == complete - endtask task jgfs_awips_f46 edit FCSTHR '46' trigger ../../prdgen/jgfs_pgrb2_f46 == complete - endtask task jgfs_awips_f47 edit FCSTHR '47' trigger ../../prdgen/jgfs_pgrb2_f47 == complete - endtask task jgfs_awips_f48 edit FCSTHR '48' trigger ../../prdgen/jgfs_pgrb2_f48 == complete - endtask task jgfs_awips_f49 edit FCSTHR '49' trigger ../../prdgen/jgfs_pgrb2_f49 == complete - endtask task jgfs_awips_f50 edit FCSTHR '50' trigger ../../prdgen/jgfs_pgrb2_f50 == complete - endtask task jgfs_awips_f51 edit FCSTHR '51' trigger ../../prdgen/jgfs_pgrb2_f51 == complete - endtask task jgfs_awips_f52 edit FCSTHR '52' trigger ../../prdgen/jgfs_pgrb2_f52 == complete - endtask task jgfs_awips_f53 edit FCSTHR '53' trigger ../../prdgen/jgfs_pgrb2_f53 == complete - endtask task jgfs_awips_f54 edit FCSTHR '54' trigger ../../prdgen/jgfs_pgrb2_f54 == complete - endtask task jgfs_awips_f55 edit FCSTHR '55' trigger ../../prdgen/jgfs_pgrb2_f55 == complete - endtask task jgfs_awips_f56 edit FCSTHR '56' trigger ../../prdgen/jgfs_pgrb2_f56 == complete - endtask task jgfs_awips_f57 edit FCSTHR '57' trigger ../../prdgen/jgfs_pgrb2_f57 == complete - endtask task jgfs_awips_f58 edit FCSTHR '58' trigger ../../prdgen/jgfs_pgrb2_f58 == complete - endtask task jgfs_awips_f59 edit FCSTHR '59' trigger ../../prdgen/jgfs_pgrb2_f59 == complete - endtask task jgfs_awips_f60 edit FCSTHR '60' trigger ../../prdgen/jgfs_pgrb2_f60 == complete - endtask task jgfs_awips_f61 edit FCSTHR '61' trigger ../../prdgen/jgfs_pgrb2_f61 == complete - endtask task jgfs_awips_f62 edit FCSTHR '62' trigger ../../prdgen/jgfs_pgrb2_f62 == complete - endtask task jgfs_awips_f63 edit FCSTHR '63' trigger ../../prdgen/jgfs_pgrb2_f63 == complete - endtask task jgfs_awips_f64 edit FCSTHR '64' trigger ../../prdgen/jgfs_pgrb2_f64 == complete - endtask task jgfs_awips_f65 edit FCSTHR '65' trigger ../../prdgen/jgfs_pgrb2_f65 == complete - endtask task jgfs_awips_f66 edit FCSTHR '66' trigger ../../prdgen/jgfs_pgrb2_f66 == complete - endtask task jgfs_awips_f67 edit FCSTHR '67' trigger ../../prdgen/jgfs_pgrb2_f67 == complete - endtask task jgfs_awips_f68 edit FCSTHR '68' trigger ../../prdgen/jgfs_pgrb2_f68 == complete - endtask task jgfs_awips_f69 edit FCSTHR '69' trigger ../../prdgen/jgfs_pgrb2_f69 == complete - endtask task jgfs_awips_f70 edit FCSTHR '70' trigger ../../prdgen/jgfs_pgrb2_f70 == complete - endtask task jgfs_awips_f71 edit FCSTHR '71' trigger ../../prdgen/jgfs_pgrb2_f71 == complete - endtask task jgfs_awips_f72 edit FCSTHR '72' trigger ../../prdgen/jgfs_pgrb2_f72 == complete - endtask task jgfs_awips_f73 edit FCSTHR '73' trigger ../../prdgen/jgfs_pgrb2_f73 == complete - endtask task jgfs_awips_f74 edit FCSTHR '74' trigger ../../prdgen/jgfs_pgrb2_f74 == complete - endtask task jgfs_awips_f75 edit FCSTHR '75' trigger ../../prdgen/jgfs_pgrb2_f75 == complete - endtask task jgfs_awips_f76 edit FCSTHR '76' trigger ../../prdgen/jgfs_pgrb2_f76 == complete - endtask task jgfs_awips_f77 edit FCSTHR '77' trigger ../../prdgen/jgfs_pgrb2_f77 == complete - endtask task jgfs_awips_f78 edit FCSTHR '78' trigger ../../prdgen/jgfs_pgrb2_f78 == complete - endtask task jgfs_awips_f79 edit FCSTHR '79' trigger ../../prdgen/jgfs_pgrb2_f79 == complete - endtask task jgfs_awips_f80 edit FCSTHR '80' trigger ../../prdgen/jgfs_pgrb2_f80 == complete - endtask task jgfs_awips_f81 edit FCSTHR '81' trigger ../../prdgen/jgfs_pgrb2_f81 == complete - endtask task jgfs_awips_f82 edit FCSTHR '82' trigger ../../prdgen/jgfs_pgrb2_f82 == complete - endtask task jgfs_awips_f83 edit FCSTHR '83' trigger ../../prdgen/jgfs_pgrb2_f83 == complete - endtask task jgfs_awips_f84 edit FCSTHR '84' trigger ../../prdgen/jgfs_pgrb2_f84 == complete - endtask task jgfs_awips_f85 edit FCSTHR '85' trigger ../../prdgen/jgfs_pgrb2_f85 == complete - endtask task jgfs_awips_f86 edit FCSTHR '86' trigger ../../prdgen/jgfs_pgrb2_f86 == complete - endtask task jgfs_awips_f87 edit FCSTHR '87' trigger ../../prdgen/jgfs_pgrb2_f87 == complete - endtask task jgfs_awips_f88 edit FCSTHR '88' trigger ../../prdgen/jgfs_pgrb2_f88 == complete - endtask task jgfs_awips_f89 edit FCSTHR '89' trigger ../../prdgen/jgfs_pgrb2_f89 == complete - endtask task jgfs_awips_f90 edit FCSTHR '90' trigger ../../prdgen/jgfs_pgrb2_f90 == complete - endtask task jgfs_awips_f91 edit FCSTHR '91' trigger ../../prdgen/jgfs_pgrb2_f91 == complete - endtask task jgfs_awips_f92 edit FCSTHR '92' trigger ../../prdgen/jgfs_pgrb2_f92 == complete - endtask task jgfs_awips_f93 edit FCSTHR '93' trigger ../../prdgen/jgfs_pgrb2_f93 == complete - endtask task jgfs_awips_f94 edit FCSTHR '94' trigger ../../prdgen/jgfs_pgrb2_f94 == complete - endtask task jgfs_awips_f95 edit FCSTHR '95' trigger ../../prdgen/jgfs_pgrb2_f95 == complete - endtask task jgfs_awips_f96 edit FCSTHR '96' trigger ../../prdgen/jgfs_pgrb2_f96 == complete - endtask task jgfs_awips_f97 edit FCSTHR '97' trigger ../../prdgen/jgfs_pgrb2_f97 == complete - endtask task jgfs_awips_f98 edit FCSTHR '98' trigger ../../prdgen/jgfs_pgrb2_f98 == complete - endtask task jgfs_awips_f99 edit FCSTHR '99' trigger ../../prdgen/jgfs_pgrb2_f99 == complete - endtask task jgfs_awips_f100 edit FCSTHR '100' trigger ../../prdgen/jgfs_pgrb2_f100 == complete - endtask task jgfs_awips_f101 edit FCSTHR '101' trigger ../../prdgen/jgfs_pgrb2_f101 == complete - endtask task jgfs_awips_f102 edit FCSTHR '102' trigger ../../prdgen/jgfs_pgrb2_f102 == complete - endtask task jgfs_awips_f103 edit FCSTHR '103' trigger ../../prdgen/jgfs_pgrb2_f103 == complete - endtask task jgfs_awips_f104 edit FCSTHR '104' trigger ../../prdgen/jgfs_pgrb2_f104 == complete - endtask task jgfs_awips_f105 edit FCSTHR '105' trigger ../../prdgen/jgfs_pgrb2_f105 == complete - endtask task jgfs_awips_f106 edit FCSTHR '106' trigger ../../prdgen/jgfs_pgrb2_f106 == complete - endtask task jgfs_awips_f107 edit FCSTHR '107' trigger ../../prdgen/jgfs_pgrb2_f107 == complete - endtask task jgfs_awips_f108 edit FCSTHR '108' trigger ../../prdgen/jgfs_pgrb2_f108 == complete - endtask task jgfs_awips_f109 edit FCSTHR '109' trigger ../../prdgen/jgfs_pgrb2_f109 == complete - endtask task jgfs_awips_f110 edit FCSTHR '110' trigger ../../prdgen/jgfs_pgrb2_f110 == complete - endtask task jgfs_awips_f111 edit FCSTHR '111' trigger ../../prdgen/jgfs_pgrb2_f111 == complete - endtask task jgfs_awips_f112 edit FCSTHR '112' trigger ../../prdgen/jgfs_pgrb2_f112 == complete - endtask task jgfs_awips_f113 edit FCSTHR '113' trigger ../../prdgen/jgfs_pgrb2_f113 == complete - endtask task jgfs_awips_f114 edit FCSTHR '114' trigger ../../prdgen/jgfs_pgrb2_f114 == complete - endtask task jgfs_awips_f115 edit FCSTHR '115' trigger ../../prdgen/jgfs_pgrb2_f115 == complete - endtask task jgfs_awips_f116 edit FCSTHR '116' trigger ../../prdgen/jgfs_pgrb2_f116 == complete - endtask task jgfs_awips_f117 edit FCSTHR '117' trigger ../../prdgen/jgfs_pgrb2_f117 == complete - endtask task jgfs_awips_f118 edit FCSTHR '118' trigger ../../prdgen/jgfs_pgrb2_f118 == complete - endtask task jgfs_awips_f119 edit FCSTHR '119' trigger ../../prdgen/jgfs_pgrb2_f119 == complete - endtask task jgfs_awips_f120 edit FCSTHR '120' trigger ../../prdgen/jgfs_pgrb2_f120 == complete - endtask task jgfs_awips_f123 edit FCSTHR '123' trigger ../../prdgen/jgfs_pgrb2_f123 == complete - endtask task jgfs_awips_f126 edit FCSTHR '126' trigger ../../prdgen/jgfs_pgrb2_f126 == complete - endtask task jgfs_awips_f129 edit FCSTHR '129' trigger ../../prdgen/jgfs_pgrb2_f129 == complete - endtask task jgfs_awips_f132 edit FCSTHR '132' trigger ../../prdgen/jgfs_pgrb2_f132 == complete - endtask task jgfs_awips_f135 edit FCSTHR '135' trigger ../../prdgen/jgfs_pgrb2_f135 == complete - endtask task jgfs_awips_f138 edit FCSTHR '138' trigger ../../prdgen/jgfs_pgrb2_f138 == complete - endtask task jgfs_awips_f141 edit FCSTHR '141' trigger ../../prdgen/jgfs_pgrb2_f141 == complete - endtask task jgfs_awips_f144 edit FCSTHR '144' trigger ../../prdgen/jgfs_pgrb2_f144 == complete - endtask task jgfs_awips_f147 edit FCSTHR '147' trigger ../../prdgen/jgfs_pgrb2_f147 == complete - endtask task jgfs_awips_f150 edit FCSTHR '150' trigger ../../prdgen/jgfs_pgrb2_f150 == complete - endtask task jgfs_awips_f153 edit FCSTHR '153' trigger ../../prdgen/jgfs_pgrb2_f153 == complete - endtask task jgfs_awips_f156 edit FCSTHR '156' trigger ../../prdgen/jgfs_pgrb2_f156 == complete - endtask task jgfs_awips_f159 edit FCSTHR '159' trigger ../../prdgen/jgfs_pgrb2_f159 == complete - endtask task jgfs_awips_f162 edit FCSTHR '162' trigger ../../prdgen/jgfs_pgrb2_f162 == complete - endtask task jgfs_awips_f165 edit FCSTHR '165' trigger ../../prdgen/jgfs_pgrb2_f165 == complete - endtask task jgfs_awips_f168 edit FCSTHR '168' trigger ../../prdgen/jgfs_pgrb2_f168 == complete - endtask task jgfs_awips_f171 edit FCSTHR '171' trigger ../../prdgen/jgfs_pgrb2_f171 == complete - endtask task jgfs_awips_f174 edit FCSTHR '174' trigger ../../prdgen/jgfs_pgrb2_f174 == complete - endtask task jgfs_awips_f177 edit FCSTHR '177' trigger ../../prdgen/jgfs_pgrb2_f177 == complete - endtask task jgfs_awips_f180 edit FCSTHR '180' trigger ../../prdgen/jgfs_pgrb2_f180 == complete - endtask task jgfs_awips_f183 edit FCSTHR '183' trigger ../../prdgen/jgfs_pgrb2_f183 == complete - endtask task jgfs_awips_f186 edit FCSTHR '186' trigger ../../prdgen/jgfs_pgrb2_f186 == complete - endtask task jgfs_awips_f189 edit FCSTHR '189' trigger ../../prdgen/jgfs_pgrb2_f189 == complete - endtask task jgfs_awips_f192 edit FCSTHR '192' trigger ../../prdgen/jgfs_pgrb2_f192 == complete - endtask task jgfs_awips_f195 edit FCSTHR '195' trigger ../../prdgen/jgfs_pgrb2_f195 == complete - endtask task jgfs_awips_f198 edit FCSTHR '198' trigger ../../prdgen/jgfs_pgrb2_f198 == complete - endtask task jgfs_awips_f201 edit FCSTHR '201' trigger ../../prdgen/jgfs_pgrb2_f201 == complete - endtask task jgfs_awips_f204 edit FCSTHR '204' trigger ../../prdgen/jgfs_pgrb2_f204 == complete - endtask task jgfs_awips_f207 edit FCSTHR '207' trigger ../../prdgen/jgfs_pgrb2_f207 == complete - endtask task jgfs_awips_f210 edit FCSTHR '210' trigger ../../prdgen/jgfs_pgrb2_f210 == complete - endtask task jgfs_awips_f213 edit FCSTHR '213' trigger ../../prdgen/jgfs_pgrb2_f213 == complete - endtask task jgfs_awips_f216 edit FCSTHR '216' trigger ../../prdgen/jgfs_pgrb2_f216 == complete - endtask task jgfs_awips_f219 edit FCSTHR '219' trigger ../../prdgen/jgfs_pgrb2_f219 == complete - endtask task jgfs_awips_f222 edit FCSTHR '222' trigger ../../prdgen/jgfs_pgrb2_f222 == complete - endtask task jgfs_awips_f225 edit FCSTHR '225' trigger ../../prdgen/jgfs_pgrb2_f225 == complete - endtask task jgfs_awips_f228 edit FCSTHR '228' trigger ../../prdgen/jgfs_pgrb2_f228 == complete - endtask task jgfs_awips_f231 edit FCSTHR '231' trigger ../../prdgen/jgfs_pgrb2_f231 == complete - endtask task jgfs_awips_f234 edit FCSTHR '234' trigger ../../prdgen/jgfs_pgrb2_f234 == complete - endtask task jgfs_awips_f237 edit FCSTHR '237' trigger ../../prdgen/jgfs_pgrb2_f237 == complete - endtask task jgfs_awips_f240 edit FCSTHR '240' trigger ../../prdgen/jgfs_pgrb2_f240 == complete - endtask task jgfs_awips_f252 edit FCSTHR '252' trigger ../../prdgen/jgfs_pgrb2_f252 == complete - endtask task jgfs_awips_f264 edit FCSTHR '264' trigger ../../prdgen/jgfs_pgrb2_f264 == complete - endtask task jgfs_awips_f276 edit FCSTHR '276' trigger ../../prdgen/jgfs_pgrb2_f276 == complete - endtask task jgfs_awips_f288 edit FCSTHR '288' trigger ../../prdgen/jgfs_pgrb2_f288 == complete - endtask task jgfs_awips_f300 edit FCSTHR '300' trigger ../../prdgen/jgfs_pgrb2_f300 == complete - endtask task jgfs_awips_f312 edit FCSTHR '312' trigger ../../prdgen/jgfs_pgrb2_f312 == complete - endtask task jgfs_awips_f324 edit FCSTHR '324' trigger ../../prdgen/jgfs_pgrb2_f324 == complete - endtask task jgfs_awips_f336 edit FCSTHR '336' trigger ../../prdgen/jgfs_pgrb2_f336 == complete - endtask task jgfs_awips_f348 edit FCSTHR '348' trigger ../../prdgen/jgfs_pgrb2_f348 == complete - endtask task jgfs_awips_f360 edit FCSTHR '360' trigger ../../prdgen/jgfs_pgrb2_f360 == complete - endtask task jgfs_awips_f372 edit FCSTHR '372' trigger ../../prdgen/jgfs_pgrb2_f372 == complete - endtask task jgfs_awips_f384 edit FCSTHR '384' trigger ../../prdgen/jgfs_pgrb2_f384 == complete - endtask - endfamily - family awips_1p0deg + endfamily # /prod18/gfs/post_processing/grib_awips + family awips_1p0deg # /prod18/gfs/post_processing/awips_1p0deg edit RES '1p0deg' edit RESC '1P0DEG' #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' task jgfs_awips_f000 edit FCSTHR '000' trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask task jgfs_awips_f001 edit FCSTHR '001' trigger ../../prdgen/jgfs_pgrb2_f01 == complete - endtask task jgfs_awips_f002 edit FCSTHR '002' trigger ../../prdgen/jgfs_pgrb2_f02 == complete - endtask task jgfs_awips_f003 edit FCSTHR '003' trigger ../../prdgen/jgfs_pgrb2_f03 == complete - endtask task jgfs_awips_f004 edit FCSTHR '004' trigger ../../prdgen/jgfs_pgrb2_f04 == complete - endtask task jgfs_awips_f005 edit FCSTHR '005' trigger ../../prdgen/jgfs_pgrb2_f05 == complete - endtask task jgfs_awips_f006 edit FCSTHR '006' trigger ../../prdgen/jgfs_pgrb2_f06 == complete - endtask task jgfs_awips_f007 edit FCSTHR '007' trigger ../../prdgen/jgfs_pgrb2_f07 == complete - endtask task jgfs_awips_f008 edit FCSTHR '008' trigger ../../prdgen/jgfs_pgrb2_f08 == complete - endtask task jgfs_awips_f009 edit FCSTHR '009' trigger ../../prdgen/jgfs_pgrb2_f09 == complete - endtask task jgfs_awips_f010 edit FCSTHR '010' trigger ../../prdgen/jgfs_pgrb2_f10 == complete - endtask task jgfs_awips_f011 edit FCSTHR '011' trigger ../../prdgen/jgfs_pgrb2_f11 == complete - endtask task jgfs_awips_f012 edit FCSTHR '012' trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask task jgfs_awips_f013 edit FCSTHR '013' trigger ../../prdgen/jgfs_pgrb2_f13 == complete - endtask task jgfs_awips_f014 edit FCSTHR '014' trigger ../../prdgen/jgfs_pgrb2_f14 == complete - endtask task jgfs_awips_f015 edit FCSTHR '015' trigger ../../prdgen/jgfs_pgrb2_f15 == complete - endtask task jgfs_awips_f016 edit FCSTHR '016' trigger ../../prdgen/jgfs_pgrb2_f16 == complete - endtask task jgfs_awips_f017 edit FCSTHR '017' trigger ../../prdgen/jgfs_pgrb2_f17 == complete - endtask task jgfs_awips_f018 edit FCSTHR '018' trigger ../../prdgen/jgfs_pgrb2_f18 == complete - endtask task jgfs_awips_f019 edit FCSTHR '019' trigger ../../prdgen/jgfs_pgrb2_f19 == complete - endtask task jgfs_awips_f020 edit FCSTHR '020' trigger ../../prdgen/jgfs_pgrb2_f20 == complete - endtask task jgfs_awips_f021 edit FCSTHR '021' trigger ../../prdgen/jgfs_pgrb2_f21 == complete - endtask task jgfs_awips_f022 edit FCSTHR '022' trigger ../../prdgen/jgfs_pgrb2_f22 == complete - endtask task jgfs_awips_f023 edit FCSTHR '023' trigger ../../prdgen/jgfs_pgrb2_f23 == complete - endtask task jgfs_awips_f024 edit FCSTHR '024' trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask task jgfs_awips_f025 edit FCSTHR '025' trigger ../../prdgen/jgfs_pgrb2_f25 == complete - endtask task jgfs_awips_f026 edit FCSTHR '026' trigger ../../prdgen/jgfs_pgrb2_f26 == complete - endtask task jgfs_awips_f027 edit FCSTHR '027' trigger ../../prdgen/jgfs_pgrb2_f27 == complete - endtask task jgfs_awips_f028 edit FCSTHR '028' trigger ../../prdgen/jgfs_pgrb2_f28 == complete - endtask task jgfs_awips_f029 edit FCSTHR '029' trigger ../../prdgen/jgfs_pgrb2_f29 == complete - endtask task jgfs_awips_f030 edit FCSTHR '030' trigger ../../prdgen/jgfs_pgrb2_f30 == complete - endtask task jgfs_awips_f031 edit FCSTHR '031' trigger ../../prdgen/jgfs_pgrb2_f31 == complete - endtask task jgfs_awips_f032 edit FCSTHR '032' trigger ../../prdgen/jgfs_pgrb2_f32 == complete - endtask task jgfs_awips_f033 edit FCSTHR '033' trigger ../../prdgen/jgfs_pgrb2_f33 == complete - endtask task jgfs_awips_f034 edit FCSTHR '034' trigger ../../prdgen/jgfs_pgrb2_f34 == complete - endtask task jgfs_awips_f035 edit FCSTHR '035' trigger ../../prdgen/jgfs_pgrb2_f35 == complete - endtask task jgfs_awips_f036 edit FCSTHR '036' trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask task jgfs_awips_f037 edit FCSTHR '037' trigger ../../prdgen/jgfs_pgrb2_f37 == complete - endtask task jgfs_awips_f038 edit FCSTHR '038' trigger ../../prdgen/jgfs_pgrb2_f38 == complete - endtask task jgfs_awips_f039 edit FCSTHR '039' trigger ../../prdgen/jgfs_pgrb2_f39 == complete - endtask task jgfs_awips_f040 edit FCSTHR '040' trigger ../../prdgen/jgfs_pgrb2_f40 == complete - endtask task jgfs_awips_f041 edit FCSTHR '041' trigger ../../prdgen/jgfs_pgrb2_f41 == complete - endtask task jgfs_awips_f042 edit FCSTHR '042' trigger ../../prdgen/jgfs_pgrb2_f42 == complete - endtask task jgfs_awips_f043 edit FCSTHR '043' trigger ../../prdgen/jgfs_pgrb2_f43 == complete - endtask task jgfs_awips_f044 edit FCSTHR '044' trigger ../../prdgen/jgfs_pgrb2_f44 == complete - endtask task jgfs_awips_f045 edit FCSTHR '045' trigger ../../prdgen/jgfs_pgrb2_f45 == complete - endtask task jgfs_awips_f046 edit FCSTHR '046' trigger ../../prdgen/jgfs_pgrb2_f46 == complete - endtask task jgfs_awips_f047 edit FCSTHR '047' trigger ../../prdgen/jgfs_pgrb2_f47 == complete - endtask task jgfs_awips_f048 edit FCSTHR '048' trigger ../../prdgen/jgfs_pgrb2_f48 == complete - endtask task jgfs_awips_f049 edit FCSTHR '049' trigger ../../prdgen/jgfs_pgrb2_f49 == complete - endtask task jgfs_awips_f050 edit FCSTHR '050' trigger ../../prdgen/jgfs_pgrb2_f50 == complete - endtask task jgfs_awips_f051 edit FCSTHR '051' trigger ../../prdgen/jgfs_pgrb2_f51 == complete - endtask task jgfs_awips_f052 edit FCSTHR '052' trigger ../../prdgen/jgfs_pgrb2_f52 == complete - endtask task jgfs_awips_f053 edit FCSTHR '053' trigger ../../prdgen/jgfs_pgrb2_f53 == complete - endtask task jgfs_awips_f054 edit FCSTHR '054' trigger ../../prdgen/jgfs_pgrb2_f54 == complete - endtask task jgfs_awips_f055 edit FCSTHR '055' trigger ../../prdgen/jgfs_pgrb2_f55 == complete - endtask task jgfs_awips_f056 edit FCSTHR '056' trigger ../../prdgen/jgfs_pgrb2_f56 == complete - endtask task jgfs_awips_f057 edit FCSTHR '057' trigger ../../prdgen/jgfs_pgrb2_f57 == complete - endtask task jgfs_awips_f058 edit FCSTHR '058' trigger ../../prdgen/jgfs_pgrb2_f58 == complete - endtask task jgfs_awips_f059 edit FCSTHR '059' trigger ../../prdgen/jgfs_pgrb2_f59 == complete - endtask task jgfs_awips_f060 edit FCSTHR '060' trigger ../../prdgen/jgfs_pgrb2_f60 == complete - endtask task jgfs_awips_f061 edit FCSTHR '061' trigger ../../prdgen/jgfs_pgrb2_f61 == complete - endtask task jgfs_awips_f062 edit FCSTHR '062' trigger ../../prdgen/jgfs_pgrb2_f62 == complete - endtask task jgfs_awips_f063 edit FCSTHR '063' trigger ../../prdgen/jgfs_pgrb2_f63 == complete - endtask task jgfs_awips_f064 edit FCSTHR '064' trigger ../../prdgen/jgfs_pgrb2_f64 == complete - endtask task jgfs_awips_f065 edit FCSTHR '065' trigger ../../prdgen/jgfs_pgrb2_f65 == complete - endtask task jgfs_awips_f066 edit FCSTHR '066' trigger ../../prdgen/jgfs_pgrb2_f66 == complete - endtask task jgfs_awips_f067 edit FCSTHR '067' trigger ../../prdgen/jgfs_pgrb2_f67 == complete - endtask task jgfs_awips_f068 edit FCSTHR '068' trigger ../../prdgen/jgfs_pgrb2_f68 == complete - endtask task jgfs_awips_f069 edit FCSTHR '069' trigger ../../prdgen/jgfs_pgrb2_f69 == complete - endtask task jgfs_awips_f070 edit FCSTHR '070' trigger ../../prdgen/jgfs_pgrb2_f70 == complete - endtask task jgfs_awips_f071 edit FCSTHR '071' trigger ../../prdgen/jgfs_pgrb2_f71 == complete - endtask task jgfs_awips_f072 edit FCSTHR '072' trigger ../../prdgen/jgfs_pgrb2_f72 == complete - endtask task jgfs_awips_f073 edit FCSTHR '073' trigger ../../prdgen/jgfs_pgrb2_f73 == complete - endtask task jgfs_awips_f074 edit FCSTHR '074' trigger ../../prdgen/jgfs_pgrb2_f74 == complete - endtask task jgfs_awips_f075 edit FCSTHR '075' trigger ../../prdgen/jgfs_pgrb2_f75 == complete - endtask task jgfs_awips_f076 edit FCSTHR '076' trigger ../../prdgen/jgfs_pgrb2_f76 == complete - endtask task jgfs_awips_f077 edit FCSTHR '077' trigger ../../prdgen/jgfs_pgrb2_f77 == complete - endtask task jgfs_awips_f078 edit FCSTHR '078' trigger ../../prdgen/jgfs_pgrb2_f78 == complete - endtask task jgfs_awips_f079 edit FCSTHR '079' trigger ../../prdgen/jgfs_pgrb2_f79 == complete - endtask task jgfs_awips_f080 edit FCSTHR '080' trigger ../../prdgen/jgfs_pgrb2_f80 == complete - endtask task jgfs_awips_f081 edit FCSTHR '081' trigger ../../prdgen/jgfs_pgrb2_f81 == complete - endtask task jgfs_awips_f082 edit FCSTHR '082' trigger ../../prdgen/jgfs_pgrb2_f82 == complete - endtask task jgfs_awips_f083 edit FCSTHR '083' trigger ../../prdgen/jgfs_pgrb2_f83 == complete - endtask task jgfs_awips_f084 edit FCSTHR '084' trigger ../../prdgen/jgfs_pgrb2_f84 == complete - endtask task jgfs_awips_f085 edit FCSTHR '085' trigger ../../prdgen/jgfs_pgrb2_f85 == complete - endtask task jgfs_awips_f086 edit FCSTHR '086' trigger ../../prdgen/jgfs_pgrb2_f86 == complete - endtask task jgfs_awips_f087 edit FCSTHR '087' trigger ../../prdgen/jgfs_pgrb2_f87 == complete - endtask task jgfs_awips_f088 edit FCSTHR '088' trigger ../../prdgen/jgfs_pgrb2_f88 == complete - endtask task jgfs_awips_f089 edit FCSTHR '089' trigger ../../prdgen/jgfs_pgrb2_f89 == complete - endtask task jgfs_awips_f090 edit FCSTHR '090' trigger ../../prdgen/jgfs_pgrb2_f90 == complete - endtask task jgfs_awips_f091 edit FCSTHR '091' trigger ../../prdgen/jgfs_pgrb2_f91 == complete - endtask task jgfs_awips_f092 edit FCSTHR '092' trigger ../../prdgen/jgfs_pgrb2_f92 == complete - endtask task jgfs_awips_f093 edit FCSTHR '093' trigger ../../prdgen/jgfs_pgrb2_f93 == complete - endtask task jgfs_awips_f094 edit FCSTHR '094' trigger ../../prdgen/jgfs_pgrb2_f94 == complete - endtask task jgfs_awips_f095 edit FCSTHR '095' trigger ../../prdgen/jgfs_pgrb2_f95 == complete - endtask task jgfs_awips_f096 edit FCSTHR '096' trigger ../../prdgen/jgfs_pgrb2_f96 == complete - endtask task jgfs_awips_f097 edit FCSTHR '097' trigger ../../prdgen/jgfs_pgrb2_f97 == complete - endtask task jgfs_awips_f098 edit FCSTHR '098' trigger ../../prdgen/jgfs_pgrb2_f98 == complete - endtask task jgfs_awips_f099 edit FCSTHR '099' trigger ../../prdgen/jgfs_pgrb2_f99 == complete - endtask task jgfs_awips_f100 edit FCSTHR '100' trigger ../../prdgen/jgfs_pgrb2_f100 == complete - endtask task jgfs_awips_f101 edit FCSTHR '101' trigger ../../prdgen/jgfs_pgrb2_f101 == complete - endtask task jgfs_awips_f102 edit FCSTHR '102' trigger ../../prdgen/jgfs_pgrb2_f102 == complete - endtask task jgfs_awips_f103 edit FCSTHR '103' trigger ../../prdgen/jgfs_pgrb2_f103 == complete - endtask task jgfs_awips_f104 edit FCSTHR '104' trigger ../../prdgen/jgfs_pgrb2_f104 == complete - endtask task jgfs_awips_f105 edit FCSTHR '105' trigger ../../prdgen/jgfs_pgrb2_f105 == complete - endtask task jgfs_awips_f106 edit FCSTHR '106' trigger ../../prdgen/jgfs_pgrb2_f106 == complete - endtask task jgfs_awips_f107 edit FCSTHR '107' trigger ../../prdgen/jgfs_pgrb2_f107 == complete - endtask task jgfs_awips_f108 edit FCSTHR '108' trigger ../../prdgen/jgfs_pgrb2_f108 == complete - endtask task jgfs_awips_f109 edit FCSTHR '109' trigger ../../prdgen/jgfs_pgrb2_f109 == complete - endtask task jgfs_awips_f110 edit FCSTHR '110' trigger ../../prdgen/jgfs_pgrb2_f110 == complete - endtask task jgfs_awips_f111 edit FCSTHR '111' trigger ../../prdgen/jgfs_pgrb2_f111 == complete - endtask task jgfs_awips_f112 edit FCSTHR '112' trigger ../../prdgen/jgfs_pgrb2_f112 == complete - endtask task jgfs_awips_f113 edit FCSTHR '113' trigger ../../prdgen/jgfs_pgrb2_f113 == complete - endtask task jgfs_awips_f114 edit FCSTHR '114' trigger ../../prdgen/jgfs_pgrb2_f114 == complete - endtask task jgfs_awips_f115 edit FCSTHR '115' trigger ../../prdgen/jgfs_pgrb2_f115 == complete - endtask task jgfs_awips_f116 edit FCSTHR '116' trigger ../../prdgen/jgfs_pgrb2_f116 == complete - endtask task jgfs_awips_f117 edit FCSTHR '117' trigger ../../prdgen/jgfs_pgrb2_f117 == complete - endtask task jgfs_awips_f118 edit FCSTHR '118' trigger ../../prdgen/jgfs_pgrb2_f118 == complete - endtask task jgfs_awips_f119 edit FCSTHR '119' trigger ../../prdgen/jgfs_pgrb2_f119 == complete - endtask task jgfs_awips_f120 edit FCSTHR '120' trigger ../../prdgen/jgfs_pgrb2_f120 == complete - endtask task jgfs_awips_f123 edit FCSTHR '123' trigger ../../prdgen/jgfs_pgrb2_f123 == complete - endtask task jgfs_awips_f126 edit FCSTHR '126' trigger ../../prdgen/jgfs_pgrb2_f126 == complete - endtask task jgfs_awips_f129 edit FCSTHR '129' trigger ../../prdgen/jgfs_pgrb2_f129 == complete - endtask task jgfs_awips_f132 edit FCSTHR '132' trigger ../../prdgen/jgfs_pgrb2_f132 == complete - endtask task jgfs_awips_f135 edit FCSTHR '135' trigger ../../prdgen/jgfs_pgrb2_f135 == complete - endtask task jgfs_awips_f138 edit FCSTHR '138' trigger ../../prdgen/jgfs_pgrb2_f138 == complete - endtask task jgfs_awips_f141 edit FCSTHR '141' trigger ../../prdgen/jgfs_pgrb2_f141 == complete - endtask task jgfs_awips_f144 edit FCSTHR '144' trigger ../../prdgen/jgfs_pgrb2_f144 == complete - endtask task jgfs_awips_f147 edit FCSTHR '147' trigger ../../prdgen/jgfs_pgrb2_f147 == complete - endtask task jgfs_awips_f150 edit FCSTHR '150' trigger ../../prdgen/jgfs_pgrb2_f150 == complete - endtask task jgfs_awips_f153 edit FCSTHR '153' trigger ../../prdgen/jgfs_pgrb2_f153 == complete - endtask task jgfs_awips_f156 edit FCSTHR '156' trigger ../../prdgen/jgfs_pgrb2_f156 == complete - endtask task jgfs_awips_f159 edit FCSTHR '159' trigger ../../prdgen/jgfs_pgrb2_f159 == complete - endtask task jgfs_awips_f162 edit FCSTHR '162' trigger ../../prdgen/jgfs_pgrb2_f162 == complete - endtask task jgfs_awips_f165 edit FCSTHR '165' trigger ../../prdgen/jgfs_pgrb2_f165 == complete - endtask task jgfs_awips_f168 edit FCSTHR '168' trigger ../../prdgen/jgfs_pgrb2_f168 == complete - endtask task jgfs_awips_f171 edit FCSTHR '171' trigger ../../prdgen/jgfs_pgrb2_f171 == complete - endtask task jgfs_awips_f174 edit FCSTHR '174' trigger ../../prdgen/jgfs_pgrb2_f174 == complete - endtask task jgfs_awips_f177 edit FCSTHR '177' trigger ../../prdgen/jgfs_pgrb2_f177 == complete - endtask task jgfs_awips_f180 edit FCSTHR '180' trigger ../../prdgen/jgfs_pgrb2_f180 == complete - endtask task jgfs_awips_f183 edit FCSTHR '183' trigger ../../prdgen/jgfs_pgrb2_f183 == complete - endtask task jgfs_awips_f186 edit FCSTHR '186' trigger ../../prdgen/jgfs_pgrb2_f186 == complete - endtask task jgfs_awips_f189 edit FCSTHR '189' trigger ../../prdgen/jgfs_pgrb2_f189 == complete - endtask task jgfs_awips_f192 edit FCSTHR '192' trigger ../../prdgen/jgfs_pgrb2_f192 == complete - endtask task jgfs_awips_f195 edit FCSTHR '195' trigger ../../prdgen/jgfs_pgrb2_f195 == complete - endtask task jgfs_awips_f198 edit FCSTHR '198' trigger ../../prdgen/jgfs_pgrb2_f198 == complete - endtask task jgfs_awips_f201 edit FCSTHR '201' trigger ../../prdgen/jgfs_pgrb2_f201 == complete - endtask task jgfs_awips_f204 edit FCSTHR '204' trigger ../../prdgen/jgfs_pgrb2_f204 == complete - endtask task jgfs_awips_f207 edit FCSTHR '207' trigger ../../prdgen/jgfs_pgrb2_f207 == complete - endtask task jgfs_awips_f210 edit FCSTHR '210' trigger ../../prdgen/jgfs_pgrb2_f210 == complete - endtask task jgfs_awips_f213 edit FCSTHR '213' trigger ../../prdgen/jgfs_pgrb2_f213 == complete - endtask task jgfs_awips_f216 edit FCSTHR '216' trigger ../../prdgen/jgfs_pgrb2_f216 == complete - endtask task jgfs_awips_f219 edit FCSTHR '219' trigger ../../prdgen/jgfs_pgrb2_f219 == complete - endtask task jgfs_awips_f222 edit FCSTHR '222' trigger ../../prdgen/jgfs_pgrb2_f222 == complete - endtask task jgfs_awips_f225 edit FCSTHR '225' trigger ../../prdgen/jgfs_pgrb2_f225 == complete - endtask task jgfs_awips_f228 edit FCSTHR '228' trigger ../../prdgen/jgfs_pgrb2_f228 == complete - endtask task jgfs_awips_f231 edit FCSTHR '231' trigger ../../prdgen/jgfs_pgrb2_f231 == complete - endtask task jgfs_awips_f234 edit FCSTHR '234' trigger ../../prdgen/jgfs_pgrb2_f234 == complete - endtask task jgfs_awips_f237 edit FCSTHR '237' trigger ../../prdgen/jgfs_pgrb2_f237 == complete - endtask task jgfs_awips_f240 edit FCSTHR '240' trigger ../../prdgen/jgfs_pgrb2_f240 == complete - endtask task jgfs_awips_f252 edit FCSTHR '252' trigger ../../prdgen/jgfs_pgrb2_f252 == complete - endtask task jgfs_awips_f264 edit FCSTHR '264' trigger ../../prdgen/jgfs_pgrb2_f264 == complete - endtask task jgfs_awips_f276 edit FCSTHR '276' trigger ../../prdgen/jgfs_pgrb2_f276 == complete - endtask task jgfs_awips_f288 edit FCSTHR '288' trigger ../../prdgen/jgfs_pgrb2_f288 == complete - endtask task jgfs_awips_f300 edit FCSTHR '300' trigger ../../prdgen/jgfs_pgrb2_f300 == complete - endtask task jgfs_awips_f312 edit FCSTHR '312' trigger ../../prdgen/jgfs_pgrb2_f312 == complete - endtask task jgfs_awips_f324 edit FCSTHR '324' trigger ../../prdgen/jgfs_pgrb2_f324 == complete - endtask task jgfs_awips_f336 edit FCSTHR '336' trigger ../../prdgen/jgfs_pgrb2_f336 == complete - endtask task jgfs_awips_f348 edit FCSTHR '348' trigger ../../prdgen/jgfs_pgrb2_f348 == complete - endtask task jgfs_awips_f360 edit FCSTHR '360' trigger ../../prdgen/jgfs_pgrb2_f360 == complete - endtask task jgfs_awips_f372 edit FCSTHR '372' trigger ../../prdgen/jgfs_pgrb2_f372 == complete - endtask task jgfs_awips_f384 edit FCSTHR '384' trigger ../../prdgen/jgfs_pgrb2_f384 == complete - endtask - endfamily - family awips_20km + endfamily # /prod18/gfs/post_processing/awips_1p0deg + family awips_20km # /prod18/gfs/post_processing/awips_20km edit RES '20km' edit RESC '20KM' #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' task jgfs_awips_f000 edit FCSTHR '000' trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask task jgfs_awips_f001 edit FCSTHR '001' trigger ../../prdgen/jgfs_pgrb2_f01 == complete - endtask task jgfs_awips_f002 edit FCSTHR '002' trigger ../../prdgen/jgfs_pgrb2_f02 == complete - endtask task jgfs_awips_f003 edit FCSTHR '003' trigger ../../prdgen/jgfs_pgrb2_f03 == complete - endtask task jgfs_awips_f004 edit FCSTHR '004' trigger ../../prdgen/jgfs_pgrb2_f04 == complete - endtask task jgfs_awips_f005 edit FCSTHR '005' trigger ../../prdgen/jgfs_pgrb2_f05 == complete - endtask task jgfs_awips_f006 edit FCSTHR '006' trigger ../../prdgen/jgfs_pgrb2_f06 == complete - endtask task jgfs_awips_f007 edit FCSTHR '007' trigger ../../prdgen/jgfs_pgrb2_f07 == complete - endtask task jgfs_awips_f008 edit FCSTHR '008' trigger ../../prdgen/jgfs_pgrb2_f08 == complete - endtask task jgfs_awips_f009 edit FCSTHR '009' trigger ../../prdgen/jgfs_pgrb2_f09 == complete - endtask task jgfs_awips_f010 edit FCSTHR '010' trigger ../../prdgen/jgfs_pgrb2_f10 == complete - endtask task jgfs_awips_f011 edit FCSTHR '011' trigger ../../prdgen/jgfs_pgrb2_f11 == complete - endtask task jgfs_awips_f012 edit FCSTHR '012' trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask task jgfs_awips_f013 edit FCSTHR '013' trigger ../../prdgen/jgfs_pgrb2_f13 == complete - endtask task jgfs_awips_f014 edit FCSTHR '014' trigger ../../prdgen/jgfs_pgrb2_f14 == complete - endtask task jgfs_awips_f015 edit FCSTHR '015' trigger ../../prdgen/jgfs_pgrb2_f15 == complete - endtask task jgfs_awips_f016 edit FCSTHR '016' trigger ../../prdgen/jgfs_pgrb2_f16 == complete - endtask task jgfs_awips_f017 edit FCSTHR '017' trigger ../../prdgen/jgfs_pgrb2_f17 == complete - endtask task jgfs_awips_f018 edit FCSTHR '018' trigger ../../prdgen/jgfs_pgrb2_f18 == complete - endtask task jgfs_awips_f019 edit FCSTHR '019' trigger ../../prdgen/jgfs_pgrb2_f19 == complete - endtask task jgfs_awips_f020 edit FCSTHR '020' trigger ../../prdgen/jgfs_pgrb2_f20 == complete - endtask task jgfs_awips_f021 edit FCSTHR '021' trigger ../../prdgen/jgfs_pgrb2_f21 == complete - endtask task jgfs_awips_f022 edit FCSTHR '022' trigger ../../prdgen/jgfs_pgrb2_f22 == complete - endtask task jgfs_awips_f023 edit FCSTHR '023' trigger ../../prdgen/jgfs_pgrb2_f23 == complete - endtask task jgfs_awips_f024 edit FCSTHR '024' trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask task jgfs_awips_f025 edit FCSTHR '025' trigger ../../prdgen/jgfs_pgrb2_f25 == complete - endtask task jgfs_awips_f026 edit FCSTHR '026' trigger ../../prdgen/jgfs_pgrb2_f26 == complete - endtask task jgfs_awips_f027 edit FCSTHR '027' trigger ../../prdgen/jgfs_pgrb2_f27 == complete - endtask task jgfs_awips_f028 edit FCSTHR '028' trigger ../../prdgen/jgfs_pgrb2_f28 == complete - endtask task jgfs_awips_f029 edit FCSTHR '029' trigger ../../prdgen/jgfs_pgrb2_f29 == complete - endtask task jgfs_awips_f030 edit FCSTHR '030' trigger ../../prdgen/jgfs_pgrb2_f30 == complete - endtask task jgfs_awips_f031 edit FCSTHR '031' trigger ../../prdgen/jgfs_pgrb2_f31 == complete - endtask task jgfs_awips_f032 edit FCSTHR '032' trigger ../../prdgen/jgfs_pgrb2_f32 == complete - endtask task jgfs_awips_f033 edit FCSTHR '033' trigger ../../prdgen/jgfs_pgrb2_f33 == complete - endtask task jgfs_awips_f034 edit FCSTHR '034' trigger ../../prdgen/jgfs_pgrb2_f34 == complete - endtask task jgfs_awips_f035 edit FCSTHR '035' trigger ../../prdgen/jgfs_pgrb2_f35 == complete - endtask task jgfs_awips_f036 edit FCSTHR '036' trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask task jgfs_awips_f037 edit FCSTHR '037' trigger ../../prdgen/jgfs_pgrb2_f37 == complete - endtask task jgfs_awips_f038 edit FCSTHR '038' trigger ../../prdgen/jgfs_pgrb2_f38 == complete - endtask task jgfs_awips_f039 edit FCSTHR '039' trigger ../../prdgen/jgfs_pgrb2_f39 == complete - endtask task jgfs_awips_f040 edit FCSTHR '040' trigger ../../prdgen/jgfs_pgrb2_f40 == complete - endtask task jgfs_awips_f041 edit FCSTHR '041' trigger ../../prdgen/jgfs_pgrb2_f41 == complete - endtask task jgfs_awips_f042 edit FCSTHR '042' trigger ../../prdgen/jgfs_pgrb2_f42 == complete - endtask task jgfs_awips_f043 edit FCSTHR '043' trigger ../../prdgen/jgfs_pgrb2_f43 == complete - endtask task jgfs_awips_f044 edit FCSTHR '044' trigger ../../prdgen/jgfs_pgrb2_f44 == complete - endtask task jgfs_awips_f045 edit FCSTHR '045' trigger ../../prdgen/jgfs_pgrb2_f45 == complete - endtask task jgfs_awips_f046 edit FCSTHR '046' trigger ../../prdgen/jgfs_pgrb2_f46 == complete - endtask task jgfs_awips_f047 edit FCSTHR '047' trigger ../../prdgen/jgfs_pgrb2_f47 == complete - endtask task jgfs_awips_f048 edit FCSTHR '048' trigger ../../prdgen/jgfs_pgrb2_f48 == complete - endtask task jgfs_awips_f049 edit FCSTHR '049' trigger ../../prdgen/jgfs_pgrb2_f49 == complete - endtask task jgfs_awips_f050 edit FCSTHR '050' trigger ../../prdgen/jgfs_pgrb2_f50 == complete - endtask task jgfs_awips_f051 edit FCSTHR '051' trigger ../../prdgen/jgfs_pgrb2_f51 == complete - endtask task jgfs_awips_f052 edit FCSTHR '052' trigger ../../prdgen/jgfs_pgrb2_f52 == complete - endtask task jgfs_awips_f053 edit FCSTHR '053' trigger ../../prdgen/jgfs_pgrb2_f53 == complete - endtask task jgfs_awips_f054 edit FCSTHR '054' trigger ../../prdgen/jgfs_pgrb2_f54 == complete - endtask task jgfs_awips_f055 edit FCSTHR '055' trigger ../../prdgen/jgfs_pgrb2_f55 == complete - endtask task jgfs_awips_f056 edit FCSTHR '056' trigger ../../prdgen/jgfs_pgrb2_f56 == complete - endtask task jgfs_awips_f057 edit FCSTHR '057' trigger ../../prdgen/jgfs_pgrb2_f57 == complete - endtask task jgfs_awips_f058 edit FCSTHR '058' trigger ../../prdgen/jgfs_pgrb2_f58 == complete - endtask task jgfs_awips_f059 edit FCSTHR '059' trigger ../../prdgen/jgfs_pgrb2_f59 == complete - endtask task jgfs_awips_f060 edit FCSTHR '060' trigger ../../prdgen/jgfs_pgrb2_f60 == complete - endtask task jgfs_awips_f061 edit FCSTHR '061' trigger ../../prdgen/jgfs_pgrb2_f61 == complete - endtask task jgfs_awips_f062 edit FCSTHR '062' trigger ../../prdgen/jgfs_pgrb2_f62 == complete - endtask task jgfs_awips_f063 edit FCSTHR '063' trigger ../../prdgen/jgfs_pgrb2_f63 == complete - endtask task jgfs_awips_f064 edit FCSTHR '064' trigger ../../prdgen/jgfs_pgrb2_f64 == complete - endtask task jgfs_awips_f065 edit FCSTHR '065' trigger ../../prdgen/jgfs_pgrb2_f65 == complete - endtask task jgfs_awips_f066 edit FCSTHR '066' trigger ../../prdgen/jgfs_pgrb2_f66 == complete - endtask task jgfs_awips_f067 edit FCSTHR '067' trigger ../../prdgen/jgfs_pgrb2_f67 == complete - endtask task jgfs_awips_f068 edit FCSTHR '068' trigger ../../prdgen/jgfs_pgrb2_f68 == complete - endtask task jgfs_awips_f069 edit FCSTHR '069' trigger ../../prdgen/jgfs_pgrb2_f69 == complete - endtask task jgfs_awips_f070 edit FCSTHR '070' trigger ../../prdgen/jgfs_pgrb2_f70 == complete - endtask task jgfs_awips_f071 edit FCSTHR '071' trigger ../../prdgen/jgfs_pgrb2_f71 == complete - endtask task jgfs_awips_f072 edit FCSTHR '072' trigger ../../prdgen/jgfs_pgrb2_f72 == complete - endtask task jgfs_awips_f073 edit FCSTHR '073' trigger ../../prdgen/jgfs_pgrb2_f73 == complete - endtask task jgfs_awips_f074 edit FCSTHR '074' trigger ../../prdgen/jgfs_pgrb2_f74 == complete - endtask task jgfs_awips_f075 edit FCSTHR '075' trigger ../../prdgen/jgfs_pgrb2_f75 == complete - endtask task jgfs_awips_f076 edit FCSTHR '076' trigger ../../prdgen/jgfs_pgrb2_f76 == complete - endtask task jgfs_awips_f077 edit FCSTHR '077' trigger ../../prdgen/jgfs_pgrb2_f77 == complete - endtask task jgfs_awips_f078 edit FCSTHR '078' trigger ../../prdgen/jgfs_pgrb2_f78 == complete - endtask task jgfs_awips_f079 edit FCSTHR '079' trigger ../../prdgen/jgfs_pgrb2_f79 == complete - endtask task jgfs_awips_f080 edit FCSTHR '080' trigger ../../prdgen/jgfs_pgrb2_f80 == complete - endtask task jgfs_awips_f081 edit FCSTHR '081' trigger ../../prdgen/jgfs_pgrb2_f81 == complete - endtask task jgfs_awips_f082 edit FCSTHR '082' trigger ../../prdgen/jgfs_pgrb2_f82 == complete - endtask task jgfs_awips_f083 edit FCSTHR '083' trigger ../../prdgen/jgfs_pgrb2_f83 == complete - endtask task jgfs_awips_f084 edit FCSTHR '084' trigger ../../prdgen/jgfs_pgrb2_f84 == complete - endtask task jgfs_awips_f085 edit FCSTHR '085' trigger ../../prdgen/jgfs_pgrb2_f85 == complete - endtask task jgfs_awips_f086 edit FCSTHR '086' trigger ../../prdgen/jgfs_pgrb2_f86 == complete - endtask task jgfs_awips_f087 edit FCSTHR '087' trigger ../../prdgen/jgfs_pgrb2_f87 == complete - endtask task jgfs_awips_f088 edit FCSTHR '088' trigger ../../prdgen/jgfs_pgrb2_f88 == complete - endtask task jgfs_awips_f089 edit FCSTHR '089' trigger ../../prdgen/jgfs_pgrb2_f89 == complete - endtask task jgfs_awips_f090 edit FCSTHR '090' trigger ../../prdgen/jgfs_pgrb2_f90 == complete - endtask task jgfs_awips_f091 edit FCSTHR '091' trigger ../../prdgen/jgfs_pgrb2_f91 == complete - endtask task jgfs_awips_f092 edit FCSTHR '092' trigger ../../prdgen/jgfs_pgrb2_f92 == complete - endtask task jgfs_awips_f093 edit FCSTHR '093' trigger ../../prdgen/jgfs_pgrb2_f93 == complete - endtask task jgfs_awips_f094 edit FCSTHR '094' trigger ../../prdgen/jgfs_pgrb2_f94 == complete - endtask task jgfs_awips_f095 edit FCSTHR '095' trigger ../../prdgen/jgfs_pgrb2_f95 == complete - endtask task jgfs_awips_f096 edit FCSTHR '096' trigger ../../prdgen/jgfs_pgrb2_f96 == complete - endtask task jgfs_awips_f097 edit FCSTHR '097' trigger ../../prdgen/jgfs_pgrb2_f97 == complete - endtask task jgfs_awips_f098 edit FCSTHR '098' trigger ../../prdgen/jgfs_pgrb2_f98 == complete - endtask task jgfs_awips_f099 edit FCSTHR '099' trigger ../../prdgen/jgfs_pgrb2_f99 == complete - endtask task jgfs_awips_f100 edit FCSTHR '100' trigger ../../prdgen/jgfs_pgrb2_f100 == complete - endtask task jgfs_awips_f101 edit FCSTHR '101' trigger ../../prdgen/jgfs_pgrb2_f101 == complete - endtask task jgfs_awips_f102 edit FCSTHR '102' trigger ../../prdgen/jgfs_pgrb2_f102 == complete - endtask task jgfs_awips_f103 edit FCSTHR '103' trigger ../../prdgen/jgfs_pgrb2_f103 == complete - endtask task jgfs_awips_f104 edit FCSTHR '104' trigger ../../prdgen/jgfs_pgrb2_f104 == complete - endtask task jgfs_awips_f105 edit FCSTHR '105' trigger ../../prdgen/jgfs_pgrb2_f105 == complete - endtask task jgfs_awips_f106 edit FCSTHR '106' trigger ../../prdgen/jgfs_pgrb2_f106 == complete - endtask task jgfs_awips_f107 edit FCSTHR '107' trigger ../../prdgen/jgfs_pgrb2_f107 == complete - endtask task jgfs_awips_f108 edit FCSTHR '108' trigger ../../prdgen/jgfs_pgrb2_f108 == complete - endtask task jgfs_awips_f109 edit FCSTHR '109' trigger ../../prdgen/jgfs_pgrb2_f109 == complete - endtask task jgfs_awips_f110 edit FCSTHR '110' trigger ../../prdgen/jgfs_pgrb2_f110 == complete - endtask task jgfs_awips_f111 edit FCSTHR '111' trigger ../../prdgen/jgfs_pgrb2_f111 == complete - endtask task jgfs_awips_f112 edit FCSTHR '112' trigger ../../prdgen/jgfs_pgrb2_f112 == complete - endtask task jgfs_awips_f113 edit FCSTHR '113' trigger ../../prdgen/jgfs_pgrb2_f113 == complete - endtask task jgfs_awips_f114 edit FCSTHR '114' trigger ../../prdgen/jgfs_pgrb2_f114 == complete - endtask task jgfs_awips_f115 edit FCSTHR '115' trigger ../../prdgen/jgfs_pgrb2_f115 == complete - endtask task jgfs_awips_f116 edit FCSTHR '116' trigger ../../prdgen/jgfs_pgrb2_f116 == complete - endtask task jgfs_awips_f117 edit FCSTHR '117' trigger ../../prdgen/jgfs_pgrb2_f117 == complete - endtask task jgfs_awips_f118 edit FCSTHR '118' trigger ../../prdgen/jgfs_pgrb2_f118 == complete - endtask task jgfs_awips_f119 edit FCSTHR '119' trigger ../../prdgen/jgfs_pgrb2_f119 == complete - endtask task jgfs_awips_f120 edit FCSTHR '120' trigger ../../prdgen/jgfs_pgrb2_f120 == complete - endtask task jgfs_awips_f123 edit FCSTHR '123' trigger ../../prdgen/jgfs_pgrb2_f123 == complete - endtask task jgfs_awips_f126 edit FCSTHR '126' trigger ../../prdgen/jgfs_pgrb2_f126 == complete - endtask task jgfs_awips_f129 edit FCSTHR '129' trigger ../../prdgen/jgfs_pgrb2_f129 == complete - endtask task jgfs_awips_f132 edit FCSTHR '132' trigger ../../prdgen/jgfs_pgrb2_f132 == complete - endtask task jgfs_awips_f135 edit FCSTHR '135' trigger ../../prdgen/jgfs_pgrb2_f135 == complete - endtask task jgfs_awips_f138 edit FCSTHR '138' trigger ../../prdgen/jgfs_pgrb2_f138 == complete - endtask task jgfs_awips_f141 edit FCSTHR '141' trigger ../../prdgen/jgfs_pgrb2_f141 == complete - endtask task jgfs_awips_f144 edit FCSTHR '144' trigger ../../prdgen/jgfs_pgrb2_f144 == complete - endtask task jgfs_awips_f147 edit FCSTHR '147' trigger ../../prdgen/jgfs_pgrb2_f147 == complete - endtask task jgfs_awips_f150 edit FCSTHR '150' trigger ../../prdgen/jgfs_pgrb2_f150 == complete - endtask task jgfs_awips_f153 edit FCSTHR '153' trigger ../../prdgen/jgfs_pgrb2_f153 == complete - endtask task jgfs_awips_f156 edit FCSTHR '156' trigger ../../prdgen/jgfs_pgrb2_f156 == complete - endtask task jgfs_awips_f159 edit FCSTHR '159' trigger ../../prdgen/jgfs_pgrb2_f159 == complete - endtask task jgfs_awips_f162 edit FCSTHR '162' trigger ../../prdgen/jgfs_pgrb2_f162 == complete - endtask task jgfs_awips_f165 edit FCSTHR '165' trigger ../../prdgen/jgfs_pgrb2_f165 == complete - endtask task jgfs_awips_f168 edit FCSTHR '168' trigger ../../prdgen/jgfs_pgrb2_f168 == complete - endtask task jgfs_awips_f171 edit FCSTHR '171' trigger ../../prdgen/jgfs_pgrb2_f171 == complete - endtask task jgfs_awips_f174 edit FCSTHR '174' trigger ../../prdgen/jgfs_pgrb2_f174 == complete - endtask task jgfs_awips_f177 edit FCSTHR '177' trigger ../../prdgen/jgfs_pgrb2_f177 == complete - endtask task jgfs_awips_f180 edit FCSTHR '180' trigger ../../prdgen/jgfs_pgrb2_f180 == complete - endtask task jgfs_awips_f183 edit FCSTHR '183' trigger ../../prdgen/jgfs_pgrb2_f183 == complete - endtask task jgfs_awips_f186 edit FCSTHR '186' trigger ../../prdgen/jgfs_pgrb2_f186 == complete - endtask task jgfs_awips_f189 edit FCSTHR '189' trigger ../../prdgen/jgfs_pgrb2_f189 == complete - endtask task jgfs_awips_f192 edit FCSTHR '192' trigger ../../prdgen/jgfs_pgrb2_f192 == complete - endtask task jgfs_awips_f195 edit FCSTHR '195' trigger ../../prdgen/jgfs_pgrb2_f195 == complete - endtask task jgfs_awips_f198 edit FCSTHR '198' trigger ../../prdgen/jgfs_pgrb2_f198 == complete - endtask task jgfs_awips_f201 edit FCSTHR '201' trigger ../../prdgen/jgfs_pgrb2_f201 == complete - endtask task jgfs_awips_f204 edit FCSTHR '204' trigger ../../prdgen/jgfs_pgrb2_f204 == complete - endtask task jgfs_awips_f207 edit FCSTHR '207' trigger ../../prdgen/jgfs_pgrb2_f207 == complete - endtask task jgfs_awips_f210 edit FCSTHR '210' trigger ../../prdgen/jgfs_pgrb2_f210 == complete - endtask task jgfs_awips_f213 edit FCSTHR '213' trigger ../../prdgen/jgfs_pgrb2_f213 == complete - endtask task jgfs_awips_f216 edit FCSTHR '216' trigger ../../prdgen/jgfs_pgrb2_f216 == complete - endtask task jgfs_awips_f219 edit FCSTHR '219' trigger ../../prdgen/jgfs_pgrb2_f219 == complete - endtask task jgfs_awips_f222 edit FCSTHR '222' trigger ../../prdgen/jgfs_pgrb2_f222 == complete - endtask task jgfs_awips_f225 edit FCSTHR '225' trigger ../../prdgen/jgfs_pgrb2_f225 == complete - endtask task jgfs_awips_f228 edit FCSTHR '228' trigger ../../prdgen/jgfs_pgrb2_f228 == complete - endtask task jgfs_awips_f231 edit FCSTHR '231' trigger ../../prdgen/jgfs_pgrb2_f231 == complete - endtask task jgfs_awips_f234 edit FCSTHR '234' trigger ../../prdgen/jgfs_pgrb2_f234 == complete - endtask task jgfs_awips_f237 edit FCSTHR '237' trigger ../../prdgen/jgfs_pgrb2_f237 == complete - endtask task jgfs_awips_f240 edit FCSTHR '240' trigger ../../prdgen/jgfs_pgrb2_f240 == complete - endtask task jgfs_awips_f252 edit FCSTHR '252' trigger ../../prdgen/jgfs_pgrb2_f252 == complete - endtask task jgfs_awips_f264 edit FCSTHR '264' trigger ../../prdgen/jgfs_pgrb2_f264 == complete - endtask task jgfs_awips_f276 edit FCSTHR '276' trigger ../../prdgen/jgfs_pgrb2_f276 == complete - endtask task jgfs_awips_f288 edit FCSTHR '288' trigger ../../prdgen/jgfs_pgrb2_f288 == complete - endtask task jgfs_awips_f300 edit FCSTHR '300' trigger ../../prdgen/jgfs_pgrb2_f300 == complete - endtask task jgfs_awips_f312 edit FCSTHR '312' trigger ../../prdgen/jgfs_pgrb2_f312 == complete - endtask task jgfs_awips_f324 edit FCSTHR '324' trigger ../../prdgen/jgfs_pgrb2_f324 == complete - endtask task jgfs_awips_f336 edit FCSTHR '336' trigger ../../prdgen/jgfs_pgrb2_f336 == complete - endtask task jgfs_awips_f348 edit FCSTHR '348' trigger ../../prdgen/jgfs_pgrb2_f348 == complete - endtask task jgfs_awips_f360 edit FCSTHR '360' trigger ../../prdgen/jgfs_pgrb2_f360 == complete - endtask task jgfs_awips_f372 edit FCSTHR '372' trigger ../../prdgen/jgfs_pgrb2_f372 == complete - endtask task jgfs_awips_f384 edit FCSTHR '384' trigger ../../prdgen/jgfs_pgrb2_f384 == complete - endtask - endfamily - endfamily - family post + endfamily # /prod18/gfs/post_processing/awips_20km + endfamily # /prod18/gfs/post_processing + family post # /prod18/gfs/post task jgfs_post_anl edit FHR 'anl' edit HR 'anl' trigger ./jgfs_post_manager:release_postanl event 1 release_pgrb2_anl - endtask task jgfs_pgrb2_spec_post trigger ./jgfs_post_f336 == complete and ./jgfs_post_f348 == complete and ./jgfs_post_f360 == complete and ./jgfs_post_f372 == complete and ./jgfs_post_f384 == complete - endtask task jgfs_post_manager trigger ../jgfs_analysis == complete and ../forecast == complete event 1 release_postanl @@ -2481,878 +1917,706 @@ suite prod18 event 172 release_post360 event 173 release_post372 event 174 release_post384 - endtask task jgfs_post_f00 - edit FHR: 'f00' - edit HR: '00' + edit FHR 'f00' + edit HR '00' trigger ./jgfs_post_manager:release_post00 - endtask task jgfs_post_f01 - edit FHR: 'f01' - edit HR: '01' + edit FHR 'f01' + edit HR '01' trigger ./jgfs_post_manager:release_post01 - endtask task jgfs_post_f02 - edit FHR: 'f02' - edit HR: '02' + edit FHR 'f02' + edit HR '02' trigger ./jgfs_post_manager:release_post02 - endtask task jgfs_post_f03 - edit FHR: 'f03' - edit HR: '03' + edit FHR 'f03' + edit HR '03' trigger ./jgfs_post_manager:release_post03 - endtask task jgfs_post_f04 - edit FHR: 'f04' - edit HR: '04' + edit FHR 'f04' + edit HR '04' trigger ./jgfs_post_manager:release_post04 - endtask task jgfs_post_f05 - edit FHR: 'f05' - edit HR: '05' + edit FHR 'f05' + edit HR '05' trigger ./jgfs_post_manager:release_post05 - endtask task jgfs_post_f06 - edit FHR: 'f06' - edit HR: '06' + edit FHR 'f06' + edit HR '06' trigger ./jgfs_post_manager:release_post06 - endtask task jgfs_post_f07 - edit FHR: 'f07' - edit HR: '07' + edit FHR 'f07' + edit HR '07' trigger ./jgfs_post_manager:release_post07 - endtask task jgfs_post_f08 - edit FHR: 'f08' - edit HR: '08' + edit FHR 'f08' + edit HR '08' trigger ./jgfs_post_manager:release_post08 - endtask task jgfs_post_f09 - edit FHR: 'f09' - edit HR: '09' + edit FHR 'f09' + edit HR '09' trigger ./jgfs_post_manager:release_post09 - endtask task jgfs_post_f10 - edit FHR: 'f10' - edit HR: '10' + edit FHR 'f10' + edit HR '10' trigger ./jgfs_post_manager:release_post10 - endtask task jgfs_post_f11 - edit FHR: 'f11' - edit HR: '11' + edit FHR 'f11' + edit HR '11' trigger ./jgfs_post_manager:release_post11 - endtask task jgfs_post_f12 - edit FHR: 'f12' - edit HR: '12' + edit FHR 'f12' + edit HR '12' trigger ./jgfs_post_manager:release_post12 - endtask task jgfs_post_f13 - edit FHR: 'f13' - edit HR: '13' + edit FHR 'f13' + edit HR '13' trigger ./jgfs_post_manager:release_post13 - endtask task jgfs_post_f14 - edit FHR: 'f14' - edit HR: '14' + edit FHR 'f14' + edit HR '14' trigger ./jgfs_post_manager:release_post14 - endtask task jgfs_post_f15 - edit FHR: 'f15' - edit HR: '15' + edit FHR 'f15' + edit HR '15' trigger ./jgfs_post_manager:release_post15 - endtask task jgfs_post_f16 - edit FHR: 'f16' - edit HR: '16' + edit FHR 'f16' + edit HR '16' trigger ./jgfs_post_manager:release_post16 - endtask task jgfs_post_f17 - edit FHR: 'f17' - edit HR: '17' + edit FHR 'f17' + edit HR '17' trigger ./jgfs_post_manager:release_post17 - endtask task jgfs_post_f18 - edit FHR: 'f18' - edit HR: '18' + edit FHR 'f18' + edit HR '18' trigger ./jgfs_post_manager:release_post18 - endtask task jgfs_post_f19 - edit FHR: 'f19' - edit HR: '19' + edit FHR 'f19' + edit HR '19' trigger ./jgfs_post_manager:release_post19 - endtask task jgfs_post_f20 - edit FHR: 'f20' - edit HR: '20' + edit FHR 'f20' + edit HR '20' trigger ./jgfs_post_manager:release_post20 - endtask task jgfs_post_f21 - edit FHR: 'f21' - edit HR: '21' + edit FHR 'f21' + edit HR '21' trigger ./jgfs_post_manager:release_post21 - endtask task jgfs_post_f22 - edit FHR: 'f22' - edit HR: '22' + edit FHR 'f22' + edit HR '22' trigger ./jgfs_post_manager:release_post22 - endtask task jgfs_post_f23 - edit FHR: 'f23' - edit HR: '23' + edit FHR 'f23' + edit HR '23' trigger ./jgfs_post_manager:release_post23 - endtask task jgfs_post_f24 - edit FHR: 'f24' - edit HR: '24' + edit FHR 'f24' + edit HR '24' trigger ./jgfs_post_manager:release_post24 - endtask task jgfs_post_f25 - edit FHR: 'f25' - edit HR: '25' + edit FHR 'f25' + edit HR '25' trigger ./jgfs_post_manager:release_post25 - endtask task jgfs_post_f26 - edit FHR: 'f26' - edit HR: '26' + edit FHR 'f26' + edit HR '26' trigger ./jgfs_post_manager:release_post26 - endtask task jgfs_post_f27 - edit FHR: 'f27' - edit HR: '27' + edit FHR 'f27' + edit HR '27' trigger ./jgfs_post_manager:release_post27 - endtask task jgfs_post_f28 - edit FHR: 'f28' - edit HR: '28' + edit FHR 'f28' + edit HR '28' trigger ./jgfs_post_manager:release_post28 - endtask task jgfs_post_f29 - edit FHR: 'f29' - edit HR: '29' + edit FHR 'f29' + edit HR '29' trigger ./jgfs_post_manager:release_post29 - endtask task jgfs_post_f30 - edit FHR: 'f30' - edit HR: '30' + edit FHR 'f30' + edit HR '30' trigger ./jgfs_post_manager:release_post30 - endtask task jgfs_post_f31 - edit FHR: 'f31' - edit HR: '31' + edit FHR 'f31' + edit HR '31' trigger ./jgfs_post_manager:release_post31 - endtask task jgfs_post_f32 - edit FHR: 'f32' - edit HR: '32' + edit FHR 'f32' + edit HR '32' trigger ./jgfs_post_manager:release_post32 - endtask task jgfs_post_f33 - edit FHR: 'f33' - edit HR: '33' + edit FHR 'f33' + edit HR '33' trigger ./jgfs_post_manager:release_post33 - endtask task jgfs_post_f34 - edit FHR: 'f34' - edit HR: '34' + edit FHR 'f34' + edit HR '34' trigger ./jgfs_post_manager:release_post34 - endtask task jgfs_post_f35 - edit FHR: 'f35' - edit HR: '35' + edit FHR 'f35' + edit HR '35' trigger ./jgfs_post_manager:release_post35 - endtask task jgfs_post_f36 - edit FHR: 'f36' - edit HR: '36' + edit FHR 'f36' + edit HR '36' trigger ./jgfs_post_manager:release_post36 - endtask task jgfs_post_f37 - edit FHR: 'f37' - edit HR: '37' + edit FHR 'f37' + edit HR '37' trigger ./jgfs_post_manager:release_post37 - endtask task jgfs_post_f38 - edit FHR: 'f38' - edit HR: '38' + edit FHR 'f38' + edit HR '38' trigger ./jgfs_post_manager:release_post38 - endtask task jgfs_post_f39 - edit FHR: 'f39' - edit HR: '39' + edit FHR 'f39' + edit HR '39' trigger ./jgfs_post_manager:release_post39 - endtask task jgfs_post_f40 - edit FHR: 'f40' - edit HR: '40' + edit FHR 'f40' + edit HR '40' trigger ./jgfs_post_manager:release_post40 - endtask task jgfs_post_f41 - edit FHR: 'f41' - edit HR: '41' + edit FHR 'f41' + edit HR '41' trigger ./jgfs_post_manager:release_post41 - endtask task jgfs_post_f42 - edit FHR: 'f42' - edit HR: '42' + edit FHR 'f42' + edit HR '42' trigger ./jgfs_post_manager:release_post42 - endtask task jgfs_post_f43 - edit FHR: 'f43' - edit HR: '43' + edit FHR 'f43' + edit HR '43' trigger ./jgfs_post_manager:release_post43 - endtask task jgfs_post_f44 - edit FHR: 'f44' - edit HR: '44' + edit FHR 'f44' + edit HR '44' trigger ./jgfs_post_manager:release_post44 - endtask task jgfs_post_f45 - edit FHR: 'f45' - edit HR: '45' + edit FHR 'f45' + edit HR '45' trigger ./jgfs_post_manager:release_post45 - endtask task jgfs_post_f46 - edit FHR: 'f46' - edit HR: '46' + edit FHR 'f46' + edit HR '46' trigger ./jgfs_post_manager:release_post46 - endtask task jgfs_post_f47 - edit FHR: 'f47' - edit HR: '47' + edit FHR 'f47' + edit HR '47' trigger ./jgfs_post_manager:release_post47 - endtask task jgfs_post_f48 - edit FHR: 'f48' - edit HR: '48' + edit FHR 'f48' + edit HR '48' trigger ./jgfs_post_manager:release_post48 - endtask task jgfs_post_f49 - edit FHR: 'f49' - edit HR: '49' + edit FHR 'f49' + edit HR '49' trigger ./jgfs_post_manager:release_post49 - endtask task jgfs_post_f50 - edit FHR: 'f50' - edit HR: '50' + edit FHR 'f50' + edit HR '50' trigger ./jgfs_post_manager:release_post50 - endtask task jgfs_post_f51 - edit FHR: 'f51' - edit HR: '51' + edit FHR 'f51' + edit HR '51' trigger ./jgfs_post_manager:release_post51 - endtask task jgfs_post_f52 - edit FHR: 'f52' - edit HR: '52' + edit FHR 'f52' + edit HR '52' trigger ./jgfs_post_manager:release_post52 - endtask task jgfs_post_f53 - edit FHR: 'f53' - edit HR: '53' + edit FHR 'f53' + edit HR '53' trigger ./jgfs_post_manager:release_post53 - endtask task jgfs_post_f54 - edit FHR: 'f54' - edit HR: '54' + edit FHR 'f54' + edit HR '54' trigger ./jgfs_post_manager:release_post54 - endtask task jgfs_post_f55 - edit FHR: 'f55' - edit HR: '55' + edit FHR 'f55' + edit HR '55' trigger ./jgfs_post_manager:release_post55 - endtask task jgfs_post_f56 - edit FHR: 'f56' - edit HR: '56' + edit FHR 'f56' + edit HR '56' trigger ./jgfs_post_manager:release_post56 - endtask task jgfs_post_f57 - edit FHR: 'f57' - edit HR: '57' + edit FHR 'f57' + edit HR '57' trigger ./jgfs_post_manager:release_post57 - endtask task jgfs_post_f58 - edit FHR: 'f58' - edit HR: '58' + edit FHR 'f58' + edit HR '58' trigger ./jgfs_post_manager:release_post58 - endtask task jgfs_post_f59 - edit FHR: 'f59' - edit HR: '59' + edit FHR 'f59' + edit HR '59' trigger ./jgfs_post_manager:release_post59 - endtask task jgfs_post_f60 - edit FHR: 'f60' - edit HR: '60' + edit FHR 'f60' + edit HR '60' trigger ./jgfs_post_manager:release_post60 - endtask task jgfs_post_f61 - edit FHR: 'f61' - edit HR: '61' + edit FHR 'f61' + edit HR '61' trigger ./jgfs_post_manager:release_post61 - endtask task jgfs_post_f62 - edit FHR: 'f62' - edit HR: '62' + edit FHR 'f62' + edit HR '62' trigger ./jgfs_post_manager:release_post62 - endtask task jgfs_post_f63 - edit FHR: 'f63' - edit HR: '63' + edit FHR 'f63' + edit HR '63' trigger ./jgfs_post_manager:release_post63 - endtask task jgfs_post_f64 - edit FHR: 'f64' - edit HR: '64' + edit FHR 'f64' + edit HR '64' trigger ./jgfs_post_manager:release_post64 - endtask task jgfs_post_f65 - edit FHR: 'f65' - edit HR: '65' + edit FHR 'f65' + edit HR '65' trigger ./jgfs_post_manager:release_post65 - endtask task jgfs_post_f66 - edit FHR: 'f66' - edit HR: '66' + edit FHR 'f66' + edit HR '66' trigger ./jgfs_post_manager:release_post66 - endtask task jgfs_post_f67 - edit FHR: 'f67' - edit HR: '67' + edit FHR 'f67' + edit HR '67' trigger ./jgfs_post_manager:release_post67 - endtask task jgfs_post_f68 - edit FHR: 'f68' - edit HR: '68' + edit FHR 'f68' + edit HR '68' trigger ./jgfs_post_manager:release_post68 - endtask task jgfs_post_f69 - edit FHR: 'f69' - edit HR: '69' + edit FHR 'f69' + edit HR '69' trigger ./jgfs_post_manager:release_post69 - endtask task jgfs_post_f70 - edit FHR: 'f70' - edit HR: '70' + edit FHR 'f70' + edit HR '70' trigger ./jgfs_post_manager:release_post70 - endtask task jgfs_post_f71 - edit FHR: 'f71' - edit HR: '71' + edit FHR 'f71' + edit HR '71' trigger ./jgfs_post_manager:release_post71 - endtask task jgfs_post_f72 - edit FHR: 'f72' - edit HR: '72' + edit FHR 'f72' + edit HR '72' trigger ./jgfs_post_manager:release_post72 - endtask task jgfs_post_f73 - edit FHR: 'f73' - edit HR: '73' + edit FHR 'f73' + edit HR '73' trigger ./jgfs_post_manager:release_post73 - endtask task jgfs_post_f74 - edit FHR: 'f74' - edit HR: '74' + edit FHR 'f74' + edit HR '74' trigger ./jgfs_post_manager:release_post74 - endtask task jgfs_post_f75 - edit FHR: 'f75' - edit HR: '75' + edit FHR 'f75' + edit HR '75' trigger ./jgfs_post_manager:release_post75 - endtask task jgfs_post_f76 - edit FHR: 'f76' - edit HR: '76' + edit FHR 'f76' + edit HR '76' trigger ./jgfs_post_manager:release_post76 - endtask task jgfs_post_f77 - edit FHR: 'f77' - edit HR: '77' + edit FHR 'f77' + edit HR '77' trigger ./jgfs_post_manager:release_post77 - endtask task jgfs_post_f78 - edit FHR: 'f78' - edit HR: '78' + edit FHR 'f78' + edit HR '78' trigger ./jgfs_post_manager:release_post78 - endtask task jgfs_post_f79 - edit FHR: 'f79' - edit HR: '79' + edit FHR 'f79' + edit HR '79' trigger ./jgfs_post_manager:release_post79 - endtask task jgfs_post_f80 - edit FHR: 'f80' - edit HR: '80' + edit FHR 'f80' + edit HR '80' trigger ./jgfs_post_manager:release_post80 - endtask task jgfs_post_f81 - edit FHR: 'f81' - edit HR: '81' + edit FHR 'f81' + edit HR '81' trigger ./jgfs_post_manager:release_post81 - endtask task jgfs_post_f82 - edit FHR: 'f82' - edit HR: '82' + edit FHR 'f82' + edit HR '82' trigger ./jgfs_post_manager:release_post82 - endtask task jgfs_post_f83 - edit FHR: 'f83' - edit HR: '83' + edit FHR 'f83' + edit HR '83' trigger ./jgfs_post_manager:release_post83 - endtask task jgfs_post_f84 - edit FHR: 'f84' - edit HR: '84' + edit FHR 'f84' + edit HR '84' trigger ./jgfs_post_manager:release_post84 - endtask task jgfs_post_f85 - edit FHR: 'f85' - edit HR: '85' + edit FHR 'f85' + edit HR '85' trigger ./jgfs_post_manager:release_post85 - endtask task jgfs_post_f86 - edit FHR: 'f86' - edit HR: '86' + edit FHR 'f86' + edit HR '86' trigger ./jgfs_post_manager:release_post86 - endtask task jgfs_post_f87 - edit FHR: 'f87' - edit HR: '87' + edit FHR 'f87' + edit HR '87' trigger ./jgfs_post_manager:release_post87 - endtask task jgfs_post_f88 - edit FHR: 'f88' - edit HR: '88' + edit FHR 'f88' + edit HR '88' trigger ./jgfs_post_manager:release_post88 - endtask task jgfs_post_f89 - edit FHR: 'f89' - edit HR: '89' + edit FHR 'f89' + edit HR '89' trigger ./jgfs_post_manager:release_post89 - endtask task jgfs_post_f90 - edit FHR: 'f90' - edit HR: '90' + edit FHR 'f90' + edit HR '90' trigger ./jgfs_post_manager:release_post90 - endtask task jgfs_post_f91 - edit FHR: 'f91' - edit HR: '91' + edit FHR 'f91' + edit HR '91' trigger ./jgfs_post_manager:release_post91 - endtask task jgfs_post_f92 - edit FHR: 'f92' - edit HR: '92' + edit FHR 'f92' + edit HR '92' trigger ./jgfs_post_manager:release_post92 - endtask task jgfs_post_f93 - edit FHR: 'f93' - edit HR: '93' + edit FHR 'f93' + edit HR '93' trigger ./jgfs_post_manager:release_post93 - endtask task jgfs_post_f94 - edit FHR: 'f94' - edit HR: '94' + edit FHR 'f94' + edit HR '94' trigger ./jgfs_post_manager:release_post94 - endtask task jgfs_post_f95 - edit FHR: 'f95' - edit HR: '95' + edit FHR 'f95' + edit HR '95' trigger ./jgfs_post_manager:release_post95 - endtask task jgfs_post_f96 - edit FHR: 'f96' - edit HR: '96' + edit FHR 'f96' + edit HR '96' trigger ./jgfs_post_manager:release_post96 - endtask task jgfs_post_f97 - edit FHR: 'f97' - edit HR: '97' + edit FHR 'f97' + edit HR '97' trigger ./jgfs_post_manager:release_post97 - endtask task jgfs_post_f98 - edit FHR: 'f98' - edit HR: '98' + edit FHR 'f98' + edit HR '98' trigger ./jgfs_post_manager:release_post98 - endtask task jgfs_post_f99 - edit FHR: 'f99' - edit HR: '99' + edit FHR 'f99' + edit HR '99' trigger ./jgfs_post_manager:release_post99 - endtask task jgfs_post_f100 - edit FHR: 'f100' - edit HR: '100' + edit FHR 'f100' + edit HR '100' trigger ./jgfs_post_manager:release_post100 - endtask task jgfs_post_f101 - edit FHR: 'f101' - edit HR: '101' + edit FHR 'f101' + edit HR '101' trigger ./jgfs_post_manager:release_post101 - endtask task jgfs_post_f102 - edit FHR: 'f102' - edit HR: '102' + edit FHR 'f102' + edit HR '102' trigger ./jgfs_post_manager:release_post102 - endtask task jgfs_post_f103 - edit FHR: 'f103' - edit HR: '103' + edit FHR 'f103' + edit HR '103' trigger ./jgfs_post_manager:release_post103 - endtask task jgfs_post_f104 - edit FHR: 'f104' - edit HR: '104' + edit FHR 'f104' + edit HR '104' trigger ./jgfs_post_manager:release_post104 - endtask task jgfs_post_f105 - edit FHR: 'f105' - edit HR: '105' + edit FHR 'f105' + edit HR '105' trigger ./jgfs_post_manager:release_post105 - endtask task jgfs_post_f106 - edit FHR: 'f106' - edit HR: '106' + edit FHR 'f106' + edit HR '106' trigger ./jgfs_post_manager:release_post106 - endtask task jgfs_post_f107 - edit FHR: 'f107' - edit HR: '107' + edit FHR 'f107' + edit HR '107' trigger ./jgfs_post_manager:release_post107 - endtask task jgfs_post_f108 - edit FHR: 'f108' - edit HR: '108' + edit FHR 'f108' + edit HR '108' trigger ./jgfs_post_manager:release_post108 - endtask task jgfs_post_f109 - edit FHR: 'f109' - edit HR: '109' + edit FHR 'f109' + edit HR '109' trigger ./jgfs_post_manager:release_post109 - endtask task jgfs_post_f110 - edit FHR: 'f110' - edit HR: '110' + edit FHR 'f110' + edit HR '110' trigger ./jgfs_post_manager:release_post110 - endtask task jgfs_post_f111 - edit FHR: 'f111' - edit HR: '111' + edit FHR 'f111' + edit HR '111' trigger ./jgfs_post_manager:release_post111 - endtask task jgfs_post_f112 - edit FHR: 'f112' - edit HR: '112' + edit FHR 'f112' + edit HR '112' trigger ./jgfs_post_manager:release_post112 - endtask task jgfs_post_f113 - edit FHR: 'f113' - edit HR: '113' + edit FHR 'f113' + edit HR '113' trigger ./jgfs_post_manager:release_post113 - endtask task jgfs_post_f114 - edit FHR: 'f114' - edit HR: '114' + edit FHR 'f114' + edit HR '114' trigger ./jgfs_post_manager:release_post114 - endtask task jgfs_post_f115 - edit FHR: 'f115' - edit HR: '115' + edit FHR 'f115' + edit HR '115' trigger ./jgfs_post_manager:release_post115 - endtask task jgfs_post_f116 - edit FHR: 'f116' - edit HR: '116' + edit FHR 'f116' + edit HR '116' trigger ./jgfs_post_manager:release_post116 - endtask task jgfs_post_f117 - edit FHR: 'f117' - edit HR: '117' + edit FHR 'f117' + edit HR '117' trigger ./jgfs_post_manager:release_post117 - endtask task jgfs_post_f118 - edit FHR: 'f118' - edit HR: '118' + edit FHR 'f118' + edit HR '118' trigger ./jgfs_post_manager:release_post118 - endtask task jgfs_post_f119 - edit FHR: 'f119' - edit HR: '119' + edit FHR 'f119' + edit HR '119' trigger ./jgfs_post_manager:release_post119 - endtask task jgfs_post_f120 - edit FHR: 'f120' - edit HR: '120' + edit FHR 'f120' + edit HR '120' trigger ./jgfs_post_manager:release_post120 - endtask task jgfs_post_f123 - edit FHR: 'f123' - edit HR: '123' + edit FHR 'f123' + edit HR '123' trigger ./jgfs_post_manager:release_post123 - endtask task jgfs_post_f126 - edit FHR: 'f126' - edit HR: '126' + edit FHR 'f126' + edit HR '126' trigger ./jgfs_post_manager:release_post126 - endtask task jgfs_post_f129 - edit FHR: 'f129' - edit HR: '129' + edit FHR 'f129' + edit HR '129' trigger ./jgfs_post_manager:release_post129 - endtask task jgfs_post_f132 - edit FHR: 'f132' - edit HR: '132' + edit FHR 'f132' + edit HR '132' trigger ./jgfs_post_manager:release_post132 - endtask task jgfs_post_f135 - edit FHR: 'f135' - edit HR: '135' + edit FHR 'f135' + edit HR '135' trigger ./jgfs_post_manager:release_post135 - endtask task jgfs_post_f138 - edit FHR: 'f138' - edit HR: '138' + edit FHR 'f138' + edit HR '138' trigger ./jgfs_post_manager:release_post138 - endtask task jgfs_post_f141 - edit FHR: 'f141' - edit HR: '141' + edit FHR 'f141' + edit HR '141' trigger ./jgfs_post_manager:release_post141 - endtask task jgfs_post_f144 - edit FHR: 'f144' - edit HR: '144' + edit FHR 'f144' + edit HR '144' trigger ./jgfs_post_manager:release_post144 - endtask task jgfs_post_f147 - edit FHR: 'f147' - edit HR: '147' + edit FHR 'f147' + edit HR '147' trigger ./jgfs_post_manager:release_post147 - endtask task jgfs_post_f150 - edit FHR: 'f150' - edit HR: '150' + edit FHR 'f150' + edit HR '150' trigger ./jgfs_post_manager:release_post150 - endtask task jgfs_post_f153 - edit FHR: 'f153' - edit HR: '153' + edit FHR 'f153' + edit HR '153' trigger ./jgfs_post_manager:release_post153 - endtask task jgfs_post_f156 - edit FHR: 'f156' - edit HR: '156' + edit FHR 'f156' + edit HR '156' trigger ./jgfs_post_manager:release_post156 - endtask task jgfs_post_f159 - edit FHR: 'f159' - edit HR: '159' + edit FHR 'f159' + edit HR '159' trigger ./jgfs_post_manager:release_post159 - endtask task jgfs_post_f162 - edit FHR: 'f162' - edit HR: '162' + edit FHR 'f162' + edit HR '162' trigger ./jgfs_post_manager:release_post162 - endtask task jgfs_post_f165 - edit FHR: 'f165' - edit HR: '165' + edit FHR 'f165' + edit HR '165' trigger ./jgfs_post_manager:release_post165 - endtask task jgfs_post_f168 - edit FHR: 'f168' - edit HR: '168' + edit FHR 'f168' + edit HR '168' trigger ./jgfs_post_manager:release_post168 - endtask task jgfs_post_f171 - edit FHR: 'f171' - edit HR: '171' + edit FHR 'f171' + edit HR '171' trigger ./jgfs_post_manager:release_post171 - endtask task jgfs_post_f174 - edit FHR: 'f174' - edit HR: '174' + edit FHR 'f174' + edit HR '174' trigger ./jgfs_post_manager:release_post174 - endtask task jgfs_post_f177 - edit FHR: 'f177' - edit HR: '177' + edit FHR 'f177' + edit HR '177' trigger ./jgfs_post_manager:release_post177 - endtask task jgfs_post_f180 - edit FHR: 'f180' - edit HR: '180' + edit FHR 'f180' + edit HR '180' trigger ./jgfs_post_manager:release_post180 - endtask task jgfs_post_f183 - edit FHR: 'f183' - edit HR: '183' + edit FHR 'f183' + edit HR '183' trigger ./jgfs_post_manager:release_post183 - endtask task jgfs_post_f186 - edit FHR: 'f186' - edit HR: '186' + edit FHR 'f186' + edit HR '186' trigger ./jgfs_post_manager:release_post186 - endtask task jgfs_post_f189 - edit FHR: 'f189' - edit HR: '189' + edit FHR 'f189' + edit HR '189' trigger ./jgfs_post_manager:release_post189 - endtask task jgfs_post_f192 - edit FHR: 'f192' - edit HR: '192' + edit FHR 'f192' + edit HR '192' trigger ./jgfs_post_manager:release_post192 - endtask task jgfs_post_f195 - edit FHR: 'f195' - edit HR: '195' + edit FHR 'f195' + edit HR '195' trigger ./jgfs_post_manager:release_post195 - endtask task jgfs_post_f198 - edit FHR: 'f198' - edit HR: '198' + edit FHR 'f198' + edit HR '198' trigger ./jgfs_post_manager:release_post198 - endtask task jgfs_post_f201 - edit FHR: 'f201' - edit HR: '201' + edit FHR 'f201' + edit HR '201' trigger ./jgfs_post_manager:release_post201 - endtask task jgfs_post_f204 - edit FHR: 'f204' - edit HR: '204' + edit FHR 'f204' + edit HR '204' trigger ./jgfs_post_manager:release_post204 - endtask task jgfs_post_f207 - edit FHR: 'f207' - edit HR: '207' + edit FHR 'f207' + edit HR '207' trigger ./jgfs_post_manager:release_post207 - endtask task jgfs_post_f210 - edit FHR: 'f210' - edit HR: '210' + edit FHR 'f210' + edit HR '210' trigger ./jgfs_post_manager:release_post210 - endtask task jgfs_post_f213 - edit FHR: 'f213' - edit HR: '213' + edit FHR 'f213' + edit HR '213' trigger ./jgfs_post_manager:release_post213 - endtask task jgfs_post_f216 - edit FHR: 'f216' - edit HR: '216' + edit FHR 'f216' + edit HR '216' trigger ./jgfs_post_manager:release_post216 - endtask task jgfs_post_f219 - edit FHR: 'f219' - edit HR: '219' + edit FHR 'f219' + edit HR '219' trigger ./jgfs_post_manager:release_post219 - endtask task jgfs_post_f222 - edit FHR: 'f222' - edit HR: '222' + edit FHR 'f222' + edit HR '222' trigger ./jgfs_post_manager:release_post222 - endtask task jgfs_post_f225 - edit FHR: 'f225' - edit HR: '225' + edit FHR 'f225' + edit HR '225' trigger ./jgfs_post_manager:release_post225 - endtask task jgfs_post_f228 - edit FHR: 'f228' - edit HR: '228' + edit FHR 'f228' + edit HR '228' trigger ./jgfs_post_manager:release_post228 - endtask task jgfs_post_f231 - edit FHR: 'f231' - edit HR: '231' + edit FHR 'f231' + edit HR '231' trigger ./jgfs_post_manager:release_post231 - endtask task jgfs_post_f234 - edit FHR: 'f234' - edit HR: '234' + edit FHR 'f234' + edit HR '234' trigger ./jgfs_post_manager:release_post234 - endtask task jgfs_post_f237 - edit FHR: 'f237' - edit HR: '237' + edit FHR 'f237' + edit HR '237' trigger ./jgfs_post_manager:release_post237 - endtask task jgfs_post_f240 - edit FHR: 'f240' - edit HR: '240' + edit FHR 'f240' + edit HR '240' trigger ./jgfs_post_manager:release_post240 - endtask task jgfs_post_f252 - edit FHR: 'f252' - edit HR: '252' + edit FHR 'f252' + edit HR '252' trigger ./jgfs_post_manager:release_post252 - endtask task jgfs_post_f264 - edit FHR: 'f264' - edit HR: '264' + edit FHR 'f264' + edit HR '264' trigger ./jgfs_post_manager:release_post264 - endtask task jgfs_post_f276 - edit FHR: 'f276' - edit HR: '276' + edit FHR 'f276' + edit HR '276' trigger ./jgfs_post_manager:release_post276 - endtask task jgfs_post_f288 - edit FHR: 'f288' - edit HR: '288' + edit FHR 'f288' + edit HR '288' trigger ./jgfs_post_manager:release_post288 - endtask task jgfs_post_f300 - edit FHR: 'f300' - edit HR: '300' + edit FHR 'f300' + edit HR '300' trigger ./jgfs_post_manager:release_post300 - endtask task jgfs_post_f312 - edit FHR: 'f312' - edit HR: '312' + edit FHR 'f312' + edit HR '312' trigger ./jgfs_post_manager:release_post312 - endtask task jgfs_post_f324 - edit FHR: 'f324' - edit HR: '324' + edit FHR 'f324' + edit HR '324' trigger ./jgfs_post_manager:release_post324 - endtask task jgfs_post_f336 - edit FHR: 'f336' - edit HR: '336' + edit FHR 'f336' + edit HR '336' trigger ./jgfs_post_manager:release_post336 - endtask task jgfs_post_f348 - edit FHR: 'f348' - edit HR: '348' + edit FHR 'f348' + edit HR '348' trigger ./jgfs_post_manager:release_post348 - endtask task jgfs_post_f360 - edit FHR: 'f360' - edit HR: '360' + edit FHR 'f360' + edit HR '360' trigger ./jgfs_post_manager:release_post360 - endtask task jgfs_post_f372 - edit FHR: 'f372' - edit HR: '372' + edit FHR 'f372' + edit HR '372' trigger ./jgfs_post_manager:release_post372 - endtask task jgfs_post_f384 - edit FHR: 'f384' - edit HR: '384' + edit FHR 'f384' + edit HR '384' trigger ./jgfs_post_manager:release_post384 - endtask - endfamily - family prdgen + endfamily # /prod18/gfs/post + family prdgen # /prod18/gfs/prdgen + task jgfs_npoess_pgrb2_0p5deg + trigger ../post == complete task jgfs_pgrb2_anl edit FHR 'anl' edit HR 'anl' - endtask + trigger ../post/jgfs_post_anl:release_pgrb2_anl task jgfs_pgrb2_manager trigger ../post == complete event 2 release_pgrb2_00 @@ -3528,839 +2792,803 @@ suite prod18 event 172 release_pgrb2_360 event 173 release_pgrb2_372 event 174 release_pgrb2_384 - endtask task jgfs_pgrb2_f00 edit FHR '00' edit HR '00' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_00 and ../post/jgfs_post_f00 == complete task jgfs_pgrb2_f01 edit FHR '01' edit HR '01' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_01 and ../post/jgfs_post_f01 == complete task jgfs_pgrb2_f02 edit FHR '02' edit HR '02' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_02 and ../post/jgfs_post_f02 == complete task jgfs_pgrb2_f03 edit FHR '03' edit HR '03' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_03 and ../post/jgfs_post_f03 == complete task jgfs_pgrb2_f04 edit FHR '04' edit HR '04' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_04 and ../post/jgfs_post_f04 == complete task jgfs_pgrb2_f05 edit FHR '05' edit HR '05' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_05 and ../post/jgfs_post_f05 == complete task jgfs_pgrb2_f06 edit FHR '06' edit HR '06' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_06 and ../post/jgfs_post_f06 == complete task jgfs_pgrb2_f07 edit FHR '07' edit HR '07' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_07 and ../post/jgfs_post_f07 == complete task jgfs_pgrb2_f08 edit FHR '08' edit HR '08' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_08 and ../post/jgfs_post_f08 == complete task jgfs_pgrb2_f09 edit FHR '09' edit HR '09' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_09 and ../post/jgfs_post_f09 == complete task jgfs_pgrb2_f10 edit FHR '10' edit HR '10' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_10 and ../post/jgfs_post_f10 == complete task jgfs_pgrb2_f11 edit FHR '11' edit HR '11' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_11 and ../post/jgfs_post_f11 == complete task jgfs_pgrb2_f12 edit FHR '12' edit HR '12' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_12 and ../post/jgfs_post_f12 == complete task jgfs_pgrb2_f13 edit FHR '13' edit HR '13' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_13 and ../post/jgfs_post_f13 == complete task jgfs_pgrb2_f14 edit FHR '14' edit HR '14' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_14 and ../post/jgfs_post_f14 == complete task jgfs_pgrb2_f15 edit FHR '15' edit HR '15' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_15 and ../post/jgfs_post_f15 == complete task jgfs_pgrb2_f16 edit FHR '16' edit HR '16' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_16 and ../post/jgfs_post_f16 == complete task jgfs_pgrb2_f17 edit FHR '17' edit HR '17' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_17 and ../post/jgfs_post_f17 == complete task jgfs_pgrb2_f18 edit FHR '18' edit HR '18' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_18 and ../post/jgfs_post_f18 == complete task jgfs_pgrb2_f19 edit FHR '19' edit HR '19' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_19 and ../post/jgfs_post_f19 == complete task jgfs_pgrb2_f20 edit FHR '20' edit HR '20' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_20 and ../post/jgfs_post_f20 == complete task jgfs_pgrb2_f21 edit FHR '21' edit HR '21' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_21 and ../post/jgfs_post_f21 == complete task jgfs_pgrb2_f22 edit FHR '22' edit HR '22' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_22 and ../post/jgfs_post_f22 == complete task jgfs_pgrb2_f23 edit FHR '23' edit HR '23' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_23 and ../post/jgfs_post_f23 == complete task jgfs_pgrb2_f24 edit FHR '24' edit HR '24' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_24 and ../post/jgfs_post_f24 == complete task jgfs_pgrb2_f25 edit FHR '25' edit HR '25' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_25 and ../post/jgfs_post_f25 == complete task jgfs_pgrb2_f26 edit FHR '26' edit HR '26' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_26 and ../post/jgfs_post_f26 == complete task jgfs_pgrb2_f27 edit FHR '27' edit HR '27' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_27 and ../post/jgfs_post_f27 == complete task jgfs_pgrb2_f28 edit FHR '28' edit HR '28' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_28 and ../post/jgfs_post_f28 == complete task jgfs_pgrb2_f29 edit FHR '29' edit HR '29' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_29 and ../post/jgfs_post_f29 == complete task jgfs_pgrb2_f30 edit FHR '30' edit HR '30' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_30 and ../post/jgfs_post_f30 == complete task jgfs_pgrb2_f31 edit FHR '31' edit HR '31' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_31 and ../post/jgfs_post_f31 == complete task jgfs_pgrb2_f32 edit FHR '32' edit HR '32' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_32 and ../post/jgfs_post_f32 == complete task jgfs_pgrb2_f33 edit FHR '33' edit HR '33' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_33 and ../post/jgfs_post_f33 == complete task jgfs_pgrb2_f34 edit FHR '34' edit HR '34' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_34 and ../post/jgfs_post_f34 == complete task jgfs_pgrb2_f35 edit FHR '35' edit HR '35' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_35 and ../post/jgfs_post_f35 == complete task jgfs_pgrb2_f36 edit FHR '36' edit HR '36' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_36 and ../post/jgfs_post_f36 == complete task jgfs_pgrb2_f37 edit FHR '37' edit HR '37' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_37 and ../post/jgfs_post_f37 == complete task jgfs_pgrb2_f38 edit FHR '38' edit HR '38' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_38 and ../post/jgfs_post_f38 == complete task jgfs_pgrb2_f39 edit FHR '39' edit HR '39' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_39 and ../post/jgfs_post_f39 == complete task jgfs_pgrb2_f40 edit FHR '40' edit HR '40' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_40 and ../post/jgfs_post_f40 == complete task jgfs_pgrb2_f41 edit FHR '41' edit HR '41' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_41 and ../post/jgfs_post_f41 == complete task jgfs_pgrb2_f42 edit FHR '42' edit HR '42' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_42 and ../post/jgfs_post_f42 == complete task jgfs_pgrb2_f43 edit FHR '43' edit HR '43' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_43 and ../post/jgfs_post_f43 == complete task jgfs_pgrb2_f44 edit FHR '44' edit HR '44' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_44 and ../post/jgfs_post_f44 == complete task jgfs_pgrb2_f45 edit FHR '45' edit HR '45' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_45 and ../post/jgfs_post_f45 == complete task jgfs_pgrb2_f46 edit FHR '46' edit HR '46' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_46 and ../post/jgfs_post_f46 == complete task jgfs_pgrb2_f47 edit FHR '47' edit HR '47' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_47 and ../post/jgfs_post_f47 == complete task jgfs_pgrb2_f48 edit FHR '48' edit HR '48' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_48 and ../post/jgfs_post_f48 == complete task jgfs_pgrb2_f49 edit FHR '49' edit HR '49' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_49 and ../post/jgfs_post_f49 == complete task jgfs_pgrb2_f50 edit FHR '50' edit HR '50' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_50 and ../post/jgfs_post_f50 == complete task jgfs_pgrb2_f51 edit FHR '51' edit HR '51' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_51 and ../post/jgfs_post_f51 == complete task jgfs_pgrb2_f52 edit FHR '52' edit HR '52' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_52 and ../post/jgfs_post_f52 == complete task jgfs_pgrb2_f53 edit FHR '53' edit HR '53' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_53 and ../post/jgfs_post_f53 == complete task jgfs_pgrb2_f54 edit FHR '54' edit HR '54' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_54 and ../post/jgfs_post_f54 == complete task jgfs_pgrb2_f55 edit FHR '55' edit HR '55' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_55 and ../post/jgfs_post_f55 == complete task jgfs_pgrb2_f56 edit FHR '56' edit HR '56' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_56 and ../post/jgfs_post_f56 == complete task jgfs_pgrb2_f57 edit FHR '57' edit HR '57' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_57 and ../post/jgfs_post_f57 == complete task jgfs_pgrb2_f58 edit FHR '58' edit HR '58' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_58 and ../post/jgfs_post_f58 == complete task jgfs_pgrb2_f59 edit FHR '59' edit HR '59' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_59 and ../post/jgfs_post_f59 == complete task jgfs_pgrb2_f60 edit FHR '60' edit HR '60' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_60 and ../post/jgfs_post_f60 == complete task jgfs_pgrb2_f61 edit FHR '61' edit HR '61' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_61 and ../post/jgfs_post_f61 == complete task jgfs_pgrb2_f62 edit FHR '62' edit HR '62' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_62 and ../post/jgfs_post_f62 == complete task jgfs_pgrb2_f63 edit FHR '63' edit HR '63' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_63 and ../post/jgfs_post_f63 == complete task jgfs_pgrb2_f64 edit FHR '64' edit HR '64' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_64 and ../post/jgfs_post_f64 == complete task jgfs_pgrb2_f65 edit FHR '65' edit HR '65' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_65 and ../post/jgfs_post_f65 == complete task jgfs_pgrb2_f66 edit FHR '66' edit HR '66' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_66 and ../post/jgfs_post_f66 == complete task jgfs_pgrb2_f67 edit FHR '67' edit HR '67' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_67 and ../post/jgfs_post_f67 == complete task jgfs_pgrb2_f68 edit FHR '68' edit HR '68' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_68 and ../post/jgfs_post_f68 == complete task jgfs_pgrb2_f69 edit FHR '69' edit HR '69' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_69 and ../post/jgfs_post_f69 == complete task jgfs_pgrb2_f70 edit FHR '70' edit HR '70' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_70 and ../post/jgfs_post_f70 == complete task jgfs_pgrb2_f71 edit FHR '71' edit HR '71' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_71 and ../post/jgfs_post_f71 == complete task jgfs_pgrb2_f72 edit FHR '72' edit HR '72' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_72 and ../post/jgfs_post_f72 == complete task jgfs_pgrb2_f73 edit FHR '73' edit HR '73' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_73 and ../post/jgfs_post_f73 == complete task jgfs_pgrb2_f74 edit FHR '74' edit HR '74' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_74 and ../post/jgfs_post_f74 == complete task jgfs_pgrb2_f75 edit FHR '75' edit HR '75' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_75 and ../post/jgfs_post_f75 == complete task jgfs_pgrb2_f76 edit FHR '76' edit HR '76' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_76 and ../post/jgfs_post_f76 == complete task jgfs_pgrb2_f77 edit FHR '77' edit HR '77' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_77 and ../post/jgfs_post_f77 == complete task jgfs_pgrb2_f78 edit FHR '78' edit HR '78' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_78 and ../post/jgfs_post_f78 == complete task jgfs_pgrb2_f79 edit FHR '79' edit HR '79' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_79 and ../post/jgfs_post_f79 == complete task jgfs_pgrb2_f80 edit FHR '80' edit HR '80' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_80 and ../post/jgfs_post_f80 == complete task jgfs_pgrb2_f81 edit FHR '81' edit HR '81' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_81 and ../post/jgfs_post_f81 == complete task jgfs_pgrb2_f82 edit FHR '82' edit HR '82' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_82 and ../post/jgfs_post_f82 == complete task jgfs_pgrb2_f83 edit FHR '83' edit HR '83' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_83 and ../post/jgfs_post_f83 == complete task jgfs_pgrb2_f84 edit FHR '84' edit HR '84' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_84 and ../post/jgfs_post_f84 == complete task jgfs_pgrb2_f85 edit FHR '85' edit HR '85' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_85 and ../post/jgfs_post_f85 == complete task jgfs_pgrb2_f86 edit FHR '86' edit HR '86' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_86 and ../post/jgfs_post_f86 == complete task jgfs_pgrb2_f87 edit FHR '87' edit HR '87' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_87 and ../post/jgfs_post_f87 == complete task jgfs_pgrb2_f88 edit FHR '88' edit HR '88' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_88 and ../post/jgfs_post_f88 == complete task jgfs_pgrb2_f89 edit FHR '89' edit HR '89' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_89 and ../post/jgfs_post_f89 == complete task jgfs_pgrb2_f90 edit FHR '90' edit HR '90' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_90 and ../post/jgfs_post_f90 == complete task jgfs_pgrb2_f91 edit FHR '91' edit HR '91' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_91 and ../post/jgfs_post_f91 == complete task jgfs_pgrb2_f92 edit FHR '92' edit HR '92' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_92 and ../post/jgfs_post_f92 == complete task jgfs_pgrb2_f93 edit FHR '93' edit HR '93' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_93 and ../post/jgfs_post_f93 == complete task jgfs_pgrb2_f94 edit FHR '94' edit HR '94' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_94 and ../post/jgfs_post_f94 == complete task jgfs_pgrb2_f95 edit FHR '95' edit HR '95' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_95 and ../post/jgfs_post_f95 == complete task jgfs_pgrb2_f96 edit FHR '96' edit HR '96' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_96 and ../post/jgfs_post_f96 == complete task jgfs_pgrb2_f97 edit FHR '97' edit HR '97' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_97 and ../post/jgfs_post_f97 == complete task jgfs_pgrb2_f98 edit FHR '98' edit HR '98' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_98 and ../post/jgfs_post_f98 == complete task jgfs_pgrb2_f99 edit FHR '99' edit HR '99' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_99 and ../post/jgfs_post_f99 == complete task jgfs_pgrb2_f100 edit FHR '100' edit HR '100' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_100 and ../post/jgfs_post_f100 == complete task jgfs_pgrb2_f101 edit FHR '101' edit HR '101' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_101 and ../post/jgfs_post_f101 == complete task jgfs_pgrb2_f102 edit FHR '102' edit HR '102' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_102 and ../post/jgfs_post_f102 == complete task jgfs_pgrb2_f103 edit FHR '103' edit HR '103' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_103 and ../post/jgfs_post_f103 == complete task jgfs_pgrb2_f104 edit FHR '104' edit HR '104' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_104 and ../post/jgfs_post_f104 == complete task jgfs_pgrb2_f105 edit FHR '105' edit HR '105' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_105 and ../post/jgfs_post_f105 == complete task jgfs_pgrb2_f106 edit FHR '106' edit HR '106' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_106 and ../post/jgfs_post_f106 == complete task jgfs_pgrb2_f107 edit FHR '107' edit HR '107' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_107 and ../post/jgfs_post_f107 == complete task jgfs_pgrb2_f108 edit FHR '108' edit HR '108' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_108 and ../post/jgfs_post_f108 == complete task jgfs_pgrb2_f109 edit FHR '109' edit HR '109' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_109 and ../post/jgfs_post_f109 == complete task jgfs_pgrb2_f110 edit FHR '110' edit HR '110' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_110 and ../post/jgfs_post_f110 == complete task jgfs_pgrb2_f111 edit FHR '111' edit HR '111' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_111 and ../post/jgfs_post_f111 == complete task jgfs_pgrb2_f112 edit FHR '112' edit HR '112' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_112 and ../post/jgfs_post_f112 == complete task jgfs_pgrb2_f113 edit FHR '113' edit HR '113' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_113 and ../post/jgfs_post_f113 == complete task jgfs_pgrb2_f114 edit FHR '114' edit HR '114' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_114 and ../post/jgfs_post_f114 == complete task jgfs_pgrb2_f115 edit FHR '115' edit HR '115' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_115 and ../post/jgfs_post_f115 == complete task jgfs_pgrb2_f116 edit FHR '116' edit HR '116' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_116 and ../post/jgfs_post_f116 == complete task jgfs_pgrb2_f117 edit FHR '117' edit HR '117' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_117 and ../post/jgfs_post_f117 == complete task jgfs_pgrb2_f118 edit FHR '118' edit HR '118' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_118 and ../post/jgfs_post_f118 == complete task jgfs_pgrb2_f119 edit FHR '119' edit HR '119' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_119 and ../post/jgfs_post_f119 == complete task jgfs_pgrb2_f120 edit FHR '120' edit HR '120' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_120 and ../post/jgfs_post_f120 == complete task jgfs_pgrb2_f123 edit FHR '123' edit HR '123' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_123 and ../post/jgfs_post_f123 == complete task jgfs_pgrb2_f126 edit FHR '126' edit HR '126' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_126 and ../post/jgfs_post_f126 == complete task jgfs_pgrb2_f129 edit FHR '129' edit HR '129' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_129 and ../post/jgfs_post_f129 == complete task jgfs_pgrb2_f132 edit FHR '132' edit HR '132' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_132 and ../post/jgfs_post_f132 == complete task jgfs_pgrb2_f135 edit FHR '135' edit HR '135' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_135 and ../post/jgfs_post_f135 == complete task jgfs_pgrb2_f138 edit FHR '138' edit HR '138' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_138 and ../post/jgfs_post_f138 == complete task jgfs_pgrb2_f141 edit FHR '141' edit HR '141' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_141 and ../post/jgfs_post_f141 == complete task jgfs_pgrb2_f144 edit FHR '144' edit HR '144' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_144 and ../post/jgfs_post_f144 == complete task jgfs_pgrb2_f147 edit FHR '147' edit HR '147' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_147 and ../post/jgfs_post_f147 == complete task jgfs_pgrb2_f150 edit FHR '150' edit HR '150' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_150 and ../post/jgfs_post_f150 == complete task jgfs_pgrb2_f153 edit FHR '153' edit HR '153' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_153 and ../post/jgfs_post_f153 == complete task jgfs_pgrb2_f156 edit FHR '156' edit HR '156' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_156 and ../post/jgfs_post_f156 == complete task jgfs_pgrb2_f159 edit FHR '159' edit HR '159' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_159 and ../post/jgfs_post_f159 == complete task jgfs_pgrb2_f162 edit FHR '162' edit HR '162' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_162 and ../post/jgfs_post_f162 == complete task jgfs_pgrb2_f165 edit FHR '165' edit HR '165' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_165 and ../post/jgfs_post_f165 == complete task jgfs_pgrb2_f168 edit FHR '168' edit HR '168' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_168 and ../post/jgfs_post_f168 == complete task jgfs_pgrb2_f171 edit FHR '171' edit HR '171' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_171 and ../post/jgfs_post_f171 == complete task jgfs_pgrb2_f174 edit FHR '174' edit HR '174' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_174 and ../post/jgfs_post_f174 == complete task jgfs_pgrb2_f177 edit FHR '177' edit HR '177' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_177 and ../post/jgfs_post_f177 == complete task jgfs_pgrb2_f180 edit FHR '180' edit HR '180' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_180 and ../post/jgfs_post_f180 == complete task jgfs_pgrb2_f183 edit FHR '183' edit HR '183' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_183 and ../post/jgfs_post_f183 == complete task jgfs_pgrb2_f186 edit FHR '186' edit HR '186' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_186 and ../post/jgfs_post_f186 == complete task jgfs_pgrb2_f189 edit FHR '189' edit HR '189' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_189 and ../post/jgfs_post_f189 == complete task jgfs_pgrb2_f192 edit FHR '192' edit HR '192' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_192 and ../post/jgfs_post_f192 == complete task jgfs_pgrb2_f195 edit FHR '195' edit HR '195' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_195 and ../post/jgfs_post_f195 == complete task jgfs_pgrb2_f198 edit FHR '198' edit HR '198' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_198 and ../post/jgfs_post_f198 == complete task jgfs_pgrb2_f201 edit FHR '201' edit HR '201' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_201 and ../post/jgfs_post_f201 == complete task jgfs_pgrb2_f204 edit FHR '204' edit HR '204' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_204 and ../post/jgfs_post_f204 == complete task jgfs_pgrb2_f207 edit FHR '207' edit HR '207' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_207 and ../post/jgfs_post_f207 == complete task jgfs_pgrb2_f210 edit FHR '210' edit HR '210' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_210 and ../post/jgfs_post_f210 == complete task jgfs_pgrb2_f213 edit FHR '213' edit HR '213' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_213 and ../post/jgfs_post_f213 == complete task jgfs_pgrb2_f216 edit FHR '216' edit HR '216' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_216 and ../post/jgfs_post_f216 == complete task jgfs_pgrb2_f219 edit FHR '219' edit HR '219' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_219 and ../post/jgfs_post_f219 == complete task jgfs_pgrb2_f222 edit FHR '222' edit HR '222' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_222 and ../post/jgfs_post_f222 == complete task jgfs_pgrb2_f225 edit FHR '225' edit HR '225' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_225 and ../post/jgfs_post_f225 == complete task jgfs_pgrb2_f228 edit FHR '228' edit HR '228' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_228 and ../post/jgfs_post_f228 == complete task jgfs_pgrb2_f231 edit FHR '231' edit HR '231' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_231 and ../post/jgfs_post_f231 == complete task jgfs_pgrb2_f234 edit FHR '234' edit HR '234' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_234 and ../post/jgfs_post_f234 == complete task jgfs_pgrb2_f237 edit FHR '237' edit HR '237' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_237 and ../post/jgfs_post_f237 == complete task jgfs_pgrb2_f240 edit FHR '240' edit HR '240' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_240 and ../post/jgfs_post_f240 == complete task jgfs_pgrb2_f252 edit FHR '252' edit HR '252' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_252 and ../post/jgfs_post_f252 == complete task jgfs_pgrb2_f264 edit FHR '264' edit HR '264' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_264 and ../post/jgfs_post_f264 == complete task jgfs_pgrb2_f276 edit FHR '276' edit HR '276' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_276 and ../post/jgfs_post_f276 == complete task jgfs_pgrb2_f288 edit FHR '288' edit HR '288' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_288 and ../post/jgfs_post_f288 == complete task jgfs_pgrb2_f300 edit FHR '300' edit HR '300' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_300 and ../post/jgfs_post_f300 == complete task jgfs_pgrb2_f312 edit FHR '312' edit HR '312' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_312 and ../post/jgfs_post_f312 == complete task jgfs_pgrb2_f324 edit FHR '324' edit HR '324' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_324 and ../post/jgfs_post_f324 == complete task jgfs_pgrb2_f336 edit FHR '336' edit HR '336' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_336 and ../post/jgfs_post_f336 == complete task jgfs_pgrb2_f348 edit FHR '348' edit HR '348' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_348 and ../post/jgfs_post_f348 == complete task jgfs_pgrb2_f360 edit FHR '360' edit HR '360' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_360 and ../post/jgfs_post_f360 == complete task jgfs_pgrb2_f372 edit FHR '372' edit HR '372' - endtask + trigger ./jgfs_pgrb2_manager:release_pgrb2_372 and ../post/jgfs_post_f372 == complete task jgfs_pgrb2_f384 edit FHR '384' edit HR '384' - endtask - endfamily - family gempak + trigger ./jgfs_pgrb2_manager:release_pgrb2_384 and ../post/jgfs_post_f384 == complete + endfamily # /prod18/gfs/prdgen + family gempak # /prod18/gfs/gempak task jgfs_gempak_upapgif trigger ../dump/jgfs_dump == complete - endtask task jgfs_gempak_ncdc trigger ./jgfs_gempak == active or ./jgfs_gempak == complete - endtask task jgfs_gempak trigger ../jgfs_analysis == complete - endtask task jgfs_gempak_meta trigger ../jgfs_analysis == complete - endtask - endfamily - endfamily - family gdas + task jgfs_pgrb2_spec_gempak + trigger ../post/jgfs_pgrb2_spec_post == complete + endfamily # /prod18/gfs/gempak + endfamily # /prod18/gfs + family gdas # /prod18/gdas + #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' edit PROJ '%PROJENVIR%' edit MODEL_NAME 'gdas' task jgdas_verfrad trigger ./enkf == complete - endtask task jgdas_vminmon trigger ./analysis/jgdas_analysis_high == complete - endtask - family dump + family dump # /prod18/gdas/dump task jgdas_ics event 1 release_gdas00_ics - endtask task jgdas_tropcy_qc_reloc trigger ./jgdas_dump == complete - endtask task jgdas_dump event 1 release_sfcprep - endtask - endfamily - family prep + endfamily # /prod18/gdas/dump + family prep # /prod18/gdas/prep task jgdas_emcsfc_sfc_prep trigger ../dump/jgdas_dump:release_sfcprep - endtask task jgdas_prep - trigger ../dump/jgdas_dump == complete and ../dump/jgdas_tropcy_qc_reloc == complete - endtask + trigger ../dump/jgdas_dump == complete and ../dump/jgdas_tropcy_qc_reloc == complete and /prod12/gdas/post == complete task jgdas_prep_post trigger ../analysis/jgdas_analysis_high == complete - endtask - endfamily - family analysis + endfamily # /prod18/gdas/prep + family analysis # /prod18/gdas/analysis task jgdas_analysis_high - trigger ../prep/jgdas_prep == complete and ../prep/jgdas_emcsfc_sfc_prep == complete + trigger ../prep/jgdas_prep == complete and ../prep/jgdas_emcsfc_sfc_prep == complete and /prod12/gdas/enkf/jgdas_enkf_post == complete event 1 release_fcst - endtask - endfamily - family forecast + endfamily # /prod18/gdas/analysis + family forecast # /prod18/gdas/forecast task jgdas_forecast trigger ../analysis/jgdas_analysis_high:release_fcst and ../enkf/innovate == complete event 1 release_fcst - endtask - endfamily - family post_processing - family bulletins + endfamily # /prod18/gdas/forecast + family post_processing # /prod18/gdas/post_processing + family bulletins # /prod18/gdas/post_processing/bulletins task jgdas_mknavybulls trigger ../../dump/jgdas_dump == complete - endtask - endfamily - endfamily - family gempak + endfamily # /prod18/gdas/post_processing/bulletins + endfamily # /prod18/gdas/post_processing + family gempak # /prod18/gdas/gempak task jgdas_gempak trigger ../forecast/jgdas_forecast == complete - endtask task jgdas_gempak_meta trigger ./jgdas_gempak == complete - endtask task jgdas_gempak_ncdc trigger ./jgdas_gempak == complete - endtask - endfamily - family post + endfamily # /prod18/gdas/gempak + family post # /prod18/gdas/post task jgdas_post trigger ../forecast/jgdas_forecast == complete - endtask - endfamily - family enkf + endfamily # /prod18/gdas/post + family enkf # /prod18/gdas/enkf task jgdas_enkf_select_obs trigger ../prep/jgdas_prep == complete and /prod12/gdas/enkf/jgdas_enkf_post == complete - endtask - family innovate + family innovate # /prod18/gdas/enkf/innovate trigger ./jgdas_enkf_select_obs == complete task jgdas_enkf_innovate_obs_grp1 - endtask task jgdas_enkf_innovate_obs_grp2 - endtask task jgdas_enkf_innovate_obs_grp3 - endtask task jgdas_enkf_innovate_obs_grp4 - endtask task jgdas_enkf_innovate_obs_grp5 - endtask task jgdas_enkf_innovate_obs_grp6 - endtask task jgdas_enkf_innovate_obs_grp7 - endtask task jgdas_enkf_innovate_obs_grp8 - endtask - endfamily + endfamily # /prod18/gdas/enkf/innovate task jgdas_enkf_update edit ECF_PASS 'FREE' trigger ./innovate == complete - endtask task jgdas_enkf_inflate_recenter trigger ./jgdas_enkf_update == complete and ../analysis/jgdas_analysis_high == complete - endtask - family forecast + family forecast # /prod18/gdas/enkf/forecast trigger ./jgdas_enkf_inflate_recenter == complete task jgdas_enkf_fcst_grp1 - endtask task jgdas_enkf_fcst_grp2 - endtask task jgdas_enkf_fcst_grp3 - endtask task jgdas_enkf_fcst_grp4 - endtask task jgdas_enkf_fcst_grp5 - endtask task jgdas_enkf_fcst_grp6 - endtask task jgdas_enkf_fcst_grp7 - endtask task jgdas_enkf_fcst_grp8 - endtask - endfamily + endfamily # /prod18/gdas/enkf/forecast task jgdas_enkf_post trigger ./forecast == complete - endtask - endfamily - endfamily + endfamily # /prod18/gdas/enkf + endfamily # /prod18/gdas task cycle_end - edit ECF_JOB_CMD '%ECF_JOB% 1> %ECF_JOBOUT% 2>&1' + #edit ECF_JOB_CMD '%ECF_JOB% 1> %ECF_JOBOUT% 2>&1' + #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' edit ECF_PASS 'FREE' - endtask -endsuite +endsuite # /prod18 diff --git a/model/ecflow_fv3gfs/ecf_file.yaml b/model/ecflow_fv3gfs/ecf_file.yaml index 8dcfe23..7c90640 100644 --- a/model/ecflow_fv3gfs/ecf_file.yaml +++ b/model/ecflow_fv3gfs/ecf_file.yaml @@ -38,6 +38,7 @@ ecf_file_logic: &ecf_file_logic %include %manual {ecf_manual} + %end ecf_manual: | # FIXME: Insert manual for this job. diff --git a/model/ecflow_fv3gfs/include/envir-xc40.h b/model/ecflow_fv3gfs/include/envir-xc40.h new file mode 100644 index 0000000..975aa72 --- /dev/null +++ b/model/ecflow_fv3gfs/include/envir-xc40.h @@ -0,0 +1,100 @@ +# envir-xc40.h +export job=${job:-$LSB_JOBNAME} #Can't use $job in filenames! +export jobid=${jobid:-$job.$LSB_JOBID} + +export envir=prod +export RUN_ENVIR=para +export SENDDBN=${SENDDBN:-%SENDDBN:YES%} +export SENDDBN_NTC=${SENDDBN_NTC:-%SENDDBN_NTC:YES%} + +module load prod_envir prod_util + +case $envir in + prod) + export CRAY_F_SET=hps2 + export EMCPEN=${EMCPEN:-%EMCPEN:ecfgfsfv3%} + export jlogfile=/gpfs/${CRAY_F_SET}/ptmp/emc.glopara/%EMCPEN%/com/jlogfile + export DATAROOT=${DATAROOT:-/gpfs/${CRAY_F_SET}/stmp/emc.glopara/%EMCPEN%} + export DBNROOT=${UTILROOT}/fakedbn +# export NWROOT=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/gfs/q3fy17_final +#### export NWROOT=/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/git/fv3gfs +#### export NWROOT=/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/git/master_20180113 + export NWROOT=/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/git/fv3gfs_flat + export NWPROD=/gpfs/hps/nco/ops/nwprod + export COMROOT=/gpfs/${CRAY_F_SET}/ptmp/emc.glopara/%EMCPEN%/com + export COMOUT_ROOT=$COMROOT + export PCOMROOT=/gpfs/${CRAY_F_SET}/ptmp/emc.glopara/%EMCPEN%/pcom/prod + export GESROOT=/gpfs/${CRAY_F_SET}/ptmp/emc.glopara/%EMCPEN%/nwges + export GESROOThps=/gpfs/${CRAY_F_SET}/ptmp/emc.glopara/%EMCPEN%/nwges + export GESROOTp1=/gpfs/${CRAY_F_SET}/ptmp/emc.glopara/%EMCPEN%/nwges + export GESROOTp2=/gpfs/${CRAY_F_SET}/ptmp/emc.glopara/%EMCPEN%/nwges + export KEEPDATA=NO +#### export HOMEobsproc_dump=/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/obsproc_dump.v4.0.0 +#### export HOMEobsproc_shared_bufr_dumplist=/gpfs/hps/nco/ops/nwprod/obsproc_shared/bufr_dumplist.v1.3.0 + ;; + emcpara) + export CRAY_F_SET=hps2 + export EMCPEN=${EMCPEN:-%EMCPEN:ecfgfs2017%} + export jlogfile=/gpfs/${CRAY_F_SET}/ptmp/emc.glopara/%EMCPEN%/com/jlogfile + export DATAROOT=${DATAROOT:-/gpfs/${CRAY_F_SET}/stmp/emc.glopara/%EMCPEN%} + export DBNROOT=${UTILROOT}/fakedbn + export NWROOT=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/gfs/q3fy17_final + export COMROOT=/gpfs/${CRAY_F_SET}/ptmp/emc.glopara/%EMCPEN%/com + export PCOMROOT=/gpfs/${CRAY_F_SET}/ptmp/emc.glopara/%EMCPEN%/pcom + export KEEPDATA=YES + ;; + eval) + export envir=para + export jlogfile=${jlogfile:-${COMROOT}/logs/${envir}/jlogfile} + export DATAROOT=${DATAROOT:-/gpfs/hps2/nco/ops/tmpnwprd} + if [ "$SENDDBN" == "YES" ]; then + export DBNROOT=${UTILROOT}/para_dbn + SENDDBN_NTC=NO + else + export DBNROOT=${UTILROOT}/fakedbn + fi + ;; + para|test) + export CRAY_F_SET=hps2 + export EMCPEN=${EMCPEN:-%EMCPEN:ecfgfs2017%} + export jlogfile=/gpfs/${CRAY_F_SET}/ptmp/emc.glopara/%EMCPEN%/com/jlogfile + export DATAROOT=${DATAROOT:-/gpfs/${CRAY_F_SET}/stmp/emc.glopara/%EMCPEN%} + export DBNROOT=${UTILROOT}/fakedbn + export NWROOT=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/gfs/q3fy17_final + export COMROOT=/gpfs/${CRAY_F_SET}/ptmp/emc.glopara/%EMCPEN%/com + export COMOUT_ROOT=$COMROOT + export PCOMROOT=/gpfs/${CRAY_F_SET}/ptmp/emc.glopara/%EMCPEN%/pcom/prod + export GESROOT=/gpfs/${CRAY_F_SET}/ptmp/emc.glopara/%EMCPEN%/nwges + export GESROOThps=/gpfs/${CRAY_F_SET}/ptmp/emc.glopara/%EMCPEN%/nwges + export GESROOTp1=/gpfs/${CRAY_F_SET}/ptmp/emc.glopara/%EMCPEN%/nwges + export GESROOTp2=/gpfs/${CRAY_F_SET}/ptmp/emc.glopara/%EMCPEN%/nwges + export KEEPDATA=YES + ;; + emcpara) + export CRAY_F_SET=hps2 + export EMCPEN=${EMCPEN:-%EMCPEN:ecfgfs2017%} + export jlogfile=/gpfs/${CRAY_F_SET}/ptmp/emc.glopara/%EMCPEN%/com/jlogfile + export DATAROOT=${DATAROOT:-/gpfs/hps3/stmp/emc.glopara/%EMCPEN%} + export DBNROOT=${UTILROOT}/fakedbn + export NWROOT=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/gfs/q3fy17_final + export COMROOT=/gpfs/${CRAY_F_SET}/ptmp/emc.glopara/%EMCPEN%/com + export PCOMROOT=/gpfs/${CRAY_F_SET}/ptmp/emc.glopara/%EMCPEN%/pcom + export KEEPDATA=YES + ;; + *) + ecflow_client --abort="ENVIR must be prod, para, eval,emcpara , or test [envir.h]" + exit + ;; +esac + +export SENDECF=${SENDECF:-YES} +export SENDCOM=${SENDCOM:-YES} +#### export KEEPDATA=YES + +if [ -n "%PDY:%" ]; then + export PDY=${PDY:-%PDY:%} + export RETRORUN="YES" +fi +if [ -n "%COMPATH:%" ]; then export COMPATH=${COMPATH:-%COMPATH:%}; fi +if [ -n "%MAILTO:%" ]; then export MAILTO=${MAILTO:-%MAILTO:%}; fi +if [ -n "%DBNLOG:%" ]; then export DBNLOG=${DBNLOG:-%DBNLOG:%}; fi diff --git a/model/ecflow_fv3gfs/include/head.h b/model/ecflow_fv3gfs/include/head.h new file mode 100644 index 0000000..acde5ee --- /dev/null +++ b/model/ecflow_fv3gfs/include/head.h @@ -0,0 +1,51 @@ +set -xe # print commands as they are executed and enable signal trapping + +# Variables needed for communication with ecFlow version %ECF_VERSION% +export ECF_NAME=%ECF_NAME% +#export ECF_NODE=%ECF_NODE% +export ECF_NODE=%ECF_LOGHOST% +export ECF_PORT=%ECF_PORT% +export ECF_PASS=%ECF_PASS% +export ECF_TRYNO=%ECF_TRYNO% +export ECF_RID=$LSB_JOBID + +# Tell ecFlow we have started +if [ -d /opt/modules ]; then + . /opt/modules/default/init/sh +else + . /usrx/local/Modules/default/init/sh +fi +module load ecflow +ecflow_client --init=${ECF_RID} + +## Enable LSF to communicate with ecFlow +if [ -d /var/lsf ]; then # IBM iDataPlex nodes + POST_OUT=/var/lsf/ecflow_post_in.$LSB_BATCH_JID +else # Cray XC40 nodes + POST_OUT=${POST_OUT:-/gpfs/hps/tmpfs/ecflow/ecflow_post_in.$LSB_BATCH_JID} +fi +cat > $POST_OUT <>$POST_OUT + trap $1; exit $1 +} +# Trap all error and exit signals +trap 'ERROR $?' ERR EXIT + diff --git a/model/ecflow_fv3gfs/include/model_ver.h b/model/ecflow_fv3gfs/include/model_ver.h new file mode 100644 index 0000000..e3ba949 --- /dev/null +++ b/model/ecflow_fv3gfs/include/model_ver.h @@ -0,0 +1,25 @@ +#### . ${NWROOT:?}/versions/${model:?}.ver +. /gpfs/hps/nco/ops/nwprod/versions/${model:?}.ver +eval export HOME${model}=${NWROOT}/${model}.\${${model}_ver:?} +#### export model=gfs +export PARA_CONFIG=/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fake_para_config +export gfs_ver=v15.0.0 +#### export gdas_ver=v15.0.0 +#### export global_shared_ver=v15.0.0 +#export HOMEgfs=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/gfs/q3fy17_final/gfs.v15.0.0 +##export HOMEgfs=/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/git/fv3gfs/gfs.v15.0.0 +#### export HOMEgfs=/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/git/master_20180113/gfs.v15.0.0 + +export HOMEgfs=/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/git/fv3gfs_flat/gfs.v15.0.0 + +#export HOMEgdas=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/gfs/q3fy17_final/gdas.v15.0.0 +##export HOMEgdas=/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/git/fv3gfs/gdas.v15.0.0 +#### export HOMEgdas=/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/git/master_20180113/gdas.v15.0.0 +#export HOMEglobal_shared=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/gfs/q3fy17_final/global_shared.v15.0.0 +##export HOMEglobal_shared=/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/git/fv3gfs/global_shared.v15.0.0 +#### export HOMEglobal_shared=/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/git/master_20180113/global_shared.v15.0.0 +#### export HOMEobsproc_global=/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/obsproc_global.v3.0.0 +#### export HOMEobsproc_network=/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/obsproc_global.v3.0.0 +#### export HOMEobsproc_network=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0 +#### export HOMEobsproc_prep=/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/obsproc_prep.v4.0.0 +#### export HOMEobsproc_prep=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0 diff --git a/model/ecflow_fv3gfs/include/tail.h b/model/ecflow_fv3gfs/include/tail.h new file mode 100644 index 0000000..1cdbe95 --- /dev/null +++ b/model/ecflow_fv3gfs/include/tail.h @@ -0,0 +1,3 @@ +ecflow_client --complete # Notify ecFlow of a normal end +trap 0 # Remove all traps +exit 0 # End the shell diff --git a/model/ecflow_fv3gfs/resources.yaml b/model/ecflow_fv3gfs/resources.yaml index 1ee95c0..189e72a 100644 --- a/model/ecflow_fv3gfs/resources.yaml +++ b/model/ecflow_fv3gfs/resources.yaml @@ -22,6 +22,7 @@ resource_demo: &resource_demo run_nothing: !JobRequest # Special placeholder for "do nothing" - memory: "300M" + walltime: 00:05:00 exe: nothing run_eobs: !JobRequest diff --git a/model/ecflow_fv3gfs/scripts/prod00/cycle_end.ecf b/model/ecflow_fv3gfs/scripts/prod00/cycle_end.ecf index 4e874f0..7c49d11 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/cycle_end.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/cycle_end.ecf @@ -4,6 +4,7 @@ #BSUB -J cycle_end #BSUB -o %ECF_OUT%/cycle_end_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/CYCLE_END %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/final/alias0.job1 b/model/ecflow_fv3gfs/scripts/prod00/final/alias0.job1 new file mode 100755 index 0000000..cd98e29 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/final/alias0.job1 @@ -0,0 +1,64 @@ +#! /bin/sh +#BSUB -q debug +#BSUB -P HUR-T2O +#BSUB -J final +#BSUB -o /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts//output/final.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +set -xe # print commands as they are executed and enable signal trapping + +# Variables needed for communication with ecFlow version 4.5.0 +export ECF_NAME=/prod00/final/alias0 +#export ECF_NODE=nid02178 +export ECF_NODE=ldecflow1 +export ECF_PORT=31671 +export ECF_PASS=h4UxEw37 +export ECF_TRYNO=1 +export ECF_RID=$LSB_JOBID + +# Tell ecFlow we have started +if [ -d /opt/modules ]; then + . /opt/modules/default/init/sh +else + . /usrx/local/Modules/default/init/sh +fi +module load ecflow +ecflow_client --init=${ECF_RID} + +## Enable LSF to communicate with ecFlow +if [ -d /var/lsf ]; then # IBM iDataPlex nodes + POST_OUT=/var/lsf/ecflow_post_in.$LSB_BATCH_JID +else # Cray XC40 nodes + POST_OUT=${POST_OUT:-/gpfs/hps/tmpfs/ecflow/ecflow_post_in.$LSB_BATCH_JID} +fi +cat > $POST_OUT <>$POST_OUT + trap $1; exit $1 +} +# Trap all error and exit signals +trap 'ERROR $?' ERR EXIT + +echo ${JOBgfs}/final +ecflow_client --complete # Notify ecFlow of a normal end +trap 0 # Remove all traps +exit 0 # End the shell \ No newline at end of file diff --git a/model/ecflow_fv3gfs/scripts/prod00/final/alias0.usr b/model/ecflow_fv3gfs/scripts/prod00/final/alias0.usr new file mode 100644 index 0000000..4dd3930 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/final/alias0.usr @@ -0,0 +1,19 @@ +%comment - ecf user variables +ECF_LOGHOST = ldecflow1 +ECF_OUT = /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts//output +ECF_VERSION = 4.5.0 +PROJECT = HUR-T2O +QUEUE = debug +%end - ecf user variables +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J final +#BSUB -o %ECF_OUT%/final.log +#BSUB -W 0:05 +#BSUB -R rusage[mem=3072] +#BSUB -n 1 + +%include +echo ${JOBgfs}/final +%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/analysis/jgdas_analysis_high.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/analysis/jgdas_analysis_high.ecf index a200197..1fe0bb9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/analysis/jgdas_analysis_high.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/analysis/jgdas_analysis_high.ecf @@ -4,18 +4,18 @@ #BSUB -J gdas.analysis.jgdas_analysis_high #BSUB -o %ECF_OUT%/gdas.analysis.jgdas_analysis_high_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] +#BSUB -W 0:05 +#BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] -export NODES=60 +export NODES=1 %include %include set -x -export ntasks=360 -export ptile=6 -export threads=2 +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_ANALYSIS_HIGH %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf index 709273c..1c5c1a1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.dump.jgdas_dump #BSUB -o %ECF_OUT%/gdas.dump.jgdas_dump_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_DUMP %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf index ed05c2c..a690ea1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.dump.jgdas_ics #BSUB -o %ECF_OUT%/gdas.dump.jgdas_ics_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_ICS %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf index 249de65..eabe34e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.dump.jgdas_tropcy_qc_reloc #BSUB -o %ECF_OUT%/gdas.dump.jgdas_tropcy_qc_reloc_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_TROPCY_QC_RELOC %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf index 6962c93..18f9f6e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf index 075bc1e..3979602 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf index ee7440e..c1719f2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf index 220b2b9..0f6565b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf index 6d76be7..ad76430 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf index 562af3e..68cab07 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf index 9ab23fd..c5246d8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf index ac0ea33..382ebff 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf index e4615f0..1eda8b0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf index f3bf604..9a2d0bd 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf index e6bc15e..7190cd2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf index 73e7cb4..7877463 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf index eb04b16..ede0b06 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf index d6b056e..6a23c3e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf index a5b49b8..d1ff948 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf index 630d329..c2a35dd 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf index b6d1c18..8c31726 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_ENKF_INFLATE_RECENTER %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf index 1abe592..14bbb72 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_ENKF_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf index e0d4d1e..abd1001 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_ENKF_SELECT_OBS %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf index 0843762..f7b10a1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_ENKF_UPDATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/forecast/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/forecast/jgdas_forecast.ecf index eed96b0..b8397e9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/forecast/jgdas_forecast.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/forecast/jgdas_forecast.ecf @@ -4,17 +4,17 @@ #BSUB -J gdas.forecast.jgdas_forecast #BSUB -o %ECF_OUT%/gdas.forecast.jgdas_forecast_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] +#BSUB -W 0:05 +#BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] -export NODES=64 +export NODES=1 %include %include set -x -export ntasks=768 -export ptile=12 +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile # No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak.ecf index e611466..2e91a76 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.gempak.jgdas_gempak #BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_GEMPAK %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_meta.ecf index 93dc751..ab2a8c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_meta.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_meta.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.gempak.jgdas_gempak_meta #BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_meta_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_GEMPAK_META %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_ncdc.ecf index a10c959..d66b6cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_ncdc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_ncdc.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.gempak.jgdas_gempak_ncdc #BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_ncdc_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_GEMPAK_NCDC %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf index e99e392..8ae5d5b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.jgdas_verfrad #BSUB -o %ECF_OUT%/gdas.jgdas_verfrad_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_VERFRAD %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf index 16e857c..c8a0c18 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.jgdas_vminmon #BSUB -o %ECF_OUT%/gdas.jgdas_vminmon_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_VMINMON %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/post/jgdas_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/post/jgdas_post.ecf index a83823e..b6ac488 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/post/jgdas_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/post/jgdas_post.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/post %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf index e65c565..c2b8e46 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.post_processing.bulletins.jgdas_mknavybulls #BSUB -o %ECF_OUT%/gdas.post_processing.bulletins.jgdas_mknavybulls_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_MKNAVYBULLS %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf index 4d41618..4c446a5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.prep.jgdas_emcsfc_sfc_prep #BSUB -o %ECF_OUT%/gdas.prep.jgdas_emcsfc_sfc_prep_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_EMCSFC_SFC_PREP %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf index d70e3a8..e3c863d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_PREP %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf index e749457..576f511 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.prep.jgdas_prep_post #BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_post_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_PREP_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf index 2695723..68244c5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.dump.jgfs_dump #BSUB -o %ECF_OUT%/gfs.dump.jgfs_dump_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_DUMP %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf index 5cd044c..4934fb5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.dump.jgfs_tropcy_qc_reloc #BSUB -o %ECF_OUT%/gfs.dump.jgfs_tropcy_qc_reloc_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_TROPCY_QC_RELOC %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_high.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_high.ecf index c3140db..c043e42 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_high.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_high.ecf @@ -4,17 +4,17 @@ #BSUB -J gfs.forecast.jgfs_forecast_high #BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_high_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] +#BSUB -W 0:05 +#BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] -export NODES=64 +export NODES=1 %include %include set -x -export ntasks=768 -export ptile=12 +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile # No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_low.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_low.ecf index 37302ab..63e0f7e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_low.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_low.ecf @@ -4,17 +4,17 @@ #BSUB -J gfs.forecast.jgfs_forecast_low #BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_low_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] +#BSUB -W 0:05 +#BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] -export NODES=64 +export NODES=1 %include %include set -x -export ntasks=768 -export ptile=12 +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile # No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak.ecf index 33942eb..0bc0151 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.gempak.jgfs_gempak #BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_GEMPAK %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_meta.ecf index 27db5f1..365366b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_meta.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_meta.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.gempak.jgfs_gempak_meta #BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_meta_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_GEMPAK_META %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_ncdc.ecf index 3188b7c..1d37b9c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_ncdc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_ncdc.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.gempak.jgfs_gempak_ncdc #BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_ncdc_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_GEMPAK_NCDC %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_upapgif.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_upapgif.ecf index 8a66333..d0b9e49 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_upapgif.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_upapgif.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.gempak.jgfs_gempak_upapgif #BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_upapgif_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_GEMPAK_UPAPGIF %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf index 64ef876..9a905b2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf @@ -1,33 +1,46 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_pgrb2_spec_gempak +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_pgrb2_spec_gempak_t%CYC%z.log + +#BSUB -W 0:05 +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_PGRB2_SPEC_GEMPAK %include %manual +# FIXME: Insert manual for this job. + +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf index abcf04c..9c432ae 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf @@ -4,18 +4,18 @@ #BSUB -J gfs.jgfs_analysis #BSUB -o %ECF_OUT%/gfs.jgfs_analysis_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] +#BSUB -W 0:05 +#BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] -export NODES=60 +export NODES=1 %include %include set -x -export ntasks=360 -export ptile=6 -export threads=2 +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_ANALYSIS %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf index fa7eafe..e716845 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.jgfs_vminmon #BSUB -o %ECF_OUT%/gfs.jgfs_vminmon_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_VMINMON %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_pgrb2_spec_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_pgrb2_spec_post.ecf index 0801f05..b721eb8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_pgrb2_spec_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_pgrb2_spec_post.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_pgrb2_spec_post #BSUB -o %ECF_OUT%/gfs.post.jgfs_pgrb2_spec_post_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_PGRB2_SPEC_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_anl.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_anl.ecf index 45a93c4..4b529f3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_anl.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_anl #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_anl_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_ANL %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f00.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f00.ecf index 02597de..5f8013c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f00.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f00 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f00_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F00 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f01.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f01.ecf index 108bf63..5fa243e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f01.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f01.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f01 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f01_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F01 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f02.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f02.ecf index 4fdac2f..7b7d049 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f02.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f02.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f02 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f02_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F02 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f03.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f03.ecf index f9e67b3..e87e1ce 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f03.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f03.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f03 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f03_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F03 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f04.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f04.ecf index 5cf2213..306b5d7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f04.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f04.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f04 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f04_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F04 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f05.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f05.ecf index 4d07699..de79851 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f05.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f05.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f05 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f05_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F05 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f06.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f06.ecf index 61378cf..00d3d4d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f06.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f06 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f06_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F06 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f07.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f07.ecf index 358a358..e64aa61 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f07.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f07.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f07 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f07_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F07 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f08.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f08.ecf index 54f90b9..525ca29 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f08.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f08.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f08 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f08_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F08 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f09.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f09.ecf index 8b82c0e..602cdb8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f09.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f09.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f09 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f09_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F09 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f10.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f10.ecf index 3cdac7f..544253b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f10.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f10 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f10_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F10 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f100.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f100.ecf index 1a86b5b..06859c4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f100.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f100 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f100_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F100 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f101.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f101.ecf index e249fa7..4de6302 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f101.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f101 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f101_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F101 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f102.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f102.ecf index 169b6f8..7198310 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f102.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f102 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f102_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F102 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f103.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f103.ecf index 9c80f50..6f0cbe1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f103.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f103 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f103_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F103 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f104.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f104.ecf index 0c91263..009cb45 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f104.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f104 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f104_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F104 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f105.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f105.ecf index 8c838f1..8485c90 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f105.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f105 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f105_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F105 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f106.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f106.ecf index 9227194..7d87568 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f106.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f106 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f106_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F106 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f107.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f107.ecf index e66cc39..e2385fd 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f107.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f107 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f107_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F107 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f108.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f108.ecf index 2404b80..e5ca743 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f108.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f108 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f108_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F108 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f109.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f109.ecf index 568e47e..39a3822 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f109.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f109 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f109_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F109 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f11.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f11.ecf index a8c31fe..5ce54a7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f11.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f11 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f11_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F11 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f110.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f110.ecf index 7f02883..6542099 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f110.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f110 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f110_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F110 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f111.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f111.ecf index 84edb70..5ba69f2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f111.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f111 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f111_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F111 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f112.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f112.ecf index 2c3d749..2146994 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f112.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f112 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f112_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F112 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f113.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f113.ecf index fcadb88..c952a20 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f113.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f113 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f113_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F113 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f114.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f114.ecf index e7339b4..43efe71 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f114.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f114 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f114_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F114 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f115.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f115.ecf index 4028ca9..4120253 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f115.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f115 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f115_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F115 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f116.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f116.ecf index 25c013b..42bdad2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f116.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f116 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f116_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F116 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f117.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f117.ecf index 40b2a29..065b3f4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f117.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f117 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f117_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F117 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f118.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f118.ecf index c4f7bad..320e22c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f118.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f118 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f118_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F118 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f119.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f119.ecf index 57a736c..30fe7ab 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f119.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f119 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f119_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F119 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f12.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f12.ecf index 791286e..5c63819 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f12.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f12 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f12_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F12 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f120.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f120.ecf index 7efc829..a794195 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f120.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f120 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f120_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F120 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f123.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f123.ecf index b7fed67..aee73b3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f123.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f123 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f123_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F123 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f126.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f126.ecf index faa1ca2..a89d70f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f126.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f126 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f126_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F126 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f129.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f129.ecf index 0bff7d7..eb6c87b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f129.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f129 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f129_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F129 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f13.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f13.ecf index 9370750..26cf79f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f13.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f13 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f13_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F13 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f132.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f132.ecf index cd98649..fad5b37 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f132.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f132 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f132_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F132 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f135.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f135.ecf index adf58ff..cd16570 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f135.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f135 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f135_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F135 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f138.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f138.ecf index 3b4d3e1..ea21051 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f138.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f138 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f138_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F138 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f14.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f14.ecf index c2eb6c2..2698e34 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f14.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f14 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f14_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F14 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f141.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f141.ecf index 845199e..47b2ccf 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f141.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f141 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f141_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F141 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f144.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f144.ecf index b14d208..cde0cc5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f144.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f144 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f144_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F144 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f147.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f147.ecf index 8559ed0..0f43f94 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f147.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f147 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f147_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F147 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f15.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f15.ecf index 086115c..85c97cd 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f15.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f15 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f15_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F15 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f150.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f150.ecf index 309c34f..ec33863 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f150.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f150 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f150_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F150 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f153.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f153.ecf index 430952c..a83e3ba 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f153.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f153 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f153_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F153 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f156.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f156.ecf index 064a627..969b6ee 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f156.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f156 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f156_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F156 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f159.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f159.ecf index 1ce69e7..4055d43 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f159.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f159 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f159_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F159 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f16.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f16.ecf index fd7598f..78f7dc8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f16.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f16 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f16_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F16 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f162.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f162.ecf index 854d633..72b0d82 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f162.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f162 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f162_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F162 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f165.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f165.ecf index c2c60b9..a8cc110 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f165.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f165 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f165_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F165 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f168.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f168.ecf index 2a9d6ba..e583401 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f168.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f168 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f168_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F168 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f17.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f17.ecf index 5d76cb5..a396d93 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f17.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f17.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f17 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f17_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F17 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f171.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f171.ecf index 8ea9fff..914f45c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f171.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f171 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f171_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F171 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f174.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f174.ecf index 4402bce..f47913d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f174.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f174 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f174_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F174 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f177.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f177.ecf index 107d37a..e4c6edf 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f177.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f177 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f177_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F177 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f18.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f18.ecf index 3b29c2f..43c5a6c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f18.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f18 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f18_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F18 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f180.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f180.ecf index e9c8054..9cf23fd 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f180.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f180 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f180_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F180 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f183.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f183.ecf index 22d1912..7e77705 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f183.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f183 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f183_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F183 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f186.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f186.ecf index 115a3f4..569749e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f186.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f186 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f186_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F186 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f189.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f189.ecf index b106e6e..d030954 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f189.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f189 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f189_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F189 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f19.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f19.ecf index 21956ec..9f02c15 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f19.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f19.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f19 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f19_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F19 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f192.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f192.ecf index 4ae2aff..ca81797 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f192.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f192 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f192_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F192 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f195.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f195.ecf index 0f24e2a..abe6960 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f195.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f195 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f195_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F195 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f198.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f198.ecf index ef6396a..7ce2f55 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f198.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f198 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f198_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F198 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f20.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f20.ecf index 7c47937..e810c9e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f20.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f20.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f20 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f20_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F20 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f201.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f201.ecf index 2f9317a..858cd58 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f201.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f201 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f201_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F201 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f204.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f204.ecf index efa0ded..0cad17d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f204.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f204 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f204_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F204 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f207.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f207.ecf index ec1710e..c7fd9c8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f207.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f207 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f207_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F207 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f21.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f21.ecf index 4f804b0..55aeb3f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f21.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f21.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f21 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f21_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F21 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f210.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f210.ecf index 35362be..b000cc7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f210.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f210 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f210_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F210 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f213.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f213.ecf index 34c4bf6..18801f6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f213.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f213 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f213_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F213 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f216.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f216.ecf index b71785a..440fd09 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f216.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f216 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f216_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F216 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f219.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f219.ecf index e5532a7..05e8864 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f219.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f219 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f219_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F219 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f22.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f22.ecf index 14de8d7..b9e07b6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f22.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f22.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f22 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f22_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F22 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f222.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f222.ecf index 1323cc2..ef5066f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f222.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f222 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f222_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F222 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f225.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f225.ecf index 455ead1..68c8b89 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f225.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f225 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f225_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F225 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f228.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f228.ecf index cfac18f..d327681 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f228.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f228 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f228_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F228 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f23.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f23.ecf index 55ae234..7e6297a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f23.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f23.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f23 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f23_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F23 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f231.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f231.ecf index 056d407..8e91182 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f231.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f231 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f231_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F231 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f234.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f234.ecf index 23a4639..745af27 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f234.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f234 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f234_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F234 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f237.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f237.ecf index c0ce852..b6b6608 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f237.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f237 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f237_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F237 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f24.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f24.ecf index c8386e3..aa530b1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f24.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f24 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f24_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F24 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f240.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f240.ecf index c81481b..6588508 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f240.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f240 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f240_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F240 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f25.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f25.ecf index c27b146..7dd1688 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f25.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f25.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f25 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f25_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F25 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f252.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f252.ecf index de6a3e9..df2b109 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f252.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f252 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f252_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F252 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f26.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f26.ecf index 19e658b..545d1de 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f26.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f26.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f26 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f26_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F26 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f264.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f264.ecf index 6062291..d53bd8a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f264.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f264 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f264_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F264 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f27.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f27.ecf index 49aefae..5262acc 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f27.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f27.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f27 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f27_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F27 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f276.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f276.ecf index 0acae9d..6d06ce5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f276.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f276 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f276_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F276 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f28.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f28.ecf index ed73eb8..7a35535 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f28.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f28.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f28 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f28_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F28 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f288.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f288.ecf index 42d9617..2c039e4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f288.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f288 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f288_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F288 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f29.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f29.ecf index c84c6f3..d286ed1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f29.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f29.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f29 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f29_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F29 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f30.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f30.ecf index 23927d7..320a8bc 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f30.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f30 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f30_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F30 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f300.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f300.ecf index 68473ec..dfc176e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f300.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f300 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f300_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F300 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f31.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f31.ecf index a7d4c7e..e1cd639 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f31.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f31.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f31 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f31_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F31 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f312.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f312.ecf index 2b32b78..491828c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f312.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f312 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f312_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F312 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f32.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f32.ecf index 7730300..db910c1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f32.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f32.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f32 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f32_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F32 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f324.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f324.ecf index 7a86340..56eadc4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f324.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f324 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f324_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F324 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f33.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f33.ecf index 6b5bec0..8179217 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f33.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f33.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f33 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f33_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F33 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f336.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f336.ecf index 191765b..73fd943 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f336.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f336 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f336_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F336 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f34.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f34.ecf index cd5061b..ca8c4ca 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f34.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f34.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f34 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f34_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F34 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f348.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f348.ecf index 95b8f8b..a3deca3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f348.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f348 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f348_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F348 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f35.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f35.ecf index cacaf52..56298c2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f35.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f35.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f35 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f35_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F35 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f36.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f36.ecf index e33dde8..fd3a562 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f36.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f36 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f36_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F36 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f360.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f360.ecf index 4fc0fe4..47c1637 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f360.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f360 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f360_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F360 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f37.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f37.ecf index 5a92603..57b855b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f37.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f37.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f37 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f37_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F37 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f372.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f372.ecf index 8b5f4b6..2c09fa7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f372.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f372 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f372_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F372 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f38.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f38.ecf index 2a26048..293768b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f38.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f38.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f38 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f38_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F38 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f384.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f384.ecf index 938424a..35de2d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f384.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f384 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f384_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F384 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f39.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f39.ecf index 1b8ec9d..e575fa0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f39.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f39.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f39 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f39_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F39 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f40.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f40.ecf index 1eec893..f327b92 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f40.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f40.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f40 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f40_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F40 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f41.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f41.ecf index c1c7542..3997b0a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f41.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f41.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f41 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f41_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F41 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f42.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f42.ecf index 2325836..cddd38a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f42.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f42 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f42_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F42 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f43.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f43.ecf index ff41cfb..6e2f893 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f43.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f43.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f43 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f43_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F43 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f44.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f44.ecf index 4ce3278..4d19013 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f44.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f44.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f44 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f44_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F44 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f45.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f45.ecf index 91e6446..f97294f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f45.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f45.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f45 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f45_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F45 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f46.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f46.ecf index 8b0dc36..4e75af3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f46.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f46.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f46 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f46_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F46 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f47.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f47.ecf index e67c97a..5f6d908 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f47.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f47.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f47 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f47_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F47 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f48.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f48.ecf index 2b62b20..ba02467 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f48.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f48 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f48_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F48 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f49.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f49.ecf index 472ad4c..1f20948 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f49.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f49.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f49 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f49_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F49 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f50.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f50.ecf index 7553af6..1792ab9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f50.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f50.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f50 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f50_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F50 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f51.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f51.ecf index c912aaa..69c9186 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f51.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f51.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f51 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f51_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F51 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f52.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f52.ecf index 550df2f..762d5c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f52.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f52.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f52 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f52_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F52 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f53.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f53.ecf index 92dd73f..0ea5103 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f53.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f53.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f53 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f53_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F53 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f54.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f54.ecf index bea0edc..2661bc6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f54.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f54 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f54_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F54 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f55.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f55.ecf index 837811c..66b212c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f55.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f55.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f55 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f55_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F55 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f56.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f56.ecf index d89b869..5490585 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f56.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f56.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f56 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f56_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F56 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f57.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f57.ecf index 348ee4f..5c4cad4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f57.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f57.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f57 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f57_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F57 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f58.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f58.ecf index 18c4a48..d7a4de8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f58.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f58.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f58 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f58_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F58 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f59.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f59.ecf index 911e47a..1b225d6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f59.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f59.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f59 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f59_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F59 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f60.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f60.ecf index 5146bd0..e93c3de 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f60.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f60 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f60_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F60 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f61.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f61.ecf index ae3fea3..400eb38 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f61.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f61.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f61 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f61_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F61 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f62.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f62.ecf index 436b0bc..30de2e0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f62.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f62.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f62 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f62_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F62 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f63.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f63.ecf index f0a1a8f..98e5130 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f63.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f63.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f63 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f63_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F63 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f64.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f64.ecf index 950507b..244c3f2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f64.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f64.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f64 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f64_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F64 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f65.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f65.ecf index d6c4e7f..d9c2023 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f65.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f65.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f65 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f65_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F65 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f66.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f66.ecf index 5925426..3733ac3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f66.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f66 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f66_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F66 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f67.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f67.ecf index a30b66c..a3fe02d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f67.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f67.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f67 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f67_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F67 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f68.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f68.ecf index 02c4962..0498be1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f68.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f68.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f68 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f68_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F68 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f69.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f69.ecf index 1a4852c..7e0119f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f69.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f69.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f69 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f69_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F69 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f70.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f70.ecf index ad1dca1..96b0def 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f70.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f70.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f70 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f70_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F70 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f71.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f71.ecf index b75158b..ea72192 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f71.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f71.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f71 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f71_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F71 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f72.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f72.ecf index bfda8ea..37c4e10 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f72.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f72 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f72_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F72 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f73.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f73.ecf index 5703db6..85782de 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f73.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f73.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f73 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f73_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F73 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f74.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f74.ecf index 4d8a171..9ebee44 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f74.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f74.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f74 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f74_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F74 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f75.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f75.ecf index df16a6d..638ebb0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f75.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f75.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f75 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f75_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F75 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f76.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f76.ecf index 8e6af05..56c3b61 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f76.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f76.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f76 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f76_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F76 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f77.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f77.ecf index cfa16fc..79ff02f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f77.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f77.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f77 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f77_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F77 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f78.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f78.ecf index 96ab8cd..cfa1fa0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f78.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f78 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f78_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F78 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f79.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f79.ecf index d5bacf3..594cb93 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f79.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f79.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f79 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f79_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F79 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f80.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f80.ecf index a43e62f..39bcb24 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f80.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f80.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f80 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f80_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F80 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f81.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f81.ecf index 433bd18..06facc2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f81.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f81.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f81 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f81_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F81 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f82.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f82.ecf index a79f29b..1de6545 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f82.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f82.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f82 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f82_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F82 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f83.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f83.ecf index c0a8717..5b74ba6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f83.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f83.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f83 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f83_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F83 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f84.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f84.ecf index fc497b7..21095ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f84.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f84 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f84_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F84 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f85.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f85.ecf index 4b8f159..f75e946 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f85.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f85.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f85 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f85_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F85 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f86.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f86.ecf index d277132..4a80448 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f86.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f86.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f86 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f86_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F86 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f87.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f87.ecf index ba24c5d..68190f4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f87.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f87.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f87 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f87_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F87 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f88.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f88.ecf index 81a30a6..1c55188 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f88.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f88.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f88 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f88_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F88 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f89.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f89.ecf index 3514e27..02bcccc 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f89.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f89.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f89 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f89_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F89 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f90.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f90.ecf index 73a0585..ddbe0e7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f90.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f90 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f90_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F90 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f91.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f91.ecf index 3eceeee..00a9c4a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f91.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f91.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f91 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f91_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F91 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f92.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f92.ecf index bc30db6..9bfe2f2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f92.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f92.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f92 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f92_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F92 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f93.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f93.ecf index 842596d..530c14c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f93.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f93.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f93 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f93_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F93 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f94.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f94.ecf index adc946c..5334d61 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f94.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f94.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f94 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f94_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F94 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f95.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f95.ecf index 961d45a..0f61bb5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f95.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f95.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f95 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f95_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F95 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f96.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f96.ecf index e7c1809..f5c98c3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f96.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f96 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f96_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F96 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f97.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f97.ecf index 5a7b7cc..c1511e7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f97.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f97.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f97 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f97_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F97 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f98.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f98.ecf index 6aba72f..7fa20e0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f98.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f98.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f98 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f98_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F98 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f99.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f99.ecf index fd493c7..d8d28fd 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f99.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f99.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f99 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f99_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F99 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_manager.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_manager.ecf index cce2e41..e92e20b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_manager.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_manager.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_manager #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_manager_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_MANAGER %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf index d3ec7f0..c1fb614 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f000 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f000_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F000 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf index 669ca3e..640ca4b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f001 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f001_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F001 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf index bcc4ea7..88b9291 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f002 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f002_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F002 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf index 1389451..492ef0f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f003 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f003_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F003 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf index ff9a6db..97b0489 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f004 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f004_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F004 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf index fa0b9da..994f70e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f005 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f005_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F005 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf index 216de5b..12538da 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f006 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f006_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F006 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf index e848d86..7fd41f3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f007 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f007_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F007 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf index 8fd242a..1fe6f29 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f008 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f008_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F008 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf index d27fae2..ef101e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f009 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f009_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F009 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf index 0484b7b..e60e0d5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f010 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f010_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F010 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf index 3aa2ddb..a4d2421 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f011 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f011_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F011 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf index ceb7bcf..0b22ce4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f012 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f012_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F012 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf index ab42d41..4c12e00 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f013 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f013_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F013 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf index 59a4b64..906e2d3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f014 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f014_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F014 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf index 53a8752..b04b114 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f015 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f015_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F015 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf index 6a2c161..6c1443c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f016 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f016_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F016 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf index 5643028..d179c83 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f017 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f017_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F017 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf index 9017a9e..551a7e7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f018 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f018_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F018 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf index e330aff..56028e2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f019 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f019_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F019 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf index 9ed1e5e..b939cef 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f020 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f020_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F020 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf index 1db01b8..6fd5982 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f021 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f021_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F021 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf index 4d37614..038afe8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f022 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f022_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F022 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf index 7cce8c7..39e5f6e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f023 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f023_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F023 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf index d257cd9..5ab3b64 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f024 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f024_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F024 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf index 280cef8..9fc4628 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f025 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f025_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F025 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf index 4988749..aa28901 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f026 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f026_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F026 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf index 5d3a7a1..ad001a4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f027 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f027_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F027 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf index de2fc15..2a2c00c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f028 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f028_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F028 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf index fb40400..808c730 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f029 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f029_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F029 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf index 1b2d8c6..80a9c62 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f030 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f030_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F030 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf index fce31a7..374011e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f031 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f031_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F031 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf index c657d14..7b6c6ce 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f032 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f032_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F032 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf index 4fc3a2c..8c0cbb0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f033 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f033_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F033 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf index 8f26afb..c351d2c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f034 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f034_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F034 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf index ecabe41..7163d39 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f035 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f035_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F035 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf index 5ee87b4..55a3cbc 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f036 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f036_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F036 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf index 4069a46..0b8ed4e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f037 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f037_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F037 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf index 9a02dd7..a32ccc0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f038 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f038_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F038 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf index 196511a..75a5126 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f039 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f039_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F039 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf index 8f90a29..ad0dc9b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f040 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f040_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F040 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf index 22378cc..1d8df68 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f041 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f041_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F041 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf index 5926ab9..6466e79 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f042 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f042_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F042 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf index 9722311..0cba21e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f043 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f043_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F043 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf index fe25953..850abc7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f044 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f044_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F044 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf index 5a97394..20fc666 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f045 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f045_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F045 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf index 61ddb06..8d41a24 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f046 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f046_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F046 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf index 64cf3a6..c7e5533 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f047 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f047_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F047 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf index 92a8d47..84e5db0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f048 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f048_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F048 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf index a14d268..06e3505 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f049 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f049_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F049 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf index fb8d1ca..1113e28 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f050 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f050_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F050 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf index 6a2377c..b84adb2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f051 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f051_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F051 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf index 6161af9..ffff93f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f052 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f052_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F052 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf index 518272e..1a506a2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f053 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f053_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F053 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf index 2e1e4ab..4735cd2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f054 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f054_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F054 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf index 7fe7456..81f353e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f055 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f055_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F055 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf index 895605b..5b1d38c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f056 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f056_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F056 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf index 5a552bd..676c156 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f057 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f057_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F057 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf index 4a6e5fe..7363d1c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f058 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f058_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F058 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf index 3fb2a82..75c2938 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f059 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f059_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F059 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf index cf13c9f..95f0a85 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f060 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f060_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F060 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf index b752ca2..0e86122 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f061 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f061_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F061 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf index 45a961c..d4e8a9d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f062 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f062_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F062 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf index 18722a0..e6095c1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f063 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f063_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F063 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf index 1ed950b..b11238f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f064 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f064_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F064 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf index a3ee684..0f2d430 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f065 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f065_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F065 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf index a30cdf1..40345f5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f066 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f066_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F066 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf index 971abcc..72f7a81 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f067 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f067_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F067 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf index 20aae5d..a56a873 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f068 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f068_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F068 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf index 23b3f35..43800bf 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f069 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f069_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F069 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf index 0bca73e..a694da3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f070 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f070_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F070 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf index e18ed18..da03b01 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f071 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f071_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F071 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf index 81b0dbd..fbba1f7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f072 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f072_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F072 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf index 0afd294..b57b7d9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f073 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f073_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F073 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf index b35e294..1f514fc 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f074 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f074_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F074 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf index cdd1c9a..1f31c2a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f075 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f075_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F075 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf index 463d7fc..a247356 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f076 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f076_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F076 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf index 9b6d244..002f6a6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f077 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f077_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F077 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf index 6a8f2e0..369b1d0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f078 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f078_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F078 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf index 77b3012..c142645 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f079 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f079_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F079 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf index 603586f..d2399eb 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f080 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f080_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F080 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf index 28338e3..0547ae8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f081 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f081_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F081 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf index 67d2c2a..6161ab2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f082 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f082_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F082 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf index c7fa12e..b89bae0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f083 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f083_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F083 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf index 42f449d..c0a272a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f084 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f084_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F084 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf index b1a1f45..d8415f5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f085 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f085_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F085 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf index eca4005..6661611 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f086 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f086_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F086 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf index f0cf3d8..bc5ee43 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f087 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f087_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F087 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf index acfad04..79948a0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f088 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f088_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F088 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf index a640f6a..c7b50df 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f089 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f089_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F089 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf index e089a70..a3f4619 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f090 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f090_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F090 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf index f5b80dc..b649a8e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f091 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f091_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F091 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf index 0a98218..b40c270 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f092 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f092_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F092 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf index 5864377..69fc0cb 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f093 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f093_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F093 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf index e598259..e8a80ca 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f094 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f094_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F094 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf index bbcaefa..fddc9ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f095 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f095_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F095 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf index cacd7fa..c5d31f5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f096 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f096_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F096 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf index 26dc797..fdd8a8f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f097 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f097_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F097 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf index eb95fc9..48b7439 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f098 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f098_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F098 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf index 474a79a..8eec734 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f099 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f099_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F099 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf index 25443e0..9b8aeac 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f100 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f100_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf index 346a86d..6424364 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f101 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f101_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf index f49973c..03371ca 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f102 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f102_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf index 70ec792..06006f4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f103 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f103_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf index 732d5d0..0565f13 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f104 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f104_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf index 4efbb80..d7e20d3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f105 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f105_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf index 27e9e7e..85ff184 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f106 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f106_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf index db13b96..6bf52dd 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f107 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f107_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf index 61fef46..4cd8566 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f108 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f108_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf index a7ad7c6..38ef84f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f109 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f109_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf index b8e8353..76fbc49 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f110 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f110_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf index 8092265..ac95897 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f111 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f111_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf index 79245a5..074fb4f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f112 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f112_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf index c31419b..a5564b5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f113 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f113_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf index 57e845a..35a1ed3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f114 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f114_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf index 7784fcc..bf98abe 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f115 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f115_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf index 58c52d0..4221c76 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f116 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f116_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf index d222027..2dd3cb9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f117 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f117_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf index 40bd197..e8d4608 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f118 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f118_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf index acc1d99..541ae66 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f119 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f119_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf index bc4057c..2bd29ce 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f120 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f120_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf index 06a8220..21313ea 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f123 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f123_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf index 0971d65..6636e27 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f126 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f126_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf index 98b8e26..920d538 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f129 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f129_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf index f0149ce..bf567e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f132 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f132_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf index b92409f..f66ed98 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f135 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f135_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf index 65b9001..7963ff9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f138 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f138_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf index 515a95f..bd7c364 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f141 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f141_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf index a83e1ee..58957ae 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f144 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f144_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf index 513a955..4817e8e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f147 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f147_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf index 7148c6f..3c84a94 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f150 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f150_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf index 6f6c03a..34226e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f153 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f153_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf index 2124ce9..6d06684 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f156 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f156_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf index 845a1a9..7e4a1ae 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f159 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f159_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf index d562347..52b9630 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f162 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f162_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf index a7c2d69..bc8dece 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f165 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f165_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf index 9f40903..0047482 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f168 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f168_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf index 5e7e844..da8354f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f171 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f171_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf index b1ddb61..47e0c98 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f174 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f174_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf index 0b2eda4..c37f36f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f177 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f177_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf index 37b5b22..097358a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f180 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f180_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf index 836131c..b1fcaa6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f183 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f183_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf index 5bb10f4..2ddb8d0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f186 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f186_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf index 295fa2f..4234c12 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f189 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f189_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf index e7df28f..a7ceec9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f192 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f192_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf index 84e2e0a..1fd7f90 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f195 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f195_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf index c4410ac..c450485 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f198 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f198_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf index 73c50b7..58781d5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f201 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f201_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf index a4c0108..c7baa59 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f204 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f204_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf index fd59fac..6c4e6df 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f207 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f207_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf index 8d1750d..20cfcb7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f210 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f210_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf index 2c901e9..3ec4b33 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f213 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f213_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf index dd202cc..ae63935 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f216 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f216_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf index cf3b8af..536c930 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f219 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f219_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf index 8a2b14d..963908b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f222 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f222_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf index 72ba6d8..c955708 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f225 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f225_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf index e6d53d8..d1be0d7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f228 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f228_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf index 674137f..9bbe557 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f231 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f231_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf index 423eaea..8929a80 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f234 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f234_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf index d454c69..95f5aa0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f237 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f237_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf index 2f09456..8e6f26e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f240 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f240_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf index bf69c9c..a97892e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f252 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f252_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf index aaaad1a..c74a374 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f264 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f264_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf index 61fbc1d..f73b3d2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f276 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f276_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf index 6189c31..64c35d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f288 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f288_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf index f3645fe..18c25e3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f300 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f300_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf index 676d412..84898ea 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f312 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f312_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf index 5492342..d4b3eb5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f324 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f324_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf index 7f558a7..6535a25 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f336 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f336_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf index b6f52b5..613faed 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f348 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f348_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf index e747d70..efe6615 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f360 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f360_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf index 4eb5880..4423993 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f372 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f372_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf index 83608a9..3ae2e57 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f384 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f384_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf index 427e646..ae35ac3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f000 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f000_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F000 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf index ab2af43..6671fad 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f001 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f001_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F001 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf index 9c3ce49..808d2c7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f002 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f002_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F002 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf index 91ecf3d..234a23b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f003 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f003_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F003 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf index 963ab8c..0ff8078 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f004 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f004_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F004 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf index 5e1ff16..389cfb6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f005 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f005_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F005 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf index 5f79c28..80a4b7d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f006 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f006_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F006 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf index 57a944e..69fddc7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f007 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f007_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F007 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf index d2ebc78..5bef22b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f008 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f008_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F008 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf index e6195e8..a0a5ccb 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f009 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f009_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F009 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf index 53301c3..7d820ef 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f010 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f010_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F010 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf index 8827e9f..b1ac8b2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f011 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f011_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F011 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf index a11f357..cf052f2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f012 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f012_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F012 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf index 18e01f0..ca594c3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f013 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f013_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F013 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf index e5e4f59..14d61f7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f014 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f014_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F014 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf index 1b34c83..53b9bf4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f015 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f015_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F015 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf index cf01459..abddacb 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f016 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f016_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F016 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf index bdca96c..d7a466f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f017 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f017_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F017 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf index 492457c..02383a2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f018 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f018_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F018 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf index b0bf180..3b9eb0e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f019 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f019_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F019 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf index 3bf1ecc..fe5fac2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f020 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f020_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F020 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf index bc6d6e4..81c7742 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f021 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f021_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F021 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf index 7de3250..135d9b8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f022 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f022_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F022 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf index e28a3cb..7964b48 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f023 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f023_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F023 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf index ff0e27c..56cf2c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f024 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f024_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F024 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf index 3e18e44..23e5edd 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f025 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f025_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F025 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf index ac56176..751a8c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f026 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f026_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F026 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf index 80dab35..e5798aa 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f027 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f027_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F027 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf index d79b344..07c6fe2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f028 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f028_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F028 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf index 20d63eb..2ced511 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f029 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f029_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F029 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf index 1e2b0c5..bd56863 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f030 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f030_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F030 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf index c900671..9100715 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f031 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f031_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F031 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf index 87be72c..cef7986 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f032 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f032_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F032 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf index e07b9d4..e8ff57c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f033 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f033_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F033 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf index ebd6b4d..a833bc9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f034 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f034_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F034 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf index 0bb38cb..098b9f9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f035 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f035_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F035 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf index 3943b5e..2c1ea25 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f036 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f036_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F036 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf index 6ca5114..803c785 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f037 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f037_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F037 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf index cff0b72..28cd665 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f038 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f038_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F038 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf index d2dbbbe..93017df 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f039 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f039_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F039 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf index e44ab23..c72d745 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f040 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f040_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F040 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf index aced496..686a1e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f041 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f041_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F041 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf index dbd4901..f2a1bfc 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f042 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f042_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F042 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf index b669e2a..53d463c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f043 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f043_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F043 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf index 0d87eca..1748cb1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f044 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f044_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F044 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf index 5fc043e..841f175 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f045 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f045_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F045 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf index 1d44129..c89c177 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f046 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f046_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F046 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf index 99e628a..bc34a8c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f047 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f047_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F047 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf index dc202cd..2ff427f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f048 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f048_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F048 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf index 4badc2d..7b2b073 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f049 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f049_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F049 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf index c5eea93..2d3e912 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f050 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f050_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F050 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf index 847867e..470289a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f051 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f051_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F051 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf index 5c2e61c..2ff3dac 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f052 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f052_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F052 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf index 9f92201..d1168d1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f053 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f053_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F053 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf index fcbd8c0..176a9bb 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f054 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f054_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F054 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf index 4b21b8e..e52363a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f055 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f055_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F055 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf index f69e31d..5fef8fe 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f056 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f056_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F056 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf index 0bc7a5a..9de3ae0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f057 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f057_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F057 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf index cca67b3..206b594 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f058 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f058_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F058 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf index 82481d8..9aa41e3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f059 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f059_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F059 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf index 767c16d..0514cac 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f060 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f060_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F060 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf index c3accb6..3bbdb09 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f061 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f061_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F061 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf index 0f1e2b4..5fc5a98 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f062 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f062_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F062 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf index 26cd4fd..d3f943f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f063 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f063_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F063 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf index 9e31579..a44d167 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f064 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f064_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F064 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf index 904ad8e..1252725 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f065 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f065_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F065 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf index 2ac1eef..940a7af 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f066 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f066_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F066 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf index e28f3e0..51c0cb0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f067 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f067_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F067 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf index 47e532d..b8e0473 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f068 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f068_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F068 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf index f0795c7..d375686 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f069 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f069_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F069 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf index 09f9386..9527385 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f070 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f070_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F070 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf index 7af6e71..ffdf993 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f071 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f071_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F071 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf index 163556e..e02aa31 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f072 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f072_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F072 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf index 312d962..2ccd34f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f073 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f073_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F073 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf index bc5854d..f2e1cc6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f074 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f074_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F074 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf index 995dd06..e8f87f5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f075 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f075_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F075 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf index 037d514..ada61e0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f076 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f076_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F076 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf index 0975f98..8f10c02 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f077 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f077_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F077 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf index 4fbf9a2..67a77dd 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f078 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f078_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F078 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf index f289400..0799802 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f079 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f079_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F079 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf index de9d879..7ed99ab 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f080 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f080_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F080 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf index 724b2c8..77083d5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f081 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f081_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F081 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf index 26342f1..3c27c8a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f082 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f082_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F082 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf index edac663..b827cec 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f083 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f083_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F083 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf index 23a6cc3..b3ed392 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f084 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f084_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F084 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf index 1c4b186..8b2509a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f085 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f085_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F085 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf index d640e2f..de2eb95 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f086 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f086_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F086 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf index 2b9a3b8..fb20750 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f087 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f087_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F087 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf index a8d0f25..b7df1ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f088 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f088_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F088 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf index d86ef52..2cf3558 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f089 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f089_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F089 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf index 4165018..a415ec3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f090 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f090_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F090 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf index 44f317d..9f74535 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f091 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f091_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F091 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf index 5d2b709..53e80cb 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f092 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f092_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F092 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf index bf7bae7..468d509 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f093 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f093_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F093 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf index 36cce97..e99338b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f094 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f094_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F094 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf index 5a253b1..74d403d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f095 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f095_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F095 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf index dc3b558..53d8dbd 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f096 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f096_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F096 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf index 7def71f..1b1c3ba 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f097 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f097_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F097 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf index 24e1f8b..983ef30 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f098 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f098_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F098 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf index 518dc00..d5b294c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f099 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f099_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F099 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf index 0d5469e..0472ef0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f100 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f100_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf index f78c4da..9536424 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f101 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f101_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf index 382154d..934dab3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f102 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f102_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf index bec4df5..997aa6f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f103 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f103_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf index 796ad73..1a6e3ab 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f104 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f104_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf index 457fec0..27759a9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f105 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f105_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf index a663fc9..abfe8de 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f106 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f106_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf index 7187594..7204f6f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f107 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f107_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf index 0384036..5b67f52 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f108 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f108_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf index 4d8d7be..3ce2b1a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f109 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f109_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf index 4f72a90..6543e22 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f110 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f110_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf index a8d57e6..4e0dfb6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f111 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f111_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf index 978e151..67fdf8b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f112 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f112_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf index 2455a58..98caf67 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f113 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f113_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf index ab9fcd1..0e13543 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f114 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f114_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf index 92372a6..df759dd 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f115 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f115_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf index 565aa8b..43c4e97 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f116 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f116_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf index 20dec08..852abdc 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f117 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f117_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf index d1d3f45..d43fa34 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f118 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f118_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf index 6b9017f..11fa723 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f119 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f119_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf index 9a00979..ea17b4d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f120 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f120_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf index e828b3d..3578324 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f123 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f123_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf index 36a4274..a21e424 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f126 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f126_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf index 0c03f90..ea8efdb 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f129 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f129_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf index e8832d6..d26fcdb 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f132 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f132_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf index 82befd3..22e93c9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f135 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f135_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf index 62f28da..942369b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f138 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f138_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf index 89909f5..9519b24 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f141 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f141_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf index bc6533e..b3a54b6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f144 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f144_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf index bbf018d..ea13e65 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f147 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f147_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf index 55ec8d3..57ae926 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f150 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f150_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf index 030ee2f..842b55a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f153 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f153_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf index fdea60e..0122777 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f156 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f156_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf index 8e38112..591ecd3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f159 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f159_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf index e7a1186..395efe8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f162 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f162_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf index fe86e22..55823a9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f165 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f165_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf index b79211c..bc67476 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f168 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f168_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf index 15a2d3e..10e706f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f171 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f171_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf index 94ecc78..0211339 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f174 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f174_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf index 34d4366..90d40dd 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f177 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f177_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf index a0d8ab1..23a1e36 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f180 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f180_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf index a8b777a..fcc9032 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f183 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f183_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf index 02e3a56..9dac820 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f186 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f186_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf index 87341cf..f6545db 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f189 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f189_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf index b8d0c76..28521fe 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f192 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f192_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf index 8bfbb73..d3729c7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f195 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f195_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf index 0363451..7cc21cb 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f198 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f198_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf index e94a022..757cbe2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f201 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f201_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf index d0c377b..77646cd 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f204 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f204_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf index d181b4f..8a9592f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f207 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f207_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf index 009dda4..a53da7e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f210 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f210_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf index 6dc4b69..49dc8b8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f213 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f213_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf index 0e4db87..c660eb4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f216 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f216_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf index abdfef5..46dfa03 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f219 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f219_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf index f52e413..2bb4a0e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f222 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f222_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf index a07a1a9..554cb51 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f225 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f225_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf index 1273cc5..96e7c3a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f228 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f228_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf index 81645c8..c760b11 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f231 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f231_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf index 7c4a116..3cfaf54 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f234 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f234_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf index bc34767..84b3268 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f237 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f237_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf index 47beb8d..08ed0ce 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f240 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f240_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf index 9b60402..f6b0ba0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f252 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f252_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf index dafeb58..cf8feeb 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f264 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f264_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf index c806814..8d998d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f276 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f276_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf index f74a5d0..0849675 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f288 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f288_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf index e605dfe..2455b68 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f300 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f300_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf index bf416a0..ef72d3f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f312 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f312_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf index 469902f..01966cc 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f324 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f324_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf index b966479..4924f52 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f336 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f336_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf index a84c97c..fee35a4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f348 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f348_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf index 5d84aa8..b6f08cb 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f360 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f360_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf index e87d5f6..6d95f0b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f372 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f372_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf index 1d40fbc..51e10ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f384 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f384_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf index 2d5ad7f..91f9c0e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.bufr_sounding.jgfs_postsnd #BSUB -o %ECF_OUT%/gfs.post_processing.bufr_sounding.jgfs_postsnd_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POSTSND %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf index 96a3c1d..6aa23a2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.bulletins.jgfs_cyclone_tracker #BSUB -o %ECF_OUT%/gfs.post_processing.bulletins.jgfs_cyclone_tracker_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_CYCLONE_TRACKER %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_fbwind.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_fbwind.ecf index 4c847e1..4219036 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_fbwind.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_fbwind.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.bulletins.jgfs_fbwind #BSUB -o %ECF_OUT%/gfs.post_processing.bulletins.jgfs_fbwind_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_FBWIND %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/dummy.ecf index 1923883..2d83c4b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/dummy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/dummy.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.dummy #BSUB -o %ECF_OUT%/gfs.post_processing.dummy_t%CYC%z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/DUMMY %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_anl.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_anl.ecf index b8e4792..0ff39b2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_anl.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.fax.jgfs_fax_anl #BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_anl_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_FAX_ANL %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_f00.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_f00.ecf index d1e4dad..ab7daa2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_f00.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.fax.jgfs_fax_f00 #BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_f00_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_FAX_F00 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf index 4b1f0e6..eb3abfe 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f12 #BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f12_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F12 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf index a395384..ee62ec2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f24 #BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f24_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F24 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf index 5aea03d..eb5e6ac 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f36 #BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f36_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F36 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf index b577f28..79ed9b8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib2_wafs.jgfs_wafs_blending #BSUB -o %ECF_OUT%/gfs.post_processing.grib2_wafs.jgfs_wafs_blending_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_BLENDING %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf index c59e3db..90fafaa 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib2_wafs.jgfs_wafs_grib2 #BSUB -o %ECF_OUT%/gfs.post_processing.grib2_wafs.jgfs_wafs_grib2_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_GRIB2 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf index adb1ad4..29ced0d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f00 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f00_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F00 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf index a46b72b..bfa27b9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f01 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f01_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F01 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf index d33beaf..723696f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f02 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f02_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F02 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf index d88139a..102378e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f03 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f03_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F03 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf index fb4e900..ea9e654 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f04 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f04_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F04 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf index d486a2f..a9d9184 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f05 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f05_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F05 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf index cfa2bf2..c28632b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f06 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f06_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F06 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf index dd3dbc9..f4b9f6d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f07 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f07_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F07 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf index ce5c372..e005f3b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f08 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f08_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F08 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf index cb1674c..716d367 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f09 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f09_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F09 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf index aaef77c..460980f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f10 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f10_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F10 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf index d62b985..a8a05be 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f100 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f100_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf index 5725afc..b988621 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f101 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f101_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf index 354fea8..47a9b7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f102 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f102_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf index 66af597..a90fffd 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f103 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f103_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf index 48a4f64..5584eed 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f104 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f104_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf index 95a9d3d..30f2ae5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f105 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f105_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf index 031774a..1dce32d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f106 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f106_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf index 4f2cd69..d7f5dbb 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f107 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f107_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf index 94a862f..033f727 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f108 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f108_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf index eea4505..3f2bfef 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f109 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f109_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf index 5beac26..64f0d21 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f11 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f11_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F11 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf index 22e93df..155bf2a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f110 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f110_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf index 9306d51..79a3a0a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f111 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f111_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf index 7cdcd3a..aae9fe2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f112 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f112_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf index 539e8a1..6b298d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f113 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f113_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf index 1c93e8a..d8a1c0f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f114 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f114_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf index 1190c24..bad0d0a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f115 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f115_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf index 7d389ea..6af05c4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f116 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f116_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf index 0230c3c..a57016d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f117 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f117_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf index d32e76f..6df2ec8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f118 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f118_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf index d0fafc1..7faa628 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f119 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f119_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf index 374e644..6ebb09f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f12 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f12_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F12 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf index 03503a0..17301f1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f120 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f120_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf index f31eb34..9423474 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f123 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f123_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf index 35cfc97..839a402 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f126 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f126_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf index bff0e91..2f34405 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f129 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f129_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf index 4899125..9f1b536 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f13 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f13_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F13 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf index 371f9ed..ac86153 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f132 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f132_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf index 151bab5..6434a64 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f135 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f135_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf index 1aa187d..c03da79 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f138 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f138_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf index 3fa0e14..4082779 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f14 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f14_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F14 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf index 94cd747..9bd79a7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f141 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f141_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf index adcc1ea..f916da3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f144 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f144_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf index 22d8ff0..643d497 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f147 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f147_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf index 81367d6..fd4080b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f15 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f15_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F15 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf index ed71266..0c50a5b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f150 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f150_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf index 247b1a6..fa06712 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f153 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f153_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf index d0ea37b..b4c34cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f156 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f156_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf index bb4cf49..ebd3f77 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f159 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f159_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf index 87e22d7..b8ce940 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f16 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f16_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F16 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf index f4cc0fc..65d4b82 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f162 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f162_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf index 497e7f1..e07c254 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f165 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f165_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf index dd3cb7d..90f995f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f168 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f168_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf index 436e380..06ffd71 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f17 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f17_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F17 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf index f9cd5cf..64fb24b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f171 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f171_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf index 5ef8f08..20a634e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f174 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f174_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf index 84ef9f6..5e61088 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f177 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f177_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf index c3e3657..759f33c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f18 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f18_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F18 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf index 28b4cfb..b29f445 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f180 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f180_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf index 1ce8970..45b7022 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f183 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f183_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf index 7530c7d..fde9efd 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f186 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f186_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf index 1b90f28..7789691 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f189 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f189_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf index 6462b1a..2293791 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f19 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f19_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F19 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf index 804cec4..3f7aeea 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f192 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f192_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf index f4ddb7b..c75f6ca 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f195 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f195_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf index 444b633..f41cec0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f198 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f198_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf index 6705e9e..324b62f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f20 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f20_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F20 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf index 2a28866..b0ed9f0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f201 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f201_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf index e98d72f..5f3b856 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f204 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f204_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf index bb5e694..d454358 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f207 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f207_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf index a20f7ef..e0db7ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f21 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f21_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F21 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf index f8d307a..3881883 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f210 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f210_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf index 2a7ee67..a29963a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f213 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f213_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf index 9bc5ed8..d9a6701 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f216 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f216_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf index cc6320a..271a7dc 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f219 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f219_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf index ede0601..3eb6e94 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f22 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f22_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F22 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf index 18125ce..7457f81 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f222 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f222_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf index 16c8377..3e3b3b9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f225 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f225_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf index 57a6604..a97a196 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f228 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f228_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf index ecf30b3..a2d4a28 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f23 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f23_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F23 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf index 6986c20..56cadcd 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f231 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f231_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf index 0b07b10..a60d59f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f234 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f234_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf index 67f6965..7e84bfa 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f237 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f237_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf index 4b7aae4..c0a5999 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f24 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f24_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F24 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf index 52c48ac..5a5704d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f240 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f240_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf index 06cc2c4..67ec35f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f25 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f25_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F25 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf index 3537e3c..08c5da3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f252 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f252_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf index f11863a..9752646 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f26 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f26_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F26 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf index da38a1a..c7d8be2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f264 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f264_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf index 421c406..00479b3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f27 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f27_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F27 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf index fa03e2d..8c5092c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f276 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f276_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf index ca48731..39b1867 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f28 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f28_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F28 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf index 87df796..063399d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f288 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f288_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf index 794de15..9ad9ae6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f29 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f29_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F29 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf index 348d3ed..5bd5fe7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f30 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f30_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F30 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf index a164f11..8a8671c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f300 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f300_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf index 1339cbd..1492e49 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f31 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f31_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F31 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf index bc5f248..3d3d96e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f312 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f312_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf index 7ef94b8..709b2bc 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f32 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f32_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F32 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf index fa88006..22a0236 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f324 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f324_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf index 83634a1..827cb9b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f33 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f33_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F33 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf index c726e99..535d32b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f336 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f336_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf index 844e348..4c9a59f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f34 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f34_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F34 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf index 13fe822..c11623d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f348 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f348_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf index 1c3b581..ee0d675 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f35 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f35_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F35 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf index 051227c..51fd3bc 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f36 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f36_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F36 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf index 0154ac9..7fcdbb4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f360 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f360_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf index cdc9dbc..00ee670 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f37 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f37_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F37 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf index afc7ba1..8d6686d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f372 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f372_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf index 4b9de22..e8fb122 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f38 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f38_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F38 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf index 2020efc..de4a19a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f384 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f384_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf index 64a9a97..662ddae 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f39 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f39_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F39 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf index 4a87b0c..1860999 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f40 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f40_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F40 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf index a5b92bb..9a21178 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f41 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f41_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F41 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf index 3df6e13..1a39075 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f42 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f42_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F42 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf index a43d18a..e27fa3f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f43 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f43_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F43 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf index d0cb547..5bf4069 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f44 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f44_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F44 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf index 652f27a..50e07a9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f45 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f45_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F45 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf index f94e41f..1ea6d07 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f46 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f46_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F46 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf index 47226dd..5e1e410 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f47 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f47_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F47 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf index 227e882..8481a27 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f48 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f48_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F48 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf index abd01b3..ac8bc77 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f49 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f49_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F49 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf index 5feab26..d2d68b7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f50 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f50_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F50 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf index 56667e4..a45b9ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f51 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f51_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F51 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf index 53fd0c7..8c00cd1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f52 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f52_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F52 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf index daaec08..37abc90 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f53 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f53_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F53 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf index 4a3b6c8..f2ad448 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f54 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f54_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F54 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf index a559b9c..970a13c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f55 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f55_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F55 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf index 0ba8653..dba031c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f56 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f56_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F56 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf index 83e5c03..669462d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f57 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f57_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F57 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf index 8f56133..3ec6db8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f58 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f58_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F58 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf index a0d96d9..e47b686 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f59 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f59_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F59 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf index e0b6774..1da1b31 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f60 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f60_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F60 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf index 17df620..f344991 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f61 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f61_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F61 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf index 52e4521..88d7169 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f62 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f62_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F62 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf index 364bc9f..ec34caa 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f63 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f63_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F63 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf index da86908..2753d36 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f64 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f64_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F64 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf index e823346..a47cdc5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f65 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f65_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F65 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf index 323118b..4cdd011 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f66 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f66_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F66 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf index 3d82494..be636db 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f67 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f67_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F67 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf index e6448b4..fd0473a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f68 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f68_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F68 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf index 4935ad1..b7f6c56 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f69 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f69_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F69 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf index 06948f6..9358332 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f70 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f70_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F70 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf index b728e42..65ad13d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f71 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f71_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F71 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf index f715fa8..372e94e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f72 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f72_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F72 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf index f12b549..05c4dd9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f73 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f73_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F73 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf index ba3198c..042dcc4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f74 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f74_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F74 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf index f77d4dc..639e69f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f75 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f75_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F75 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf index 1b4c82e..21d6d5a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f76 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f76_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F76 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf index 61efcda..1504ba0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f77 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f77_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F77 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf index 31be811..3734817 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f78 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f78_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F78 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf index 1137557..61da011 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f79 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f79_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F79 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf index 1b00c60..35f200e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f80 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f80_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F80 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf index 92f08a2..24eca70 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f81 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f81_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F81 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf index 0d739e1..08c9a92 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f82 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f82_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F82 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf index d4eb2bb..274e694 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f83 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f83_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F83 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf index b855201..9d85e4e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f84 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f84_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F84 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf index 1f91e3d..7f5836c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f85 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f85_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F85 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf index b47aaed..c491a7c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f86 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f86_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F86 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf index e0500e1..83d485a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f87 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f87_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F87 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf index 6fee43b..fad0e35 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f88 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f88_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F88 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf index 538fdce..f277f1f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f89 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f89_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F89 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf index 746f928..b45a059 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f90 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f90_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F90 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf index 24b9e57..516fdc0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f91 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f91_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F91 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf index 92fce21..7bb7dfe 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f92 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f92_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F92 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf index 5520bc9..3ebed2f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f93 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f93_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F93 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf index de0b7ba..3012bae 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f94 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f94_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F94 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf index ce1262b..b514340 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f95 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f95_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F95 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf index 846a043..5a7ddf6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f96 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f96_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F96 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf index 5703b66..dcf857f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f97 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f97_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F97 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf index 43bd691..e41c8ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f98 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f98_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F98 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf index 5c83443..e86b8c5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f99 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f99_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F99 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf index e7a7bf7..0d270cd 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f00 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f00_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F00 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf index 0de0d83..d9c34d0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f06 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f06_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F06 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf index f2ac7e5..b8059fc 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f102 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f102_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F102 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf index 7637047..f050c5d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f108 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f108_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F108 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf index 6215b68..965ac5a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f114 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f114_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F114 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf index e93d788..52b7974 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f12 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f12_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F12 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf index bde20f6..80ff668 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f120 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f120_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F120 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf index 69cb691..810990d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f18 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f18_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F18 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf index 2c136f7..a8bf6ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f24 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f24_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F24 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf index 174fbec..289fdc1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f30 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f30_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F30 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf index 38c22f1..6b3ae2c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f36 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f36_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F36 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf index adb49a3..dd59c6f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f42 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f42_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F42 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf index 90c146d..92b234f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f48 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f48_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F48 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf index 2887e6b..e87a546 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f54 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f54_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F54 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf index 703de29..6a0ae41 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f60 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f60_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F60 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf index cc498f7..d0f9264 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f66 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f66_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F66 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf index c341d80..444fcf1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f72 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f72_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F72 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf index bb6c883..adcaa84 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f78 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f78_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F78 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf index 733898d..649deb6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f84 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f84_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F84 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf index e5bea3a..72b873b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f90 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f90_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F90 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf index df35c2c..6aca06b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f96 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f96_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F96 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_npoess_pgrb2_0p5deg.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_npoess_pgrb2_0p5deg.ecf new file mode 100644 index 0000000..bd12a05 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_npoess_pgrb2_0p5deg.ecf @@ -0,0 +1,46 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_npoess_pgrb2_0p5deg +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_npoess_pgrb2_0p5deg_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_NPOESS_PGRB2_0P5DEG + +%include +%manual +# FIXME: Insert manual for this job. + +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_anl.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_anl.ecf index 5ea7647..f5b7979 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_anl.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f00.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f00.ecf index 4dd568b..9368c8a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f00.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f01.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f01.ecf index bb71ff1..f460df5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f01.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f01.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f02.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f02.ecf index a733d33..8c6da4e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f02.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f02.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f03.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f03.ecf index f7ec346..62426d1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f03.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f03.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f04.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f04.ecf index f6b154d..15878ee 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f04.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f04.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f05.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f05.ecf index 1eb124e..456cdc3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f05.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f05.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f06.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f06.ecf index 3bf03bb..6ce8e26 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f06.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f07.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f07.ecf index 844064d..6828bb1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f07.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f07.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f08.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f08.ecf index e98aef7..0967c6c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f08.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f08.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f09.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f09.ecf index 397555a..5431d94 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f09.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f09.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f10.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f10.ecf index c1497c9..7c7ba4f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f10.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f100.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f100.ecf index 22657e1..abe40ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f100.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f101.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f101.ecf index 6920c34..740082b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f101.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f102.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f102.ecf index 113cdd1..3e5ffae 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f102.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f103.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f103.ecf index e16ecbb..20c064c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f103.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f104.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f104.ecf index 985d92f..a4d35c0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f104.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f105.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f105.ecf index 0309914..39d6e3b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f105.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f106.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f106.ecf index ece1eb4..5761504 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f106.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f107.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f107.ecf index ee459c1..f90aa4e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f107.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f108.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f108.ecf index 60db5be..0794797 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f108.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f109.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f109.ecf index 1e422d7..cacb455 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f109.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f11.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f11.ecf index 02ca246..9fe9ded 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f11.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f110.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f110.ecf index 6d03a9a..3317c0c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f110.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f111.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f111.ecf index 4ecff8d..5e23f53 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f111.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f112.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f112.ecf index 7b17c4d..e21c45e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f112.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f113.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f113.ecf index 3451cd9..37a3be3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f113.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f114.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f114.ecf index dc4af08..65d00c9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f114.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f115.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f115.ecf index 73af0c0..62c0863 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f115.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f116.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f116.ecf index 690a8ba..446735b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f116.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f117.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f117.ecf index ca26c01..a67a945 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f117.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f118.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f118.ecf index eea5f87..b908e94 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f118.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f119.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f119.ecf index e55f892..d1bcf3d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f119.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f12.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f12.ecf index 9130c57..86e4276 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f12.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f120.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f120.ecf index 9ce30da..f223c5d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f120.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f123.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f123.ecf index 9b71f34..20f5790 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f123.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f126.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f126.ecf index 6603043..672a04a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f126.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f129.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f129.ecf index 629a2d7..0419864 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f129.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f13.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f13.ecf index 5543812..ae46205 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f13.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f132.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f132.ecf index b096da3..97eda2f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f132.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f135.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f135.ecf index 30e0880..095ec10 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f135.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f138.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f138.ecf index 9555d9e..71d0ef6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f138.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f14.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f14.ecf index 72b8add..b8f4e99 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f14.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f141.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f141.ecf index 1731252..73629ae 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f141.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f144.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f144.ecf index 9969354..0c1a810 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f144.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f147.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f147.ecf index 657915a..b3c9603 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f147.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f15.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f15.ecf index 214015e..5dfd990 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f15.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f150.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f150.ecf index c599570..5f8b675 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f150.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f153.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f153.ecf index 0ba529a..24caace 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f153.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f156.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f156.ecf index 5580fe2..834894a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f156.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f159.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f159.ecf index 043496b..ffaa65f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f159.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f16.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f16.ecf index cab490b..edfa129 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f16.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f162.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f162.ecf index 13d610e..37ac4d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f162.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f165.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f165.ecf index b9da11b..3a22de7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f165.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f168.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f168.ecf index df472e1..ba159fc 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f168.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f17.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f17.ecf index 01c1346..74cd88f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f17.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f17.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f171.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f171.ecf index 96f0047..78b66ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f171.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f174.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f174.ecf index bcc3189..37dbb1b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f174.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f177.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f177.ecf index a113eb2..a7b75ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f177.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f18.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f18.ecf index 6411a5a..b646bf2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f18.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f180.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f180.ecf index 9129c7a..ec74ec8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f180.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f183.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f183.ecf index 8ff4dd8..78b63d6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f183.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f186.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f186.ecf index ced809f..e2223e9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f186.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f189.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f189.ecf index c20d3ad..5bb4e8d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f189.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f19.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f19.ecf index d8f387a..5bcdd25 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f19.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f19.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f192.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f192.ecf index b4cfabf..cfb77c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f192.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f195.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f195.ecf index c564d67..c53475e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f195.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f198.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f198.ecf index 7c028f7..4ccc5d2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f198.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f20.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f20.ecf index d53c2be..11ce990 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f20.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f20.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f201.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f201.ecf index 347b37e..4e5ed6d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f201.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f204.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f204.ecf index 360208c..d5283fb 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f204.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f207.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f207.ecf index a5ea60a..7953592 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f207.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f21.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f21.ecf index fea4ef4..038462d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f21.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f21.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f210.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f210.ecf index 936ff65..04c7aea 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f210.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f213.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f213.ecf index 6ebd196..9b5de90 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f213.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f216.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f216.ecf index 06e0c52..49113fc 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f216.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f219.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f219.ecf index 828bc4c..4ff5667 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f219.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f22.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f22.ecf index 421e8a9..720f1f4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f22.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f22.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f222.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f222.ecf index 8fd024a..240ef08 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f222.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f225.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f225.ecf index 4165b5f..2b7ba8b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f225.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f228.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f228.ecf index 8733118..b98bde6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f228.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f23.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f23.ecf index 09e2bfd..223eb66 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f23.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f23.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f231.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f231.ecf index c917dfe..32503c0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f231.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f234.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f234.ecf index a10d688..7033b35 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f234.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f237.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f237.ecf index 21e126c..365f413 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f237.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f24.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f24.ecf index 35d22fe..69108c8 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f24.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f240.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f240.ecf index b53eaa4..22b6389 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f240.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f25.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f25.ecf index d2e559a..a949c3b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f25.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f25.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f252.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f252.ecf index 35f517b..8bc60a3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f252.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f26.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f26.ecf index cb28cb7..26afcd7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f26.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f26.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f264.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f264.ecf index d1d73f1..fcd1044 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f264.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f27.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f27.ecf index ec7ae01..d47c8a5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f27.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f27.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f276.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f276.ecf index 8432565..037bc7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f276.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f28.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f28.ecf index f5a6cc6..2f2ecc7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f28.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f28.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f288.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f288.ecf index b837780..0891da1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f288.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f29.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f29.ecf index 65f1ab8..dd740e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f29.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f29.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f30.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f30.ecf index 5422b60..0d3c428 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f30.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f300.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f300.ecf index ba8b162..41b5e7a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f300.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f31.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f31.ecf index 82275e6..ed0fd53 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f31.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f31.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f312.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f312.ecf index d0cfc7f..2374233 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f312.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f32.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f32.ecf index 090e91f..bf85511 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f32.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f32.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f324.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f324.ecf index f5ed851..6881eee 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f324.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f33.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f33.ecf index ff2eee3..f88c4a7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f33.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f33.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f336.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f336.ecf index f852789..445141a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f336.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f34.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f34.ecf index c38de68..476a79c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f34.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f34.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f348.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f348.ecf index f182069..42544f6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f348.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f35.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f35.ecf index ac55e7f..2859763 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f35.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f35.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f36.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f36.ecf index 9270303..8ff8a01 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f36.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f360.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f360.ecf index ff5c6f9..3a4202f 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f360.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f37.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f37.ecf index 9dc6e64..3a3bc69 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f37.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f37.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f372.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f372.ecf index 9c1014a..3210520 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f372.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f38.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f38.ecf index ba8cb3f..d364033 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f38.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f38.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f384.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f384.ecf index 7f6d6a6..0f2dc6e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f384.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f39.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f39.ecf index b02051d..0292e80 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f39.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f39.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f40.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f40.ecf index a154c0b..dccdcf7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f40.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f40.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f41.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f41.ecf index 1dcd244..8494868 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f41.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f41.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f42.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f42.ecf index 73b2014..decd37c 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f42.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f43.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f43.ecf index 08dd949..1768e97 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f43.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f43.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f44.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f44.ecf index 52580cf..b7a81b4 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f44.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f44.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f45.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f45.ecf index 8644ae7..5529cfa 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f45.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f45.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f46.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f46.ecf index 7f320ff..06b291e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f46.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f46.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f47.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f47.ecf index 5a4ea16..45dbcdd 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f47.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f47.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f48.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f48.ecf index c806f0a..7ab1351 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f48.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f49.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f49.ecf index df9584e..d11537b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f49.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f49.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f50.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f50.ecf index 46dcf81..ea944ea 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f50.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f50.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f51.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f51.ecf index be69fcf..83cd657 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f51.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f51.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f52.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f52.ecf index be0a82d..bdb18a6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f52.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f52.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f53.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f53.ecf index cd5a589..af87de2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f53.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f53.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f54.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f54.ecf index 3cc8da6..7536157 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f54.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f55.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f55.ecf index 5480e05..d96a675 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f55.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f55.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f56.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f56.ecf index e61e6de..d59a033 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f56.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f56.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f57.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f57.ecf index 68834e5..61b1939 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f57.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f57.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f58.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f58.ecf index cb103f6..37c6b73 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f58.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f58.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f59.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f59.ecf index 621ceec..f9b5d4a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f59.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f59.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f60.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f60.ecf index 8c26227..2981e55 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f60.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f61.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f61.ecf index e462bba..8ccf0c9 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f61.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f61.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f62.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f62.ecf index ebb9d19..9ef1ba1 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f62.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f62.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f63.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f63.ecf index ceb1631..5e2fc5e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f63.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f63.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f64.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f64.ecf index da621df..2e71544 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f64.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f64.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f65.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f65.ecf index 98de665..362db5a 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f65.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f65.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f66.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f66.ecf index 7fc5680..de53cff 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f66.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f67.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f67.ecf index c0eb12f..cb9bdc6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f67.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f67.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f68.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f68.ecf index 1f61252..d81dbb2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f68.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f68.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f69.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f69.ecf index 3088334..00ba226 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f69.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f69.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f70.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f70.ecf index 9c2b0c9..388b89d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f70.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f70.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f71.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f71.ecf index 0b93e1d..089f634 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f71.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f71.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f72.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f72.ecf index 6808514..894ce03 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f72.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f73.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f73.ecf index e5618d5..9ec2c37 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f73.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f73.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f74.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f74.ecf index afa66b8..e385797 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f74.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f74.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f75.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f75.ecf index 6a16332..d06da34 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f75.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f75.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f76.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f76.ecf index c455ab6..33883d3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f76.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f76.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f77.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f77.ecf index e0cd2ac..96e92a5 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f77.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f77.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f78.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f78.ecf index 413f968..044d0a2 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f78.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f79.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f79.ecf index 0d8b25b..dec8925 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f79.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f79.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f80.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f80.ecf index b30321e..c8cfd28 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f80.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f80.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f81.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f81.ecf index df968cf..0775fd0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f81.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f81.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f82.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f82.ecf index 93c875f..4fff75b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f82.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f82.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f83.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f83.ecf index 0358e18..48cf3aa 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f83.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f83.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f84.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f84.ecf index 2c2331c..7e101b0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f84.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f85.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f85.ecf index a031e30..e0a7f4b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f85.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f85.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f86.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f86.ecf index e267494..07cffb3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f86.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f86.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f87.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f87.ecf index 2ff1289..0319ffb 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f87.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f87.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f88.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f88.ecf index bd4dc74..ddce352 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f88.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f88.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f89.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f89.ecf index 779707a..22e03c0 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f89.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f89.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f90.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f90.ecf index 540ebb0..eaae836 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f90.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f91.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f91.ecf index 8e9157d..632928d 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f91.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f91.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f92.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f92.ecf index 584d5b0..4d954c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f92.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f92.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f93.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f93.ecf index 64bd6fa..6f715b7 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f93.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f93.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f94.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f94.ecf index 657475d..676dfd3 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f94.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f94.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f95.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f95.ecf index 199e447..b07272b 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f95.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f95.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f96.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f96.ecf index b814bf6..d97bd43 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f96.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f97.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f97.ecf index 1c9d436..0895aef 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f97.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f97.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f98.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f98.ecf index 193a1e0..a85e297 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f98.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f98.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f99.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f99.ecf index d12fbcc..4d15516 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f99.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f99.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_manager.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_manager.ecf index 96411cd..7243028 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_manager.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_manager.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.prdgen.jgfs_pgrb2_manager #BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_manager_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_PGRB2_MANAGER %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf index 84962fb..2d6cb56 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.prep.jgfs_emcsfc_sfc_prep #BSUB -o %ECF_OUT%/gfs.prep.jgfs_emcsfc_sfc_prep_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_EMCSFC_SFC_PREP %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf index 2fa9acd..ed66f3e 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_PREP %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf index 3e34d93..afd7b09 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.prep.jgfs_prep_post #BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_post_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_PREP_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_even.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_even.ecf index 061851c..d3bea30 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_even.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_even.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.sminit_guam.jgfs_sminit_guam_even #BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_even_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_SMINIT_GUAM_EVEN %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf index 2b73593..5171433 100644 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.sminit_guam.jgfs_sminit_guam_odd #BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_odd_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_SMINIT_GUAM_ODD %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/cycle_end.ecf b/model/ecflow_fv3gfs/scripts/prod06/cycle_end.ecf index 4e874f0..7c49d11 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/cycle_end.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/cycle_end.ecf @@ -4,6 +4,7 @@ #BSUB -J cycle_end #BSUB -o %ECF_OUT%/cycle_end_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/CYCLE_END %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/analysis/jgdas_analysis_high.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/analysis/jgdas_analysis_high.ecf index a200197..1fe0bb9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/analysis/jgdas_analysis_high.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/analysis/jgdas_analysis_high.ecf @@ -4,18 +4,18 @@ #BSUB -J gdas.analysis.jgdas_analysis_high #BSUB -o %ECF_OUT%/gdas.analysis.jgdas_analysis_high_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] +#BSUB -W 0:05 +#BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] -export NODES=60 +export NODES=1 %include %include set -x -export ntasks=360 -export ptile=6 -export threads=2 +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_ANALYSIS_HIGH %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf index 709273c..1c5c1a1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.dump.jgdas_dump #BSUB -o %ECF_OUT%/gdas.dump.jgdas_dump_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_DUMP %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf index ed05c2c..a690ea1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.dump.jgdas_ics #BSUB -o %ECF_OUT%/gdas.dump.jgdas_ics_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_ICS %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf index 249de65..eabe34e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.dump.jgdas_tropcy_qc_reloc #BSUB -o %ECF_OUT%/gdas.dump.jgdas_tropcy_qc_reloc_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_TROPCY_QC_RELOC %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf index 6962c93..18f9f6e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf index 075bc1e..3979602 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf index ee7440e..c1719f2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf index 220b2b9..0f6565b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf index 6d76be7..ad76430 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf index 562af3e..68cab07 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf index 9ab23fd..c5246d8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf index ac0ea33..382ebff 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf index e4615f0..1eda8b0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf index f3bf604..9a2d0bd 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf index e6bc15e..7190cd2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf index 73e7cb4..7877463 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf index eb04b16..ede0b06 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf index d6b056e..6a23c3e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf index a5b49b8..d1ff948 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf index 630d329..c2a35dd 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf index b6d1c18..8c31726 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_ENKF_INFLATE_RECENTER %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf index 1abe592..14bbb72 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_ENKF_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf index e0d4d1e..abd1001 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_ENKF_SELECT_OBS %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf index 0843762..f7b10a1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_ENKF_UPDATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/forecast/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/forecast/jgdas_forecast.ecf index eed96b0..b8397e9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/forecast/jgdas_forecast.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/forecast/jgdas_forecast.ecf @@ -4,17 +4,17 @@ #BSUB -J gdas.forecast.jgdas_forecast #BSUB -o %ECF_OUT%/gdas.forecast.jgdas_forecast_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] +#BSUB -W 0:05 +#BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] -export NODES=64 +export NODES=1 %include %include set -x -export ntasks=768 -export ptile=12 +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile # No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak.ecf index e611466..2e91a76 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.gempak.jgdas_gempak #BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_GEMPAK %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_meta.ecf index 93dc751..ab2a8c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_meta.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_meta.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.gempak.jgdas_gempak_meta #BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_meta_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_GEMPAK_META %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_ncdc.ecf index a10c959..d66b6cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_ncdc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_ncdc.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.gempak.jgdas_gempak_ncdc #BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_ncdc_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_GEMPAK_NCDC %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf index e99e392..8ae5d5b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.jgdas_verfrad #BSUB -o %ECF_OUT%/gdas.jgdas_verfrad_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_VERFRAD %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf index 16e857c..c8a0c18 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.jgdas_vminmon #BSUB -o %ECF_OUT%/gdas.jgdas_vminmon_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_VMINMON %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/post/jgdas_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/post/jgdas_post.ecf index a83823e..b6ac488 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/post/jgdas_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/post/jgdas_post.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/post %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf index e65c565..c2b8e46 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.post_processing.bulletins.jgdas_mknavybulls #BSUB -o %ECF_OUT%/gdas.post_processing.bulletins.jgdas_mknavybulls_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_MKNAVYBULLS %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf index 4d41618..4c446a5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.prep.jgdas_emcsfc_sfc_prep #BSUB -o %ECF_OUT%/gdas.prep.jgdas_emcsfc_sfc_prep_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_EMCSFC_SFC_PREP %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf index d70e3a8..e3c863d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_PREP %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf index e749457..576f511 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.prep.jgdas_prep_post #BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_post_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_PREP_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf index 2695723..68244c5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.dump.jgfs_dump #BSUB -o %ECF_OUT%/gfs.dump.jgfs_dump_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_DUMP %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf index 5cd044c..4934fb5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.dump.jgfs_tropcy_qc_reloc #BSUB -o %ECF_OUT%/gfs.dump.jgfs_tropcy_qc_reloc_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_TROPCY_QC_RELOC %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_high.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_high.ecf index c3140db..c043e42 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_high.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_high.ecf @@ -4,17 +4,17 @@ #BSUB -J gfs.forecast.jgfs_forecast_high #BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_high_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] +#BSUB -W 0:05 +#BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] -export NODES=64 +export NODES=1 %include %include set -x -export ntasks=768 -export ptile=12 +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile # No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_low.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_low.ecf index 37302ab..63e0f7e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_low.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_low.ecf @@ -4,17 +4,17 @@ #BSUB -J gfs.forecast.jgfs_forecast_low #BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_low_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] +#BSUB -W 0:05 +#BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] -export NODES=64 +export NODES=1 %include %include set -x -export ntasks=768 -export ptile=12 +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile # No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak.ecf index 33942eb..0bc0151 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.gempak.jgfs_gempak #BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_GEMPAK %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_meta.ecf index 27db5f1..365366b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_meta.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_meta.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.gempak.jgfs_gempak_meta #BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_meta_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_GEMPAK_META %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_ncdc.ecf index 3188b7c..1d37b9c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_ncdc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_ncdc.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.gempak.jgfs_gempak_ncdc #BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_ncdc_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_GEMPAK_NCDC %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_upapgif.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_upapgif.ecf index 8a66333..d0b9e49 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_upapgif.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_upapgif.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.gempak.jgfs_gempak_upapgif #BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_upapgif_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_GEMPAK_UPAPGIF %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf index 64ef876..9a905b2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf @@ -1,33 +1,46 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_pgrb2_spec_gempak +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_pgrb2_spec_gempak_t%CYC%z.log + +#BSUB -W 0:05 +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_PGRB2_SPEC_GEMPAK %include %manual +# FIXME: Insert manual for this job. + +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf index abcf04c..9c432ae 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf @@ -4,18 +4,18 @@ #BSUB -J gfs.jgfs_analysis #BSUB -o %ECF_OUT%/gfs.jgfs_analysis_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] +#BSUB -W 0:05 +#BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] -export NODES=60 +export NODES=1 %include %include set -x -export ntasks=360 -export ptile=6 -export threads=2 +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_ANALYSIS %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf index fa7eafe..e716845 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.jgfs_vminmon #BSUB -o %ECF_OUT%/gfs.jgfs_vminmon_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_VMINMON %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_pgrb2_spec_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_pgrb2_spec_post.ecf index 0801f05..b721eb8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_pgrb2_spec_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_pgrb2_spec_post.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_pgrb2_spec_post #BSUB -o %ECF_OUT%/gfs.post.jgfs_pgrb2_spec_post_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_PGRB2_SPEC_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_anl.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_anl.ecf index 45a93c4..4b529f3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_anl.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_anl #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_anl_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_ANL %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f00.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f00.ecf index 02597de..5f8013c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f00.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f00 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f00_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F00 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f01.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f01.ecf index 108bf63..5fa243e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f01.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f01.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f01 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f01_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F01 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f02.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f02.ecf index 4fdac2f..7b7d049 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f02.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f02.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f02 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f02_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F02 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f03.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f03.ecf index f9e67b3..e87e1ce 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f03.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f03.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f03 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f03_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F03 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f04.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f04.ecf index 5cf2213..306b5d7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f04.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f04.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f04 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f04_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F04 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f05.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f05.ecf index 4d07699..de79851 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f05.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f05.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f05 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f05_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F05 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f06.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f06.ecf index 61378cf..00d3d4d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f06.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f06 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f06_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F06 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f07.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f07.ecf index 358a358..e64aa61 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f07.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f07.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f07 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f07_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F07 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f08.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f08.ecf index 54f90b9..525ca29 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f08.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f08.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f08 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f08_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F08 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f09.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f09.ecf index 8b82c0e..602cdb8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f09.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f09.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f09 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f09_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F09 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f10.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f10.ecf index 3cdac7f..544253b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f10.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f10 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f10_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F10 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f100.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f100.ecf index 1a86b5b..06859c4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f100.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f100 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f100_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F100 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f101.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f101.ecf index e249fa7..4de6302 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f101.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f101 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f101_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F101 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f102.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f102.ecf index 169b6f8..7198310 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f102.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f102 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f102_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F102 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f103.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f103.ecf index 9c80f50..6f0cbe1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f103.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f103 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f103_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F103 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f104.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f104.ecf index 0c91263..009cb45 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f104.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f104 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f104_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F104 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f105.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f105.ecf index 8c838f1..8485c90 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f105.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f105 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f105_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F105 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f106.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f106.ecf index 9227194..7d87568 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f106.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f106 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f106_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F106 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f107.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f107.ecf index e66cc39..e2385fd 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f107.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f107 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f107_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F107 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f108.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f108.ecf index 2404b80..e5ca743 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f108.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f108 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f108_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F108 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f109.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f109.ecf index 568e47e..39a3822 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f109.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f109 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f109_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F109 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f11.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f11.ecf index a8c31fe..5ce54a7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f11.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f11 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f11_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F11 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f110.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f110.ecf index 7f02883..6542099 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f110.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f110 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f110_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F110 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f111.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f111.ecf index 84edb70..5ba69f2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f111.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f111 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f111_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F111 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f112.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f112.ecf index 2c3d749..2146994 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f112.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f112 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f112_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F112 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f113.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f113.ecf index fcadb88..c952a20 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f113.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f113 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f113_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F113 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f114.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f114.ecf index e7339b4..43efe71 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f114.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f114 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f114_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F114 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f115.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f115.ecf index 4028ca9..4120253 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f115.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f115 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f115_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F115 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f116.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f116.ecf index 25c013b..42bdad2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f116.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f116 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f116_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F116 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f117.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f117.ecf index 40b2a29..065b3f4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f117.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f117 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f117_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F117 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f118.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f118.ecf index c4f7bad..320e22c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f118.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f118 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f118_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F118 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f119.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f119.ecf index 57a736c..30fe7ab 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f119.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f119 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f119_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F119 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f12.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f12.ecf index 791286e..5c63819 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f12.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f12 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f12_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F12 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f120.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f120.ecf index 7efc829..a794195 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f120.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f120 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f120_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F120 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f123.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f123.ecf index b7fed67..aee73b3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f123.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f123 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f123_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F123 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f126.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f126.ecf index faa1ca2..a89d70f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f126.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f126 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f126_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F126 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f129.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f129.ecf index 0bff7d7..eb6c87b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f129.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f129 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f129_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F129 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f13.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f13.ecf index 9370750..26cf79f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f13.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f13 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f13_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F13 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f132.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f132.ecf index cd98649..fad5b37 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f132.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f132 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f132_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F132 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f135.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f135.ecf index adf58ff..cd16570 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f135.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f135 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f135_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F135 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f138.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f138.ecf index 3b4d3e1..ea21051 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f138.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f138 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f138_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F138 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f14.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f14.ecf index c2eb6c2..2698e34 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f14.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f14 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f14_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F14 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f141.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f141.ecf index 845199e..47b2ccf 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f141.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f141 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f141_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F141 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f144.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f144.ecf index b14d208..cde0cc5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f144.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f144 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f144_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F144 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f147.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f147.ecf index 8559ed0..0f43f94 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f147.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f147 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f147_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F147 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f15.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f15.ecf index 086115c..85c97cd 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f15.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f15 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f15_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F15 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f150.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f150.ecf index 309c34f..ec33863 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f150.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f150 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f150_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F150 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f153.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f153.ecf index 430952c..a83e3ba 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f153.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f153 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f153_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F153 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f156.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f156.ecf index 064a627..969b6ee 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f156.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f156 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f156_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F156 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f159.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f159.ecf index 1ce69e7..4055d43 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f159.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f159 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f159_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F159 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f16.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f16.ecf index fd7598f..78f7dc8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f16.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f16 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f16_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F16 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f162.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f162.ecf index 854d633..72b0d82 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f162.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f162 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f162_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F162 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f165.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f165.ecf index c2c60b9..a8cc110 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f165.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f165 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f165_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F165 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f168.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f168.ecf index 2a9d6ba..e583401 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f168.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f168 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f168_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F168 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f17.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f17.ecf index 5d76cb5..a396d93 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f17.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f17.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f17 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f17_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F17 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f171.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f171.ecf index 8ea9fff..914f45c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f171.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f171 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f171_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F171 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f174.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f174.ecf index 4402bce..f47913d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f174.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f174 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f174_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F174 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f177.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f177.ecf index 107d37a..e4c6edf 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f177.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f177 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f177_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F177 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f18.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f18.ecf index 3b29c2f..43c5a6c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f18.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f18 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f18_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F18 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f180.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f180.ecf index e9c8054..9cf23fd 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f180.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f180 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f180_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F180 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f183.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f183.ecf index 22d1912..7e77705 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f183.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f183 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f183_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F183 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f186.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f186.ecf index 115a3f4..569749e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f186.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f186 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f186_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F186 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f189.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f189.ecf index b106e6e..d030954 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f189.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f189 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f189_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F189 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f19.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f19.ecf index 21956ec..9f02c15 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f19.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f19.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f19 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f19_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F19 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f192.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f192.ecf index 4ae2aff..ca81797 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f192.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f192 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f192_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F192 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f195.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f195.ecf index 0f24e2a..abe6960 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f195.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f195 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f195_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F195 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f198.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f198.ecf index ef6396a..7ce2f55 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f198.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f198 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f198_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F198 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f20.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f20.ecf index 7c47937..e810c9e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f20.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f20.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f20 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f20_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F20 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f201.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f201.ecf index 2f9317a..858cd58 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f201.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f201 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f201_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F201 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f204.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f204.ecf index efa0ded..0cad17d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f204.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f204 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f204_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F204 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f207.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f207.ecf index ec1710e..c7fd9c8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f207.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f207 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f207_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F207 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f21.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f21.ecf index 4f804b0..55aeb3f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f21.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f21.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f21 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f21_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F21 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f210.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f210.ecf index 35362be..b000cc7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f210.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f210 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f210_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F210 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f213.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f213.ecf index 34c4bf6..18801f6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f213.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f213 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f213_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F213 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f216.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f216.ecf index b71785a..440fd09 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f216.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f216 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f216_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F216 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f219.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f219.ecf index e5532a7..05e8864 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f219.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f219 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f219_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F219 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f22.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f22.ecf index 14de8d7..b9e07b6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f22.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f22.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f22 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f22_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F22 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f222.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f222.ecf index 1323cc2..ef5066f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f222.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f222 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f222_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F222 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f225.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f225.ecf index 455ead1..68c8b89 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f225.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f225 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f225_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F225 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f228.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f228.ecf index cfac18f..d327681 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f228.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f228 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f228_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F228 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f23.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f23.ecf index 55ae234..7e6297a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f23.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f23.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f23 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f23_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F23 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f231.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f231.ecf index 056d407..8e91182 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f231.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f231 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f231_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F231 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f234.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f234.ecf index 23a4639..745af27 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f234.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f234 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f234_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F234 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f237.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f237.ecf index c0ce852..b6b6608 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f237.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f237 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f237_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F237 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f24.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f24.ecf index c8386e3..aa530b1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f24.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f24 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f24_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F24 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f240.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f240.ecf index c81481b..6588508 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f240.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f240 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f240_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F240 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f25.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f25.ecf index c27b146..7dd1688 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f25.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f25.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f25 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f25_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F25 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f252.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f252.ecf index de6a3e9..df2b109 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f252.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f252 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f252_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F252 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f26.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f26.ecf index 19e658b..545d1de 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f26.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f26.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f26 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f26_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F26 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f264.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f264.ecf index 6062291..d53bd8a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f264.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f264 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f264_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F264 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f27.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f27.ecf index 49aefae..5262acc 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f27.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f27.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f27 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f27_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F27 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f276.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f276.ecf index 0acae9d..6d06ce5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f276.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f276 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f276_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F276 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f28.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f28.ecf index ed73eb8..7a35535 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f28.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f28.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f28 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f28_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F28 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f288.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f288.ecf index 42d9617..2c039e4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f288.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f288 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f288_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F288 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f29.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f29.ecf index c84c6f3..d286ed1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f29.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f29.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f29 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f29_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F29 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f30.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f30.ecf index 23927d7..320a8bc 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f30.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f30 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f30_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F30 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f300.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f300.ecf index 68473ec..dfc176e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f300.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f300 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f300_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F300 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f31.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f31.ecf index a7d4c7e..e1cd639 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f31.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f31.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f31 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f31_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F31 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f312.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f312.ecf index 2b32b78..491828c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f312.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f312 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f312_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F312 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f32.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f32.ecf index 7730300..db910c1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f32.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f32.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f32 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f32_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F32 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f324.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f324.ecf index 7a86340..56eadc4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f324.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f324 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f324_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F324 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f33.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f33.ecf index 6b5bec0..8179217 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f33.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f33.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f33 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f33_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F33 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f336.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f336.ecf index 191765b..73fd943 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f336.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f336 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f336_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F336 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f34.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f34.ecf index cd5061b..ca8c4ca 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f34.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f34.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f34 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f34_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F34 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f348.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f348.ecf index 95b8f8b..a3deca3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f348.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f348 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f348_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F348 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f35.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f35.ecf index cacaf52..56298c2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f35.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f35.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f35 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f35_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F35 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f36.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f36.ecf index e33dde8..fd3a562 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f36.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f36 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f36_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F36 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f360.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f360.ecf index 4fc0fe4..47c1637 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f360.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f360 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f360_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F360 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f37.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f37.ecf index 5a92603..57b855b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f37.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f37.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f37 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f37_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F37 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f372.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f372.ecf index 8b5f4b6..2c09fa7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f372.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f372 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f372_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F372 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f38.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f38.ecf index 2a26048..293768b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f38.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f38.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f38 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f38_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F38 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f384.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f384.ecf index 938424a..35de2d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f384.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f384 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f384_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F384 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f39.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f39.ecf index 1b8ec9d..e575fa0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f39.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f39.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f39 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f39_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F39 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f40.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f40.ecf index 1eec893..f327b92 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f40.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f40.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f40 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f40_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F40 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f41.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f41.ecf index c1c7542..3997b0a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f41.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f41.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f41 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f41_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F41 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f42.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f42.ecf index 2325836..cddd38a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f42.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f42 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f42_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F42 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f43.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f43.ecf index ff41cfb..6e2f893 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f43.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f43.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f43 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f43_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F43 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f44.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f44.ecf index 4ce3278..4d19013 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f44.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f44.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f44 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f44_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F44 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f45.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f45.ecf index 91e6446..f97294f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f45.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f45.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f45 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f45_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F45 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f46.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f46.ecf index 8b0dc36..4e75af3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f46.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f46.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f46 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f46_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F46 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f47.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f47.ecf index e67c97a..5f6d908 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f47.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f47.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f47 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f47_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F47 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f48.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f48.ecf index 2b62b20..ba02467 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f48.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f48 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f48_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F48 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f49.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f49.ecf index 472ad4c..1f20948 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f49.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f49.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f49 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f49_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F49 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f50.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f50.ecf index 7553af6..1792ab9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f50.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f50.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f50 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f50_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F50 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f51.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f51.ecf index c912aaa..69c9186 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f51.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f51.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f51 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f51_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F51 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f52.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f52.ecf index 550df2f..762d5c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f52.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f52.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f52 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f52_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F52 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f53.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f53.ecf index 92dd73f..0ea5103 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f53.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f53.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f53 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f53_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F53 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f54.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f54.ecf index bea0edc..2661bc6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f54.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f54 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f54_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F54 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f55.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f55.ecf index 837811c..66b212c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f55.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f55.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f55 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f55_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F55 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f56.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f56.ecf index d89b869..5490585 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f56.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f56.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f56 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f56_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F56 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f57.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f57.ecf index 348ee4f..5c4cad4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f57.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f57.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f57 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f57_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F57 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f58.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f58.ecf index 18c4a48..d7a4de8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f58.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f58.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f58 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f58_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F58 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f59.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f59.ecf index 911e47a..1b225d6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f59.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f59.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f59 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f59_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F59 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f60.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f60.ecf index 5146bd0..e93c3de 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f60.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f60 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f60_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F60 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f61.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f61.ecf index ae3fea3..400eb38 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f61.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f61.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f61 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f61_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F61 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f62.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f62.ecf index 436b0bc..30de2e0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f62.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f62.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f62 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f62_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F62 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f63.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f63.ecf index f0a1a8f..98e5130 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f63.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f63.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f63 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f63_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F63 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f64.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f64.ecf index 950507b..244c3f2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f64.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f64.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f64 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f64_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F64 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f65.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f65.ecf index d6c4e7f..d9c2023 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f65.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f65.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f65 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f65_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F65 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f66.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f66.ecf index 5925426..3733ac3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f66.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f66 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f66_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F66 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f67.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f67.ecf index a30b66c..a3fe02d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f67.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f67.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f67 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f67_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F67 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f68.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f68.ecf index 02c4962..0498be1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f68.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f68.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f68 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f68_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F68 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f69.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f69.ecf index 1a4852c..7e0119f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f69.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f69.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f69 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f69_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F69 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f70.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f70.ecf index ad1dca1..96b0def 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f70.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f70.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f70 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f70_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F70 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f71.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f71.ecf index b75158b..ea72192 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f71.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f71.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f71 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f71_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F71 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f72.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f72.ecf index bfda8ea..37c4e10 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f72.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f72 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f72_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F72 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f73.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f73.ecf index 5703db6..85782de 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f73.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f73.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f73 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f73_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F73 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f74.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f74.ecf index 4d8a171..9ebee44 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f74.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f74.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f74 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f74_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F74 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f75.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f75.ecf index df16a6d..638ebb0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f75.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f75.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f75 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f75_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F75 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f76.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f76.ecf index 8e6af05..56c3b61 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f76.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f76.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f76 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f76_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F76 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f77.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f77.ecf index cfa16fc..79ff02f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f77.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f77.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f77 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f77_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F77 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f78.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f78.ecf index 96ab8cd..cfa1fa0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f78.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f78 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f78_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F78 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f79.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f79.ecf index d5bacf3..594cb93 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f79.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f79.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f79 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f79_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F79 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f80.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f80.ecf index a43e62f..39bcb24 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f80.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f80.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f80 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f80_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F80 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f81.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f81.ecf index 433bd18..06facc2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f81.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f81.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f81 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f81_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F81 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f82.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f82.ecf index a79f29b..1de6545 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f82.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f82.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f82 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f82_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F82 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f83.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f83.ecf index c0a8717..5b74ba6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f83.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f83.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f83 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f83_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F83 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f84.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f84.ecf index fc497b7..21095ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f84.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f84 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f84_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F84 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f85.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f85.ecf index 4b8f159..f75e946 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f85.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f85.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f85 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f85_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F85 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f86.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f86.ecf index d277132..4a80448 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f86.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f86.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f86 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f86_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F86 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f87.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f87.ecf index ba24c5d..68190f4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f87.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f87.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f87 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f87_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F87 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f88.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f88.ecf index 81a30a6..1c55188 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f88.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f88.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f88 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f88_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F88 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f89.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f89.ecf index 3514e27..02bcccc 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f89.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f89.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f89 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f89_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F89 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f90.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f90.ecf index 73a0585..ddbe0e7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f90.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f90 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f90_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F90 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f91.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f91.ecf index 3eceeee..00a9c4a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f91.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f91.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f91 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f91_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F91 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f92.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f92.ecf index bc30db6..9bfe2f2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f92.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f92.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f92 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f92_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F92 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f93.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f93.ecf index 842596d..530c14c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f93.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f93.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f93 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f93_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F93 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f94.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f94.ecf index adc946c..5334d61 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f94.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f94.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f94 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f94_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F94 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f95.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f95.ecf index 961d45a..0f61bb5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f95.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f95.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f95 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f95_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F95 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f96.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f96.ecf index e7c1809..f5c98c3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f96.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f96 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f96_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F96 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f97.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f97.ecf index 5a7b7cc..c1511e7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f97.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f97.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f97 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f97_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F97 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f98.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f98.ecf index 6aba72f..7fa20e0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f98.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f98.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f98 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f98_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F98 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f99.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f99.ecf index fd493c7..d8d28fd 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f99.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f99.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f99 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f99_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F99 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_manager.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_manager.ecf index cce2e41..e92e20b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_manager.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_manager.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_manager #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_manager_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_MANAGER %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf index d3ec7f0..c1fb614 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f000 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f000_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F000 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf index 669ca3e..640ca4b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f001 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f001_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F001 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf index bcc4ea7..88b9291 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f002 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f002_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F002 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf index 1389451..492ef0f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f003 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f003_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F003 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf index ff9a6db..97b0489 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f004 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f004_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F004 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf index fa0b9da..994f70e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f005 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f005_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F005 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf index 216de5b..12538da 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f006 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f006_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F006 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf index e848d86..7fd41f3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f007 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f007_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F007 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf index 8fd242a..1fe6f29 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f008 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f008_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F008 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf index d27fae2..ef101e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f009 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f009_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F009 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf index 0484b7b..e60e0d5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f010 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f010_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F010 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf index 3aa2ddb..a4d2421 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f011 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f011_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F011 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf index ceb7bcf..0b22ce4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f012 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f012_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F012 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf index ab42d41..4c12e00 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f013 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f013_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F013 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf index 59a4b64..906e2d3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f014 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f014_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F014 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf index 53a8752..b04b114 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f015 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f015_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F015 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf index 6a2c161..6c1443c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f016 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f016_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F016 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf index 5643028..d179c83 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f017 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f017_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F017 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf index 9017a9e..551a7e7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f018 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f018_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F018 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf index e330aff..56028e2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f019 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f019_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F019 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf index 9ed1e5e..b939cef 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f020 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f020_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F020 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf index 1db01b8..6fd5982 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f021 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f021_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F021 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf index 4d37614..038afe8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f022 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f022_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F022 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf index 7cce8c7..39e5f6e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f023 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f023_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F023 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf index d257cd9..5ab3b64 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f024 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f024_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F024 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf index 280cef8..9fc4628 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f025 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f025_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F025 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf index 4988749..aa28901 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f026 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f026_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F026 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf index 5d3a7a1..ad001a4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f027 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f027_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F027 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf index de2fc15..2a2c00c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f028 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f028_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F028 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf index fb40400..808c730 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f029 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f029_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F029 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf index 1b2d8c6..80a9c62 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f030 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f030_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F030 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf index fce31a7..374011e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f031 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f031_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F031 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf index c657d14..7b6c6ce 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f032 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f032_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F032 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf index 4fc3a2c..8c0cbb0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f033 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f033_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F033 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf index 8f26afb..c351d2c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f034 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f034_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F034 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf index ecabe41..7163d39 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f035 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f035_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F035 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf index 5ee87b4..55a3cbc 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f036 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f036_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F036 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf index 4069a46..0b8ed4e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f037 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f037_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F037 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf index 9a02dd7..a32ccc0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f038 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f038_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F038 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf index 196511a..75a5126 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f039 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f039_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F039 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf index 8f90a29..ad0dc9b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f040 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f040_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F040 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf index 22378cc..1d8df68 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f041 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f041_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F041 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf index 5926ab9..6466e79 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f042 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f042_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F042 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf index 9722311..0cba21e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f043 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f043_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F043 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf index fe25953..850abc7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f044 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f044_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F044 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf index 5a97394..20fc666 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f045 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f045_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F045 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf index 61ddb06..8d41a24 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f046 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f046_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F046 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf index 64cf3a6..c7e5533 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f047 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f047_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F047 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf index 92a8d47..84e5db0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f048 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f048_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F048 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf index a14d268..06e3505 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f049 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f049_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F049 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf index fb8d1ca..1113e28 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f050 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f050_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F050 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf index 6a2377c..b84adb2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f051 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f051_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F051 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf index 6161af9..ffff93f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f052 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f052_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F052 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf index 518272e..1a506a2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f053 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f053_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F053 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf index 2e1e4ab..4735cd2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f054 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f054_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F054 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf index 7fe7456..81f353e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f055 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f055_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F055 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf index 895605b..5b1d38c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f056 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f056_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F056 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf index 5a552bd..676c156 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f057 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f057_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F057 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf index 4a6e5fe..7363d1c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f058 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f058_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F058 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf index 3fb2a82..75c2938 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f059 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f059_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F059 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf index cf13c9f..95f0a85 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f060 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f060_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F060 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf index b752ca2..0e86122 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f061 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f061_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F061 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf index 45a961c..d4e8a9d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f062 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f062_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F062 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf index 18722a0..e6095c1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f063 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f063_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F063 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf index 1ed950b..b11238f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f064 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f064_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F064 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf index a3ee684..0f2d430 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f065 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f065_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F065 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf index a30cdf1..40345f5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f066 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f066_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F066 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf index 971abcc..72f7a81 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f067 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f067_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F067 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf index 20aae5d..a56a873 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f068 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f068_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F068 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf index 23b3f35..43800bf 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f069 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f069_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F069 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf index 0bca73e..a694da3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f070 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f070_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F070 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf index e18ed18..da03b01 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f071 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f071_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F071 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf index 81b0dbd..fbba1f7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f072 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f072_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F072 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf index 0afd294..b57b7d9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f073 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f073_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F073 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf index b35e294..1f514fc 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f074 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f074_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F074 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf index cdd1c9a..1f31c2a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f075 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f075_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F075 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf index 463d7fc..a247356 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f076 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f076_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F076 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf index 9b6d244..002f6a6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f077 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f077_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F077 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf index 6a8f2e0..369b1d0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f078 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f078_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F078 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf index 77b3012..c142645 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f079 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f079_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F079 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf index 603586f..d2399eb 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f080 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f080_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F080 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf index 28338e3..0547ae8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f081 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f081_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F081 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf index 67d2c2a..6161ab2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f082 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f082_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F082 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf index c7fa12e..b89bae0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f083 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f083_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F083 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf index 42f449d..c0a272a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f084 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f084_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F084 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf index b1a1f45..d8415f5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f085 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f085_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F085 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf index eca4005..6661611 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f086 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f086_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F086 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf index f0cf3d8..bc5ee43 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f087 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f087_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F087 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf index acfad04..79948a0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f088 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f088_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F088 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf index a640f6a..c7b50df 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f089 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f089_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F089 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf index e089a70..a3f4619 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f090 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f090_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F090 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf index f5b80dc..b649a8e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f091 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f091_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F091 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf index 0a98218..b40c270 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f092 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f092_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F092 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf index 5864377..69fc0cb 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f093 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f093_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F093 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf index e598259..e8a80ca 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f094 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f094_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F094 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf index bbcaefa..fddc9ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f095 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f095_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F095 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf index cacd7fa..c5d31f5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f096 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f096_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F096 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf index 26dc797..fdd8a8f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f097 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f097_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F097 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf index eb95fc9..48b7439 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f098 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f098_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F098 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf index 474a79a..8eec734 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f099 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f099_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F099 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf index 25443e0..9b8aeac 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f100 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f100_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf index 346a86d..6424364 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f101 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f101_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf index f49973c..03371ca 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f102 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f102_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf index 70ec792..06006f4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f103 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f103_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf index 732d5d0..0565f13 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f104 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f104_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf index 4efbb80..d7e20d3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f105 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f105_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf index 27e9e7e..85ff184 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f106 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f106_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf index db13b96..6bf52dd 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f107 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f107_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf index 61fef46..4cd8566 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f108 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f108_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf index a7ad7c6..38ef84f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f109 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f109_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf index b8e8353..76fbc49 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f110 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f110_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf index 8092265..ac95897 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f111 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f111_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf index 79245a5..074fb4f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f112 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f112_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf index c31419b..a5564b5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f113 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f113_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf index 57e845a..35a1ed3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f114 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f114_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf index 7784fcc..bf98abe 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f115 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f115_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf index 58c52d0..4221c76 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f116 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f116_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf index d222027..2dd3cb9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f117 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f117_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf index 40bd197..e8d4608 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f118 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f118_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf index acc1d99..541ae66 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f119 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f119_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf index bc4057c..2bd29ce 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f120 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f120_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf index 06a8220..21313ea 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f123 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f123_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf index 0971d65..6636e27 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f126 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f126_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf index 98b8e26..920d538 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f129 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f129_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf index f0149ce..bf567e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f132 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f132_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf index b92409f..f66ed98 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f135 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f135_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf index 65b9001..7963ff9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f138 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f138_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf index 515a95f..bd7c364 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f141 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f141_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf index a83e1ee..58957ae 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f144 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f144_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf index 513a955..4817e8e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f147 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f147_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf index 7148c6f..3c84a94 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f150 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f150_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf index 6f6c03a..34226e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f153 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f153_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf index 2124ce9..6d06684 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f156 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f156_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf index 845a1a9..7e4a1ae 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f159 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f159_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf index d562347..52b9630 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f162 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f162_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf index a7c2d69..bc8dece 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f165 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f165_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf index 9f40903..0047482 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f168 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f168_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf index 5e7e844..da8354f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f171 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f171_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf index b1ddb61..47e0c98 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f174 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f174_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf index 0b2eda4..c37f36f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f177 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f177_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf index 37b5b22..097358a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f180 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f180_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf index 836131c..b1fcaa6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f183 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f183_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf index 5bb10f4..2ddb8d0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f186 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f186_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf index 295fa2f..4234c12 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f189 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f189_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf index e7df28f..a7ceec9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f192 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f192_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf index 84e2e0a..1fd7f90 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f195 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f195_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf index c4410ac..c450485 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f198 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f198_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf index 73c50b7..58781d5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f201 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f201_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf index a4c0108..c7baa59 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f204 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f204_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf index fd59fac..6c4e6df 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f207 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f207_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf index 8d1750d..20cfcb7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f210 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f210_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf index 2c901e9..3ec4b33 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f213 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f213_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf index dd202cc..ae63935 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f216 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f216_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf index cf3b8af..536c930 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f219 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f219_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf index 8a2b14d..963908b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f222 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f222_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf index 72ba6d8..c955708 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f225 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f225_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf index e6d53d8..d1be0d7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f228 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f228_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf index 674137f..9bbe557 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f231 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f231_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf index 423eaea..8929a80 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f234 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f234_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf index d454c69..95f5aa0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f237 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f237_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf index 2f09456..8e6f26e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f240 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f240_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf index bf69c9c..a97892e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f252 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f252_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf index aaaad1a..c74a374 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f264 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f264_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf index 61fbc1d..f73b3d2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f276 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f276_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf index 6189c31..64c35d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f288 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f288_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf index f3645fe..18c25e3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f300 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f300_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf index 676d412..84898ea 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f312 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f312_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf index 5492342..d4b3eb5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f324 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f324_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf index 7f558a7..6535a25 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f336 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f336_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf index b6f52b5..613faed 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f348 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f348_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf index e747d70..efe6615 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f360 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f360_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf index 4eb5880..4423993 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f372 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f372_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf index 83608a9..3ae2e57 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f384 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f384_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf index 427e646..ae35ac3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f000 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f000_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F000 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf index ab2af43..6671fad 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f001 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f001_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F001 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf index 9c3ce49..808d2c7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f002 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f002_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F002 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf index 91ecf3d..234a23b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f003 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f003_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F003 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf index 963ab8c..0ff8078 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f004 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f004_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F004 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf index 5e1ff16..389cfb6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f005 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f005_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F005 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf index 5f79c28..80a4b7d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f006 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f006_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F006 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf index 57a944e..69fddc7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f007 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f007_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F007 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf index d2ebc78..5bef22b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f008 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f008_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F008 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf index e6195e8..a0a5ccb 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f009 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f009_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F009 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf index 53301c3..7d820ef 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f010 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f010_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F010 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf index 8827e9f..b1ac8b2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f011 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f011_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F011 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf index a11f357..cf052f2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f012 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f012_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F012 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf index 18e01f0..ca594c3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f013 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f013_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F013 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf index e5e4f59..14d61f7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f014 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f014_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F014 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf index 1b34c83..53b9bf4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f015 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f015_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F015 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf index cf01459..abddacb 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f016 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f016_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F016 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf index bdca96c..d7a466f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f017 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f017_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F017 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf index 492457c..02383a2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f018 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f018_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F018 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf index b0bf180..3b9eb0e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f019 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f019_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F019 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf index 3bf1ecc..fe5fac2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f020 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f020_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F020 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf index bc6d6e4..81c7742 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f021 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f021_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F021 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf index 7de3250..135d9b8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f022 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f022_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F022 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf index e28a3cb..7964b48 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f023 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f023_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F023 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf index ff0e27c..56cf2c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f024 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f024_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F024 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf index 3e18e44..23e5edd 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f025 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f025_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F025 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf index ac56176..751a8c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f026 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f026_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F026 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf index 80dab35..e5798aa 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f027 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f027_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F027 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf index d79b344..07c6fe2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f028 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f028_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F028 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf index 20d63eb..2ced511 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f029 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f029_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F029 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf index 1e2b0c5..bd56863 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f030 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f030_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F030 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf index c900671..9100715 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f031 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f031_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F031 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf index 87be72c..cef7986 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f032 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f032_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F032 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf index e07b9d4..e8ff57c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f033 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f033_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F033 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf index ebd6b4d..a833bc9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f034 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f034_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F034 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf index 0bb38cb..098b9f9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f035 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f035_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F035 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf index 3943b5e..2c1ea25 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f036 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f036_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F036 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf index 6ca5114..803c785 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f037 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f037_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F037 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf index cff0b72..28cd665 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f038 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f038_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F038 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf index d2dbbbe..93017df 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f039 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f039_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F039 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf index e44ab23..c72d745 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f040 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f040_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F040 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf index aced496..686a1e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f041 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f041_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F041 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf index dbd4901..f2a1bfc 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f042 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f042_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F042 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf index b669e2a..53d463c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f043 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f043_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F043 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf index 0d87eca..1748cb1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f044 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f044_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F044 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf index 5fc043e..841f175 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f045 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f045_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F045 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf index 1d44129..c89c177 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f046 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f046_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F046 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf index 99e628a..bc34a8c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f047 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f047_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F047 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf index dc202cd..2ff427f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f048 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f048_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F048 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf index 4badc2d..7b2b073 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f049 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f049_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F049 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf index c5eea93..2d3e912 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f050 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f050_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F050 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf index 847867e..470289a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f051 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f051_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F051 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf index 5c2e61c..2ff3dac 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f052 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f052_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F052 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf index 9f92201..d1168d1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f053 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f053_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F053 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf index fcbd8c0..176a9bb 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f054 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f054_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F054 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf index 4b21b8e..e52363a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f055 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f055_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F055 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf index f69e31d..5fef8fe 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f056 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f056_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F056 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf index 0bc7a5a..9de3ae0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f057 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f057_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F057 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf index cca67b3..206b594 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f058 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f058_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F058 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf index 82481d8..9aa41e3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f059 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f059_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F059 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf index 767c16d..0514cac 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f060 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f060_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F060 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf index c3accb6..3bbdb09 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f061 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f061_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F061 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf index 0f1e2b4..5fc5a98 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f062 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f062_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F062 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf index 26cd4fd..d3f943f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f063 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f063_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F063 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf index 9e31579..a44d167 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f064 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f064_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F064 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf index 904ad8e..1252725 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f065 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f065_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F065 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf index 2ac1eef..940a7af 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f066 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f066_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F066 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf index e28f3e0..51c0cb0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f067 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f067_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F067 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf index 47e532d..b8e0473 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f068 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f068_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F068 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf index f0795c7..d375686 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f069 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f069_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F069 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf index 09f9386..9527385 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f070 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f070_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F070 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf index 7af6e71..ffdf993 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f071 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f071_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F071 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf index 163556e..e02aa31 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f072 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f072_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F072 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf index 312d962..2ccd34f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f073 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f073_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F073 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf index bc5854d..f2e1cc6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f074 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f074_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F074 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf index 995dd06..e8f87f5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f075 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f075_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F075 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf index 037d514..ada61e0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f076 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f076_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F076 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf index 0975f98..8f10c02 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f077 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f077_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F077 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf index 4fbf9a2..67a77dd 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f078 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f078_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F078 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf index f289400..0799802 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f079 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f079_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F079 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf index de9d879..7ed99ab 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f080 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f080_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F080 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf index 724b2c8..77083d5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f081 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f081_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F081 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf index 26342f1..3c27c8a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f082 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f082_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F082 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf index edac663..b827cec 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f083 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f083_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F083 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf index 23a6cc3..b3ed392 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f084 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f084_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F084 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf index 1c4b186..8b2509a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f085 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f085_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F085 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf index d640e2f..de2eb95 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f086 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f086_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F086 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf index 2b9a3b8..fb20750 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f087 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f087_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F087 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf index a8d0f25..b7df1ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f088 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f088_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F088 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf index d86ef52..2cf3558 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f089 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f089_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F089 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf index 4165018..a415ec3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f090 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f090_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F090 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf index 44f317d..9f74535 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f091 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f091_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F091 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf index 5d2b709..53e80cb 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f092 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f092_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F092 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf index bf7bae7..468d509 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f093 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f093_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F093 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf index 36cce97..e99338b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f094 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f094_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F094 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf index 5a253b1..74d403d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f095 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f095_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F095 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf index dc3b558..53d8dbd 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f096 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f096_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F096 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf index 7def71f..1b1c3ba 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f097 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f097_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F097 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf index 24e1f8b..983ef30 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f098 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f098_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F098 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf index 518dc00..d5b294c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f099 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f099_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F099 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf index 0d5469e..0472ef0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f100 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f100_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf index f78c4da..9536424 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f101 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f101_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf index 382154d..934dab3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f102 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f102_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf index bec4df5..997aa6f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f103 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f103_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf index 796ad73..1a6e3ab 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f104 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f104_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf index 457fec0..27759a9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f105 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f105_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf index a663fc9..abfe8de 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f106 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f106_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf index 7187594..7204f6f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f107 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f107_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf index 0384036..5b67f52 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f108 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f108_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf index 4d8d7be..3ce2b1a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f109 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f109_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf index 4f72a90..6543e22 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f110 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f110_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf index a8d57e6..4e0dfb6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f111 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f111_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf index 978e151..67fdf8b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f112 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f112_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf index 2455a58..98caf67 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f113 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f113_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf index ab9fcd1..0e13543 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f114 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f114_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf index 92372a6..df759dd 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f115 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f115_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf index 565aa8b..43c4e97 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f116 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f116_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf index 20dec08..852abdc 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f117 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f117_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf index d1d3f45..d43fa34 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f118 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f118_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf index 6b9017f..11fa723 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f119 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f119_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf index 9a00979..ea17b4d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f120 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f120_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf index e828b3d..3578324 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f123 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f123_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf index 36a4274..a21e424 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f126 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f126_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf index 0c03f90..ea8efdb 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f129 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f129_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf index e8832d6..d26fcdb 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f132 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f132_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf index 82befd3..22e93c9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f135 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f135_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf index 62f28da..942369b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f138 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f138_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf index 89909f5..9519b24 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f141 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f141_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf index bc6533e..b3a54b6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f144 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f144_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf index bbf018d..ea13e65 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f147 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f147_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf index 55ec8d3..57ae926 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f150 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f150_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf index 030ee2f..842b55a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f153 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f153_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf index fdea60e..0122777 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f156 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f156_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf index 8e38112..591ecd3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f159 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f159_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf index e7a1186..395efe8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f162 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f162_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf index fe86e22..55823a9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f165 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f165_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf index b79211c..bc67476 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f168 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f168_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf index 15a2d3e..10e706f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f171 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f171_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf index 94ecc78..0211339 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f174 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f174_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf index 34d4366..90d40dd 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f177 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f177_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf index a0d8ab1..23a1e36 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f180 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f180_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf index a8b777a..fcc9032 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f183 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f183_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf index 02e3a56..9dac820 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f186 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f186_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf index 87341cf..f6545db 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f189 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f189_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf index b8d0c76..28521fe 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f192 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f192_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf index 8bfbb73..d3729c7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f195 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f195_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf index 0363451..7cc21cb 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f198 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f198_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf index e94a022..757cbe2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f201 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f201_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf index d0c377b..77646cd 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f204 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f204_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf index d181b4f..8a9592f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f207 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f207_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf index 009dda4..a53da7e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f210 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f210_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf index 6dc4b69..49dc8b8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f213 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f213_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf index 0e4db87..c660eb4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f216 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f216_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf index abdfef5..46dfa03 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f219 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f219_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf index f52e413..2bb4a0e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f222 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f222_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf index a07a1a9..554cb51 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f225 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f225_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf index 1273cc5..96e7c3a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f228 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f228_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf index 81645c8..c760b11 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f231 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f231_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf index 7c4a116..3cfaf54 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f234 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f234_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf index bc34767..84b3268 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f237 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f237_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf index 47beb8d..08ed0ce 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f240 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f240_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf index 9b60402..f6b0ba0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f252 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f252_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf index dafeb58..cf8feeb 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f264 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f264_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf index c806814..8d998d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f276 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f276_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf index f74a5d0..0849675 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f288 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f288_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf index e605dfe..2455b68 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f300 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f300_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf index bf416a0..ef72d3f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f312 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f312_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf index 469902f..01966cc 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f324 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f324_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf index b966479..4924f52 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f336 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f336_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf index a84c97c..fee35a4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f348 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f348_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf index 5d84aa8..b6f08cb 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f360 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f360_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf index e87d5f6..6d95f0b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f372 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f372_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf index 1d40fbc..51e10ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f384 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f384_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf index 2d5ad7f..91f9c0e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.bufr_sounding.jgfs_postsnd #BSUB -o %ECF_OUT%/gfs.post_processing.bufr_sounding.jgfs_postsnd_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POSTSND %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf index 96a3c1d..6aa23a2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.bulletins.jgfs_cyclone_tracker #BSUB -o %ECF_OUT%/gfs.post_processing.bulletins.jgfs_cyclone_tracker_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_CYCLONE_TRACKER %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_fbwind.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_fbwind.ecf index 4c847e1..4219036 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_fbwind.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_fbwind.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.bulletins.jgfs_fbwind #BSUB -o %ECF_OUT%/gfs.post_processing.bulletins.jgfs_fbwind_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_FBWIND %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/dummy.ecf index 1923883..2d83c4b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/dummy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/dummy.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.dummy #BSUB -o %ECF_OUT%/gfs.post_processing.dummy_t%CYC%z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/DUMMY %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_anl.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_anl.ecf index b8e4792..0ff39b2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_anl.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.fax.jgfs_fax_anl #BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_anl_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_FAX_ANL %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_f00.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_f00.ecf index d1e4dad..ab7daa2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_f00.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.fax.jgfs_fax_f00 #BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_f00_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_FAX_F00 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf index 4b1f0e6..eb3abfe 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f12 #BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f12_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F12 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf index a395384..ee62ec2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f24 #BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f24_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F24 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf index 5aea03d..eb5e6ac 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f36 #BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f36_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F36 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf index b577f28..79ed9b8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib2_wafs.jgfs_wafs_blending #BSUB -o %ECF_OUT%/gfs.post_processing.grib2_wafs.jgfs_wafs_blending_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_BLENDING %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf index c59e3db..90fafaa 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib2_wafs.jgfs_wafs_grib2 #BSUB -o %ECF_OUT%/gfs.post_processing.grib2_wafs.jgfs_wafs_grib2_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_GRIB2 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf index adb1ad4..29ced0d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f00 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f00_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F00 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf index a46b72b..bfa27b9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f01 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f01_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F01 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf index d33beaf..723696f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f02 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f02_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F02 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf index d88139a..102378e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f03 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f03_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F03 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf index fb4e900..ea9e654 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f04 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f04_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F04 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf index d486a2f..a9d9184 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f05 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f05_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F05 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf index cfa2bf2..c28632b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f06 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f06_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F06 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf index dd3dbc9..f4b9f6d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f07 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f07_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F07 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf index ce5c372..e005f3b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f08 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f08_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F08 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf index cb1674c..716d367 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f09 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f09_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F09 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf index aaef77c..460980f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f10 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f10_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F10 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf index d62b985..a8a05be 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f100 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f100_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf index 5725afc..b988621 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f101 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f101_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf index 354fea8..47a9b7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f102 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f102_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf index 66af597..a90fffd 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f103 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f103_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf index 48a4f64..5584eed 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f104 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f104_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf index 95a9d3d..30f2ae5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f105 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f105_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf index 031774a..1dce32d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f106 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f106_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf index 4f2cd69..d7f5dbb 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f107 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f107_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf index 94a862f..033f727 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f108 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f108_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf index eea4505..3f2bfef 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f109 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f109_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf index 5beac26..64f0d21 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f11 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f11_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F11 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf index 22e93df..155bf2a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f110 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f110_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf index 9306d51..79a3a0a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f111 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f111_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf index 7cdcd3a..aae9fe2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f112 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f112_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf index 539e8a1..6b298d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f113 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f113_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf index 1c93e8a..d8a1c0f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f114 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f114_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf index 1190c24..bad0d0a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f115 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f115_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf index 7d389ea..6af05c4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f116 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f116_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf index 0230c3c..a57016d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f117 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f117_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf index d32e76f..6df2ec8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f118 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f118_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf index d0fafc1..7faa628 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f119 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f119_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf index 374e644..6ebb09f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f12 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f12_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F12 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf index 03503a0..17301f1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f120 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f120_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf index f31eb34..9423474 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f123 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f123_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf index 35cfc97..839a402 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f126 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f126_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf index bff0e91..2f34405 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f129 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f129_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf index 4899125..9f1b536 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f13 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f13_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F13 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf index 371f9ed..ac86153 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f132 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f132_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf index 151bab5..6434a64 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f135 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f135_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf index 1aa187d..c03da79 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f138 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f138_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf index 3fa0e14..4082779 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f14 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f14_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F14 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf index 94cd747..9bd79a7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f141 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f141_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf index adcc1ea..f916da3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f144 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f144_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf index 22d8ff0..643d497 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f147 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f147_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf index 81367d6..fd4080b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f15 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f15_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F15 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf index ed71266..0c50a5b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f150 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f150_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf index 247b1a6..fa06712 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f153 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f153_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf index d0ea37b..b4c34cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f156 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f156_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf index bb4cf49..ebd3f77 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f159 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f159_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf index 87e22d7..b8ce940 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f16 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f16_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F16 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf index f4cc0fc..65d4b82 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f162 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f162_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf index 497e7f1..e07c254 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f165 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f165_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf index dd3cb7d..90f995f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f168 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f168_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf index 436e380..06ffd71 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f17 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f17_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F17 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf index f9cd5cf..64fb24b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f171 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f171_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf index 5ef8f08..20a634e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f174 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f174_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf index 84ef9f6..5e61088 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f177 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f177_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf index c3e3657..759f33c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f18 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f18_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F18 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf index 28b4cfb..b29f445 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f180 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f180_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf index 1ce8970..45b7022 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f183 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f183_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf index 7530c7d..fde9efd 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f186 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f186_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf index 1b90f28..7789691 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f189 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f189_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf index 6462b1a..2293791 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f19 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f19_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F19 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf index 804cec4..3f7aeea 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f192 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f192_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf index f4ddb7b..c75f6ca 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f195 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f195_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf index 444b633..f41cec0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f198 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f198_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf index 6705e9e..324b62f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f20 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f20_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F20 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf index 2a28866..b0ed9f0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f201 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f201_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf index e98d72f..5f3b856 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f204 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f204_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf index bb5e694..d454358 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f207 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f207_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf index a20f7ef..e0db7ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f21 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f21_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F21 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf index f8d307a..3881883 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f210 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f210_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf index 2a7ee67..a29963a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f213 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f213_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf index 9bc5ed8..d9a6701 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f216 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f216_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf index cc6320a..271a7dc 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f219 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f219_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf index ede0601..3eb6e94 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f22 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f22_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F22 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf index 18125ce..7457f81 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f222 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f222_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf index 16c8377..3e3b3b9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f225 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f225_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf index 57a6604..a97a196 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f228 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f228_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf index ecf30b3..a2d4a28 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f23 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f23_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F23 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf index 6986c20..56cadcd 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f231 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f231_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf index 0b07b10..a60d59f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f234 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f234_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf index 67f6965..7e84bfa 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f237 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f237_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf index 4b7aae4..c0a5999 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f24 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f24_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F24 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf index 52c48ac..5a5704d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f240 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f240_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf index 06cc2c4..67ec35f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f25 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f25_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F25 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf index 3537e3c..08c5da3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f252 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f252_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf index f11863a..9752646 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f26 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f26_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F26 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf index da38a1a..c7d8be2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f264 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f264_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf index 421c406..00479b3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f27 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f27_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F27 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf index fa03e2d..8c5092c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f276 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f276_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf index ca48731..39b1867 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f28 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f28_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F28 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf index 87df796..063399d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f288 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f288_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf index 794de15..9ad9ae6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f29 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f29_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F29 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf index 348d3ed..5bd5fe7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f30 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f30_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F30 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf index a164f11..8a8671c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f300 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f300_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf index 1339cbd..1492e49 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f31 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f31_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F31 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf index bc5f248..3d3d96e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f312 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f312_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf index 7ef94b8..709b2bc 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f32 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f32_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F32 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf index fa88006..22a0236 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f324 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f324_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf index 83634a1..827cb9b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f33 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f33_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F33 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf index c726e99..535d32b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f336 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f336_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf index 844e348..4c9a59f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f34 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f34_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F34 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf index 13fe822..c11623d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f348 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f348_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf index 1c3b581..ee0d675 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f35 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f35_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F35 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf index 051227c..51fd3bc 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f36 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f36_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F36 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf index 0154ac9..7fcdbb4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f360 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f360_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf index cdc9dbc..00ee670 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f37 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f37_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F37 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf index afc7ba1..8d6686d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f372 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f372_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf index 4b9de22..e8fb122 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f38 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f38_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F38 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf index 2020efc..de4a19a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f384 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f384_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf index 64a9a97..662ddae 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f39 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f39_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F39 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf index 4a87b0c..1860999 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f40 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f40_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F40 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf index a5b92bb..9a21178 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f41 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f41_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F41 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf index 3df6e13..1a39075 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f42 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f42_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F42 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf index a43d18a..e27fa3f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f43 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f43_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F43 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf index d0cb547..5bf4069 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f44 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f44_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F44 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf index 652f27a..50e07a9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f45 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f45_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F45 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf index f94e41f..1ea6d07 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f46 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f46_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F46 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf index 47226dd..5e1e410 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f47 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f47_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F47 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf index 227e882..8481a27 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f48 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f48_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F48 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf index abd01b3..ac8bc77 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f49 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f49_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F49 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf index 5feab26..d2d68b7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f50 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f50_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F50 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf index 56667e4..a45b9ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f51 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f51_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F51 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf index 53fd0c7..8c00cd1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f52 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f52_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F52 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf index daaec08..37abc90 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f53 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f53_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F53 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf index 4a3b6c8..f2ad448 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f54 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f54_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F54 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf index a559b9c..970a13c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f55 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f55_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F55 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf index 0ba8653..dba031c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f56 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f56_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F56 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf index 83e5c03..669462d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f57 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f57_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F57 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf index 8f56133..3ec6db8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f58 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f58_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F58 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf index a0d96d9..e47b686 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f59 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f59_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F59 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf index e0b6774..1da1b31 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f60 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f60_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F60 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf index 17df620..f344991 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f61 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f61_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F61 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf index 52e4521..88d7169 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f62 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f62_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F62 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf index 364bc9f..ec34caa 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f63 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f63_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F63 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf index da86908..2753d36 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f64 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f64_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F64 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf index e823346..a47cdc5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f65 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f65_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F65 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf index 323118b..4cdd011 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f66 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f66_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F66 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf index 3d82494..be636db 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f67 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f67_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F67 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf index e6448b4..fd0473a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f68 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f68_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F68 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf index 4935ad1..b7f6c56 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f69 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f69_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F69 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf index 06948f6..9358332 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f70 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f70_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F70 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf index b728e42..65ad13d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f71 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f71_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F71 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf index f715fa8..372e94e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f72 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f72_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F72 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf index f12b549..05c4dd9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f73 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f73_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F73 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf index ba3198c..042dcc4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f74 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f74_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F74 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf index f77d4dc..639e69f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f75 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f75_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F75 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf index 1b4c82e..21d6d5a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f76 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f76_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F76 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf index 61efcda..1504ba0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f77 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f77_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F77 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf index 31be811..3734817 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f78 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f78_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F78 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf index 1137557..61da011 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f79 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f79_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F79 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf index 1b00c60..35f200e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f80 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f80_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F80 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf index 92f08a2..24eca70 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f81 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f81_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F81 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf index 0d739e1..08c9a92 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f82 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f82_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F82 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf index d4eb2bb..274e694 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f83 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f83_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F83 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf index b855201..9d85e4e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f84 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f84_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F84 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf index 1f91e3d..7f5836c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f85 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f85_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F85 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf index b47aaed..c491a7c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f86 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f86_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F86 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf index e0500e1..83d485a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f87 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f87_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F87 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf index 6fee43b..fad0e35 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f88 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f88_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F88 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf index 538fdce..f277f1f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f89 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f89_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F89 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf index 746f928..b45a059 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f90 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f90_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F90 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf index 24b9e57..516fdc0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f91 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f91_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F91 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf index 92fce21..7bb7dfe 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f92 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f92_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F92 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf index 5520bc9..3ebed2f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f93 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f93_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F93 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf index de0b7ba..3012bae 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f94 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f94_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F94 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf index ce1262b..b514340 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f95 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f95_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F95 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf index 846a043..5a7ddf6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f96 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f96_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F96 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf index 5703b66..dcf857f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f97 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f97_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F97 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf index 43bd691..e41c8ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f98 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f98_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F98 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf index 5c83443..e86b8c5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f99 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f99_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F99 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf index e7a7bf7..0d270cd 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f00 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f00_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F00 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf index 0de0d83..d9c34d0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f06 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f06_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F06 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf index f2ac7e5..b8059fc 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f102 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f102_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F102 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf index 7637047..f050c5d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f108 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f108_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F108 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf index 6215b68..965ac5a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f114 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f114_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F114 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf index e93d788..52b7974 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f12 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f12_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F12 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf index bde20f6..80ff668 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f120 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f120_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F120 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf index 69cb691..810990d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f18 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f18_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F18 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf index 2c136f7..a8bf6ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f24 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f24_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F24 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf index 174fbec..289fdc1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f30 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f30_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F30 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf index 38c22f1..6b3ae2c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f36 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f36_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F36 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf index adb49a3..dd59c6f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f42 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f42_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F42 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf index 90c146d..92b234f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f48 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f48_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F48 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf index 2887e6b..e87a546 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f54 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f54_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F54 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf index 703de29..6a0ae41 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f60 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f60_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F60 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf index cc498f7..d0f9264 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f66 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f66_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F66 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf index c341d80..444fcf1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f72 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f72_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F72 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf index bb6c883..adcaa84 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f78 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f78_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F78 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf index 733898d..649deb6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f84 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f84_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F84 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf index e5bea3a..72b873b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f90 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f90_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F90 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf index df35c2c..6aca06b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f96 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f96_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F96 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_npoess_pgrb2_0p5deg.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_npoess_pgrb2_0p5deg.ecf new file mode 100644 index 0000000..bd12a05 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_npoess_pgrb2_0p5deg.ecf @@ -0,0 +1,46 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_npoess_pgrb2_0p5deg +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_npoess_pgrb2_0p5deg_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_NPOESS_PGRB2_0P5DEG + +%include +%manual +# FIXME: Insert manual for this job. + +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_anl.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_anl.ecf index 5ea7647..f5b7979 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_anl.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f00.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f00.ecf index 4dd568b..9368c8a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f00.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f01.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f01.ecf index bb71ff1..f460df5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f01.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f01.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f02.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f02.ecf index a733d33..8c6da4e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f02.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f02.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f03.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f03.ecf index f7ec346..62426d1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f03.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f03.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f04.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f04.ecf index f6b154d..15878ee 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f04.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f04.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f05.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f05.ecf index 1eb124e..456cdc3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f05.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f05.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f06.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f06.ecf index 3bf03bb..6ce8e26 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f06.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f07.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f07.ecf index 844064d..6828bb1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f07.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f07.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f08.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f08.ecf index e98aef7..0967c6c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f08.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f08.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f09.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f09.ecf index 397555a..5431d94 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f09.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f09.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f10.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f10.ecf index c1497c9..7c7ba4f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f10.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f100.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f100.ecf index 22657e1..abe40ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f100.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f101.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f101.ecf index 6920c34..740082b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f101.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f102.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f102.ecf index 113cdd1..3e5ffae 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f102.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f103.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f103.ecf index e16ecbb..20c064c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f103.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f104.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f104.ecf index 985d92f..a4d35c0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f104.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f105.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f105.ecf index 0309914..39d6e3b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f105.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f106.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f106.ecf index ece1eb4..5761504 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f106.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f107.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f107.ecf index ee459c1..f90aa4e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f107.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f108.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f108.ecf index 60db5be..0794797 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f108.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f109.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f109.ecf index 1e422d7..cacb455 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f109.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f11.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f11.ecf index 02ca246..9fe9ded 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f11.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f110.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f110.ecf index 6d03a9a..3317c0c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f110.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f111.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f111.ecf index 4ecff8d..5e23f53 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f111.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f112.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f112.ecf index 7b17c4d..e21c45e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f112.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f113.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f113.ecf index 3451cd9..37a3be3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f113.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f114.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f114.ecf index dc4af08..65d00c9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f114.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f115.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f115.ecf index 73af0c0..62c0863 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f115.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f116.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f116.ecf index 690a8ba..446735b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f116.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f117.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f117.ecf index ca26c01..a67a945 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f117.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f118.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f118.ecf index eea5f87..b908e94 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f118.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f119.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f119.ecf index e55f892..d1bcf3d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f119.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f12.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f12.ecf index 9130c57..86e4276 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f12.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f120.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f120.ecf index 9ce30da..f223c5d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f120.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f123.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f123.ecf index 9b71f34..20f5790 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f123.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f126.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f126.ecf index 6603043..672a04a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f126.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f129.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f129.ecf index 629a2d7..0419864 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f129.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f13.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f13.ecf index 5543812..ae46205 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f13.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f132.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f132.ecf index b096da3..97eda2f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f132.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f135.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f135.ecf index 30e0880..095ec10 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f135.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f138.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f138.ecf index 9555d9e..71d0ef6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f138.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f14.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f14.ecf index 72b8add..b8f4e99 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f14.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f141.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f141.ecf index 1731252..73629ae 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f141.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f144.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f144.ecf index 9969354..0c1a810 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f144.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f147.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f147.ecf index 657915a..b3c9603 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f147.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f15.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f15.ecf index 214015e..5dfd990 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f15.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f150.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f150.ecf index c599570..5f8b675 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f150.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f153.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f153.ecf index 0ba529a..24caace 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f153.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f156.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f156.ecf index 5580fe2..834894a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f156.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f159.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f159.ecf index 043496b..ffaa65f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f159.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f16.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f16.ecf index cab490b..edfa129 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f16.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f162.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f162.ecf index 13d610e..37ac4d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f162.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f165.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f165.ecf index b9da11b..3a22de7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f165.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f168.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f168.ecf index df472e1..ba159fc 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f168.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f17.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f17.ecf index 01c1346..74cd88f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f17.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f17.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f171.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f171.ecf index 96f0047..78b66ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f171.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f174.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f174.ecf index bcc3189..37dbb1b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f174.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f177.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f177.ecf index a113eb2..a7b75ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f177.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f18.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f18.ecf index 6411a5a..b646bf2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f18.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f180.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f180.ecf index 9129c7a..ec74ec8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f180.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f183.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f183.ecf index 8ff4dd8..78b63d6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f183.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f186.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f186.ecf index ced809f..e2223e9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f186.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f189.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f189.ecf index c20d3ad..5bb4e8d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f189.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f19.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f19.ecf index d8f387a..5bcdd25 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f19.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f19.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f192.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f192.ecf index b4cfabf..cfb77c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f192.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f195.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f195.ecf index c564d67..c53475e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f195.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f198.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f198.ecf index 7c028f7..4ccc5d2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f198.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f20.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f20.ecf index d53c2be..11ce990 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f20.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f20.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f201.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f201.ecf index 347b37e..4e5ed6d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f201.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f204.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f204.ecf index 360208c..d5283fb 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f204.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f207.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f207.ecf index a5ea60a..7953592 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f207.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f21.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f21.ecf index fea4ef4..038462d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f21.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f21.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f210.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f210.ecf index 936ff65..04c7aea 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f210.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f213.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f213.ecf index 6ebd196..9b5de90 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f213.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f216.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f216.ecf index 06e0c52..49113fc 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f216.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f219.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f219.ecf index 828bc4c..4ff5667 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f219.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f22.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f22.ecf index 421e8a9..720f1f4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f22.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f22.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f222.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f222.ecf index 8fd024a..240ef08 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f222.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f225.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f225.ecf index 4165b5f..2b7ba8b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f225.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f228.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f228.ecf index 8733118..b98bde6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f228.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f23.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f23.ecf index 09e2bfd..223eb66 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f23.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f23.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f231.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f231.ecf index c917dfe..32503c0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f231.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f234.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f234.ecf index a10d688..7033b35 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f234.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f237.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f237.ecf index 21e126c..365f413 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f237.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f24.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f24.ecf index 35d22fe..69108c8 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f24.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f240.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f240.ecf index b53eaa4..22b6389 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f240.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f25.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f25.ecf index d2e559a..a949c3b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f25.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f25.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f252.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f252.ecf index 35f517b..8bc60a3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f252.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f26.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f26.ecf index cb28cb7..26afcd7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f26.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f26.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f264.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f264.ecf index d1d73f1..fcd1044 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f264.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f27.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f27.ecf index ec7ae01..d47c8a5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f27.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f27.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f276.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f276.ecf index 8432565..037bc7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f276.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f28.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f28.ecf index f5a6cc6..2f2ecc7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f28.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f28.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f288.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f288.ecf index b837780..0891da1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f288.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f29.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f29.ecf index 65f1ab8..dd740e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f29.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f29.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f30.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f30.ecf index 5422b60..0d3c428 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f30.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f300.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f300.ecf index ba8b162..41b5e7a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f300.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f31.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f31.ecf index 82275e6..ed0fd53 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f31.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f31.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f312.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f312.ecf index d0cfc7f..2374233 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f312.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f32.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f32.ecf index 090e91f..bf85511 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f32.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f32.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f324.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f324.ecf index f5ed851..6881eee 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f324.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f33.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f33.ecf index ff2eee3..f88c4a7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f33.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f33.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f336.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f336.ecf index f852789..445141a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f336.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f34.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f34.ecf index c38de68..476a79c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f34.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f34.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f348.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f348.ecf index f182069..42544f6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f348.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f35.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f35.ecf index ac55e7f..2859763 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f35.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f35.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f36.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f36.ecf index 9270303..8ff8a01 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f36.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f360.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f360.ecf index ff5c6f9..3a4202f 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f360.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f37.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f37.ecf index 9dc6e64..3a3bc69 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f37.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f37.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f372.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f372.ecf index 9c1014a..3210520 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f372.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f38.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f38.ecf index ba8cb3f..d364033 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f38.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f38.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f384.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f384.ecf index 7f6d6a6..0f2dc6e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f384.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f39.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f39.ecf index b02051d..0292e80 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f39.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f39.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f40.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f40.ecf index a154c0b..dccdcf7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f40.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f40.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f41.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f41.ecf index 1dcd244..8494868 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f41.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f41.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f42.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f42.ecf index 73b2014..decd37c 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f42.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f43.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f43.ecf index 08dd949..1768e97 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f43.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f43.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f44.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f44.ecf index 52580cf..b7a81b4 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f44.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f44.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f45.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f45.ecf index 8644ae7..5529cfa 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f45.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f45.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f46.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f46.ecf index 7f320ff..06b291e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f46.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f46.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f47.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f47.ecf index 5a4ea16..45dbcdd 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f47.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f47.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f48.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f48.ecf index c806f0a..7ab1351 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f48.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f49.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f49.ecf index df9584e..d11537b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f49.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f49.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f50.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f50.ecf index 46dcf81..ea944ea 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f50.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f50.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f51.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f51.ecf index be69fcf..83cd657 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f51.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f51.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f52.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f52.ecf index be0a82d..bdb18a6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f52.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f52.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f53.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f53.ecf index cd5a589..af87de2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f53.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f53.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f54.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f54.ecf index 3cc8da6..7536157 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f54.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f55.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f55.ecf index 5480e05..d96a675 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f55.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f55.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f56.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f56.ecf index e61e6de..d59a033 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f56.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f56.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f57.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f57.ecf index 68834e5..61b1939 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f57.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f57.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f58.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f58.ecf index cb103f6..37c6b73 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f58.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f58.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f59.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f59.ecf index 621ceec..f9b5d4a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f59.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f59.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f60.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f60.ecf index 8c26227..2981e55 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f60.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f61.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f61.ecf index e462bba..8ccf0c9 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f61.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f61.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f62.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f62.ecf index ebb9d19..9ef1ba1 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f62.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f62.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f63.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f63.ecf index ceb1631..5e2fc5e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f63.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f63.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f64.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f64.ecf index da621df..2e71544 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f64.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f64.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f65.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f65.ecf index 98de665..362db5a 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f65.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f65.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f66.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f66.ecf index 7fc5680..de53cff 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f66.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f67.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f67.ecf index c0eb12f..cb9bdc6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f67.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f67.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f68.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f68.ecf index 1f61252..d81dbb2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f68.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f68.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f69.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f69.ecf index 3088334..00ba226 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f69.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f69.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f70.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f70.ecf index 9c2b0c9..388b89d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f70.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f70.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f71.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f71.ecf index 0b93e1d..089f634 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f71.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f71.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f72.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f72.ecf index 6808514..894ce03 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f72.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f73.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f73.ecf index e5618d5..9ec2c37 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f73.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f73.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f74.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f74.ecf index afa66b8..e385797 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f74.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f74.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f75.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f75.ecf index 6a16332..d06da34 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f75.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f75.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f76.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f76.ecf index c455ab6..33883d3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f76.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f76.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f77.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f77.ecf index e0cd2ac..96e92a5 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f77.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f77.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f78.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f78.ecf index 413f968..044d0a2 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f78.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f79.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f79.ecf index 0d8b25b..dec8925 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f79.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f79.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f80.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f80.ecf index b30321e..c8cfd28 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f80.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f80.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f81.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f81.ecf index df968cf..0775fd0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f81.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f81.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f82.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f82.ecf index 93c875f..4fff75b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f82.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f82.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f83.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f83.ecf index 0358e18..48cf3aa 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f83.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f83.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f84.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f84.ecf index 2c2331c..7e101b0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f84.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f85.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f85.ecf index a031e30..e0a7f4b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f85.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f85.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f86.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f86.ecf index e267494..07cffb3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f86.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f86.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f87.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f87.ecf index 2ff1289..0319ffb 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f87.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f87.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f88.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f88.ecf index bd4dc74..ddce352 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f88.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f88.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f89.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f89.ecf index 779707a..22e03c0 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f89.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f89.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f90.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f90.ecf index 540ebb0..eaae836 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f90.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f91.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f91.ecf index 8e9157d..632928d 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f91.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f91.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f92.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f92.ecf index 584d5b0..4d954c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f92.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f92.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f93.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f93.ecf index 64bd6fa..6f715b7 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f93.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f93.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f94.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f94.ecf index 657475d..676dfd3 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f94.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f94.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f95.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f95.ecf index 199e447..b07272b 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f95.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f95.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f96.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f96.ecf index b814bf6..d97bd43 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f96.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f97.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f97.ecf index 1c9d436..0895aef 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f97.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f97.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f98.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f98.ecf index 193a1e0..a85e297 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f98.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f98.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f99.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f99.ecf index d12fbcc..4d15516 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f99.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f99.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_manager.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_manager.ecf index 96411cd..7243028 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_manager.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_manager.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.prdgen.jgfs_pgrb2_manager #BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_manager_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_PGRB2_MANAGER %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf index 84962fb..2d6cb56 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.prep.jgfs_emcsfc_sfc_prep #BSUB -o %ECF_OUT%/gfs.prep.jgfs_emcsfc_sfc_prep_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_EMCSFC_SFC_PREP %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf index 2fa9acd..ed66f3e 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_PREP %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf index 3e34d93..afd7b09 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.prep.jgfs_prep_post #BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_post_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_PREP_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_even.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_even.ecf index 061851c..d3bea30 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_even.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_even.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.sminit_guam.jgfs_sminit_guam_even #BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_even_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_SMINIT_GUAM_EVEN %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf index 2b73593..5171433 100644 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.sminit_guam.jgfs_sminit_guam_odd #BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_odd_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_SMINIT_GUAM_ODD %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/cycle_end.ecf b/model/ecflow_fv3gfs/scripts/prod12/cycle_end.ecf index 4e874f0..7c49d11 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/cycle_end.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/cycle_end.ecf @@ -4,6 +4,7 @@ #BSUB -J cycle_end #BSUB -o %ECF_OUT%/cycle_end_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/CYCLE_END %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/analysis/jgdas_analysis_high.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/analysis/jgdas_analysis_high.ecf index a200197..1fe0bb9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/analysis/jgdas_analysis_high.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/analysis/jgdas_analysis_high.ecf @@ -4,18 +4,18 @@ #BSUB -J gdas.analysis.jgdas_analysis_high #BSUB -o %ECF_OUT%/gdas.analysis.jgdas_analysis_high_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] +#BSUB -W 0:05 +#BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] -export NODES=60 +export NODES=1 %include %include set -x -export ntasks=360 -export ptile=6 -export threads=2 +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_ANALYSIS_HIGH %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf index 709273c..1c5c1a1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.dump.jgdas_dump #BSUB -o %ECF_OUT%/gdas.dump.jgdas_dump_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_DUMP %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf index ed05c2c..a690ea1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.dump.jgdas_ics #BSUB -o %ECF_OUT%/gdas.dump.jgdas_ics_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_ICS %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf index 249de65..eabe34e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.dump.jgdas_tropcy_qc_reloc #BSUB -o %ECF_OUT%/gdas.dump.jgdas_tropcy_qc_reloc_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_TROPCY_QC_RELOC %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf index 6962c93..18f9f6e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf index 075bc1e..3979602 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf index ee7440e..c1719f2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf index 220b2b9..0f6565b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf index 6d76be7..ad76430 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf index 562af3e..68cab07 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf index 9ab23fd..c5246d8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf index ac0ea33..382ebff 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf index e4615f0..1eda8b0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf index f3bf604..9a2d0bd 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf index e6bc15e..7190cd2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf index 73e7cb4..7877463 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf index eb04b16..ede0b06 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf index d6b056e..6a23c3e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf index a5b49b8..d1ff948 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf index 630d329..c2a35dd 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf index b6d1c18..8c31726 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_ENKF_INFLATE_RECENTER %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf index 1abe592..14bbb72 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_ENKF_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf index e0d4d1e..abd1001 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_ENKF_SELECT_OBS %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf index 0843762..f7b10a1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_ENKF_UPDATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/forecast/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/forecast/jgdas_forecast.ecf index eed96b0..b8397e9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/forecast/jgdas_forecast.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/forecast/jgdas_forecast.ecf @@ -4,17 +4,17 @@ #BSUB -J gdas.forecast.jgdas_forecast #BSUB -o %ECF_OUT%/gdas.forecast.jgdas_forecast_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] +#BSUB -W 0:05 +#BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] -export NODES=64 +export NODES=1 %include %include set -x -export ntasks=768 -export ptile=12 +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile # No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak.ecf index e611466..2e91a76 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.gempak.jgdas_gempak #BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_GEMPAK %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_meta.ecf index 93dc751..ab2a8c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_meta.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_meta.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.gempak.jgdas_gempak_meta #BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_meta_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_GEMPAK_META %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_ncdc.ecf index a10c959..d66b6cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_ncdc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_ncdc.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.gempak.jgdas_gempak_ncdc #BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_ncdc_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_GEMPAK_NCDC %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf index e99e392..8ae5d5b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.jgdas_verfrad #BSUB -o %ECF_OUT%/gdas.jgdas_verfrad_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_VERFRAD %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf index 16e857c..c8a0c18 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.jgdas_vminmon #BSUB -o %ECF_OUT%/gdas.jgdas_vminmon_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_VMINMON %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/post/jgdas_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/post/jgdas_post.ecf index a83823e..b6ac488 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/post/jgdas_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/post/jgdas_post.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/post %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf index e65c565..c2b8e46 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.post_processing.bulletins.jgdas_mknavybulls #BSUB -o %ECF_OUT%/gdas.post_processing.bulletins.jgdas_mknavybulls_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_MKNAVYBULLS %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf index 4d41618..4c446a5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.prep.jgdas_emcsfc_sfc_prep #BSUB -o %ECF_OUT%/gdas.prep.jgdas_emcsfc_sfc_prep_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_EMCSFC_SFC_PREP %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf index d70e3a8..e3c863d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_PREP %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf index e749457..576f511 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.prep.jgdas_prep_post #BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_post_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_PREP_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf index 2695723..68244c5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.dump.jgfs_dump #BSUB -o %ECF_OUT%/gfs.dump.jgfs_dump_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_DUMP %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf index 5cd044c..4934fb5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.dump.jgfs_tropcy_qc_reloc #BSUB -o %ECF_OUT%/gfs.dump.jgfs_tropcy_qc_reloc_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_TROPCY_QC_RELOC %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_high.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_high.ecf index c3140db..c043e42 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_high.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_high.ecf @@ -4,17 +4,17 @@ #BSUB -J gfs.forecast.jgfs_forecast_high #BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_high_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] +#BSUB -W 0:05 +#BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] -export NODES=64 +export NODES=1 %include %include set -x -export ntasks=768 -export ptile=12 +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile # No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_low.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_low.ecf index 37302ab..63e0f7e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_low.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_low.ecf @@ -4,17 +4,17 @@ #BSUB -J gfs.forecast.jgfs_forecast_low #BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_low_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] +#BSUB -W 0:05 +#BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] -export NODES=64 +export NODES=1 %include %include set -x -export ntasks=768 -export ptile=12 +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile # No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak.ecf index 33942eb..0bc0151 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.gempak.jgfs_gempak #BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_GEMPAK %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_meta.ecf index 27db5f1..365366b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_meta.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_meta.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.gempak.jgfs_gempak_meta #BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_meta_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_GEMPAK_META %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_ncdc.ecf index 3188b7c..1d37b9c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_ncdc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_ncdc.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.gempak.jgfs_gempak_ncdc #BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_ncdc_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_GEMPAK_NCDC %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_upapgif.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_upapgif.ecf index 8a66333..d0b9e49 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_upapgif.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_upapgif.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.gempak.jgfs_gempak_upapgif #BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_upapgif_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_GEMPAK_UPAPGIF %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf index 64ef876..9a905b2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf @@ -1,33 +1,46 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_pgrb2_spec_gempak +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_pgrb2_spec_gempak_t%CYC%z.log + +#BSUB -W 0:05 +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_PGRB2_SPEC_GEMPAK %include %manual +# FIXME: Insert manual for this job. + +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf index abcf04c..9c432ae 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf @@ -4,18 +4,18 @@ #BSUB -J gfs.jgfs_analysis #BSUB -o %ECF_OUT%/gfs.jgfs_analysis_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] +#BSUB -W 0:05 +#BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] -export NODES=60 +export NODES=1 %include %include set -x -export ntasks=360 -export ptile=6 -export threads=2 +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_ANALYSIS %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf index fa7eafe..e716845 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.jgfs_vminmon #BSUB -o %ECF_OUT%/gfs.jgfs_vminmon_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_VMINMON %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_pgrb2_spec_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_pgrb2_spec_post.ecf index 0801f05..b721eb8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_pgrb2_spec_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_pgrb2_spec_post.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_pgrb2_spec_post #BSUB -o %ECF_OUT%/gfs.post.jgfs_pgrb2_spec_post_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_PGRB2_SPEC_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_anl.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_anl.ecf index 45a93c4..4b529f3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_anl.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_anl #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_anl_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_ANL %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f00.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f00.ecf index 02597de..5f8013c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f00.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f00 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f00_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F00 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f01.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f01.ecf index 108bf63..5fa243e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f01.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f01.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f01 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f01_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F01 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f02.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f02.ecf index 4fdac2f..7b7d049 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f02.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f02.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f02 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f02_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F02 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f03.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f03.ecf index f9e67b3..e87e1ce 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f03.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f03.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f03 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f03_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F03 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f04.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f04.ecf index 5cf2213..306b5d7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f04.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f04.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f04 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f04_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F04 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f05.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f05.ecf index 4d07699..de79851 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f05.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f05.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f05 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f05_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F05 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f06.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f06.ecf index 61378cf..00d3d4d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f06.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f06 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f06_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F06 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f07.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f07.ecf index 358a358..e64aa61 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f07.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f07.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f07 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f07_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F07 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f08.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f08.ecf index 54f90b9..525ca29 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f08.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f08.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f08 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f08_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F08 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f09.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f09.ecf index 8b82c0e..602cdb8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f09.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f09.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f09 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f09_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F09 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f10.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f10.ecf index 3cdac7f..544253b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f10.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f10 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f10_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F10 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f100.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f100.ecf index 1a86b5b..06859c4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f100.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f100 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f100_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F100 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f101.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f101.ecf index e249fa7..4de6302 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f101.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f101 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f101_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F101 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f102.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f102.ecf index 169b6f8..7198310 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f102.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f102 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f102_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F102 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f103.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f103.ecf index 9c80f50..6f0cbe1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f103.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f103 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f103_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F103 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f104.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f104.ecf index 0c91263..009cb45 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f104.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f104 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f104_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F104 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f105.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f105.ecf index 8c838f1..8485c90 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f105.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f105 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f105_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F105 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f106.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f106.ecf index 9227194..7d87568 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f106.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f106 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f106_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F106 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f107.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f107.ecf index e66cc39..e2385fd 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f107.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f107 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f107_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F107 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f108.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f108.ecf index 2404b80..e5ca743 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f108.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f108 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f108_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F108 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f109.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f109.ecf index 568e47e..39a3822 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f109.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f109 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f109_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F109 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f11.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f11.ecf index a8c31fe..5ce54a7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f11.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f11 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f11_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F11 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f110.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f110.ecf index 7f02883..6542099 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f110.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f110 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f110_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F110 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f111.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f111.ecf index 84edb70..5ba69f2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f111.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f111 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f111_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F111 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f112.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f112.ecf index 2c3d749..2146994 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f112.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f112 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f112_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F112 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f113.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f113.ecf index fcadb88..c952a20 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f113.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f113 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f113_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F113 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f114.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f114.ecf index e7339b4..43efe71 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f114.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f114 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f114_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F114 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f115.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f115.ecf index 4028ca9..4120253 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f115.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f115 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f115_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F115 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f116.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f116.ecf index 25c013b..42bdad2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f116.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f116 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f116_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F116 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f117.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f117.ecf index 40b2a29..065b3f4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f117.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f117 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f117_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F117 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f118.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f118.ecf index c4f7bad..320e22c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f118.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f118 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f118_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F118 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f119.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f119.ecf index 57a736c..30fe7ab 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f119.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f119 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f119_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F119 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f12.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f12.ecf index 791286e..5c63819 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f12.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f12 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f12_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F12 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f120.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f120.ecf index 7efc829..a794195 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f120.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f120 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f120_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F120 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f123.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f123.ecf index b7fed67..aee73b3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f123.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f123 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f123_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F123 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f126.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f126.ecf index faa1ca2..a89d70f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f126.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f126 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f126_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F126 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f129.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f129.ecf index 0bff7d7..eb6c87b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f129.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f129 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f129_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F129 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f13.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f13.ecf index 9370750..26cf79f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f13.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f13 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f13_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F13 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f132.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f132.ecf index cd98649..fad5b37 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f132.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f132 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f132_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F132 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f135.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f135.ecf index adf58ff..cd16570 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f135.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f135 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f135_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F135 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f138.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f138.ecf index 3b4d3e1..ea21051 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f138.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f138 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f138_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F138 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f14.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f14.ecf index c2eb6c2..2698e34 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f14.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f14 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f14_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F14 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f141.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f141.ecf index 845199e..47b2ccf 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f141.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f141 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f141_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F141 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f144.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f144.ecf index b14d208..cde0cc5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f144.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f144 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f144_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F144 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f147.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f147.ecf index 8559ed0..0f43f94 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f147.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f147 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f147_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F147 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f15.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f15.ecf index 086115c..85c97cd 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f15.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f15 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f15_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F15 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f150.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f150.ecf index 309c34f..ec33863 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f150.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f150 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f150_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F150 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f153.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f153.ecf index 430952c..a83e3ba 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f153.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f153 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f153_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F153 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f156.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f156.ecf index 064a627..969b6ee 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f156.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f156 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f156_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F156 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f159.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f159.ecf index 1ce69e7..4055d43 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f159.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f159 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f159_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F159 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f16.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f16.ecf index fd7598f..78f7dc8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f16.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f16 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f16_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F16 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f162.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f162.ecf index 854d633..72b0d82 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f162.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f162 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f162_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F162 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f165.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f165.ecf index c2c60b9..a8cc110 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f165.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f165 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f165_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F165 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f168.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f168.ecf index 2a9d6ba..e583401 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f168.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f168 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f168_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F168 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f17.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f17.ecf index 5d76cb5..a396d93 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f17.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f17.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f17 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f17_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F17 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f171.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f171.ecf index 8ea9fff..914f45c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f171.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f171 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f171_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F171 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f174.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f174.ecf index 4402bce..f47913d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f174.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f174 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f174_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F174 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f177.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f177.ecf index 107d37a..e4c6edf 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f177.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f177 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f177_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F177 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f18.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f18.ecf index 3b29c2f..43c5a6c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f18.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f18 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f18_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F18 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f180.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f180.ecf index e9c8054..9cf23fd 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f180.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f180 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f180_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F180 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f183.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f183.ecf index 22d1912..7e77705 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f183.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f183 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f183_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F183 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f186.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f186.ecf index 115a3f4..569749e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f186.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f186 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f186_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F186 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f189.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f189.ecf index b106e6e..d030954 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f189.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f189 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f189_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F189 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f19.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f19.ecf index 21956ec..9f02c15 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f19.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f19.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f19 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f19_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F19 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f192.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f192.ecf index 4ae2aff..ca81797 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f192.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f192 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f192_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F192 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f195.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f195.ecf index 0f24e2a..abe6960 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f195.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f195 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f195_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F195 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f198.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f198.ecf index ef6396a..7ce2f55 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f198.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f198 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f198_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F198 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f20.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f20.ecf index 7c47937..e810c9e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f20.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f20.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f20 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f20_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F20 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f201.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f201.ecf index 2f9317a..858cd58 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f201.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f201 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f201_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F201 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f204.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f204.ecf index efa0ded..0cad17d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f204.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f204 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f204_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F204 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f207.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f207.ecf index ec1710e..c7fd9c8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f207.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f207 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f207_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F207 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f21.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f21.ecf index 4f804b0..55aeb3f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f21.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f21.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f21 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f21_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F21 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f210.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f210.ecf index 35362be..b000cc7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f210.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f210 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f210_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F210 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f213.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f213.ecf index 34c4bf6..18801f6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f213.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f213 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f213_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F213 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f216.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f216.ecf index b71785a..440fd09 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f216.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f216 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f216_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F216 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f219.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f219.ecf index e5532a7..05e8864 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f219.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f219 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f219_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F219 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f22.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f22.ecf index 14de8d7..b9e07b6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f22.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f22.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f22 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f22_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F22 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f222.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f222.ecf index 1323cc2..ef5066f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f222.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f222 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f222_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F222 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f225.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f225.ecf index 455ead1..68c8b89 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f225.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f225 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f225_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F225 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f228.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f228.ecf index cfac18f..d327681 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f228.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f228 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f228_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F228 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f23.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f23.ecf index 55ae234..7e6297a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f23.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f23.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f23 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f23_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F23 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f231.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f231.ecf index 056d407..8e91182 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f231.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f231 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f231_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F231 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f234.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f234.ecf index 23a4639..745af27 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f234.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f234 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f234_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F234 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f237.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f237.ecf index c0ce852..b6b6608 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f237.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f237 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f237_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F237 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f24.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f24.ecf index c8386e3..aa530b1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f24.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f24 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f24_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F24 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f240.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f240.ecf index c81481b..6588508 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f240.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f240 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f240_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F240 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f25.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f25.ecf index c27b146..7dd1688 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f25.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f25.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f25 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f25_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F25 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f252.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f252.ecf index de6a3e9..df2b109 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f252.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f252 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f252_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F252 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f26.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f26.ecf index 19e658b..545d1de 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f26.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f26.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f26 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f26_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F26 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f264.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f264.ecf index 6062291..d53bd8a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f264.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f264 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f264_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F264 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f27.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f27.ecf index 49aefae..5262acc 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f27.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f27.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f27 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f27_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F27 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f276.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f276.ecf index 0acae9d..6d06ce5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f276.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f276 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f276_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F276 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f28.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f28.ecf index ed73eb8..7a35535 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f28.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f28.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f28 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f28_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F28 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f288.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f288.ecf index 42d9617..2c039e4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f288.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f288 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f288_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F288 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f29.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f29.ecf index c84c6f3..d286ed1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f29.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f29.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f29 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f29_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F29 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f30.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f30.ecf index 23927d7..320a8bc 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f30.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f30 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f30_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F30 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f300.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f300.ecf index 68473ec..dfc176e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f300.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f300 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f300_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F300 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f31.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f31.ecf index a7d4c7e..e1cd639 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f31.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f31.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f31 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f31_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F31 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f312.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f312.ecf index 2b32b78..491828c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f312.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f312 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f312_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F312 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f32.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f32.ecf index 7730300..db910c1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f32.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f32.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f32 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f32_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F32 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f324.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f324.ecf index 7a86340..56eadc4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f324.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f324 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f324_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F324 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f33.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f33.ecf index 6b5bec0..8179217 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f33.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f33.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f33 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f33_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F33 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f336.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f336.ecf index 191765b..73fd943 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f336.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f336 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f336_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F336 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f34.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f34.ecf index cd5061b..ca8c4ca 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f34.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f34.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f34 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f34_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F34 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f348.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f348.ecf index 95b8f8b..a3deca3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f348.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f348 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f348_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F348 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f35.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f35.ecf index cacaf52..56298c2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f35.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f35.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f35 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f35_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F35 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f36.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f36.ecf index e33dde8..fd3a562 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f36.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f36 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f36_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F36 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f360.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f360.ecf index 4fc0fe4..47c1637 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f360.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f360 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f360_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F360 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f37.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f37.ecf index 5a92603..57b855b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f37.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f37.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f37 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f37_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F37 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f372.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f372.ecf index 8b5f4b6..2c09fa7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f372.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f372 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f372_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F372 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f38.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f38.ecf index 2a26048..293768b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f38.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f38.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f38 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f38_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F38 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f384.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f384.ecf index 938424a..35de2d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f384.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f384 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f384_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F384 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f39.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f39.ecf index 1b8ec9d..e575fa0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f39.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f39.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f39 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f39_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F39 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f40.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f40.ecf index 1eec893..f327b92 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f40.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f40.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f40 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f40_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F40 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f41.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f41.ecf index c1c7542..3997b0a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f41.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f41.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f41 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f41_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F41 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f42.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f42.ecf index 2325836..cddd38a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f42.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f42 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f42_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F42 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f43.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f43.ecf index ff41cfb..6e2f893 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f43.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f43.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f43 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f43_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F43 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f44.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f44.ecf index 4ce3278..4d19013 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f44.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f44.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f44 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f44_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F44 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f45.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f45.ecf index 91e6446..f97294f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f45.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f45.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f45 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f45_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F45 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f46.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f46.ecf index 8b0dc36..4e75af3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f46.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f46.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f46 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f46_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F46 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f47.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f47.ecf index e67c97a..5f6d908 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f47.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f47.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f47 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f47_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F47 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f48.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f48.ecf index 2b62b20..ba02467 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f48.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f48 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f48_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F48 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f49.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f49.ecf index 472ad4c..1f20948 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f49.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f49.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f49 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f49_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F49 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f50.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f50.ecf index 7553af6..1792ab9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f50.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f50.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f50 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f50_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F50 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f51.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f51.ecf index c912aaa..69c9186 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f51.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f51.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f51 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f51_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F51 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f52.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f52.ecf index 550df2f..762d5c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f52.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f52.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f52 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f52_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F52 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f53.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f53.ecf index 92dd73f..0ea5103 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f53.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f53.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f53 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f53_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F53 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f54.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f54.ecf index bea0edc..2661bc6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f54.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f54 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f54_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F54 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f55.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f55.ecf index 837811c..66b212c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f55.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f55.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f55 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f55_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F55 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f56.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f56.ecf index d89b869..5490585 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f56.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f56.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f56 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f56_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F56 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f57.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f57.ecf index 348ee4f..5c4cad4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f57.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f57.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f57 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f57_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F57 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f58.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f58.ecf index 18c4a48..d7a4de8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f58.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f58.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f58 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f58_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F58 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f59.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f59.ecf index 911e47a..1b225d6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f59.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f59.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f59 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f59_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F59 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f60.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f60.ecf index 5146bd0..e93c3de 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f60.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f60 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f60_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F60 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f61.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f61.ecf index ae3fea3..400eb38 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f61.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f61.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f61 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f61_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F61 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f62.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f62.ecf index 436b0bc..30de2e0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f62.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f62.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f62 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f62_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F62 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f63.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f63.ecf index f0a1a8f..98e5130 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f63.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f63.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f63 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f63_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F63 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f64.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f64.ecf index 950507b..244c3f2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f64.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f64.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f64 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f64_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F64 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f65.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f65.ecf index d6c4e7f..d9c2023 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f65.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f65.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f65 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f65_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F65 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f66.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f66.ecf index 5925426..3733ac3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f66.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f66 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f66_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F66 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f67.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f67.ecf index a30b66c..a3fe02d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f67.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f67.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f67 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f67_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F67 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f68.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f68.ecf index 02c4962..0498be1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f68.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f68.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f68 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f68_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F68 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f69.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f69.ecf index 1a4852c..7e0119f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f69.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f69.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f69 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f69_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F69 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f70.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f70.ecf index ad1dca1..96b0def 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f70.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f70.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f70 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f70_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F70 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f71.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f71.ecf index b75158b..ea72192 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f71.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f71.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f71 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f71_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F71 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f72.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f72.ecf index bfda8ea..37c4e10 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f72.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f72 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f72_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F72 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f73.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f73.ecf index 5703db6..85782de 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f73.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f73.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f73 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f73_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F73 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f74.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f74.ecf index 4d8a171..9ebee44 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f74.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f74.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f74 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f74_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F74 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f75.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f75.ecf index df16a6d..638ebb0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f75.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f75.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f75 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f75_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F75 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f76.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f76.ecf index 8e6af05..56c3b61 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f76.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f76.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f76 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f76_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F76 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f77.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f77.ecf index cfa16fc..79ff02f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f77.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f77.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f77 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f77_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F77 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f78.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f78.ecf index 96ab8cd..cfa1fa0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f78.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f78 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f78_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F78 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f79.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f79.ecf index d5bacf3..594cb93 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f79.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f79.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f79 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f79_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F79 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f80.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f80.ecf index a43e62f..39bcb24 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f80.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f80.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f80 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f80_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F80 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f81.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f81.ecf index 433bd18..06facc2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f81.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f81.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f81 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f81_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F81 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f82.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f82.ecf index a79f29b..1de6545 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f82.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f82.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f82 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f82_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F82 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f83.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f83.ecf index c0a8717..5b74ba6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f83.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f83.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f83 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f83_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F83 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f84.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f84.ecf index fc497b7..21095ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f84.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f84 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f84_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F84 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f85.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f85.ecf index 4b8f159..f75e946 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f85.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f85.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f85 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f85_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F85 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f86.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f86.ecf index d277132..4a80448 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f86.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f86.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f86 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f86_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F86 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f87.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f87.ecf index ba24c5d..68190f4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f87.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f87.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f87 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f87_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F87 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f88.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f88.ecf index 81a30a6..1c55188 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f88.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f88.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f88 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f88_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F88 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f89.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f89.ecf index 3514e27..02bcccc 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f89.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f89.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f89 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f89_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F89 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f90.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f90.ecf index 73a0585..ddbe0e7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f90.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f90 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f90_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F90 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f91.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f91.ecf index 3eceeee..00a9c4a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f91.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f91.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f91 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f91_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F91 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f92.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f92.ecf index bc30db6..9bfe2f2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f92.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f92.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f92 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f92_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F92 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f93.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f93.ecf index 842596d..530c14c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f93.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f93.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f93 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f93_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F93 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f94.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f94.ecf index adc946c..5334d61 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f94.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f94.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f94 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f94_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F94 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f95.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f95.ecf index 961d45a..0f61bb5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f95.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f95.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f95 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f95_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F95 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f96.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f96.ecf index e7c1809..f5c98c3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f96.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f96 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f96_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F96 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f97.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f97.ecf index 5a7b7cc..c1511e7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f97.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f97.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f97 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f97_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F97 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f98.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f98.ecf index 6aba72f..7fa20e0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f98.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f98.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f98 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f98_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F98 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f99.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f99.ecf index fd493c7..d8d28fd 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f99.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f99.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f99 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f99_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F99 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_manager.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_manager.ecf index cce2e41..e92e20b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_manager.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_manager.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_manager #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_manager_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_MANAGER %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf index d3ec7f0..c1fb614 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f000 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f000_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F000 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf index 669ca3e..640ca4b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f001 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f001_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F001 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf index bcc4ea7..88b9291 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f002 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f002_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F002 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf index 1389451..492ef0f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f003 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f003_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F003 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf index ff9a6db..97b0489 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f004 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f004_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F004 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf index fa0b9da..994f70e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f005 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f005_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F005 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf index 216de5b..12538da 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f006 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f006_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F006 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf index e848d86..7fd41f3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f007 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f007_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F007 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf index 8fd242a..1fe6f29 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f008 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f008_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F008 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf index d27fae2..ef101e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f009 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f009_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F009 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf index 0484b7b..e60e0d5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f010 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f010_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F010 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf index 3aa2ddb..a4d2421 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f011 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f011_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F011 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf index ceb7bcf..0b22ce4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f012 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f012_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F012 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf index ab42d41..4c12e00 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f013 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f013_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F013 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf index 59a4b64..906e2d3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f014 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f014_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F014 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf index 53a8752..b04b114 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f015 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f015_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F015 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf index 6a2c161..6c1443c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f016 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f016_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F016 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf index 5643028..d179c83 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f017 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f017_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F017 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf index 9017a9e..551a7e7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f018 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f018_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F018 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf index e330aff..56028e2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f019 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f019_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F019 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf index 9ed1e5e..b939cef 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f020 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f020_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F020 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf index 1db01b8..6fd5982 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f021 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f021_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F021 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf index 4d37614..038afe8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f022 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f022_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F022 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf index 7cce8c7..39e5f6e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f023 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f023_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F023 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf index d257cd9..5ab3b64 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f024 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f024_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F024 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf index 280cef8..9fc4628 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f025 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f025_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F025 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf index 4988749..aa28901 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f026 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f026_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F026 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf index 5d3a7a1..ad001a4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f027 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f027_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F027 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf index de2fc15..2a2c00c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f028 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f028_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F028 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf index fb40400..808c730 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f029 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f029_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F029 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf index 1b2d8c6..80a9c62 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f030 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f030_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F030 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf index fce31a7..374011e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f031 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f031_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F031 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf index c657d14..7b6c6ce 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f032 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f032_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F032 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf index 4fc3a2c..8c0cbb0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f033 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f033_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F033 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf index 8f26afb..c351d2c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f034 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f034_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F034 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf index ecabe41..7163d39 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f035 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f035_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F035 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf index 5ee87b4..55a3cbc 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f036 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f036_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F036 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf index 4069a46..0b8ed4e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f037 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f037_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F037 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf index 9a02dd7..a32ccc0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f038 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f038_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F038 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf index 196511a..75a5126 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f039 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f039_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F039 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf index 8f90a29..ad0dc9b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f040 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f040_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F040 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf index 22378cc..1d8df68 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f041 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f041_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F041 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf index 5926ab9..6466e79 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f042 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f042_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F042 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf index 9722311..0cba21e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f043 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f043_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F043 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf index fe25953..850abc7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f044 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f044_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F044 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf index 5a97394..20fc666 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f045 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f045_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F045 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf index 61ddb06..8d41a24 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f046 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f046_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F046 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf index 64cf3a6..c7e5533 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f047 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f047_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F047 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf index 92a8d47..84e5db0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f048 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f048_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F048 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf index a14d268..06e3505 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f049 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f049_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F049 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf index fb8d1ca..1113e28 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f050 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f050_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F050 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf index 6a2377c..b84adb2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f051 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f051_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F051 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf index 6161af9..ffff93f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f052 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f052_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F052 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf index 518272e..1a506a2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f053 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f053_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F053 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf index 2e1e4ab..4735cd2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f054 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f054_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F054 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf index 7fe7456..81f353e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f055 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f055_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F055 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf index 895605b..5b1d38c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f056 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f056_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F056 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf index 5a552bd..676c156 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f057 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f057_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F057 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf index 4a6e5fe..7363d1c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f058 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f058_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F058 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf index 3fb2a82..75c2938 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f059 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f059_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F059 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf index cf13c9f..95f0a85 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f060 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f060_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F060 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf index b752ca2..0e86122 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f061 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f061_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F061 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf index 45a961c..d4e8a9d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f062 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f062_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F062 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf index 18722a0..e6095c1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f063 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f063_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F063 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf index 1ed950b..b11238f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f064 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f064_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F064 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf index a3ee684..0f2d430 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f065 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f065_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F065 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf index a30cdf1..40345f5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f066 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f066_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F066 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf index 971abcc..72f7a81 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f067 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f067_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F067 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf index 20aae5d..a56a873 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f068 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f068_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F068 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf index 23b3f35..43800bf 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f069 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f069_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F069 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf index 0bca73e..a694da3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f070 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f070_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F070 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf index e18ed18..da03b01 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f071 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f071_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F071 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf index 81b0dbd..fbba1f7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f072 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f072_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F072 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf index 0afd294..b57b7d9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f073 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f073_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F073 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf index b35e294..1f514fc 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f074 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f074_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F074 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf index cdd1c9a..1f31c2a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f075 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f075_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F075 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf index 463d7fc..a247356 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f076 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f076_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F076 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf index 9b6d244..002f6a6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f077 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f077_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F077 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf index 6a8f2e0..369b1d0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f078 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f078_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F078 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf index 77b3012..c142645 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f079 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f079_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F079 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf index 603586f..d2399eb 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f080 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f080_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F080 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf index 28338e3..0547ae8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f081 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f081_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F081 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf index 67d2c2a..6161ab2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f082 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f082_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F082 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf index c7fa12e..b89bae0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f083 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f083_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F083 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf index 42f449d..c0a272a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f084 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f084_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F084 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf index b1a1f45..d8415f5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f085 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f085_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F085 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf index eca4005..6661611 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f086 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f086_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F086 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf index f0cf3d8..bc5ee43 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f087 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f087_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F087 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf index acfad04..79948a0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f088 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f088_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F088 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf index a640f6a..c7b50df 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f089 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f089_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F089 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf index e089a70..a3f4619 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f090 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f090_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F090 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf index f5b80dc..b649a8e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f091 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f091_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F091 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf index 0a98218..b40c270 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f092 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f092_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F092 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf index 5864377..69fc0cb 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f093 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f093_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F093 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf index e598259..e8a80ca 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f094 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f094_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F094 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf index bbcaefa..fddc9ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f095 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f095_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F095 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf index cacd7fa..c5d31f5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f096 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f096_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F096 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf index 26dc797..fdd8a8f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f097 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f097_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F097 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf index eb95fc9..48b7439 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f098 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f098_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F098 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf index 474a79a..8eec734 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f099 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f099_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F099 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf index 25443e0..9b8aeac 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f100 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f100_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf index 346a86d..6424364 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f101 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f101_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf index f49973c..03371ca 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f102 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f102_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf index 70ec792..06006f4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f103 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f103_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf index 732d5d0..0565f13 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f104 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f104_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf index 4efbb80..d7e20d3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f105 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f105_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf index 27e9e7e..85ff184 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f106 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f106_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf index db13b96..6bf52dd 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f107 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f107_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf index 61fef46..4cd8566 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f108 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f108_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf index a7ad7c6..38ef84f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f109 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f109_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf index b8e8353..76fbc49 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f110 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f110_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf index 8092265..ac95897 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f111 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f111_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf index 79245a5..074fb4f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f112 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f112_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf index c31419b..a5564b5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f113 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f113_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf index 57e845a..35a1ed3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f114 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f114_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf index 7784fcc..bf98abe 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f115 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f115_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf index 58c52d0..4221c76 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f116 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f116_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf index d222027..2dd3cb9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f117 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f117_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf index 40bd197..e8d4608 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f118 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f118_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf index acc1d99..541ae66 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f119 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f119_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf index bc4057c..2bd29ce 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f120 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f120_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf index 06a8220..21313ea 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f123 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f123_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf index 0971d65..6636e27 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f126 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f126_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf index 98b8e26..920d538 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f129 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f129_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf index f0149ce..bf567e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f132 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f132_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf index b92409f..f66ed98 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f135 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f135_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf index 65b9001..7963ff9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f138 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f138_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf index 515a95f..bd7c364 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f141 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f141_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf index a83e1ee..58957ae 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f144 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f144_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf index 513a955..4817e8e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f147 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f147_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf index 7148c6f..3c84a94 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f150 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f150_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf index 6f6c03a..34226e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f153 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f153_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf index 2124ce9..6d06684 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f156 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f156_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf index 845a1a9..7e4a1ae 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f159 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f159_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf index d562347..52b9630 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f162 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f162_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf index a7c2d69..bc8dece 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f165 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f165_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf index 9f40903..0047482 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f168 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f168_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf index 5e7e844..da8354f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f171 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f171_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf index b1ddb61..47e0c98 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f174 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f174_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf index 0b2eda4..c37f36f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f177 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f177_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf index 37b5b22..097358a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f180 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f180_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf index 836131c..b1fcaa6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f183 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f183_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf index 5bb10f4..2ddb8d0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f186 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f186_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf index 295fa2f..4234c12 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f189 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f189_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf index e7df28f..a7ceec9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f192 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f192_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf index 84e2e0a..1fd7f90 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f195 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f195_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf index c4410ac..c450485 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f198 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f198_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf index 73c50b7..58781d5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f201 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f201_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf index a4c0108..c7baa59 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f204 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f204_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf index fd59fac..6c4e6df 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f207 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f207_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf index 8d1750d..20cfcb7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f210 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f210_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf index 2c901e9..3ec4b33 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f213 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f213_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf index dd202cc..ae63935 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f216 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f216_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf index cf3b8af..536c930 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f219 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f219_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf index 8a2b14d..963908b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f222 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f222_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf index 72ba6d8..c955708 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f225 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f225_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf index e6d53d8..d1be0d7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f228 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f228_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf index 674137f..9bbe557 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f231 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f231_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf index 423eaea..8929a80 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f234 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f234_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf index d454c69..95f5aa0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f237 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f237_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf index 2f09456..8e6f26e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f240 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f240_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf index bf69c9c..a97892e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f252 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f252_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf index aaaad1a..c74a374 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f264 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f264_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf index 61fbc1d..f73b3d2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f276 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f276_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf index 6189c31..64c35d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f288 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f288_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf index f3645fe..18c25e3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f300 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f300_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf index 676d412..84898ea 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f312 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f312_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf index 5492342..d4b3eb5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f324 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f324_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf index 7f558a7..6535a25 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f336 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f336_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf index b6f52b5..613faed 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f348 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f348_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf index e747d70..efe6615 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f360 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f360_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf index 4eb5880..4423993 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f372 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f372_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf index 83608a9..3ae2e57 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f384 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f384_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf index 427e646..ae35ac3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f000 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f000_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F000 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf index ab2af43..6671fad 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f001 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f001_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F001 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf index 9c3ce49..808d2c7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f002 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f002_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F002 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf index 91ecf3d..234a23b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f003 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f003_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F003 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf index 963ab8c..0ff8078 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f004 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f004_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F004 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf index 5e1ff16..389cfb6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f005 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f005_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F005 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf index 5f79c28..80a4b7d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f006 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f006_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F006 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf index 57a944e..69fddc7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f007 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f007_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F007 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf index d2ebc78..5bef22b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f008 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f008_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F008 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf index e6195e8..a0a5ccb 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f009 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f009_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F009 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf index 53301c3..7d820ef 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f010 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f010_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F010 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf index 8827e9f..b1ac8b2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f011 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f011_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F011 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf index a11f357..cf052f2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f012 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f012_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F012 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf index 18e01f0..ca594c3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f013 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f013_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F013 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf index e5e4f59..14d61f7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f014 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f014_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F014 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf index 1b34c83..53b9bf4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f015 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f015_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F015 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf index cf01459..abddacb 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f016 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f016_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F016 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf index bdca96c..d7a466f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f017 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f017_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F017 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf index 492457c..02383a2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f018 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f018_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F018 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf index b0bf180..3b9eb0e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f019 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f019_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F019 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf index 3bf1ecc..fe5fac2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f020 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f020_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F020 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf index bc6d6e4..81c7742 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f021 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f021_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F021 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf index 7de3250..135d9b8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f022 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f022_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F022 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf index e28a3cb..7964b48 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f023 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f023_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F023 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf index ff0e27c..56cf2c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f024 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f024_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F024 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf index 3e18e44..23e5edd 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f025 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f025_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F025 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf index ac56176..751a8c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f026 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f026_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F026 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf index 80dab35..e5798aa 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f027 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f027_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F027 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf index d79b344..07c6fe2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f028 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f028_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F028 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf index 20d63eb..2ced511 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f029 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f029_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F029 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf index 1e2b0c5..bd56863 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f030 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f030_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F030 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf index c900671..9100715 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f031 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f031_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F031 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf index 87be72c..cef7986 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f032 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f032_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F032 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf index e07b9d4..e8ff57c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f033 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f033_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F033 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf index ebd6b4d..a833bc9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f034 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f034_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F034 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf index 0bb38cb..098b9f9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f035 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f035_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F035 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf index 3943b5e..2c1ea25 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f036 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f036_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F036 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf index 6ca5114..803c785 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f037 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f037_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F037 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf index cff0b72..28cd665 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f038 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f038_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F038 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf index d2dbbbe..93017df 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f039 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f039_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F039 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf index e44ab23..c72d745 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f040 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f040_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F040 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf index aced496..686a1e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f041 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f041_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F041 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf index dbd4901..f2a1bfc 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f042 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f042_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F042 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf index b669e2a..53d463c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f043 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f043_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F043 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf index 0d87eca..1748cb1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f044 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f044_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F044 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf index 5fc043e..841f175 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f045 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f045_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F045 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf index 1d44129..c89c177 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f046 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f046_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F046 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf index 99e628a..bc34a8c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f047 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f047_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F047 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf index dc202cd..2ff427f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f048 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f048_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F048 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf index 4badc2d..7b2b073 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f049 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f049_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F049 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf index c5eea93..2d3e912 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f050 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f050_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F050 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf index 847867e..470289a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f051 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f051_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F051 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf index 5c2e61c..2ff3dac 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f052 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f052_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F052 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf index 9f92201..d1168d1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f053 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f053_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F053 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf index fcbd8c0..176a9bb 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f054 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f054_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F054 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf index 4b21b8e..e52363a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f055 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f055_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F055 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf index f69e31d..5fef8fe 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f056 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f056_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F056 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf index 0bc7a5a..9de3ae0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f057 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f057_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F057 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf index cca67b3..206b594 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f058 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f058_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F058 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf index 82481d8..9aa41e3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f059 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f059_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F059 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf index 767c16d..0514cac 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f060 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f060_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F060 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf index c3accb6..3bbdb09 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f061 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f061_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F061 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf index 0f1e2b4..5fc5a98 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f062 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f062_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F062 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf index 26cd4fd..d3f943f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f063 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f063_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F063 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf index 9e31579..a44d167 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f064 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f064_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F064 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf index 904ad8e..1252725 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f065 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f065_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F065 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf index 2ac1eef..940a7af 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f066 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f066_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F066 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf index e28f3e0..51c0cb0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f067 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f067_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F067 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf index 47e532d..b8e0473 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f068 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f068_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F068 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf index f0795c7..d375686 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f069 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f069_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F069 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf index 09f9386..9527385 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f070 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f070_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F070 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf index 7af6e71..ffdf993 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f071 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f071_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F071 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf index 163556e..e02aa31 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f072 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f072_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F072 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf index 312d962..2ccd34f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f073 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f073_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F073 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf index bc5854d..f2e1cc6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f074 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f074_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F074 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf index 995dd06..e8f87f5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f075 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f075_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F075 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf index 037d514..ada61e0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f076 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f076_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F076 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf index 0975f98..8f10c02 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f077 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f077_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F077 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf index 4fbf9a2..67a77dd 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f078 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f078_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F078 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf index f289400..0799802 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f079 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f079_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F079 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf index de9d879..7ed99ab 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f080 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f080_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F080 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf index 724b2c8..77083d5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f081 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f081_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F081 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf index 26342f1..3c27c8a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f082 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f082_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F082 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf index edac663..b827cec 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f083 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f083_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F083 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf index 23a6cc3..b3ed392 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f084 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f084_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F084 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf index 1c4b186..8b2509a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f085 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f085_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F085 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf index d640e2f..de2eb95 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f086 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f086_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F086 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf index 2b9a3b8..fb20750 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f087 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f087_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F087 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf index a8d0f25..b7df1ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f088 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f088_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F088 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf index d86ef52..2cf3558 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f089 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f089_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F089 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf index 4165018..a415ec3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f090 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f090_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F090 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf index 44f317d..9f74535 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f091 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f091_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F091 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf index 5d2b709..53e80cb 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f092 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f092_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F092 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf index bf7bae7..468d509 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f093 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f093_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F093 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf index 36cce97..e99338b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f094 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f094_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F094 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf index 5a253b1..74d403d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f095 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f095_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F095 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf index dc3b558..53d8dbd 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f096 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f096_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F096 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf index 7def71f..1b1c3ba 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f097 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f097_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F097 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf index 24e1f8b..983ef30 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f098 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f098_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F098 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf index 518dc00..d5b294c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f099 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f099_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F099 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf index 0d5469e..0472ef0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f100 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f100_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf index f78c4da..9536424 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f101 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f101_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf index 382154d..934dab3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f102 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f102_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf index bec4df5..997aa6f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f103 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f103_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf index 796ad73..1a6e3ab 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f104 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f104_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf index 457fec0..27759a9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f105 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f105_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf index a663fc9..abfe8de 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f106 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f106_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf index 7187594..7204f6f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f107 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f107_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf index 0384036..5b67f52 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f108 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f108_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf index 4d8d7be..3ce2b1a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f109 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f109_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf index 4f72a90..6543e22 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f110 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f110_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf index a8d57e6..4e0dfb6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f111 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f111_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf index 978e151..67fdf8b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f112 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f112_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf index 2455a58..98caf67 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f113 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f113_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf index ab9fcd1..0e13543 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f114 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f114_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf index 92372a6..df759dd 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f115 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f115_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf index 565aa8b..43c4e97 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f116 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f116_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf index 20dec08..852abdc 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f117 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f117_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf index d1d3f45..d43fa34 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f118 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f118_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf index 6b9017f..11fa723 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f119 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f119_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf index 9a00979..ea17b4d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f120 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f120_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf index e828b3d..3578324 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f123 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f123_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf index 36a4274..a21e424 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f126 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f126_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf index 0c03f90..ea8efdb 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f129 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f129_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf index e8832d6..d26fcdb 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f132 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f132_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf index 82befd3..22e93c9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f135 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f135_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf index 62f28da..942369b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f138 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f138_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf index 89909f5..9519b24 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f141 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f141_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf index bc6533e..b3a54b6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f144 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f144_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf index bbf018d..ea13e65 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f147 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f147_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf index 55ec8d3..57ae926 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f150 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f150_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf index 030ee2f..842b55a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f153 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f153_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf index fdea60e..0122777 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f156 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f156_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf index 8e38112..591ecd3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f159 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f159_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf index e7a1186..395efe8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f162 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f162_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf index fe86e22..55823a9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f165 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f165_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf index b79211c..bc67476 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f168 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f168_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf index 15a2d3e..10e706f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f171 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f171_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf index 94ecc78..0211339 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f174 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f174_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf index 34d4366..90d40dd 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f177 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f177_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf index a0d8ab1..23a1e36 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f180 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f180_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf index a8b777a..fcc9032 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f183 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f183_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf index 02e3a56..9dac820 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f186 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f186_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf index 87341cf..f6545db 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f189 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f189_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf index b8d0c76..28521fe 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f192 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f192_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf index 8bfbb73..d3729c7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f195 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f195_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf index 0363451..7cc21cb 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f198 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f198_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf index e94a022..757cbe2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f201 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f201_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf index d0c377b..77646cd 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f204 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f204_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf index d181b4f..8a9592f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f207 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f207_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf index 009dda4..a53da7e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f210 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f210_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf index 6dc4b69..49dc8b8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f213 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f213_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf index 0e4db87..c660eb4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f216 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f216_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf index abdfef5..46dfa03 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f219 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f219_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf index f52e413..2bb4a0e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f222 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f222_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf index a07a1a9..554cb51 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f225 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f225_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf index 1273cc5..96e7c3a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f228 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f228_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf index 81645c8..c760b11 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f231 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f231_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf index 7c4a116..3cfaf54 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f234 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f234_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf index bc34767..84b3268 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f237 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f237_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf index 47beb8d..08ed0ce 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f240 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f240_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf index 9b60402..f6b0ba0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f252 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f252_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf index dafeb58..cf8feeb 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f264 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f264_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf index c806814..8d998d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f276 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f276_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf index f74a5d0..0849675 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f288 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f288_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf index e605dfe..2455b68 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f300 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f300_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf index bf416a0..ef72d3f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f312 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f312_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf index 469902f..01966cc 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f324 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f324_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf index b966479..4924f52 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f336 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f336_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf index a84c97c..fee35a4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f348 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f348_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf index 5d84aa8..b6f08cb 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f360 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f360_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf index e87d5f6..6d95f0b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f372 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f372_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf index 1d40fbc..51e10ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f384 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f384_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf index 2d5ad7f..91f9c0e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.bufr_sounding.jgfs_postsnd #BSUB -o %ECF_OUT%/gfs.post_processing.bufr_sounding.jgfs_postsnd_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POSTSND %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf index 96a3c1d..6aa23a2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.bulletins.jgfs_cyclone_tracker #BSUB -o %ECF_OUT%/gfs.post_processing.bulletins.jgfs_cyclone_tracker_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_CYCLONE_TRACKER %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_fbwind.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_fbwind.ecf index 4c847e1..4219036 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_fbwind.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_fbwind.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.bulletins.jgfs_fbwind #BSUB -o %ECF_OUT%/gfs.post_processing.bulletins.jgfs_fbwind_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_FBWIND %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/dummy.ecf index 1923883..2d83c4b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/dummy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/dummy.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.dummy #BSUB -o %ECF_OUT%/gfs.post_processing.dummy_t%CYC%z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/DUMMY %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_anl.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_anl.ecf index b8e4792..0ff39b2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_anl.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.fax.jgfs_fax_anl #BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_anl_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_FAX_ANL %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_f00.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_f00.ecf index d1e4dad..ab7daa2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_f00.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.fax.jgfs_fax_f00 #BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_f00_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_FAX_F00 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf index 4b1f0e6..eb3abfe 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f12 #BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f12_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F12 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf index a395384..ee62ec2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f24 #BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f24_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F24 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf index 5aea03d..eb5e6ac 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f36 #BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f36_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F36 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf index b577f28..79ed9b8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib2_wafs.jgfs_wafs_blending #BSUB -o %ECF_OUT%/gfs.post_processing.grib2_wafs.jgfs_wafs_blending_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_BLENDING %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf index c59e3db..90fafaa 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib2_wafs.jgfs_wafs_grib2 #BSUB -o %ECF_OUT%/gfs.post_processing.grib2_wafs.jgfs_wafs_grib2_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_GRIB2 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf index adb1ad4..29ced0d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f00 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f00_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F00 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf index a46b72b..bfa27b9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f01 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f01_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F01 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf index d33beaf..723696f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f02 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f02_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F02 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf index d88139a..102378e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f03 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f03_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F03 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf index fb4e900..ea9e654 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f04 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f04_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F04 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf index d486a2f..a9d9184 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f05 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f05_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F05 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf index cfa2bf2..c28632b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f06 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f06_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F06 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf index dd3dbc9..f4b9f6d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f07 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f07_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F07 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf index ce5c372..e005f3b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f08 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f08_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F08 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf index cb1674c..716d367 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f09 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f09_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F09 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf index aaef77c..460980f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f10 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f10_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F10 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf index d62b985..a8a05be 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f100 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f100_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf index 5725afc..b988621 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f101 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f101_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf index 354fea8..47a9b7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f102 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f102_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf index 66af597..a90fffd 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f103 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f103_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf index 48a4f64..5584eed 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f104 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f104_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf index 95a9d3d..30f2ae5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f105 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f105_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf index 031774a..1dce32d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f106 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f106_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf index 4f2cd69..d7f5dbb 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f107 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f107_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf index 94a862f..033f727 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f108 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f108_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf index eea4505..3f2bfef 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f109 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f109_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf index 5beac26..64f0d21 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f11 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f11_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F11 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf index 22e93df..155bf2a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f110 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f110_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf index 9306d51..79a3a0a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f111 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f111_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf index 7cdcd3a..aae9fe2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f112 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f112_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf index 539e8a1..6b298d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f113 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f113_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf index 1c93e8a..d8a1c0f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f114 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f114_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf index 1190c24..bad0d0a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f115 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f115_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf index 7d389ea..6af05c4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f116 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f116_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf index 0230c3c..a57016d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f117 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f117_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf index d32e76f..6df2ec8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f118 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f118_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf index d0fafc1..7faa628 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f119 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f119_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf index 374e644..6ebb09f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f12 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f12_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F12 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf index 03503a0..17301f1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f120 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f120_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf index f31eb34..9423474 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f123 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f123_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf index 35cfc97..839a402 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f126 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f126_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf index bff0e91..2f34405 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f129 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f129_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf index 4899125..9f1b536 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f13 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f13_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F13 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf index 371f9ed..ac86153 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f132 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f132_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf index 151bab5..6434a64 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f135 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f135_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf index 1aa187d..c03da79 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f138 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f138_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf index 3fa0e14..4082779 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f14 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f14_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F14 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf index 94cd747..9bd79a7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f141 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f141_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf index adcc1ea..f916da3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f144 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f144_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf index 22d8ff0..643d497 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f147 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f147_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf index 81367d6..fd4080b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f15 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f15_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F15 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf index ed71266..0c50a5b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f150 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f150_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf index 247b1a6..fa06712 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f153 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f153_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf index d0ea37b..b4c34cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f156 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f156_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf index bb4cf49..ebd3f77 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f159 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f159_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf index 87e22d7..b8ce940 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f16 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f16_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F16 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf index f4cc0fc..65d4b82 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f162 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f162_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf index 497e7f1..e07c254 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f165 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f165_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf index dd3cb7d..90f995f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f168 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f168_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf index 436e380..06ffd71 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f17 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f17_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F17 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf index f9cd5cf..64fb24b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f171 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f171_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf index 5ef8f08..20a634e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f174 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f174_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf index 84ef9f6..5e61088 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f177 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f177_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf index c3e3657..759f33c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f18 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f18_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F18 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf index 28b4cfb..b29f445 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f180 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f180_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf index 1ce8970..45b7022 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f183 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f183_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf index 7530c7d..fde9efd 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f186 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f186_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf index 1b90f28..7789691 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f189 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f189_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf index 6462b1a..2293791 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f19 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f19_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F19 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf index 804cec4..3f7aeea 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f192 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f192_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf index f4ddb7b..c75f6ca 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f195 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f195_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf index 444b633..f41cec0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f198 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f198_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf index 6705e9e..324b62f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f20 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f20_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F20 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf index 2a28866..b0ed9f0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f201 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f201_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf index e98d72f..5f3b856 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f204 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f204_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf index bb5e694..d454358 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f207 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f207_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf index a20f7ef..e0db7ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f21 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f21_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F21 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf index f8d307a..3881883 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f210 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f210_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf index 2a7ee67..a29963a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f213 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f213_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf index 9bc5ed8..d9a6701 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f216 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f216_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf index cc6320a..271a7dc 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f219 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f219_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf index ede0601..3eb6e94 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f22 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f22_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F22 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf index 18125ce..7457f81 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f222 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f222_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf index 16c8377..3e3b3b9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f225 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f225_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf index 57a6604..a97a196 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f228 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f228_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf index ecf30b3..a2d4a28 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f23 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f23_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F23 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf index 6986c20..56cadcd 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f231 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f231_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf index 0b07b10..a60d59f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f234 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f234_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf index 67f6965..7e84bfa 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f237 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f237_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf index 4b7aae4..c0a5999 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f24 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f24_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F24 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf index 52c48ac..5a5704d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f240 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f240_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf index 06cc2c4..67ec35f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f25 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f25_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F25 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf index 3537e3c..08c5da3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f252 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f252_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf index f11863a..9752646 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f26 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f26_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F26 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf index da38a1a..c7d8be2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f264 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f264_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf index 421c406..00479b3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f27 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f27_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F27 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf index fa03e2d..8c5092c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f276 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f276_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf index ca48731..39b1867 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f28 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f28_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F28 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf index 87df796..063399d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f288 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f288_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf index 794de15..9ad9ae6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f29 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f29_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F29 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf index 348d3ed..5bd5fe7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f30 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f30_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F30 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf index a164f11..8a8671c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f300 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f300_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf index 1339cbd..1492e49 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f31 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f31_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F31 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf index bc5f248..3d3d96e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f312 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f312_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf index 7ef94b8..709b2bc 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f32 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f32_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F32 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf index fa88006..22a0236 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f324 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f324_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf index 83634a1..827cb9b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f33 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f33_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F33 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf index c726e99..535d32b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f336 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f336_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf index 844e348..4c9a59f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f34 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f34_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F34 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf index 13fe822..c11623d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f348 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f348_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf index 1c3b581..ee0d675 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f35 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f35_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F35 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf index 051227c..51fd3bc 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f36 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f36_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F36 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf index 0154ac9..7fcdbb4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f360 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f360_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf index cdc9dbc..00ee670 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f37 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f37_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F37 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf index afc7ba1..8d6686d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f372 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f372_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf index 4b9de22..e8fb122 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f38 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f38_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F38 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf index 2020efc..de4a19a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f384 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f384_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf index 64a9a97..662ddae 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f39 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f39_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F39 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf index 4a87b0c..1860999 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f40 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f40_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F40 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf index a5b92bb..9a21178 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f41 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f41_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F41 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf index 3df6e13..1a39075 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f42 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f42_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F42 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf index a43d18a..e27fa3f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f43 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f43_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F43 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf index d0cb547..5bf4069 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f44 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f44_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F44 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf index 652f27a..50e07a9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f45 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f45_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F45 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf index f94e41f..1ea6d07 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f46 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f46_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F46 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf index 47226dd..5e1e410 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f47 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f47_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F47 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf index 227e882..8481a27 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f48 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f48_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F48 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf index abd01b3..ac8bc77 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f49 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f49_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F49 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf index 5feab26..d2d68b7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f50 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f50_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F50 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf index 56667e4..a45b9ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f51 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f51_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F51 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf index 53fd0c7..8c00cd1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f52 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f52_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F52 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf index daaec08..37abc90 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f53 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f53_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F53 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf index 4a3b6c8..f2ad448 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f54 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f54_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F54 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf index a559b9c..970a13c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f55 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f55_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F55 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf index 0ba8653..dba031c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f56 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f56_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F56 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf index 83e5c03..669462d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f57 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f57_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F57 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf index 8f56133..3ec6db8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f58 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f58_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F58 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf index a0d96d9..e47b686 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f59 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f59_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F59 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf index e0b6774..1da1b31 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f60 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f60_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F60 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf index 17df620..f344991 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f61 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f61_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F61 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf index 52e4521..88d7169 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f62 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f62_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F62 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf index 364bc9f..ec34caa 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f63 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f63_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F63 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf index da86908..2753d36 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f64 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f64_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F64 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf index e823346..a47cdc5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f65 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f65_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F65 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf index 323118b..4cdd011 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f66 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f66_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F66 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf index 3d82494..be636db 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f67 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f67_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F67 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf index e6448b4..fd0473a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f68 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f68_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F68 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf index 4935ad1..b7f6c56 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f69 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f69_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F69 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf index 06948f6..9358332 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f70 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f70_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F70 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf index b728e42..65ad13d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f71 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f71_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F71 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf index f715fa8..372e94e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f72 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f72_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F72 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf index f12b549..05c4dd9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f73 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f73_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F73 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf index ba3198c..042dcc4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f74 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f74_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F74 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf index f77d4dc..639e69f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f75 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f75_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F75 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf index 1b4c82e..21d6d5a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f76 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f76_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F76 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf index 61efcda..1504ba0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f77 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f77_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F77 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf index 31be811..3734817 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f78 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f78_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F78 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf index 1137557..61da011 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f79 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f79_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F79 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf index 1b00c60..35f200e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f80 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f80_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F80 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf index 92f08a2..24eca70 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f81 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f81_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F81 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf index 0d739e1..08c9a92 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f82 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f82_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F82 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf index d4eb2bb..274e694 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f83 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f83_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F83 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf index b855201..9d85e4e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f84 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f84_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F84 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf index 1f91e3d..7f5836c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f85 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f85_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F85 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf index b47aaed..c491a7c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f86 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f86_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F86 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf index e0500e1..83d485a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f87 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f87_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F87 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf index 6fee43b..fad0e35 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f88 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f88_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F88 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf index 538fdce..f277f1f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f89 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f89_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F89 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf index 746f928..b45a059 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f90 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f90_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F90 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf index 24b9e57..516fdc0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f91 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f91_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F91 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf index 92fce21..7bb7dfe 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f92 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f92_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F92 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf index 5520bc9..3ebed2f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f93 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f93_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F93 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf index de0b7ba..3012bae 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f94 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f94_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F94 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf index ce1262b..b514340 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f95 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f95_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F95 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf index 846a043..5a7ddf6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f96 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f96_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F96 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf index 5703b66..dcf857f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f97 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f97_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F97 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf index 43bd691..e41c8ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f98 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f98_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F98 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf index 5c83443..e86b8c5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f99 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f99_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F99 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf index e7a7bf7..0d270cd 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f00 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f00_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F00 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf index 0de0d83..d9c34d0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f06 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f06_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F06 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf index f2ac7e5..b8059fc 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f102 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f102_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F102 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf index 7637047..f050c5d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f108 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f108_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F108 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf index 6215b68..965ac5a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f114 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f114_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F114 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf index e93d788..52b7974 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f12 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f12_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F12 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf index bde20f6..80ff668 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f120 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f120_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F120 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf index 69cb691..810990d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f18 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f18_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F18 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf index 2c136f7..a8bf6ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f24 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f24_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F24 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf index 174fbec..289fdc1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f30 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f30_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F30 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf index 38c22f1..6b3ae2c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f36 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f36_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F36 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf index adb49a3..dd59c6f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f42 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f42_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F42 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf index 90c146d..92b234f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f48 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f48_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F48 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf index 2887e6b..e87a546 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f54 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f54_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F54 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf index 703de29..6a0ae41 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f60 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f60_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F60 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf index cc498f7..d0f9264 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f66 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f66_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F66 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf index c341d80..444fcf1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f72 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f72_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F72 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf index bb6c883..adcaa84 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f78 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f78_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F78 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf index 733898d..649deb6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f84 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f84_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F84 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf index e5bea3a..72b873b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f90 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f90_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F90 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf index df35c2c..6aca06b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f96 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f96_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F96 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_npoess_pgrb2_0p5deg.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_npoess_pgrb2_0p5deg.ecf new file mode 100644 index 0000000..bd12a05 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_npoess_pgrb2_0p5deg.ecf @@ -0,0 +1,46 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_npoess_pgrb2_0p5deg +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_npoess_pgrb2_0p5deg_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_NPOESS_PGRB2_0P5DEG + +%include +%manual +# FIXME: Insert manual for this job. + +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_anl.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_anl.ecf index 5ea7647..f5b7979 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_anl.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f00.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f00.ecf index 4dd568b..9368c8a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f00.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f01.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f01.ecf index bb71ff1..f460df5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f01.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f01.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f02.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f02.ecf index a733d33..8c6da4e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f02.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f02.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f03.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f03.ecf index f7ec346..62426d1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f03.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f03.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f04.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f04.ecf index f6b154d..15878ee 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f04.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f04.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f05.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f05.ecf index 1eb124e..456cdc3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f05.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f05.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f06.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f06.ecf index 3bf03bb..6ce8e26 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f06.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f07.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f07.ecf index 844064d..6828bb1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f07.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f07.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f08.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f08.ecf index e98aef7..0967c6c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f08.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f08.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f09.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f09.ecf index 397555a..5431d94 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f09.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f09.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f10.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f10.ecf index c1497c9..7c7ba4f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f10.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f100.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f100.ecf index 22657e1..abe40ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f100.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f101.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f101.ecf index 6920c34..740082b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f101.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f102.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f102.ecf index 113cdd1..3e5ffae 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f102.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f103.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f103.ecf index e16ecbb..20c064c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f103.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f104.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f104.ecf index 985d92f..a4d35c0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f104.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f105.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f105.ecf index 0309914..39d6e3b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f105.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f106.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f106.ecf index ece1eb4..5761504 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f106.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f107.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f107.ecf index ee459c1..f90aa4e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f107.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f108.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f108.ecf index 60db5be..0794797 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f108.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f109.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f109.ecf index 1e422d7..cacb455 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f109.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f11.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f11.ecf index 02ca246..9fe9ded 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f11.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f110.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f110.ecf index 6d03a9a..3317c0c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f110.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f111.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f111.ecf index 4ecff8d..5e23f53 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f111.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f112.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f112.ecf index 7b17c4d..e21c45e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f112.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f113.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f113.ecf index 3451cd9..37a3be3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f113.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f114.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f114.ecf index dc4af08..65d00c9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f114.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f115.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f115.ecf index 73af0c0..62c0863 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f115.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f116.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f116.ecf index 690a8ba..446735b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f116.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f117.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f117.ecf index ca26c01..a67a945 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f117.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f118.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f118.ecf index eea5f87..b908e94 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f118.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f119.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f119.ecf index e55f892..d1bcf3d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f119.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f12.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f12.ecf index 9130c57..86e4276 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f12.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f120.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f120.ecf index 9ce30da..f223c5d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f120.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f123.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f123.ecf index 9b71f34..20f5790 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f123.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f126.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f126.ecf index 6603043..672a04a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f126.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f129.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f129.ecf index 629a2d7..0419864 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f129.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f13.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f13.ecf index 5543812..ae46205 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f13.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f132.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f132.ecf index b096da3..97eda2f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f132.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f135.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f135.ecf index 30e0880..095ec10 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f135.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f138.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f138.ecf index 9555d9e..71d0ef6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f138.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f14.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f14.ecf index 72b8add..b8f4e99 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f14.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f141.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f141.ecf index 1731252..73629ae 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f141.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f144.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f144.ecf index 9969354..0c1a810 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f144.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f147.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f147.ecf index 657915a..b3c9603 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f147.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f15.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f15.ecf index 214015e..5dfd990 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f15.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f150.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f150.ecf index c599570..5f8b675 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f150.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f153.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f153.ecf index 0ba529a..24caace 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f153.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f156.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f156.ecf index 5580fe2..834894a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f156.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f159.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f159.ecf index 043496b..ffaa65f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f159.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f16.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f16.ecf index cab490b..edfa129 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f16.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f162.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f162.ecf index 13d610e..37ac4d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f162.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f165.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f165.ecf index b9da11b..3a22de7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f165.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f168.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f168.ecf index df472e1..ba159fc 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f168.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f17.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f17.ecf index 01c1346..74cd88f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f17.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f17.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f171.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f171.ecf index 96f0047..78b66ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f171.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f174.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f174.ecf index bcc3189..37dbb1b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f174.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f177.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f177.ecf index a113eb2..a7b75ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f177.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f18.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f18.ecf index 6411a5a..b646bf2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f18.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f180.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f180.ecf index 9129c7a..ec74ec8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f180.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f183.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f183.ecf index 8ff4dd8..78b63d6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f183.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f186.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f186.ecf index ced809f..e2223e9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f186.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f189.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f189.ecf index c20d3ad..5bb4e8d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f189.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f19.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f19.ecf index d8f387a..5bcdd25 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f19.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f19.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f192.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f192.ecf index b4cfabf..cfb77c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f192.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f195.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f195.ecf index c564d67..c53475e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f195.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f198.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f198.ecf index 7c028f7..4ccc5d2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f198.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f20.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f20.ecf index d53c2be..11ce990 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f20.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f20.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f201.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f201.ecf index 347b37e..4e5ed6d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f201.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f204.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f204.ecf index 360208c..d5283fb 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f204.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f207.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f207.ecf index a5ea60a..7953592 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f207.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f21.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f21.ecf index fea4ef4..038462d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f21.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f21.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f210.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f210.ecf index 936ff65..04c7aea 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f210.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f213.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f213.ecf index 6ebd196..9b5de90 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f213.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f216.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f216.ecf index 06e0c52..49113fc 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f216.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f219.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f219.ecf index 828bc4c..4ff5667 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f219.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f22.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f22.ecf index 421e8a9..720f1f4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f22.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f22.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f222.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f222.ecf index 8fd024a..240ef08 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f222.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f225.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f225.ecf index 4165b5f..2b7ba8b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f225.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f228.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f228.ecf index 8733118..b98bde6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f228.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f23.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f23.ecf index 09e2bfd..223eb66 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f23.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f23.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f231.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f231.ecf index c917dfe..32503c0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f231.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f234.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f234.ecf index a10d688..7033b35 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f234.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f237.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f237.ecf index 21e126c..365f413 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f237.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f24.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f24.ecf index 35d22fe..69108c8 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f24.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f240.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f240.ecf index b53eaa4..22b6389 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f240.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f25.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f25.ecf index d2e559a..a949c3b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f25.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f25.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f252.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f252.ecf index 35f517b..8bc60a3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f252.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f26.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f26.ecf index cb28cb7..26afcd7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f26.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f26.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f264.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f264.ecf index d1d73f1..fcd1044 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f264.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f27.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f27.ecf index ec7ae01..d47c8a5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f27.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f27.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f276.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f276.ecf index 8432565..037bc7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f276.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f28.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f28.ecf index f5a6cc6..2f2ecc7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f28.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f28.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f288.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f288.ecf index b837780..0891da1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f288.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f29.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f29.ecf index 65f1ab8..dd740e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f29.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f29.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f30.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f30.ecf index 5422b60..0d3c428 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f30.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f300.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f300.ecf index ba8b162..41b5e7a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f300.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f31.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f31.ecf index 82275e6..ed0fd53 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f31.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f31.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f312.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f312.ecf index d0cfc7f..2374233 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f312.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f32.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f32.ecf index 090e91f..bf85511 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f32.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f32.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f324.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f324.ecf index f5ed851..6881eee 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f324.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f33.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f33.ecf index ff2eee3..f88c4a7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f33.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f33.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f336.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f336.ecf index f852789..445141a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f336.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f34.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f34.ecf index c38de68..476a79c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f34.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f34.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f348.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f348.ecf index f182069..42544f6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f348.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f35.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f35.ecf index ac55e7f..2859763 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f35.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f35.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f36.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f36.ecf index 9270303..8ff8a01 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f36.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f360.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f360.ecf index ff5c6f9..3a4202f 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f360.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f37.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f37.ecf index 9dc6e64..3a3bc69 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f37.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f37.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f372.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f372.ecf index 9c1014a..3210520 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f372.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f38.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f38.ecf index ba8cb3f..d364033 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f38.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f38.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f384.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f384.ecf index 7f6d6a6..0f2dc6e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f384.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f39.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f39.ecf index b02051d..0292e80 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f39.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f39.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f40.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f40.ecf index a154c0b..dccdcf7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f40.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f40.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f41.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f41.ecf index 1dcd244..8494868 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f41.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f41.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f42.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f42.ecf index 73b2014..decd37c 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f42.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f43.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f43.ecf index 08dd949..1768e97 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f43.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f43.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f44.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f44.ecf index 52580cf..b7a81b4 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f44.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f44.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f45.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f45.ecf index 8644ae7..5529cfa 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f45.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f45.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f46.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f46.ecf index 7f320ff..06b291e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f46.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f46.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f47.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f47.ecf index 5a4ea16..45dbcdd 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f47.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f47.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f48.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f48.ecf index c806f0a..7ab1351 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f48.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f49.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f49.ecf index df9584e..d11537b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f49.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f49.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f50.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f50.ecf index 46dcf81..ea944ea 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f50.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f50.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f51.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f51.ecf index be69fcf..83cd657 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f51.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f51.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f52.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f52.ecf index be0a82d..bdb18a6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f52.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f52.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f53.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f53.ecf index cd5a589..af87de2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f53.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f53.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f54.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f54.ecf index 3cc8da6..7536157 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f54.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f55.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f55.ecf index 5480e05..d96a675 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f55.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f55.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f56.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f56.ecf index e61e6de..d59a033 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f56.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f56.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f57.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f57.ecf index 68834e5..61b1939 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f57.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f57.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f58.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f58.ecf index cb103f6..37c6b73 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f58.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f58.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f59.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f59.ecf index 621ceec..f9b5d4a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f59.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f59.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f60.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f60.ecf index 8c26227..2981e55 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f60.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f61.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f61.ecf index e462bba..8ccf0c9 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f61.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f61.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f62.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f62.ecf index ebb9d19..9ef1ba1 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f62.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f62.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f63.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f63.ecf index ceb1631..5e2fc5e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f63.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f63.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f64.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f64.ecf index da621df..2e71544 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f64.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f64.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f65.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f65.ecf index 98de665..362db5a 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f65.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f65.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f66.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f66.ecf index 7fc5680..de53cff 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f66.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f67.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f67.ecf index c0eb12f..cb9bdc6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f67.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f67.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f68.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f68.ecf index 1f61252..d81dbb2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f68.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f68.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f69.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f69.ecf index 3088334..00ba226 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f69.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f69.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f70.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f70.ecf index 9c2b0c9..388b89d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f70.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f70.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f71.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f71.ecf index 0b93e1d..089f634 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f71.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f71.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f72.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f72.ecf index 6808514..894ce03 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f72.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f73.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f73.ecf index e5618d5..9ec2c37 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f73.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f73.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f74.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f74.ecf index afa66b8..e385797 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f74.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f74.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f75.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f75.ecf index 6a16332..d06da34 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f75.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f75.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f76.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f76.ecf index c455ab6..33883d3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f76.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f76.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f77.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f77.ecf index e0cd2ac..96e92a5 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f77.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f77.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f78.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f78.ecf index 413f968..044d0a2 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f78.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f79.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f79.ecf index 0d8b25b..dec8925 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f79.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f79.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f80.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f80.ecf index b30321e..c8cfd28 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f80.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f80.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f81.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f81.ecf index df968cf..0775fd0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f81.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f81.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f82.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f82.ecf index 93c875f..4fff75b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f82.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f82.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f83.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f83.ecf index 0358e18..48cf3aa 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f83.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f83.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f84.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f84.ecf index 2c2331c..7e101b0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f84.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f85.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f85.ecf index a031e30..e0a7f4b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f85.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f85.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f86.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f86.ecf index e267494..07cffb3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f86.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f86.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f87.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f87.ecf index 2ff1289..0319ffb 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f87.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f87.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f88.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f88.ecf index bd4dc74..ddce352 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f88.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f88.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f89.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f89.ecf index 779707a..22e03c0 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f89.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f89.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f90.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f90.ecf index 540ebb0..eaae836 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f90.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f91.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f91.ecf index 8e9157d..632928d 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f91.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f91.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f92.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f92.ecf index 584d5b0..4d954c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f92.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f92.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f93.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f93.ecf index 64bd6fa..6f715b7 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f93.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f93.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f94.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f94.ecf index 657475d..676dfd3 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f94.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f94.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f95.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f95.ecf index 199e447..b07272b 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f95.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f95.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f96.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f96.ecf index b814bf6..d97bd43 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f96.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f97.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f97.ecf index 1c9d436..0895aef 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f97.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f97.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f98.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f98.ecf index 193a1e0..a85e297 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f98.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f98.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f99.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f99.ecf index d12fbcc..4d15516 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f99.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f99.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_manager.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_manager.ecf index 96411cd..7243028 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_manager.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_manager.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.prdgen.jgfs_pgrb2_manager #BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_manager_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_PGRB2_MANAGER %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf index 84962fb..2d6cb56 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.prep.jgfs_emcsfc_sfc_prep #BSUB -o %ECF_OUT%/gfs.prep.jgfs_emcsfc_sfc_prep_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_EMCSFC_SFC_PREP %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf index 2fa9acd..ed66f3e 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_PREP %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf index 3e34d93..afd7b09 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.prep.jgfs_prep_post #BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_post_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_PREP_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_even.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_even.ecf index 061851c..d3bea30 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_even.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_even.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.sminit_guam.jgfs_sminit_guam_even #BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_even_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_SMINIT_GUAM_EVEN %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf index 2b73593..5171433 100644 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.sminit_guam.jgfs_sminit_guam_odd #BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_odd_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_SMINIT_GUAM_ODD %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/cycle_end.ecf b/model/ecflow_fv3gfs/scripts/prod18/cycle_end.ecf index 4e874f0..7c49d11 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/cycle_end.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/cycle_end.ecf @@ -4,6 +4,7 @@ #BSUB -J cycle_end #BSUB -o %ECF_OUT%/cycle_end_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/CYCLE_END %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/analysis/jgdas_analysis_high.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/analysis/jgdas_analysis_high.ecf index a200197..1fe0bb9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/analysis/jgdas_analysis_high.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/analysis/jgdas_analysis_high.ecf @@ -4,18 +4,18 @@ #BSUB -J gdas.analysis.jgdas_analysis_high #BSUB -o %ECF_OUT%/gdas.analysis.jgdas_analysis_high_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] +#BSUB -W 0:05 +#BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] -export NODES=60 +export NODES=1 %include %include set -x -export ntasks=360 -export ptile=6 -export threads=2 +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_ANALYSIS_HIGH %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf index 709273c..1c5c1a1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.dump.jgdas_dump #BSUB -o %ECF_OUT%/gdas.dump.jgdas_dump_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_DUMP %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf index ed05c2c..a690ea1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.dump.jgdas_ics #BSUB -o %ECF_OUT%/gdas.dump.jgdas_ics_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_ICS %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf index 249de65..eabe34e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.dump.jgdas_tropcy_qc_reloc #BSUB -o %ECF_OUT%/gdas.dump.jgdas_tropcy_qc_reloc_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_TROPCY_QC_RELOC %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf index 6962c93..18f9f6e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf index 075bc1e..3979602 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf index ee7440e..c1719f2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf index 220b2b9..0f6565b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf index 6d76be7..ad76430 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf index 562af3e..68cab07 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf index 9ab23fd..c5246d8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf index ac0ea33..382ebff 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf index e4615f0..1eda8b0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf index f3bf604..9a2d0bd 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf index e6bc15e..7190cd2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf index 73e7cb4..7877463 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf index eb04b16..ede0b06 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf index d6b056e..6a23c3e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf index a5b49b8..d1ff948 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf index 630d329..c2a35dd 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_INNOVATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf index b6d1c18..8c31726 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_ENKF_INFLATE_RECENTER %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf index 1abe592..14bbb72 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_ENKF_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf index e0d4d1e..abd1001 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_ENKF_SELECT_OBS %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf index 0843762..f7b10a1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_ENKF_UPDATE %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/forecast/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/forecast/jgdas_forecast.ecf index eed96b0..b8397e9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/forecast/jgdas_forecast.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/forecast/jgdas_forecast.ecf @@ -4,17 +4,17 @@ #BSUB -J gdas.forecast.jgdas_forecast #BSUB -o %ECF_OUT%/gdas.forecast.jgdas_forecast_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] +#BSUB -W 0:05 +#BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] -export NODES=64 +export NODES=1 %include %include set -x -export ntasks=768 -export ptile=12 +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile # No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak.ecf index e611466..2e91a76 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.gempak.jgdas_gempak #BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_GEMPAK %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_meta.ecf index 93dc751..ab2a8c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_meta.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_meta.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.gempak.jgdas_gempak_meta #BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_meta_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_GEMPAK_META %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_ncdc.ecf index a10c959..d66b6cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_ncdc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_ncdc.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.gempak.jgdas_gempak_ncdc #BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_ncdc_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_GEMPAK_NCDC %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf index e99e392..8ae5d5b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.jgdas_verfrad #BSUB -o %ECF_OUT%/gdas.jgdas_verfrad_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_VERFRAD %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf index 16e857c..c8a0c18 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.jgdas_vminmon #BSUB -o %ECF_OUT%/gdas.jgdas_vminmon_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_VMINMON %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/post/jgdas_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/post/jgdas_post.ecf index a83823e..b6ac488 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/post/jgdas_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/post/jgdas_post.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/post %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf index e65c565..c2b8e46 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.post_processing.bulletins.jgdas_mknavybulls #BSUB -o %ECF_OUT%/gdas.post_processing.bulletins.jgdas_mknavybulls_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_MKNAVYBULLS %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf index 4d41618..4c446a5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.prep.jgdas_emcsfc_sfc_prep #BSUB -o %ECF_OUT%/gdas.prep.jgdas_emcsfc_sfc_prep_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_EMCSFC_SFC_PREP %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf index d70e3a8..e3c863d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_PREP %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf index e749457..576f511 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf @@ -4,6 +4,7 @@ #BSUB -J gdas.prep.jgdas_prep_post #BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_post_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGDAS_PREP_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf index 2695723..68244c5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.dump.jgfs_dump #BSUB -o %ECF_OUT%/gfs.dump.jgfs_dump_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_DUMP %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf index 5cd044c..4934fb5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.dump.jgfs_tropcy_qc_reloc #BSUB -o %ECF_OUT%/gfs.dump.jgfs_tropcy_qc_reloc_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_TROPCY_QC_RELOC %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_high.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_high.ecf index c3140db..c043e42 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_high.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_high.ecf @@ -4,17 +4,17 @@ #BSUB -J gfs.forecast.jgfs_forecast_high #BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_high_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] +#BSUB -W 0:05 +#BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] -export NODES=64 +export NODES=1 %include %include set -x -export ntasks=768 -export ptile=12 +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile # No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_low.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_low.ecf index 37302ab..63e0f7e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_low.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_low.ecf @@ -4,17 +4,17 @@ #BSUB -J gfs.forecast.jgfs_forecast_low #BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_low_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] +#BSUB -W 0:05 +#BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] -export NODES=64 +export NODES=1 %include %include set -x -export ntasks=768 -export ptile=12 +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile # No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak.ecf index 33942eb..0bc0151 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.gempak.jgfs_gempak #BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_GEMPAK %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_meta.ecf index 27db5f1..365366b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_meta.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_meta.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.gempak.jgfs_gempak_meta #BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_meta_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_GEMPAK_META %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_ncdc.ecf index 3188b7c..1d37b9c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_ncdc.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_ncdc.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.gempak.jgfs_gempak_ncdc #BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_ncdc_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_GEMPAK_NCDC %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_upapgif.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_upapgif.ecf index 8a66333..d0b9e49 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_upapgif.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_upapgif.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.gempak.jgfs_gempak_upapgif #BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_upapgif_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_GEMPAK_UPAPGIF %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf index 64ef876..9a905b2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf @@ -1,33 +1,46 @@ #! /bin/sh -{ecf_batch_resources} +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.gempak.jgfs_pgrb2_spec_gempak +#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_pgrb2_spec_gempak_t%CYC%z.log + +#BSUB -W 0:05 +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 %include %include set -x -{ecf_resource_more} +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads -export model={model_name} +export model=%MODEL_NAME% %include ############################################################ # Load modules ############################################################ . $MODULESHOME/init/sh -{ecf_module_commands} +# Add any "module" commands here (switch, load, use, etc.) module list ############################################################# # WCOSS environment settings ############################################################# -{ecf_environment_settings} +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ########################################################### export cyc=%CYC% # CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST +echo ${HOMEgfs}/jobs/JGFS_PGRB2_SPEC_GEMPAK %include %manual +# FIXME: Insert manual for this job. + +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf index abcf04c..9c432ae 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf @@ -4,18 +4,18 @@ #BSUB -J gfs.jgfs_analysis #BSUB -o %ECF_OUT%/gfs.jgfs_analysis_t%CYC%z.log -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] +#BSUB -W 0:05 +#BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] -export NODES=60 +export NODES=1 %include %include set -x -export ntasks=360 -export ptile=6 -export threads=2 +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads export model=%MODEL_NAME% %include @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_ANALYSIS %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf index fa7eafe..e716845 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.jgfs_vminmon #BSUB -o %ECF_OUT%/gfs.jgfs_vminmon_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_VMINMON %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_pgrb2_spec_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_pgrb2_spec_post.ecf index 0801f05..b721eb8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_pgrb2_spec_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_pgrb2_spec_post.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_pgrb2_spec_post #BSUB -o %ECF_OUT%/gfs.post.jgfs_pgrb2_spec_post_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_PGRB2_SPEC_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_anl.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_anl.ecf index 45a93c4..4b529f3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_anl.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_anl #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_anl_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_ANL %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f00.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f00.ecf index 02597de..5f8013c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f00.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f00 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f00_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F00 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f01.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f01.ecf index 108bf63..5fa243e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f01.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f01.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f01 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f01_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F01 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f02.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f02.ecf index 4fdac2f..7b7d049 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f02.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f02.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f02 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f02_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F02 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f03.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f03.ecf index f9e67b3..e87e1ce 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f03.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f03.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f03 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f03_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F03 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f04.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f04.ecf index 5cf2213..306b5d7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f04.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f04.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f04 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f04_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F04 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f05.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f05.ecf index 4d07699..de79851 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f05.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f05.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f05 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f05_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F05 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f06.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f06.ecf index 61378cf..00d3d4d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f06.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f06 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f06_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F06 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f07.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f07.ecf index 358a358..e64aa61 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f07.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f07.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f07 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f07_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F07 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f08.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f08.ecf index 54f90b9..525ca29 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f08.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f08.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f08 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f08_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F08 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f09.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f09.ecf index 8b82c0e..602cdb8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f09.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f09.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f09 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f09_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F09 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f10.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f10.ecf index 3cdac7f..544253b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f10.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f10 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f10_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F10 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f100.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f100.ecf index 1a86b5b..06859c4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f100.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f100 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f100_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F100 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f101.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f101.ecf index e249fa7..4de6302 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f101.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f101 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f101_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F101 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f102.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f102.ecf index 169b6f8..7198310 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f102.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f102 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f102_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F102 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f103.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f103.ecf index 9c80f50..6f0cbe1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f103.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f103 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f103_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F103 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f104.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f104.ecf index 0c91263..009cb45 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f104.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f104 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f104_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F104 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f105.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f105.ecf index 8c838f1..8485c90 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f105.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f105 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f105_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F105 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f106.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f106.ecf index 9227194..7d87568 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f106.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f106 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f106_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F106 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f107.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f107.ecf index e66cc39..e2385fd 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f107.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f107 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f107_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F107 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f108.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f108.ecf index 2404b80..e5ca743 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f108.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f108 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f108_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F108 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f109.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f109.ecf index 568e47e..39a3822 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f109.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f109 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f109_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F109 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f11.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f11.ecf index a8c31fe..5ce54a7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f11.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f11 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f11_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F11 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f110.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f110.ecf index 7f02883..6542099 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f110.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f110 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f110_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F110 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f111.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f111.ecf index 84edb70..5ba69f2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f111.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f111 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f111_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F111 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f112.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f112.ecf index 2c3d749..2146994 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f112.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f112 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f112_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F112 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f113.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f113.ecf index fcadb88..c952a20 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f113.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f113 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f113_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F113 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f114.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f114.ecf index e7339b4..43efe71 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f114.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f114 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f114_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F114 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f115.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f115.ecf index 4028ca9..4120253 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f115.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f115 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f115_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F115 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f116.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f116.ecf index 25c013b..42bdad2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f116.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f116 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f116_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F116 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f117.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f117.ecf index 40b2a29..065b3f4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f117.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f117 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f117_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F117 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f118.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f118.ecf index c4f7bad..320e22c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f118.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f118 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f118_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F118 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f119.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f119.ecf index 57a736c..30fe7ab 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f119.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f119 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f119_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F119 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f12.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f12.ecf index 791286e..5c63819 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f12.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f12 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f12_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F12 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f120.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f120.ecf index 7efc829..a794195 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f120.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f120 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f120_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F120 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f123.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f123.ecf index b7fed67..aee73b3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f123.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f123 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f123_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F123 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f126.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f126.ecf index faa1ca2..a89d70f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f126.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f126 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f126_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F126 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f129.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f129.ecf index 0bff7d7..eb6c87b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f129.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f129 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f129_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F129 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f13.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f13.ecf index 9370750..26cf79f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f13.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f13 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f13_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F13 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f132.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f132.ecf index cd98649..fad5b37 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f132.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f132 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f132_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F132 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f135.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f135.ecf index adf58ff..cd16570 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f135.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f135 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f135_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F135 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f138.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f138.ecf index 3b4d3e1..ea21051 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f138.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f138 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f138_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F138 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f14.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f14.ecf index c2eb6c2..2698e34 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f14.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f14 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f14_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F14 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f141.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f141.ecf index 845199e..47b2ccf 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f141.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f141 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f141_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F141 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f144.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f144.ecf index b14d208..cde0cc5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f144.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f144 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f144_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F144 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f147.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f147.ecf index 8559ed0..0f43f94 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f147.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f147 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f147_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F147 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f15.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f15.ecf index 086115c..85c97cd 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f15.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f15 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f15_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F15 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f150.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f150.ecf index 309c34f..ec33863 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f150.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f150 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f150_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F150 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f153.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f153.ecf index 430952c..a83e3ba 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f153.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f153 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f153_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F153 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f156.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f156.ecf index 064a627..969b6ee 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f156.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f156 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f156_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F156 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f159.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f159.ecf index 1ce69e7..4055d43 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f159.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f159 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f159_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F159 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f16.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f16.ecf index fd7598f..78f7dc8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f16.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f16 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f16_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F16 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f162.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f162.ecf index 854d633..72b0d82 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f162.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f162 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f162_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F162 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f165.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f165.ecf index c2c60b9..a8cc110 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f165.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f165 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f165_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F165 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f168.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f168.ecf index 2a9d6ba..e583401 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f168.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f168 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f168_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F168 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f17.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f17.ecf index 5d76cb5..a396d93 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f17.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f17.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f17 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f17_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F17 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f171.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f171.ecf index 8ea9fff..914f45c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f171.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f171 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f171_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F171 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f174.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f174.ecf index 4402bce..f47913d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f174.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f174 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f174_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F174 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f177.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f177.ecf index 107d37a..e4c6edf 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f177.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f177 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f177_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F177 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f18.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f18.ecf index 3b29c2f..43c5a6c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f18.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f18 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f18_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F18 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f180.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f180.ecf index e9c8054..9cf23fd 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f180.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f180 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f180_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F180 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f183.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f183.ecf index 22d1912..7e77705 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f183.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f183 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f183_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F183 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f186.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f186.ecf index 115a3f4..569749e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f186.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f186 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f186_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F186 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f189.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f189.ecf index b106e6e..d030954 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f189.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f189 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f189_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F189 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f19.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f19.ecf index 21956ec..9f02c15 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f19.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f19.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f19 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f19_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F19 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f192.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f192.ecf index 4ae2aff..ca81797 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f192.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f192 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f192_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F192 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f195.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f195.ecf index 0f24e2a..abe6960 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f195.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f195 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f195_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F195 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f198.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f198.ecf index ef6396a..7ce2f55 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f198.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f198 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f198_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F198 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f20.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f20.ecf index 7c47937..e810c9e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f20.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f20.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f20 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f20_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F20 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f201.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f201.ecf index 2f9317a..858cd58 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f201.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f201 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f201_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F201 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f204.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f204.ecf index efa0ded..0cad17d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f204.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f204 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f204_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F204 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f207.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f207.ecf index ec1710e..c7fd9c8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f207.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f207 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f207_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F207 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f21.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f21.ecf index 4f804b0..55aeb3f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f21.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f21.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f21 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f21_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F21 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f210.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f210.ecf index 35362be..b000cc7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f210.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f210 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f210_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F210 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f213.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f213.ecf index 34c4bf6..18801f6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f213.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f213 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f213_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F213 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f216.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f216.ecf index b71785a..440fd09 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f216.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f216 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f216_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F216 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f219.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f219.ecf index e5532a7..05e8864 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f219.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f219 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f219_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F219 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f22.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f22.ecf index 14de8d7..b9e07b6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f22.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f22.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f22 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f22_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F22 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f222.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f222.ecf index 1323cc2..ef5066f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f222.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f222 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f222_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F222 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f225.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f225.ecf index 455ead1..68c8b89 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f225.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f225 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f225_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F225 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f228.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f228.ecf index cfac18f..d327681 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f228.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f228 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f228_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F228 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f23.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f23.ecf index 55ae234..7e6297a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f23.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f23.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f23 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f23_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F23 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f231.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f231.ecf index 056d407..8e91182 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f231.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f231 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f231_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F231 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f234.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f234.ecf index 23a4639..745af27 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f234.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f234 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f234_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F234 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f237.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f237.ecf index c0ce852..b6b6608 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f237.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f237 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f237_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F237 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f24.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f24.ecf index c8386e3..aa530b1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f24.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f24 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f24_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F24 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f240.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f240.ecf index c81481b..6588508 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f240.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f240 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f240_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F240 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f25.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f25.ecf index c27b146..7dd1688 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f25.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f25.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f25 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f25_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F25 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f252.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f252.ecf index de6a3e9..df2b109 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f252.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f252 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f252_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F252 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f26.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f26.ecf index 19e658b..545d1de 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f26.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f26.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f26 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f26_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F26 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f264.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f264.ecf index 6062291..d53bd8a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f264.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f264 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f264_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F264 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f27.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f27.ecf index 49aefae..5262acc 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f27.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f27.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f27 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f27_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F27 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f276.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f276.ecf index 0acae9d..6d06ce5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f276.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f276 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f276_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F276 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f28.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f28.ecf index ed73eb8..7a35535 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f28.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f28.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f28 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f28_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F28 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f288.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f288.ecf index 42d9617..2c039e4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f288.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f288 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f288_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F288 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f29.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f29.ecf index c84c6f3..d286ed1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f29.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f29.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f29 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f29_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F29 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f30.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f30.ecf index 23927d7..320a8bc 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f30.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f30 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f30_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F30 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f300.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f300.ecf index 68473ec..dfc176e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f300.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f300 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f300_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F300 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f31.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f31.ecf index a7d4c7e..e1cd639 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f31.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f31.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f31 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f31_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F31 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f312.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f312.ecf index 2b32b78..491828c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f312.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f312 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f312_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F312 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f32.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f32.ecf index 7730300..db910c1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f32.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f32.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f32 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f32_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F32 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f324.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f324.ecf index 7a86340..56eadc4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f324.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f324 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f324_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F324 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f33.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f33.ecf index 6b5bec0..8179217 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f33.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f33.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f33 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f33_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F33 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f336.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f336.ecf index 191765b..73fd943 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f336.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f336 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f336_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F336 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f34.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f34.ecf index cd5061b..ca8c4ca 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f34.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f34.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f34 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f34_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F34 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f348.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f348.ecf index 95b8f8b..a3deca3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f348.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f348 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f348_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F348 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f35.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f35.ecf index cacaf52..56298c2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f35.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f35.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f35 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f35_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F35 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f36.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f36.ecf index e33dde8..fd3a562 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f36.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f36 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f36_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F36 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f360.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f360.ecf index 4fc0fe4..47c1637 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f360.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f360 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f360_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F360 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f37.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f37.ecf index 5a92603..57b855b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f37.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f37.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f37 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f37_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F37 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f372.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f372.ecf index 8b5f4b6..2c09fa7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f372.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f372 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f372_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F372 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f38.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f38.ecf index 2a26048..293768b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f38.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f38.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f38 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f38_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F38 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f384.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f384.ecf index 938424a..35de2d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f384.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f384 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f384_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F384 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f39.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f39.ecf index 1b8ec9d..e575fa0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f39.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f39.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f39 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f39_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F39 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f40.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f40.ecf index 1eec893..f327b92 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f40.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f40.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f40 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f40_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F40 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f41.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f41.ecf index c1c7542..3997b0a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f41.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f41.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f41 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f41_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F41 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f42.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f42.ecf index 2325836..cddd38a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f42.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f42 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f42_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F42 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f43.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f43.ecf index ff41cfb..6e2f893 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f43.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f43.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f43 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f43_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F43 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f44.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f44.ecf index 4ce3278..4d19013 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f44.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f44.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f44 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f44_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F44 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f45.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f45.ecf index 91e6446..f97294f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f45.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f45.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f45 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f45_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F45 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f46.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f46.ecf index 8b0dc36..4e75af3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f46.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f46.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f46 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f46_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F46 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f47.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f47.ecf index e67c97a..5f6d908 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f47.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f47.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f47 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f47_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F47 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f48.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f48.ecf index 2b62b20..ba02467 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f48.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f48 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f48_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F48 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f49.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f49.ecf index 472ad4c..1f20948 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f49.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f49.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f49 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f49_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F49 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f50.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f50.ecf index 7553af6..1792ab9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f50.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f50.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f50 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f50_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F50 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f51.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f51.ecf index c912aaa..69c9186 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f51.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f51.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f51 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f51_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F51 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f52.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f52.ecf index 550df2f..762d5c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f52.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f52.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f52 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f52_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F52 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f53.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f53.ecf index 92dd73f..0ea5103 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f53.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f53.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f53 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f53_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F53 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f54.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f54.ecf index bea0edc..2661bc6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f54.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f54 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f54_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F54 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f55.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f55.ecf index 837811c..66b212c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f55.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f55.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f55 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f55_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F55 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f56.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f56.ecf index d89b869..5490585 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f56.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f56.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f56 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f56_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F56 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f57.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f57.ecf index 348ee4f..5c4cad4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f57.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f57.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f57 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f57_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F57 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f58.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f58.ecf index 18c4a48..d7a4de8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f58.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f58.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f58 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f58_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F58 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f59.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f59.ecf index 911e47a..1b225d6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f59.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f59.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f59 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f59_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F59 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f60.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f60.ecf index 5146bd0..e93c3de 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f60.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f60 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f60_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F60 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f61.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f61.ecf index ae3fea3..400eb38 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f61.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f61.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f61 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f61_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F61 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f62.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f62.ecf index 436b0bc..30de2e0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f62.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f62.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f62 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f62_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F62 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f63.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f63.ecf index f0a1a8f..98e5130 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f63.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f63.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f63 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f63_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F63 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f64.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f64.ecf index 950507b..244c3f2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f64.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f64.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f64 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f64_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F64 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f65.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f65.ecf index d6c4e7f..d9c2023 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f65.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f65.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f65 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f65_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F65 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f66.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f66.ecf index 5925426..3733ac3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f66.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f66 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f66_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F66 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f67.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f67.ecf index a30b66c..a3fe02d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f67.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f67.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f67 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f67_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F67 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f68.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f68.ecf index 02c4962..0498be1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f68.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f68.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f68 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f68_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F68 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f69.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f69.ecf index 1a4852c..7e0119f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f69.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f69.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f69 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f69_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F69 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f70.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f70.ecf index ad1dca1..96b0def 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f70.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f70.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f70 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f70_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F70 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f71.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f71.ecf index b75158b..ea72192 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f71.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f71.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f71 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f71_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F71 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f72.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f72.ecf index bfda8ea..37c4e10 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f72.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f72 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f72_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F72 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f73.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f73.ecf index 5703db6..85782de 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f73.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f73.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f73 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f73_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F73 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f74.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f74.ecf index 4d8a171..9ebee44 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f74.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f74.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f74 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f74_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F74 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f75.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f75.ecf index df16a6d..638ebb0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f75.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f75.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f75 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f75_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F75 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f76.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f76.ecf index 8e6af05..56c3b61 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f76.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f76.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f76 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f76_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F76 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f77.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f77.ecf index cfa16fc..79ff02f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f77.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f77.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f77 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f77_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F77 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f78.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f78.ecf index 96ab8cd..cfa1fa0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f78.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f78 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f78_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F78 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f79.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f79.ecf index d5bacf3..594cb93 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f79.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f79.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f79 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f79_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F79 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f80.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f80.ecf index a43e62f..39bcb24 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f80.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f80.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f80 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f80_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F80 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f81.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f81.ecf index 433bd18..06facc2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f81.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f81.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f81 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f81_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F81 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f82.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f82.ecf index a79f29b..1de6545 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f82.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f82.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f82 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f82_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F82 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f83.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f83.ecf index c0a8717..5b74ba6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f83.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f83.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f83 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f83_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F83 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f84.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f84.ecf index fc497b7..21095ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f84.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f84 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f84_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F84 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f85.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f85.ecf index 4b8f159..f75e946 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f85.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f85.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f85 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f85_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F85 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f86.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f86.ecf index d277132..4a80448 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f86.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f86.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f86 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f86_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F86 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f87.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f87.ecf index ba24c5d..68190f4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f87.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f87.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f87 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f87_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F87 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f88.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f88.ecf index 81a30a6..1c55188 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f88.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f88.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f88 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f88_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F88 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f89.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f89.ecf index 3514e27..02bcccc 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f89.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f89.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f89 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f89_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F89 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f90.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f90.ecf index 73a0585..ddbe0e7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f90.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f90 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f90_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F90 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f91.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f91.ecf index 3eceeee..00a9c4a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f91.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f91.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f91 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f91_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F91 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f92.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f92.ecf index bc30db6..9bfe2f2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f92.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f92.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f92 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f92_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F92 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f93.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f93.ecf index 842596d..530c14c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f93.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f93.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f93 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f93_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F93 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f94.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f94.ecf index adc946c..5334d61 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f94.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f94.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f94 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f94_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F94 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f95.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f95.ecf index 961d45a..0f61bb5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f95.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f95.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f95 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f95_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F95 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f96.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f96.ecf index e7c1809..f5c98c3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f96.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f96 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f96_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F96 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f97.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f97.ecf index 5a7b7cc..c1511e7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f97.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f97.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f97 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f97_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F97 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f98.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f98.ecf index 6aba72f..7fa20e0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f98.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f98.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f98 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f98_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F98 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f99.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f99.ecf index fd493c7..d8d28fd 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f99.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f99.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_f99 #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f99_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_F99 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_manager.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_manager.ecf index cce2e41..e92e20b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_manager.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_manager.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post.jgfs_post_manager #BSUB -o %ECF_OUT%/gfs.post.jgfs_post_manager_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POST_MANAGER %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf index d3ec7f0..c1fb614 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f000 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f000_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F000 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf index 669ca3e..640ca4b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f001 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f001_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F001 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf index bcc4ea7..88b9291 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f002 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f002_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F002 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf index 1389451..492ef0f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f003 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f003_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F003 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf index ff9a6db..97b0489 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f004 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f004_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F004 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf index fa0b9da..994f70e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f005 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f005_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F005 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf index 216de5b..12538da 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f006 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f006_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F006 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf index e848d86..7fd41f3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f007 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f007_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F007 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf index 8fd242a..1fe6f29 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f008 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f008_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F008 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf index d27fae2..ef101e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f009 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f009_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F009 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf index 0484b7b..e60e0d5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f010 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f010_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F010 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf index 3aa2ddb..a4d2421 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f011 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f011_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F011 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf index ceb7bcf..0b22ce4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f012 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f012_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F012 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf index ab42d41..4c12e00 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f013 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f013_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F013 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf index 59a4b64..906e2d3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f014 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f014_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F014 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf index 53a8752..b04b114 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f015 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f015_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F015 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf index 6a2c161..6c1443c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f016 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f016_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F016 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf index 5643028..d179c83 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f017 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f017_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F017 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf index 9017a9e..551a7e7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f018 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f018_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F018 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf index e330aff..56028e2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f019 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f019_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F019 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf index 9ed1e5e..b939cef 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f020 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f020_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F020 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf index 1db01b8..6fd5982 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f021 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f021_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F021 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf index 4d37614..038afe8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f022 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f022_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F022 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf index 7cce8c7..39e5f6e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f023 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f023_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F023 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf index d257cd9..5ab3b64 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f024 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f024_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F024 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf index 280cef8..9fc4628 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f025 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f025_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F025 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf index 4988749..aa28901 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f026 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f026_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F026 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf index 5d3a7a1..ad001a4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f027 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f027_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F027 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf index de2fc15..2a2c00c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f028 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f028_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F028 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf index fb40400..808c730 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f029 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f029_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F029 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf index 1b2d8c6..80a9c62 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f030 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f030_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F030 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf index fce31a7..374011e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f031 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f031_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F031 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf index c657d14..7b6c6ce 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f032 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f032_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F032 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf index 4fc3a2c..8c0cbb0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f033 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f033_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F033 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf index 8f26afb..c351d2c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f034 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f034_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F034 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf index ecabe41..7163d39 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f035 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f035_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F035 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf index 5ee87b4..55a3cbc 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f036 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f036_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F036 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf index 4069a46..0b8ed4e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f037 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f037_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F037 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf index 9a02dd7..a32ccc0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f038 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f038_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F038 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf index 196511a..75a5126 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f039 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f039_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F039 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf index 8f90a29..ad0dc9b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f040 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f040_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F040 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf index 22378cc..1d8df68 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f041 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f041_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F041 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf index 5926ab9..6466e79 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f042 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f042_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F042 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf index 9722311..0cba21e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f043 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f043_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F043 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf index fe25953..850abc7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f044 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f044_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F044 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf index 5a97394..20fc666 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f045 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f045_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F045 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf index 61ddb06..8d41a24 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f046 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f046_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F046 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf index 64cf3a6..c7e5533 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f047 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f047_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F047 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf index 92a8d47..84e5db0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f048 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f048_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F048 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf index a14d268..06e3505 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f049 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f049_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F049 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf index fb8d1ca..1113e28 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f050 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f050_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F050 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf index 6a2377c..b84adb2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f051 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f051_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F051 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf index 6161af9..ffff93f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f052 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f052_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F052 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf index 518272e..1a506a2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f053 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f053_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F053 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf index 2e1e4ab..4735cd2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f054 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f054_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F054 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf index 7fe7456..81f353e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f055 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f055_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F055 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf index 895605b..5b1d38c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f056 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f056_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F056 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf index 5a552bd..676c156 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f057 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f057_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F057 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf index 4a6e5fe..7363d1c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f058 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f058_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F058 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf index 3fb2a82..75c2938 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f059 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f059_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F059 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf index cf13c9f..95f0a85 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f060 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f060_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F060 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf index b752ca2..0e86122 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f061 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f061_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F061 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf index 45a961c..d4e8a9d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f062 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f062_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F062 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf index 18722a0..e6095c1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f063 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f063_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F063 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf index 1ed950b..b11238f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f064 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f064_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F064 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf index a3ee684..0f2d430 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f065 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f065_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F065 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf index a30cdf1..40345f5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f066 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f066_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F066 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf index 971abcc..72f7a81 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f067 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f067_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F067 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf index 20aae5d..a56a873 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f068 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f068_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F068 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf index 23b3f35..43800bf 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f069 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f069_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F069 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf index 0bca73e..a694da3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f070 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f070_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F070 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf index e18ed18..da03b01 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f071 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f071_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F071 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf index 81b0dbd..fbba1f7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f072 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f072_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F072 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf index 0afd294..b57b7d9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f073 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f073_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F073 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf index b35e294..1f514fc 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f074 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f074_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F074 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf index cdd1c9a..1f31c2a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f075 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f075_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F075 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf index 463d7fc..a247356 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f076 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f076_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F076 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf index 9b6d244..002f6a6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f077 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f077_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F077 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf index 6a8f2e0..369b1d0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f078 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f078_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F078 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf index 77b3012..c142645 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f079 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f079_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F079 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf index 603586f..d2399eb 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f080 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f080_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F080 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf index 28338e3..0547ae8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f081 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f081_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F081 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf index 67d2c2a..6161ab2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f082 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f082_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F082 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf index c7fa12e..b89bae0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f083 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f083_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F083 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf index 42f449d..c0a272a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f084 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f084_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F084 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf index b1a1f45..d8415f5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f085 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f085_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F085 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf index eca4005..6661611 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f086 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f086_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F086 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf index f0cf3d8..bc5ee43 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f087 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f087_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F087 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf index acfad04..79948a0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f088 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f088_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F088 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf index a640f6a..c7b50df 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f089 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f089_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F089 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf index e089a70..a3f4619 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f090 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f090_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F090 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf index f5b80dc..b649a8e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f091 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f091_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F091 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf index 0a98218..b40c270 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f092 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f092_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F092 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf index 5864377..69fc0cb 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f093 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f093_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F093 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf index e598259..e8a80ca 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f094 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f094_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F094 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf index bbcaefa..fddc9ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f095 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f095_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F095 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf index cacd7fa..c5d31f5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f096 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f096_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F096 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf index 26dc797..fdd8a8f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f097 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f097_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F097 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf index eb95fc9..48b7439 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f098 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f098_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F098 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf index 474a79a..8eec734 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f099 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f099_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F099 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf index 25443e0..9b8aeac 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f100 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f100_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf index 346a86d..6424364 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f101 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f101_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf index f49973c..03371ca 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f102 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f102_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf index 70ec792..06006f4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f103 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f103_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf index 732d5d0..0565f13 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f104 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f104_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf index 4efbb80..d7e20d3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f105 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f105_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf index 27e9e7e..85ff184 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f106 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f106_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf index db13b96..6bf52dd 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f107 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f107_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf index 61fef46..4cd8566 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f108 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f108_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf index a7ad7c6..38ef84f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f109 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f109_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf index b8e8353..76fbc49 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f110 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f110_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf index 8092265..ac95897 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f111 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f111_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf index 79245a5..074fb4f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f112 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f112_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf index c31419b..a5564b5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f113 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f113_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf index 57e845a..35a1ed3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f114 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f114_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf index 7784fcc..bf98abe 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f115 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f115_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf index 58c52d0..4221c76 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f116 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f116_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf index d222027..2dd3cb9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f117 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f117_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf index 40bd197..e8d4608 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f118 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f118_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf index acc1d99..541ae66 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f119 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f119_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf index bc4057c..2bd29ce 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f120 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f120_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf index 06a8220..21313ea 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f123 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f123_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf index 0971d65..6636e27 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f126 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f126_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf index 98b8e26..920d538 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f129 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f129_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf index f0149ce..bf567e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f132 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f132_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf index b92409f..f66ed98 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f135 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f135_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf index 65b9001..7963ff9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f138 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f138_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf index 515a95f..bd7c364 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f141 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f141_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf index a83e1ee..58957ae 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f144 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f144_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf index 513a955..4817e8e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f147 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f147_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf index 7148c6f..3c84a94 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f150 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f150_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf index 6f6c03a..34226e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f153 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f153_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf index 2124ce9..6d06684 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f156 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f156_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf index 845a1a9..7e4a1ae 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f159 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f159_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf index d562347..52b9630 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f162 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f162_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf index a7c2d69..bc8dece 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f165 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f165_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf index 9f40903..0047482 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f168 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f168_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf index 5e7e844..da8354f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f171 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f171_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf index b1ddb61..47e0c98 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f174 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f174_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf index 0b2eda4..c37f36f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f177 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f177_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf index 37b5b22..097358a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f180 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f180_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf index 836131c..b1fcaa6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f183 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f183_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf index 5bb10f4..2ddb8d0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f186 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f186_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf index 295fa2f..4234c12 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f189 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f189_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf index e7df28f..a7ceec9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f192 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f192_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf index 84e2e0a..1fd7f90 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f195 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f195_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf index c4410ac..c450485 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f198 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f198_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf index 73c50b7..58781d5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f201 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f201_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf index a4c0108..c7baa59 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f204 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f204_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf index fd59fac..6c4e6df 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f207 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f207_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf index 8d1750d..20cfcb7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f210 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f210_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf index 2c901e9..3ec4b33 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f213 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f213_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf index dd202cc..ae63935 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f216 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f216_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf index cf3b8af..536c930 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f219 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f219_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf index 8a2b14d..963908b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f222 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f222_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf index 72ba6d8..c955708 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f225 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f225_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf index e6d53d8..d1be0d7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f228 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f228_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf index 674137f..9bbe557 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f231 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f231_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf index 423eaea..8929a80 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f234 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f234_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf index d454c69..95f5aa0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f237 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f237_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf index 2f09456..8e6f26e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f240 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f240_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf index bf69c9c..a97892e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f252 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f252_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf index aaaad1a..c74a374 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f264 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f264_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf index 61fbc1d..f73b3d2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f276 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f276_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf index 6189c31..64c35d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f288 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f288_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf index f3645fe..18c25e3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f300 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f300_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf index 676d412..84898ea 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f312 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f312_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf index 5492342..d4b3eb5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f324 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f324_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf index 7f558a7..6535a25 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f336 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f336_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf index b6f52b5..613faed 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f348 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f348_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf index e747d70..efe6615 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f360 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f360_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf index 4eb5880..4423993 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f372 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f372_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf index 83608a9..3ae2e57 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f384 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f384_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf index 427e646..ae35ac3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f000 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f000_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F000 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf index ab2af43..6671fad 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f001 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f001_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F001 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf index 9c3ce49..808d2c7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f002 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f002_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F002 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf index 91ecf3d..234a23b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f003 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f003_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F003 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf index 963ab8c..0ff8078 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f004 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f004_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F004 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf index 5e1ff16..389cfb6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f005 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f005_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F005 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf index 5f79c28..80a4b7d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f006 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f006_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F006 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf index 57a944e..69fddc7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f007 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f007_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F007 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf index d2ebc78..5bef22b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f008 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f008_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F008 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf index e6195e8..a0a5ccb 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f009 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f009_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F009 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf index 53301c3..7d820ef 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f010 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f010_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F010 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf index 8827e9f..b1ac8b2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f011 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f011_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F011 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf index a11f357..cf052f2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f012 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f012_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F012 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf index 18e01f0..ca594c3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f013 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f013_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F013 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf index e5e4f59..14d61f7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f014 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f014_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F014 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf index 1b34c83..53b9bf4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f015 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f015_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F015 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf index cf01459..abddacb 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f016 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f016_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F016 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf index bdca96c..d7a466f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f017 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f017_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F017 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf index 492457c..02383a2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f018 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f018_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F018 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf index b0bf180..3b9eb0e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f019 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f019_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F019 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf index 3bf1ecc..fe5fac2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f020 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f020_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F020 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf index bc6d6e4..81c7742 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f021 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f021_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F021 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf index 7de3250..135d9b8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f022 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f022_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F022 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf index e28a3cb..7964b48 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f023 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f023_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F023 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf index ff0e27c..56cf2c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f024 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f024_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F024 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf index 3e18e44..23e5edd 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f025 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f025_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F025 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf index ac56176..751a8c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f026 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f026_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F026 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf index 80dab35..e5798aa 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f027 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f027_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F027 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf index d79b344..07c6fe2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f028 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f028_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F028 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf index 20d63eb..2ced511 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f029 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f029_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F029 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf index 1e2b0c5..bd56863 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f030 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f030_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F030 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf index c900671..9100715 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f031 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f031_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F031 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf index 87be72c..cef7986 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f032 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f032_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F032 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf index e07b9d4..e8ff57c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f033 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f033_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F033 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf index ebd6b4d..a833bc9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f034 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f034_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F034 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf index 0bb38cb..098b9f9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f035 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f035_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F035 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf index 3943b5e..2c1ea25 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f036 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f036_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F036 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf index 6ca5114..803c785 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f037 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f037_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F037 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf index cff0b72..28cd665 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f038 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f038_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F038 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf index d2dbbbe..93017df 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f039 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f039_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F039 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf index e44ab23..c72d745 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f040 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f040_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F040 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf index aced496..686a1e6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f041 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f041_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F041 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf index dbd4901..f2a1bfc 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f042 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f042_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F042 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf index b669e2a..53d463c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f043 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f043_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F043 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf index 0d87eca..1748cb1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f044 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f044_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F044 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf index 5fc043e..841f175 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f045 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f045_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F045 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf index 1d44129..c89c177 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f046 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f046_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F046 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf index 99e628a..bc34a8c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f047 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f047_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F047 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf index dc202cd..2ff427f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f048 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f048_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F048 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf index 4badc2d..7b2b073 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f049 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f049_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F049 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf index c5eea93..2d3e912 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f050 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f050_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F050 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf index 847867e..470289a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f051 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f051_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F051 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf index 5c2e61c..2ff3dac 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f052 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f052_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F052 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf index 9f92201..d1168d1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f053 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f053_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F053 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf index fcbd8c0..176a9bb 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f054 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f054_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F054 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf index 4b21b8e..e52363a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f055 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f055_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F055 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf index f69e31d..5fef8fe 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f056 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f056_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F056 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf index 0bc7a5a..9de3ae0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f057 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f057_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F057 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf index cca67b3..206b594 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f058 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f058_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F058 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf index 82481d8..9aa41e3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f059 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f059_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F059 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf index 767c16d..0514cac 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f060 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f060_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F060 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf index c3accb6..3bbdb09 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f061 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f061_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F061 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf index 0f1e2b4..5fc5a98 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f062 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f062_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F062 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf index 26cd4fd..d3f943f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f063 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f063_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F063 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf index 9e31579..a44d167 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f064 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f064_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F064 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf index 904ad8e..1252725 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f065 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f065_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F065 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf index 2ac1eef..940a7af 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f066 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f066_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F066 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf index e28f3e0..51c0cb0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f067 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f067_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F067 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf index 47e532d..b8e0473 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f068 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f068_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F068 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf index f0795c7..d375686 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f069 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f069_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F069 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf index 09f9386..9527385 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f070 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f070_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F070 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf index 7af6e71..ffdf993 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f071 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f071_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F071 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf index 163556e..e02aa31 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f072 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f072_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F072 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf index 312d962..2ccd34f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f073 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f073_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F073 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf index bc5854d..f2e1cc6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f074 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f074_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F074 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf index 995dd06..e8f87f5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f075 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f075_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F075 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf index 037d514..ada61e0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f076 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f076_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F076 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf index 0975f98..8f10c02 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f077 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f077_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F077 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf index 4fbf9a2..67a77dd 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f078 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f078_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F078 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf index f289400..0799802 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f079 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f079_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F079 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf index de9d879..7ed99ab 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f080 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f080_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F080 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf index 724b2c8..77083d5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f081 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f081_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F081 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf index 26342f1..3c27c8a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f082 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f082_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F082 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf index edac663..b827cec 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f083 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f083_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F083 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf index 23a6cc3..b3ed392 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f084 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f084_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F084 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf index 1c4b186..8b2509a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f085 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f085_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F085 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf index d640e2f..de2eb95 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f086 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f086_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F086 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf index 2b9a3b8..fb20750 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f087 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f087_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F087 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf index a8d0f25..b7df1ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f088 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f088_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F088 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf index d86ef52..2cf3558 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f089 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f089_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F089 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf index 4165018..a415ec3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f090 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f090_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F090 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf index 44f317d..9f74535 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f091 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f091_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F091 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf index 5d2b709..53e80cb 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f092 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f092_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F092 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf index bf7bae7..468d509 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f093 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f093_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F093 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf index 36cce97..e99338b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f094 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f094_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F094 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf index 5a253b1..74d403d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f095 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f095_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F095 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf index dc3b558..53d8dbd 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f096 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f096_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F096 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf index 7def71f..1b1c3ba 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f097 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f097_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F097 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf index 24e1f8b..983ef30 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f098 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f098_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F098 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf index 518dc00..d5b294c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f099 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f099_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F099 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf index 0d5469e..0472ef0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f100 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f100_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf index f78c4da..9536424 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f101 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f101_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf index 382154d..934dab3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f102 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f102_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf index bec4df5..997aa6f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f103 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f103_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf index 796ad73..1a6e3ab 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f104 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f104_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf index 457fec0..27759a9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f105 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f105_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf index a663fc9..abfe8de 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f106 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f106_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf index 7187594..7204f6f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f107 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f107_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf index 0384036..5b67f52 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f108 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f108_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf index 4d8d7be..3ce2b1a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f109 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f109_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf index 4f72a90..6543e22 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f110 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f110_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf index a8d57e6..4e0dfb6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f111 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f111_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf index 978e151..67fdf8b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f112 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f112_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf index 2455a58..98caf67 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f113 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f113_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf index ab9fcd1..0e13543 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f114 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f114_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf index 92372a6..df759dd 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f115 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f115_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf index 565aa8b..43c4e97 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f116 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f116_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf index 20dec08..852abdc 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f117 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f117_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf index d1d3f45..d43fa34 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f118 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f118_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf index 6b9017f..11fa723 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f119 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f119_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf index 9a00979..ea17b4d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f120 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f120_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf index e828b3d..3578324 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f123 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f123_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf index 36a4274..a21e424 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f126 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f126_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf index 0c03f90..ea8efdb 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f129 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f129_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf index e8832d6..d26fcdb 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f132 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f132_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf index 82befd3..22e93c9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f135 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f135_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf index 62f28da..942369b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f138 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f138_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf index 89909f5..9519b24 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f141 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f141_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf index bc6533e..b3a54b6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f144 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f144_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf index bbf018d..ea13e65 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f147 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f147_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf index 55ec8d3..57ae926 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f150 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f150_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf index 030ee2f..842b55a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f153 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f153_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf index fdea60e..0122777 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f156 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f156_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf index 8e38112..591ecd3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f159 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f159_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf index e7a1186..395efe8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f162 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f162_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf index fe86e22..55823a9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f165 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f165_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf index b79211c..bc67476 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f168 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f168_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf index 15a2d3e..10e706f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f171 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f171_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf index 94ecc78..0211339 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f174 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f174_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf index 34d4366..90d40dd 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f177 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f177_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf index a0d8ab1..23a1e36 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f180 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f180_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf index a8b777a..fcc9032 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f183 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f183_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf index 02e3a56..9dac820 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f186 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f186_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf index 87341cf..f6545db 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f189 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f189_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf index b8d0c76..28521fe 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f192 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f192_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf index 8bfbb73..d3729c7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f195 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f195_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf index 0363451..7cc21cb 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f198 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f198_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf index e94a022..757cbe2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f201 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f201_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf index d0c377b..77646cd 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f204 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f204_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf index d181b4f..8a9592f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f207 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f207_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf index 009dda4..a53da7e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f210 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f210_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf index 6dc4b69..49dc8b8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f213 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f213_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf index 0e4db87..c660eb4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f216 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f216_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf index abdfef5..46dfa03 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f219 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f219_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf index f52e413..2bb4a0e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f222 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f222_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf index a07a1a9..554cb51 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f225 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f225_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf index 1273cc5..96e7c3a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f228 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f228_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf index 81645c8..c760b11 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f231 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f231_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf index 7c4a116..3cfaf54 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f234 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f234_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf index bc34767..84b3268 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f237 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f237_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf index 47beb8d..08ed0ce 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f240 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f240_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf index 9b60402..f6b0ba0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f252 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f252_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf index dafeb58..cf8feeb 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f264 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f264_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf index c806814..8d998d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f276 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f276_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf index f74a5d0..0849675 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f288 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f288_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf index e605dfe..2455b68 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f300 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f300_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf index bf416a0..ef72d3f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f312 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f312_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf index 469902f..01966cc 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f324 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f324_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf index b966479..4924f52 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f336 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f336_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf index a84c97c..fee35a4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f348 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f348_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf index 5d84aa8..b6f08cb 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f360 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f360_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf index e87d5f6..6d95f0b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f372 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f372_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf index 1d40fbc..51e10ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f384 #BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f384_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf index 2d5ad7f..91f9c0e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.bufr_sounding.jgfs_postsnd #BSUB -o %ECF_OUT%/gfs.post_processing.bufr_sounding.jgfs_postsnd_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_POSTSND %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf index 96a3c1d..6aa23a2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.bulletins.jgfs_cyclone_tracker #BSUB -o %ECF_OUT%/gfs.post_processing.bulletins.jgfs_cyclone_tracker_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_CYCLONE_TRACKER %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_fbwind.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_fbwind.ecf index 4c847e1..4219036 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_fbwind.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_fbwind.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.bulletins.jgfs_fbwind #BSUB -o %ECF_OUT%/gfs.post_processing.bulletins.jgfs_fbwind_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_FBWIND %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/dummy.ecf index 1923883..2d83c4b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/dummy.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/dummy.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.dummy #BSUB -o %ECF_OUT%/gfs.post_processing.dummy_t%CYC%z.log +#BSUB -W 0:02 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/DUMMY %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_anl.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_anl.ecf index b8e4792..0ff39b2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_anl.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.fax.jgfs_fax_anl #BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_anl_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_FAX_ANL %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_f00.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_f00.ecf index d1e4dad..ab7daa2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_f00.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.fax.jgfs_fax_f00 #BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_f00_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_FAX_F00 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf index 4b1f0e6..eb3abfe 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f12 #BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f12_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F12 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf index a395384..ee62ec2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f24 #BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f24_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F24 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf index 5aea03d..eb5e6ac 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f36 #BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f36_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F36 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf index b577f28..79ed9b8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib2_wafs.jgfs_wafs_blending #BSUB -o %ECF_OUT%/gfs.post_processing.grib2_wafs.jgfs_wafs_blending_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_BLENDING %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf index c59e3db..90fafaa 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib2_wafs.jgfs_wafs_grib2 #BSUB -o %ECF_OUT%/gfs.post_processing.grib2_wafs.jgfs_wafs_grib2_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_GRIB2 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf index adb1ad4..29ced0d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f00 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f00_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F00 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf index a46b72b..bfa27b9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f01 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f01_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F01 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf index d33beaf..723696f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f02 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f02_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F02 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf index d88139a..102378e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f03 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f03_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F03 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf index fb4e900..ea9e654 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f04 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f04_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F04 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf index d486a2f..a9d9184 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f05 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f05_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F05 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf index cfa2bf2..c28632b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f06 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f06_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F06 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf index dd3dbc9..f4b9f6d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f07 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f07_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F07 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf index ce5c372..e005f3b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f08 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f08_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F08 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf index cb1674c..716d367 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f09 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f09_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F09 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf index aaef77c..460980f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f10 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f10_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F10 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf index d62b985..a8a05be 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f100 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f100_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf index 5725afc..b988621 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f101 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f101_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf index 354fea8..47a9b7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f102 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f102_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf index 66af597..a90fffd 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f103 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f103_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf index 48a4f64..5584eed 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f104 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f104_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf index 95a9d3d..30f2ae5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f105 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f105_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf index 031774a..1dce32d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f106 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f106_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf index 4f2cd69..d7f5dbb 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f107 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f107_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf index 94a862f..033f727 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f108 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f108_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf index eea4505..3f2bfef 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f109 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f109_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf index 5beac26..64f0d21 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f11 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f11_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F11 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf index 22e93df..155bf2a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f110 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f110_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf index 9306d51..79a3a0a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f111 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f111_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf index 7cdcd3a..aae9fe2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f112 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f112_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf index 539e8a1..6b298d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f113 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f113_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf index 1c93e8a..d8a1c0f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f114 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f114_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf index 1190c24..bad0d0a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f115 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f115_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf index 7d389ea..6af05c4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f116 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f116_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf index 0230c3c..a57016d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f117 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f117_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf index d32e76f..6df2ec8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f118 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f118_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf index d0fafc1..7faa628 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f119 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f119_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf index 374e644..6ebb09f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f12 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f12_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F12 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf index 03503a0..17301f1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f120 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f120_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf index f31eb34..9423474 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f123 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f123_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf index 35cfc97..839a402 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f126 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f126_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf index bff0e91..2f34405 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f129 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f129_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf index 4899125..9f1b536 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f13 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f13_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F13 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf index 371f9ed..ac86153 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f132 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f132_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf index 151bab5..6434a64 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f135 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f135_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf index 1aa187d..c03da79 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f138 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f138_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf index 3fa0e14..4082779 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f14 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f14_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F14 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf index 94cd747..9bd79a7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f141 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f141_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf index adcc1ea..f916da3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f144 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f144_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf index 22d8ff0..643d497 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f147 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f147_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf index 81367d6..fd4080b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f15 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f15_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F15 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf index ed71266..0c50a5b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f150 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f150_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf index 247b1a6..fa06712 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f153 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f153_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf index d0ea37b..b4c34cf 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f156 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f156_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf index bb4cf49..ebd3f77 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f159 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f159_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf index 87e22d7..b8ce940 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f16 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f16_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F16 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf index f4cc0fc..65d4b82 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f162 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f162_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf index 497e7f1..e07c254 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f165 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f165_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf index dd3cb7d..90f995f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f168 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f168_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf index 436e380..06ffd71 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f17 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f17_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F17 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf index f9cd5cf..64fb24b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f171 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f171_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf index 5ef8f08..20a634e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f174 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f174_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf index 84ef9f6..5e61088 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f177 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f177_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf index c3e3657..759f33c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f18 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f18_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F18 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf index 28b4cfb..b29f445 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f180 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f180_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf index 1ce8970..45b7022 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f183 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f183_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf index 7530c7d..fde9efd 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f186 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f186_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf index 1b90f28..7789691 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f189 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f189_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf index 6462b1a..2293791 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f19 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f19_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F19 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf index 804cec4..3f7aeea 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f192 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f192_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf index f4ddb7b..c75f6ca 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f195 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f195_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf index 444b633..f41cec0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f198 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f198_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf index 6705e9e..324b62f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f20 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f20_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F20 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf index 2a28866..b0ed9f0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f201 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f201_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf index e98d72f..5f3b856 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f204 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f204_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf index bb5e694..d454358 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f207 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f207_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf index a20f7ef..e0db7ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f21 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f21_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F21 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf index f8d307a..3881883 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f210 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f210_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf index 2a7ee67..a29963a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f213 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f213_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf index 9bc5ed8..d9a6701 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f216 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f216_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf index cc6320a..271a7dc 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f219 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f219_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf index ede0601..3eb6e94 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f22 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f22_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F22 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf index 18125ce..7457f81 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f222 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f222_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf index 16c8377..3e3b3b9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f225 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f225_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf index 57a6604..a97a196 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f228 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f228_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf index ecf30b3..a2d4a28 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f23 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f23_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F23 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf index 6986c20..56cadcd 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f231 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f231_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf index 0b07b10..a60d59f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f234 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f234_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf index 67f6965..7e84bfa 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f237 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f237_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf index 4b7aae4..c0a5999 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f24 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f24_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F24 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf index 52c48ac..5a5704d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f240 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f240_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf index 06cc2c4..67ec35f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f25 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f25_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F25 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf index 3537e3c..08c5da3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f252 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f252_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf index f11863a..9752646 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f26 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f26_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F26 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf index da38a1a..c7d8be2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f264 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f264_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf index 421c406..00479b3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f27 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f27_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F27 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf index fa03e2d..8c5092c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f276 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f276_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf index ca48731..39b1867 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f28 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f28_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F28 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf index 87df796..063399d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f288 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f288_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf index 794de15..9ad9ae6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f29 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f29_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F29 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf index 348d3ed..5bd5fe7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f30 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f30_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F30 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf index a164f11..8a8671c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f300 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f300_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf index 1339cbd..1492e49 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f31 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f31_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F31 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf index bc5f248..3d3d96e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f312 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f312_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf index 7ef94b8..709b2bc 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f32 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f32_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F32 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf index fa88006..22a0236 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f324 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f324_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf index 83634a1..827cb9b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f33 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f33_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F33 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf index c726e99..535d32b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f336 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f336_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf index 844e348..4c9a59f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f34 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f34_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F34 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf index 13fe822..c11623d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f348 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f348_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf index 1c3b581..ee0d675 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f35 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f35_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F35 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf index 051227c..51fd3bc 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f36 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f36_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F36 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf index 0154ac9..7fcdbb4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f360 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f360_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf index cdc9dbc..00ee670 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f37 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f37_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F37 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf index afc7ba1..8d6686d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f372 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f372_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf index 4b9de22..e8fb122 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f38 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f38_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F38 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf index 2020efc..de4a19a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f384 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f384_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf index 64a9a97..662ddae 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f39 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f39_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F39 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf index 4a87b0c..1860999 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f40 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f40_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F40 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf index a5b92bb..9a21178 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f41 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f41_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F41 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf index 3df6e13..1a39075 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f42 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f42_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F42 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf index a43d18a..e27fa3f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f43 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f43_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F43 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf index d0cb547..5bf4069 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f44 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f44_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F44 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf index 652f27a..50e07a9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f45 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f45_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F45 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf index f94e41f..1ea6d07 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f46 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f46_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F46 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf index 47226dd..5e1e410 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f47 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f47_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F47 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf index 227e882..8481a27 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f48 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f48_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F48 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf index abd01b3..ac8bc77 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f49 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f49_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F49 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf index 5feab26..d2d68b7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f50 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f50_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F50 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf index 56667e4..a45b9ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f51 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f51_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F51 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf index 53fd0c7..8c00cd1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f52 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f52_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F52 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf index daaec08..37abc90 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f53 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f53_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F53 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf index 4a3b6c8..f2ad448 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f54 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f54_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F54 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf index a559b9c..970a13c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f55 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f55_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F55 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf index 0ba8653..dba031c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f56 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f56_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F56 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf index 83e5c03..669462d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f57 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f57_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F57 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf index 8f56133..3ec6db8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f58 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f58_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F58 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf index a0d96d9..e47b686 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f59 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f59_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F59 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf index e0b6774..1da1b31 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f60 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f60_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F60 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf index 17df620..f344991 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f61 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f61_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F61 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf index 52e4521..88d7169 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f62 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f62_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F62 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf index 364bc9f..ec34caa 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f63 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f63_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F63 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf index da86908..2753d36 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f64 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f64_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F64 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf index e823346..a47cdc5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f65 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f65_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F65 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf index 323118b..4cdd011 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f66 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f66_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F66 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf index 3d82494..be636db 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f67 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f67_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F67 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf index e6448b4..fd0473a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f68 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f68_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F68 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf index 4935ad1..b7f6c56 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f69 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f69_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F69 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf index 06948f6..9358332 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f70 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f70_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F70 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf index b728e42..65ad13d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f71 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f71_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F71 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf index f715fa8..372e94e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f72 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f72_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F72 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf index f12b549..05c4dd9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f73 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f73_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F73 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf index ba3198c..042dcc4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f74 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f74_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F74 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf index f77d4dc..639e69f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f75 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f75_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F75 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf index 1b4c82e..21d6d5a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f76 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f76_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F76 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf index 61efcda..1504ba0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f77 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f77_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F77 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf index 31be811..3734817 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f78 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f78_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F78 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf index 1137557..61da011 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f79 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f79_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F79 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf index 1b00c60..35f200e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f80 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f80_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F80 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf index 92f08a2..24eca70 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f81 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f81_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F81 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf index 0d739e1..08c9a92 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f82 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f82_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F82 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf index d4eb2bb..274e694 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f83 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f83_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F83 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf index b855201..9d85e4e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f84 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f84_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F84 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf index 1f91e3d..7f5836c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f85 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f85_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F85 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf index b47aaed..c491a7c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f86 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f86_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F86 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf index e0500e1..83d485a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f87 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f87_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F87 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf index 6fee43b..fad0e35 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f88 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f88_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F88 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf index 538fdce..f277f1f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f89 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f89_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F89 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf index 746f928..b45a059 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f90 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f90_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F90 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf index 24b9e57..516fdc0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f91 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f91_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F91 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf index 92fce21..7bb7dfe 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f92 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f92_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F92 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf index 5520bc9..3ebed2f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f93 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f93_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F93 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf index de0b7ba..3012bae 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f94 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f94_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F94 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf index ce1262b..b514340 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f95 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f95_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F95 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf index 846a043..5a7ddf6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f96 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f96_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F96 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf index 5703b66..dcf857f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f97 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f97_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F97 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf index 43bd691..e41c8ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f98 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f98_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F98 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf index 5c83443..e86b8c5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f99 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f99_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_AWIPS_F99 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf index e7a7bf7..0d270cd 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f00 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f00_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F00 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf index 0de0d83..d9c34d0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f06 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f06_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F06 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf index f2ac7e5..b8059fc 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f102 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f102_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F102 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf index 7637047..f050c5d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f108 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f108_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F108 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf index 6215b68..965ac5a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f114 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f114_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F114 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf index e93d788..52b7974 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f12 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f12_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F12 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf index bde20f6..80ff668 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f120 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f120_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F120 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf index 69cb691..810990d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f18 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f18_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F18 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf index 2c136f7..a8bf6ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f24 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f24_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F24 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf index 174fbec..289fdc1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f30 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f30_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F30 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf index 38c22f1..6b3ae2c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f36 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f36_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F36 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf index adb49a3..dd59c6f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f42 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f42_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F42 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf index 90c146d..92b234f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f48 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f48_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F48 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf index 2887e6b..e87a546 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f54 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f54_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F54 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf index 703de29..6a0ae41 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f60 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f60_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F60 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf index cc498f7..d0f9264 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f66 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f66_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F66 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf index c341d80..444fcf1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f72 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f72_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F72 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf index bb6c883..adcaa84 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f78 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f78_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F78 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf index 733898d..649deb6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f84 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f84_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F84 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf index e5bea3a..72b873b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f90 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f90_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F90 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf index df35c2c..6aca06b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f96 #BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f96_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_WAFS_F96 %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_npoess_pgrb2_0p5deg.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_npoess_pgrb2_0p5deg.ecf new file mode 100644 index 0000000..bd12a05 --- /dev/null +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_npoess_pgrb2_0p5deg.ecf @@ -0,0 +1,46 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %PROJECT% +#BSUB -J gfs.prdgen.jgfs_npoess_pgrb2_0p5deg +#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_npoess_pgrb2_0p5deg_t%CYC%z.log + +#BSUB -W 0:02 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%MODEL_NAME% +%include + +############################################################ +# Load modules +############################################################ +. $MODULESHOME/init/sh +# Add any "module" commands here (switch, load, use, etc.) +module list + +############################################################# +# WCOSS environment settings +############################################################# + +# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + +########################################################### +export cyc=%CYC% + +# CALL executable job script here +echo ${HOMEgfs}/jobs/JGFS_NPOESS_PGRB2_0P5DEG + +%include +%manual +# FIXME: Insert manual for this job. + +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_anl.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_anl.ecf index 5ea7647..f5b7979 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_anl.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_anl.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f00.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f00.ecf index 4dd568b..9368c8a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f00.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f00.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f01.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f01.ecf index bb71ff1..f460df5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f01.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f01.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f02.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f02.ecf index a733d33..8c6da4e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f02.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f02.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f03.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f03.ecf index f7ec346..62426d1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f03.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f03.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f04.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f04.ecf index f6b154d..15878ee 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f04.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f04.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f05.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f05.ecf index 1eb124e..456cdc3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f05.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f05.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f06.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f06.ecf index 3bf03bb..6ce8e26 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f06.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f06.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f07.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f07.ecf index 844064d..6828bb1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f07.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f07.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f08.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f08.ecf index e98aef7..0967c6c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f08.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f08.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f09.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f09.ecf index 397555a..5431d94 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f09.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f09.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f10.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f10.ecf index c1497c9..7c7ba4f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f10.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f10.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f100.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f100.ecf index 22657e1..abe40ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f100.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f100.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f101.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f101.ecf index 6920c34..740082b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f101.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f101.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f102.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f102.ecf index 113cdd1..3e5ffae 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f102.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f102.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f103.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f103.ecf index e16ecbb..20c064c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f103.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f103.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f104.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f104.ecf index 985d92f..a4d35c0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f104.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f104.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f105.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f105.ecf index 0309914..39d6e3b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f105.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f105.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f106.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f106.ecf index ece1eb4..5761504 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f106.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f106.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f107.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f107.ecf index ee459c1..f90aa4e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f107.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f107.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f108.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f108.ecf index 60db5be..0794797 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f108.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f108.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f109.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f109.ecf index 1e422d7..cacb455 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f109.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f109.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f11.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f11.ecf index 02ca246..9fe9ded 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f11.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f11.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f110.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f110.ecf index 6d03a9a..3317c0c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f110.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f110.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f111.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f111.ecf index 4ecff8d..5e23f53 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f111.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f111.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f112.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f112.ecf index 7b17c4d..e21c45e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f112.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f112.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f113.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f113.ecf index 3451cd9..37a3be3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f113.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f113.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f114.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f114.ecf index dc4af08..65d00c9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f114.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f114.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f115.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f115.ecf index 73af0c0..62c0863 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f115.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f115.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f116.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f116.ecf index 690a8ba..446735b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f116.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f116.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f117.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f117.ecf index ca26c01..a67a945 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f117.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f117.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f118.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f118.ecf index eea5f87..b908e94 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f118.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f118.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f119.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f119.ecf index e55f892..d1bcf3d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f119.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f119.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f12.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f12.ecf index 9130c57..86e4276 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f12.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f12.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f120.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f120.ecf index 9ce30da..f223c5d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f120.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f120.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f123.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f123.ecf index 9b71f34..20f5790 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f123.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f123.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f126.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f126.ecf index 6603043..672a04a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f126.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f126.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f129.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f129.ecf index 629a2d7..0419864 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f129.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f129.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f13.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f13.ecf index 5543812..ae46205 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f13.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f13.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f132.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f132.ecf index b096da3..97eda2f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f132.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f132.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f135.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f135.ecf index 30e0880..095ec10 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f135.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f135.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f138.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f138.ecf index 9555d9e..71d0ef6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f138.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f138.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f14.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f14.ecf index 72b8add..b8f4e99 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f14.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f14.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f141.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f141.ecf index 1731252..73629ae 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f141.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f141.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f144.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f144.ecf index 9969354..0c1a810 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f144.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f144.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f147.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f147.ecf index 657915a..b3c9603 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f147.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f147.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f15.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f15.ecf index 214015e..5dfd990 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f15.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f15.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f150.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f150.ecf index c599570..5f8b675 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f150.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f150.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f153.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f153.ecf index 0ba529a..24caace 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f153.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f153.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f156.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f156.ecf index 5580fe2..834894a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f156.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f156.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f159.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f159.ecf index 043496b..ffaa65f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f159.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f159.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f16.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f16.ecf index cab490b..edfa129 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f16.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f16.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f162.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f162.ecf index 13d610e..37ac4d4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f162.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f162.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f165.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f165.ecf index b9da11b..3a22de7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f165.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f165.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f168.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f168.ecf index df472e1..ba159fc 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f168.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f168.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f17.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f17.ecf index 01c1346..74cd88f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f17.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f17.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f171.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f171.ecf index 96f0047..78b66ec 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f171.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f171.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f174.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f174.ecf index bcc3189..37dbb1b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f174.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f174.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f177.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f177.ecf index a113eb2..a7b75ff 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f177.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f177.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f18.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f18.ecf index 6411a5a..b646bf2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f18.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f18.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f180.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f180.ecf index 9129c7a..ec74ec8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f180.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f180.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f183.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f183.ecf index 8ff4dd8..78b63d6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f183.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f183.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f186.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f186.ecf index ced809f..e2223e9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f186.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f186.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f189.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f189.ecf index c20d3ad..5bb4e8d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f189.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f189.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f19.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f19.ecf index d8f387a..5bcdd25 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f19.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f19.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f192.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f192.ecf index b4cfabf..cfb77c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f192.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f192.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f195.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f195.ecf index c564d67..c53475e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f195.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f195.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f198.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f198.ecf index 7c028f7..4ccc5d2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f198.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f198.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f20.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f20.ecf index d53c2be..11ce990 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f20.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f20.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f201.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f201.ecf index 347b37e..4e5ed6d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f201.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f201.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f204.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f204.ecf index 360208c..d5283fb 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f204.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f204.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f207.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f207.ecf index a5ea60a..7953592 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f207.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f207.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f21.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f21.ecf index fea4ef4..038462d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f21.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f21.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f210.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f210.ecf index 936ff65..04c7aea 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f210.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f210.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f213.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f213.ecf index 6ebd196..9b5de90 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f213.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f213.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f216.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f216.ecf index 06e0c52..49113fc 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f216.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f216.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f219.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f219.ecf index 828bc4c..4ff5667 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f219.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f219.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f22.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f22.ecf index 421e8a9..720f1f4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f22.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f22.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f222.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f222.ecf index 8fd024a..240ef08 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f222.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f222.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f225.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f225.ecf index 4165b5f..2b7ba8b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f225.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f225.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f228.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f228.ecf index 8733118..b98bde6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f228.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f228.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f23.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f23.ecf index 09e2bfd..223eb66 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f23.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f23.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f231.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f231.ecf index c917dfe..32503c0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f231.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f231.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f234.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f234.ecf index a10d688..7033b35 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f234.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f234.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f237.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f237.ecf index 21e126c..365f413 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f237.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f237.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f24.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f24.ecf index 35d22fe..69108c8 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f24.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f24.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f240.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f240.ecf index b53eaa4..22b6389 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f240.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f240.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f25.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f25.ecf index d2e559a..a949c3b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f25.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f25.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f252.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f252.ecf index 35f517b..8bc60a3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f252.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f252.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f26.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f26.ecf index cb28cb7..26afcd7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f26.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f26.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f264.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f264.ecf index d1d73f1..fcd1044 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f264.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f264.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f27.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f27.ecf index ec7ae01..d47c8a5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f27.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f27.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f276.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f276.ecf index 8432565..037bc7f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f276.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f276.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f28.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f28.ecf index f5a6cc6..2f2ecc7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f28.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f28.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f288.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f288.ecf index b837780..0891da1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f288.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f288.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f29.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f29.ecf index 65f1ab8..dd740e1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f29.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f29.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f30.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f30.ecf index 5422b60..0d3c428 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f30.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f30.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f300.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f300.ecf index ba8b162..41b5e7a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f300.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f300.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f31.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f31.ecf index 82275e6..ed0fd53 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f31.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f31.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f312.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f312.ecf index d0cfc7f..2374233 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f312.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f312.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f32.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f32.ecf index 090e91f..bf85511 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f32.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f32.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f324.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f324.ecf index f5ed851..6881eee 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f324.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f324.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f33.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f33.ecf index ff2eee3..f88c4a7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f33.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f33.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f336.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f336.ecf index f852789..445141a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f336.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f336.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f34.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f34.ecf index c38de68..476a79c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f34.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f34.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f348.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f348.ecf index f182069..42544f6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f348.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f348.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f35.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f35.ecf index ac55e7f..2859763 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f35.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f35.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f36.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f36.ecf index 9270303..8ff8a01 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f36.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f36.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f360.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f360.ecf index ff5c6f9..3a4202f 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f360.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f360.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f37.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f37.ecf index 9dc6e64..3a3bc69 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f37.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f37.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f372.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f372.ecf index 9c1014a..3210520 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f372.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f372.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f38.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f38.ecf index ba8cb3f..d364033 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f38.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f38.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f384.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f384.ecf index 7f6d6a6..0f2dc6e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f384.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f384.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f39.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f39.ecf index b02051d..0292e80 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f39.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f39.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f40.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f40.ecf index a154c0b..dccdcf7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f40.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f40.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f41.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f41.ecf index 1dcd244..8494868 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f41.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f41.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f42.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f42.ecf index 73b2014..decd37c 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f42.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f42.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f43.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f43.ecf index 08dd949..1768e97 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f43.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f43.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f44.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f44.ecf index 52580cf..b7a81b4 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f44.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f44.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f45.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f45.ecf index 8644ae7..5529cfa 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f45.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f45.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f46.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f46.ecf index 7f320ff..06b291e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f46.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f46.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f47.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f47.ecf index 5a4ea16..45dbcdd 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f47.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f47.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f48.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f48.ecf index c806f0a..7ab1351 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f48.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f48.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f49.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f49.ecf index df9584e..d11537b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f49.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f49.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f50.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f50.ecf index 46dcf81..ea944ea 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f50.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f50.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f51.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f51.ecf index be69fcf..83cd657 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f51.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f51.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f52.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f52.ecf index be0a82d..bdb18a6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f52.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f52.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f53.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f53.ecf index cd5a589..af87de2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f53.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f53.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f54.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f54.ecf index 3cc8da6..7536157 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f54.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f54.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f55.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f55.ecf index 5480e05..d96a675 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f55.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f55.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f56.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f56.ecf index e61e6de..d59a033 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f56.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f56.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f57.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f57.ecf index 68834e5..61b1939 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f57.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f57.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f58.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f58.ecf index cb103f6..37c6b73 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f58.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f58.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f59.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f59.ecf index 621ceec..f9b5d4a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f59.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f59.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f60.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f60.ecf index 8c26227..2981e55 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f60.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f60.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f61.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f61.ecf index e462bba..8ccf0c9 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f61.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f61.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f62.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f62.ecf index ebb9d19..9ef1ba1 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f62.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f62.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f63.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f63.ecf index ceb1631..5e2fc5e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f63.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f63.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f64.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f64.ecf index da621df..2e71544 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f64.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f64.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f65.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f65.ecf index 98de665..362db5a 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f65.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f65.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f66.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f66.ecf index 7fc5680..de53cff 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f66.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f66.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f67.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f67.ecf index c0eb12f..cb9bdc6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f67.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f67.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f68.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f68.ecf index 1f61252..d81dbb2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f68.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f68.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f69.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f69.ecf index 3088334..00ba226 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f69.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f69.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f70.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f70.ecf index 9c2b0c9..388b89d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f70.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f70.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f71.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f71.ecf index 0b93e1d..089f634 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f71.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f71.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f72.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f72.ecf index 6808514..894ce03 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f72.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f72.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f73.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f73.ecf index e5618d5..9ec2c37 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f73.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f73.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f74.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f74.ecf index afa66b8..e385797 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f74.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f74.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f75.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f75.ecf index 6a16332..d06da34 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f75.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f75.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f76.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f76.ecf index c455ab6..33883d3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f76.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f76.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f77.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f77.ecf index e0cd2ac..96e92a5 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f77.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f77.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f78.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f78.ecf index 413f968..044d0a2 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f78.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f78.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f79.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f79.ecf index 0d8b25b..dec8925 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f79.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f79.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f80.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f80.ecf index b30321e..c8cfd28 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f80.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f80.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f81.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f81.ecf index df968cf..0775fd0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f81.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f81.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f82.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f82.ecf index 93c875f..4fff75b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f82.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f82.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f83.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f83.ecf index 0358e18..48cf3aa 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f83.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f83.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f84.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f84.ecf index 2c2331c..7e101b0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f84.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f84.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f85.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f85.ecf index a031e30..e0a7f4b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f85.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f85.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f86.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f86.ecf index e267494..07cffb3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f86.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f86.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f87.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f87.ecf index 2ff1289..0319ffb 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f87.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f87.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f88.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f88.ecf index bd4dc74..ddce352 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f88.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f88.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f89.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f89.ecf index 779707a..22e03c0 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f89.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f89.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f90.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f90.ecf index 540ebb0..eaae836 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f90.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f90.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f91.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f91.ecf index 8e9157d..632928d 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f91.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f91.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f92.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f92.ecf index 584d5b0..4d954c6 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f92.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f92.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f93.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f93.ecf index 64bd6fa..6f715b7 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f93.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f93.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f94.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f94.ecf index 657475d..676dfd3 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f94.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f94.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f95.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f95.ecf index 199e447..b07272b 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f95.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f95.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f96.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f96.ecf index b814bf6..d97bd43 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f96.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f96.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f97.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f97.ecf index 1c9d436..0895aef 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f97.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f97.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f98.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f98.ecf index 193a1e0..a85e297 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f98.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f98.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f99.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f99.ecf index d12fbcc..4d15516 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f99.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f99.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGLOBAL_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_manager.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_manager.ecf index 96411cd..7243028 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_manager.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_manager.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.prdgen.jgfs_pgrb2_manager #BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_manager_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_PGRB2_MANAGER %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf index 84962fb..2d6cb56 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.prep.jgfs_emcsfc_sfc_prep #BSUB -o %ECF_OUT%/gfs.prep.jgfs_emcsfc_sfc_prep_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_EMCSFC_SFC_PREP %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf index 2fa9acd..ed66f3e 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf @@ -43,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_PREP %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf index 3e34d93..afd7b09 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.prep.jgfs_prep_post #BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_post_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_PREP_POST %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_even.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_even.ecf index 061851c..d3bea30 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_even.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_even.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.sminit_guam.jgfs_sminit_guam_even #BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_even_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_SMINIT_GUAM_EVEN %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf index 2b73593..5171433 100644 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf +++ b/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf @@ -4,6 +4,7 @@ #BSUB -J gfs.sminit_guam.jgfs_sminit_guam_odd #BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_odd_t%CYC%z.log +#BSUB -W 0:05 #BSUB -R rusage[mem=300] #BSUB -extsched CRAYLINUX[] export NODES=1 @@ -42,3 +43,4 @@ echo ${HOMEgfs}/jobs/JGFS_SMINIT_GUAM_ODD %manual # FIXME: Insert manual for this job. +%end diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index b94e151..52105b8 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -60,6 +60,7 @@ suite: !Cycle edit CYC '{tools.strftime(suite.Clock.now,"%H")}' edit ENVIR 'prod' edit PROJ '{doc.settings.PROJECT}' + edit PROJECT '{doc.settings.PROJECT}' edit E 'jecffv3' #edit QUEUE 'dev' edit QUEUE '{doc.settings.QUEUE}' @@ -116,9 +117,10 @@ suite: !Cycle jgfs_analysis: !Task <<: *exclusive_task_template - #Trigger: !Depend ( prep.jgfs_prep & prep.jgfs_emcsfc_sfc_prep & up.gdas.enkf.jgdas_enkf_post.at('-6:00:00') ) - Trigger: !Depend ( prep.jgfs_prep & prep.jgfs_emcsfc_sfc_prep ) - resources: !calc ( doc.resource_demo.run_anal ) + Trigger: !Depend ( prep.jgfs_prep & prep.jgfs_emcsfc_sfc_prep & up.gdas.enkf.jgdas_enkf_post.at('-6:00:00') ) + #Trigger: !Depend ( prep.jgfs_prep & prep.jgfs_emcsfc_sfc_prep ) + #resources: !calc ( doc.resource_demo.run_anal ) + resources: !calc ( doc.resource_demo.run_nothing ) jgfs_vminmon: !Task <<: *exclusive_task_template @@ -129,13 +131,15 @@ suite: !Cycle jgfs_forecast_high: !Task <<: *exclusive_task_template Trigger: !Depend up.jgfs_analysis - resources: !calc ( doc.resource_demo.run_gfsfcst ) + #resources: !calc ( doc.resource_demo.run_gfsfcst ) + resources: !calc ( doc.resource_demo.run_nothing ) J_JOB: JGLOBAL_FORECAST jgfs_forecast_low: !Task <<: *exclusive_task_template Trigger: !Depend jgfs_forecast_high - resources: !calc ( doc.resource_demo.run_gfsfcst ) + #resources: !calc ( doc.resource_demo.run_gfsfcst ) + resources: !calc ( doc.resource_demo.run_nothing ) J_JOB: JGLOBAL_FORECAST sminit_guam: !Family @@ -150,12 +154,6 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_nothing ) post_processing: !Family - #This is a dummy task as a placeholder - dummy: !Task - <<: *exclusive_task_template - Trigger: !Depend up.forecast - resources: !calc ( doc.resource_demo.run_nothing ) - fax: !Family jgfs_fax_f00: !Task ecflow_def: | @@ -254,7 +252,7 @@ suite: !Cycle awips_1p0deg: !TaskArray Dimensions: fhr: !calc doc.settings.forecast_hours - ecflow_def: | + ecflow_def: !expand | edit RES '1p0deg' edit RESC '1P0DEG' #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' @@ -272,7 +270,7 @@ suite: !Cycle awips_20km: !TaskArray Dimensions: fhr: !calc doc.settings.forecast_hours - ecflow_def: | + ecflow_def: !expand | edit RES '20km' edit RESC '20KM' #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' @@ -287,6 +285,8 @@ suite: !Cycle ecflow_def: !expand | edit FCSTHR '{idx.fhr:03d}' + #endfamily post_processing + post: !TaskArray Dimensions: fhr: !calc doc.settings.forecast_hours @@ -315,8 +315,8 @@ suite: !Cycle <<: *exclusive_task_template Name: !expand jgfs_post_f{idx.fhr:02d} ecflow_def: !expand | - edit FHR: 'f{idx.fhr:02d}' - edit HR: '{idx.fhr:02d}' + edit FHR 'f{idx.fhr:02d}' + edit HR '{idx.fhr:02d}' Trigger: !Depend jgfs_post_manager.depend('release_post{N:02d}',N=idx.fhr) resources: !calc ( doc.resource_demo.run_nothing ) @@ -324,6 +324,7 @@ suite: !Cycle <<: *exclusive_task_template Trigger: !Depend ( jgfs_post_f336 & jgfs_post_f348 & jgfs_post_f360 & jgfs_post_f372 & jgfs_post_f384 ) resources: !calc ( doc.resource_demo.run_nothing ) + #endfamily post prdgen: !TaskArray Dimensions: @@ -341,26 +342,32 @@ suite: !Cycle Foreach: [ fhr ] file: "/dev/null" - pgrb_f: !TaskElement + jgfs_npoess_pgrb2_0p5deg: !Task <<: *exclusive_task_template - Foreach: [ fhr ] - Name: !expand "jgfs_pgrb2_f{idx.fhr:02d}" + Trigger: !Depend ( up.post ) resources: !calc ( doc.resource_demo.run_gfspost ) - J_JOB: JGLOBAL_POST - ecflow_def: !expand | - edit FHR '{idx.fhr:02d}' - edit HR '{idx.fhr:02d}' jgfs_pgrb2_anl: !Task <<: *exclusive_task_template + Trigger: !Depend ( up.post.jgfs_post_anl.release_pgrb2_anl ) resources: !calc ( doc.resource_demo.run_gfspost ) J_JOB: JGLOBAL_POST ecflow_def: !expand | edit FHR 'anl' edit HR 'anl' - #Need to add other tasks in this prdgen family - + pgrb2_f: !TaskElement + <<: *exclusive_task_template + Foreach: [ fhr ] + Name: !expand "jgfs_pgrb2_f{idx.fhr:02d}" + Trigger: !Depend >- + jgfs_pgrb2_manager.depend('release_pgrb2_{N:02d}',N=idx.fhr) + & up.post.depend('jgfs_post_f{N:02d}',N=idx.fhr) + resources: !calc ( doc.resource_demo.run_gfspost ) + J_JOB: JGLOBAL_POST + ecflow_def: !expand | + edit FHR '{idx.fhr:02d}' + edit HR '{idx.fhr:02d}' #endfamily prdgen gempak: !Family @@ -371,6 +378,7 @@ suite: !Cycle jgfs_gempak_ncdc: !Task <<: *exclusive_task_template + #Trigger: !Depend jgfs_gempak.is_running() Trigger: !Depend jgfs_gempak.is_running() | jgfs_gempak.is_completed() resources: !calc ( doc.resource_demo.run_nothing ) @@ -384,16 +392,16 @@ suite: !Cycle Trigger: !Depend up.jgfs_analysis resources: !calc ( doc.resource_demo.run_nothing ) -# jgfs_pgrb2_spec_gempak: !Task -# <<: *exclusive_task_template -# Trigger: !Depend up.post.jgfs_pgrb2_spec_post -# resources: !calc ( doc.resource_demo.run_nothing ) + jgfs_pgrb2_spec_gempak: !Task + <<: *exclusive_task_template + Trigger: !Depend up.post.jgfs_pgrb2_spec_post + resources: !calc ( doc.resource_demo.run_nothing ) #endfamily gempak - #endfamily gfs gdas: !Family - ecflow_def: | + ecflow_def: !expand | + #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' edit PROJ '%PROJENVIR%' @@ -437,6 +445,7 @@ suite: !Cycle release_sfcprep: !DataEvent {file="/dev/null"} #Time: !timedelta +6:20:00 resources: !calc ( doc.resource_demo.run_nothing ) + #endfamily dump prep: !Family jgdas_emcsfc_sfc_prep: !Task @@ -445,9 +454,9 @@ suite: !Cycle resources: !calc ( doc.resource_demo.run_nothing ) jgdas_prep: !Task - #Trigger: !Depend (up.dump.jgdas_dump & up.dump.jgdas_tropcy_qc_reloc & up.gdas.post.at('-6:00:00') ) <<: *exclusive_task_template - Trigger: !Depend ( up.dump.jgdas_dump & up.dump.jgdas_tropcy_qc_reloc ) + Trigger: !Depend (up.dump.jgdas_dump & up.dump.jgdas_tropcy_qc_reloc & up.up.gdas.post.at('-6:00:00') ) + #Trigger: !Depend ( up.dump.jgdas_dump & up.dump.jgdas_tropcy_qc_reloc ) resources: !calc ( doc.resource_demo.run_prep ) jgdas_prep_post: !Task @@ -458,10 +467,11 @@ suite: !Cycle analysis: !Family jgdas_analysis_high: !Task <<: *exclusive_task_template - #Trigger: !Depend ( up.prep.jgdas_prep & up.prep.jgdas_emcsfc_sfc_prep & up.enkf.jgdas_enkf_post.at('-6:00:00') ) - Trigger: !Depend ( up.prep.jgdas_prep & up.prep.jgdas_emcsfc_sfc_prep ) + Trigger: !Depend ( up.prep.jgdas_prep & up.prep.jgdas_emcsfc_sfc_prep & up.enkf.jgdas_enkf_post.at('-6:00:00') ) + #Trigger: !Depend ( up.prep.jgdas_prep & up.prep.jgdas_emcsfc_sfc_prep ) release_fcst: !DataEvent {file="/dev/null"} - resources: !calc ( doc.resource_demo.run_anal ) + #resources: !calc ( doc.resource_demo.run_anal ) + resources: !calc ( doc.resource_demo.run_nothing ) forecast: !Family #jgdas_forecast_high: !Task @@ -475,7 +485,8 @@ suite: !Cycle <<: *exclusive_task_template Trigger: !Depend ( up.analysis.jgdas_analysis_high.release_fcst & up.enkf.innovate ) release_fcst: !DataEvent {file="/dev/null"} - resources: !calc ( doc.resource_demo.run_gdasfcst ) + #resources: !calc ( doc.resource_demo.run_gdasfcst ) + resources: !calc ( doc.resource_demo.run_nothing ) J_JOB: JGLOBAL_FORECAST post_processing: !Family @@ -525,6 +536,7 @@ suite: !Cycle jgdas_enkf_select_obs: !Task <<: *exclusive_task_template Trigger: !Depend ( up.prep.jgdas_prep & jgdas_enkf_post.at('-6:00:00') ) + #Trigger: !Depend ( up.prep.jgdas_prep ) resources: !calc ( doc.resource_demo.run_eobs ) innovate: !TaskArray @@ -565,19 +577,19 @@ suite: !Cycle <<: *exclusive_task_template Trigger: !Depend forecast resources: !calc ( doc.resource_demo.run_epos ) - #endfamily enkf - #endfamily gdas cycle_end: !Task - ecflow_def: !expand | - edit ECF_JOB_CMD '%ECF_JOB% 1> %ECF_JOBOUT% 2>&1' - edit ECF_PASS 'FREE' - <<: *exclusive_task_template - #time 23:00 - #Time: !timedelta +23:00:00 - resources: !calc ( doc.resource_demo.run_nothing ) + ecflow_def: !expand | + #edit ECF_JOB_CMD '%ECF_JOB% 1> %ECF_JOBOUT% 2>&1' + #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' + edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' + edit ECF_PASS 'FREE' + <<: *exclusive_task_template + #time 23:00 + #Time: !timedelta +23:00:00 + resources: !calc ( doc.resource_demo.run_nothing ) #hpss archive tasks are run in the hpss_archive suite #archive: !Family From 83fcb397da9f1eae7d7f34627a26614b8071103a Mon Sep 17 00:00:00 2001 From: "Kate.Howard" Date: Thu, 15 Feb 2018 16:55:11 +0000 Subject: [PATCH 341/487] Adding sample configs and modifications to settings.yaml --- model/rocoto_style_fv3gfs/config.anal | 34 +++ model/rocoto_style_fv3gfs/config.arch | 25 ++ model/rocoto_style_fv3gfs/config.base | 252 +++++++++++++++++ model/rocoto_style_fv3gfs/config.base.default | 253 ++++++++++++++++++ model/rocoto_style_fv3gfs/config.base.nco | 174 ++++++++++++ model/rocoto_style_fv3gfs/config.earc | 22 ++ model/rocoto_style_fv3gfs/config.ecen | 24 ++ model/rocoto_style_fv3gfs/config.efcs | 61 +++++ model/rocoto_style_fv3gfs/config.eobs | 30 +++ model/rocoto_style_fv3gfs/config.epos | 21 ++ model/rocoto_style_fv3gfs/config.eupd | 22 ++ model/rocoto_style_fv3gfs/config.fcst | 140 ++++++++++ model/rocoto_style_fv3gfs/config.fv3 | 112 ++++++++ model/rocoto_style_fv3gfs/config.fv3ic | 20 ++ model/rocoto_style_fv3gfs/config.getic | 27 ++ model/rocoto_style_fv3gfs/config.nsst | 40 +++ model/rocoto_style_fv3gfs/config.post | 44 +++ model/rocoto_style_fv3gfs/config.prep | 21 ++ model/rocoto_style_fv3gfs/config.prepbufr | 94 +++++++ model/rocoto_style_fv3gfs/config.resources | 127 +++++++++ model/rocoto_style_fv3gfs/config.vrfy | 176 ++++++++++++ model/rocoto_style_fv3gfs/settings.yaml | 12 +- 22 files changed, 1725 insertions(+), 6 deletions(-) create mode 100755 model/rocoto_style_fv3gfs/config.anal create mode 100755 model/rocoto_style_fv3gfs/config.arch create mode 100644 model/rocoto_style_fv3gfs/config.base create mode 100755 model/rocoto_style_fv3gfs/config.base.default create mode 100755 model/rocoto_style_fv3gfs/config.base.nco create mode 100755 model/rocoto_style_fv3gfs/config.earc create mode 100755 model/rocoto_style_fv3gfs/config.ecen create mode 100755 model/rocoto_style_fv3gfs/config.efcs create mode 100755 model/rocoto_style_fv3gfs/config.eobs create mode 100755 model/rocoto_style_fv3gfs/config.epos create mode 100755 model/rocoto_style_fv3gfs/config.eupd create mode 100755 model/rocoto_style_fv3gfs/config.fcst create mode 100755 model/rocoto_style_fv3gfs/config.fv3 create mode 100755 model/rocoto_style_fv3gfs/config.fv3ic create mode 100755 model/rocoto_style_fv3gfs/config.getic create mode 100755 model/rocoto_style_fv3gfs/config.nsst create mode 100755 model/rocoto_style_fv3gfs/config.post create mode 100755 model/rocoto_style_fv3gfs/config.prep create mode 100755 model/rocoto_style_fv3gfs/config.prepbufr create mode 100755 model/rocoto_style_fv3gfs/config.resources create mode 100755 model/rocoto_style_fv3gfs/config.vrfy diff --git a/model/rocoto_style_fv3gfs/config.anal b/model/rocoto_style_fv3gfs/config.anal new file mode 100755 index 0000000..49ae4dd --- /dev/null +++ b/model/rocoto_style_fv3gfs/config.anal @@ -0,0 +1,34 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.anal ########## +# Analysis specific + +echo "BEGIN: config.anal" + +# Get task specific resources +. $EXPDIR/config.resources anal + +if [ $DONST = "YES" ]; then + . $EXPDIR/config.nsst +fi + +if [[ "$CDUMP" = "gfs" ]] ; then + #export USE_RADSTAT="NO" # This can be only used when bias correction is not-zero. + export GENDIAG="NO" +fi + +export ANALYSISSH="$HOMEgsi/scripts/exglobal_analysis_fv3gfs.sh.ecf" + +export npe_gsi=$npe_anal +export nth_gsi=4 + +export nth_cycle=12 + +echo "END: config.anal" diff --git a/model/rocoto_style_fv3gfs/config.arch b/model/rocoto_style_fv3gfs/config.arch new file mode 100755 index 0000000..706f887 --- /dev/null +++ b/model/rocoto_style_fv3gfs/config.arch @@ -0,0 +1,25 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.arch ########## +# Archive specific + +echo "BEGIN: config.arch" + +# Get task specific resources +. $EXPDIR/config.resources arch + +#--online archive of nemsio files for fit2obs verification +export FITSARC="NO" + +#--starting and ending hours of previous cycles to be removed from rotating directory +export RMOLDSTD=144 +export RMOLDEND=24 + +echo "END: config.arch" diff --git a/model/rocoto_style_fv3gfs/config.base b/model/rocoto_style_fv3gfs/config.base new file mode 100644 index 0000000..9cc679a --- /dev/null +++ b/model/rocoto_style_fv3gfs/config.base @@ -0,0 +1,252 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.base ########## +# Common to all steps + +echo "BEGIN: config.base" + +# Machine environment +export machine="WCOSS_C" + +# EMC parallel or NCO production +export RUN_ENVIR="emc" +export NET=gfs +export RUN=$CDUMP + +# Account, queue, etc. +if [ $machine = "THEIA" ]; then + + export ACCOUNT="fv3-cpu" + export QUEUE="batch" + export QUEUE_ARCH="service" + +elif [ $machine = "WCOSS_C" ]; then + + export ACCOUNT="FV3GFS-T2O" + export QUEUE="dev" + export QUEUE_ARCH="dev_transfer" + +fi + +# Project to use in mass store: +HPSS_PROJECT=emc-global + +# Directories relative to installation areas: +export HOMEgfs=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.v15.0.0 +export BASE_MODULES="$HOMEgfs/modulefiles" +export PARMgfs=$HOMEgfs/parm +export FIXgfs=$HOMEgfs/fix +export USHgfs=$HOMEgfs/ush +export EXECgfs=$HOMEgfs/exec + +######################################################################## + +# --- Load modules --- + +# Theia workaround. Reduce stack soft limit while running "module" to +# avoid runaway memory allocation: +ulimit_s=$( ulimit -S -s ) +ulimit -S -s 10000 + +# Find module command and purge: +source "$BASE_MODULES/module-setup.sh.inc" 2> /dev/null + +# Load our module: +module use "$BASE_MODULES" 2> /dev/null +module load module_base.$( echo $machine | tr A-Z a-z ) 2> /dev/null + +# Restore stack soft limit: +ulimit -S -s "$ulimit_s" +unset ulimit_s + +for exetest in hsi htar ; do + if ( ! which $exetest ) ; then + echo "$exetest: executable missing after \"module load\"" 1>&2 + exit 2 + fi +done + +######################################################################## + +# GLOBAL static environment parameters +if [ $machine = "THEIA" ]; then + + export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" + export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" + export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" + +elif [ $machine = "WCOSS_C" ]; then + + export NWPROD="/gpfs/hps/nco/ops/nwprod" + if [ -d /gpfs/tp1 ]; then + export SITE="LUNA" + export DMPDIR="/gpfs/tp1/emc/globaldump" + elif [ -d /gpfs/gp1 ]; then + export SITE="SURGE" + export DMPDIR="/gpfs/gp1/emc/globaldump" + fi + export RTMFIX=$CRTM_FIX + +fi + + +# Machine specific paths used everywhere +if [ $machine = "THEIA" ]; then + + # USER specific paths + export HOMEDIR="/scratch4/NCEPDEV/global/save/$USER" + export STMP="/scratch4/NCEPDEV/stmp3/$USER" + export PTMP="/scratch4/NCEPDEV/stmp4/$USER" + export NOSCRUB="/scratch4/NCEPDEV/global/noscrub/$USER" + + # Base directories for various builds + export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" + +elif [ $machine = "WCOSS_C" ]; then + + # USER specific paths + export HOMEDIR="/gpfs/hps3/emc/global/noscrub/$USER" + export STMP="/gpfs/hps2/stmp/$USER" + export PTMP="/gpfs/hps2/ptmp/$USER" + export NOSCRUB="/gpfs/hps3/emc/global/noscrub/$USER" + + # Base directories for various builds + export BASE_SVN="/gpfs/hps3/emc/global/noscrub/emc.glopara/svn" + +fi + +# Utilities needed in the scripts (mostly post) +if [ $machine = "THEIA" ]; then + + export NDATE="$NWPROD/util/exec/ndate" + export NHOUR="$NWPROD/util/exec/nhour" + export WGRIB="$NWPROD/util/exec/wgrib" + export WGRIB2="/scratch3/NCEPDEV/nwprod/utils/wgrib2.v2.0.6c/wgrib2/wgrib2" + export COPYGB="$NWPROD/util/exec/copygb" + export COPYGB2="$NWPROD/util/exec/copygb2" + export GRBINDEX="$NWPROD/util/exec/grbindex" + export GRB2INDEX="$NWPROD/util/exec/grb2index" + export GRBINDEX2="$NWPROD/util/exec/grb2index" + export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" + export CNVGRIB21_GFS=/apps/cnvgrib/1.4.0/bin/cnvgrib + export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" + +fi + +# ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ + +#################################################### +# DO NOT ADD MACHINE DEPENDENT STUFF BELOW THIS LINE +# IF YOU HAVE TO MAKE MACHINE SPECIFIC CHANGES BELOW +# FEEL FREE TO MOVE THEM ABOVE THIS LINE TO KEEP IT +# CLEAR +#################################################### +# Build paths relative to $HOMEgfs +export HOMEgsi="$HOMEgfs/sorc/gsi.fd" +export HOMEfv3gfs="$HOMEgfs/sorc/fv3gfs.fd" +export HOMEpost="$HOMEgfs" +export BASE_PREP="$BASE_SVN/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="$BASE_SVN/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_VERIF="$BASE_SVN/verif/global/tags/vsdb" + +#--will be removed after gsi reppo is updated +export BASE_GSM=$HOMEgfs +export BASE_GSI=$HOMEgsi + + +# CONVENIENT utility scripts and other environment parameters +export NCP="/bin/cp -p" +export NMV="/bin/mv" +export NLN="/bin/ln -sf" +export VERBOSE="YES" +export KEEPDATA="NO" +export NCO_NAMING_CONV="YES" +export CHGRP_CMD="chgrp rstprod" +export NEMSIOGET="$HOMEgfs/exec/nemsio_get" + +# Machine environment, jobs, and other utility scripts +export BASE_ENV="$HOMEgfs/env" +export BASE_JOB="$HOMEgfs/jobs/rocoto" + +# EXPERIMENT specific environment parameters +export SDATE=2018010500 +export EDATE=2018010506 +export assim_freq=6 +export PSLOT="crowmaster192" +export EXPDIR="/gpfs/hps3/emc/global/noscrub/$USER/$PSLOT" +export ROTDIR="/gpfs/hps2/ptmp/$USER/ROTDIRS_CROW/$PSLOT" +export RUNDIR="$STMP/RUNDIRS/$PSLOT" +export ARCDIR="$NOSCRUB/archive/$PSLOT" +export ATARDIR="/NCEPDEV/$HPSS_PROJECT/1year/$USER/$machine/scratch/$PSLOT" +export jlogfile="${RUNDIR}/jlogfile" + +# Resolution specific parameters +export LEVS=65 +export CASE="C192" +export CASE_ENKF="C192" + +# Surface cycle update frequency +export FHCYC=24 + +# Output frequency of the forecast model (for cycling) +export FHMIN=0 +export FHMAX=9 +export FHOUT=3 + +# GFS cycle info +export gfs_cyc=4 # 0: no GFS cycle, 1: 00Z only, 2: 00Z and 12Z only, 4: all 4 cycles. + +# GFS output and frequency +export FHMIN_GFS=0 +export FHMAX_GFS=240 +export FHOUT_GFS=6 +export FHMAX_HF_GFS=0 +export FHOUT_HF_GFS=1 + +# I/O QUILTING, true--use Write Component; false--use GFDL FMS +# if quilting=true, choose OUTPUT_GRID as cubed_sphere_grid in netcdf or gaussian_grid +# if gaussian_grid, set OUTPUT_FILE for nemsio or netcdf +export QUILTING=".true." +export OUTPUT_GRID="gaussian_grid" +export OUTPUT_FILE="nemsio" + +# Microphysics Options: 99-ZhaoCarr, 8-Thompson; 6-WSM6, 10-MG, 11-GFDL +export imp_physics=99 + +# Shared parameters +# Hybrid related +export DOHYBVAR="YES" +export NMEM_ENKF=20 +export SMOOTH_ENKF="YES" +export l4densvar=".false." +export lwrite4danl=".false." + +# EnKF output frequency +if [ $DOHYBVAR = "YES" ]; then + export FHMIN_ENKF=3 + export FHMAX_ENKF=9 + if [ $l4densvar = ".true." ]; then + export FHOUT=1 + export FHOUT_ENKF=1 + else + export FHOUT_ENKF=3 + fi +fi + +# Relocation related +export DO_RELOCATE="NO" + +# If YES, NSST is turned on in anal and/or fcst steps +export DONST="NO" + +# The switch to apply SST elevation correction or not +export nst_anl=.true. + +echo "END: config.base" diff --git a/model/rocoto_style_fv3gfs/config.base.default b/model/rocoto_style_fv3gfs/config.base.default new file mode 100755 index 0000000..d14f0a3 --- /dev/null +++ b/model/rocoto_style_fv3gfs/config.base.default @@ -0,0 +1,253 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.base ########## +# Common to all steps + +echo "BEGIN: config.base" + +# Machine environment +export machine="@MACHINE@" + +# EMC parallel or NCO production +export RUN_ENVIR="emc" +export NET=gfs +export RUN=$CDUMP + +# Account, queue, etc. +if [ $machine = "THEIA" ]; then + + export ACCOUNT="fv3-cpu" + export QUEUE="batch" + export QUEUE_ARCH="service" + +elif [ $machine = "WCOSS_C" ]; then + + export ACCOUNT="FV3GFS-T2O" + export QUEUE="dev" + export QUEUE_ARCH="dev_transfer" + +fi + +# Project to use in mass store: +HPSS_PROJECT=emc-global + +# Directories relative to installation areas: +export HOMEgfs=@HOMEgfs@ +export BASE_MODULES="$HOMEgfs/modulefiles" +export PARMgfs=$HOMEgfs/parm +export FIXgfs=$HOMEgfs/fix +export USHgfs=$HOMEgfs/ush +export EXECgfs=$HOMEgfs/exec + +######################################################################## + +# --- Load modules --- + +# Theia workaround. Reduce stack soft limit while running "module" to +# avoid runaway memory allocation: +ulimit_s=$( ulimit -S -s ) +ulimit -S -s 10000 + +# Find module command and purge: +source "$BASE_MODULES/module-setup.sh.inc" 2> /dev/null + +# Load our module: +module use "$BASE_MODULES" 2> /dev/null +module load module_base.$( echo $machine | tr A-Z a-z ) 2> /dev/null + +# Restore stack soft limit: +ulimit -S -s "$ulimit_s" +unset ulimit_s + +for exetest in hsi htar ; do + if ( ! which $exetest ) ; then + echo "$exetest: executable missing after \"module load\"" 1>&2 + exit 2 + fi +done + +######################################################################## + +# GLOBAL static environment parameters +if [ $machine = "THEIA" ]; then + + export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" + export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" + export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" + +elif [ $machine = "WCOSS_C" ]; then + + export NWPROD="/gpfs/hps/nco/ops/nwprod" + if [ -d /gpfs/tp1 ]; then + export SITE="LUNA" + export DMPDIR="/gpfs/tp1/emc/globaldump" + elif [ -d /gpfs/gp1 ]; then + export SITE="SURGE" + export DMPDIR="/gpfs/gp1/emc/globaldump" + fi + export RTMFIX=$CRTM_FIX + +fi + + +# Machine specific paths used everywhere +if [ $machine = "THEIA" ]; then + + # USER specific paths + export HOMEDIR="/scratch4/NCEPDEV/global/save/$USER" + export STMP="/scratch4/NCEPDEV/stmp3/$USER" + export PTMP="/scratch4/NCEPDEV/stmp4/$USER" + export NOSCRUB="/scratch4/NCEPDEV/global/noscrub/$USER" + + # Base directories for various builds + export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" + +elif [ $machine = "WCOSS_C" ]; then + + # USER specific paths + export HOMEDIR="/gpfs/hps3/emc/global/noscrub/$USER" + export STMP="/gpfs/hps2/stmp/$USER" + export PTMP="/gpfs/hps2/ptmp/$USER" + export NOSCRUB="/gpfs/hps3/emc/global/noscrub/$USER" + + # Base directories for various builds + export BASE_SVN="/gpfs/hps3/emc/global/noscrub/emc.glopara/svn" + +fi + +# Utilities needed in the scripts (mostly post) +if [ $machine = "THEIA" ]; then + + export NDATE="$NWPROD/util/exec/ndate" + export NHOUR="$NWPROD/util/exec/nhour" + export WGRIB="$NWPROD/util/exec/wgrib" + export WGRIB2="/scratch3/NCEPDEV/nwprod/utils/wgrib2.v2.0.6c/wgrib2/wgrib2" + export COPYGB="$NWPROD/util/exec/copygb" + export COPYGB2="$NWPROD/util/exec/copygb2" + export GRBINDEX="$NWPROD/util/exec/grbindex" + export GRB2INDEX="$NWPROD/util/exec/grb2index" + export GRBINDEX2="$NWPROD/util/exec/grb2index" + export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" + export CNVGRIB21_GFS=/apps/cnvgrib/1.4.0/bin/cnvgrib + export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" + +fi + +# ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ + +#################################################### +# DO NOT ADD MACHINE DEPENDENT STUFF BELOW THIS LINE +# IF YOU HAVE TO MAKE MACHINE SPECIFIC CHANGES BELOW +# FEEL FREE TO MOVE THEM ABOVE THIS LINE TO KEEP IT +# CLEAR +#################################################### +# Build paths relative to $HOMEgfs +export HOMEgsi="$HOMEgfs/sorc/gsi.fd" +export HOMEfv3gfs="$HOMEgfs/sorc/fv3gfs.fd" +export HOMEpost="$HOMEgfs" +export BASE_PREP="$BASE_SVN/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="$BASE_SVN/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_VERIF="$BASE_SVN/verif/global/tags/vsdb" + +#--will be removed after gsi reppo is updated +export BASE_GSM=$HOMEgfs +export BASE_GSI=$HOMEgsi + + +# CONVENIENT utility scripts and other environment parameters +export NCP="/bin/cp -p" +export NMV="/bin/mv" +export NLN="/bin/ln -sf" +export VERBOSE="YES" +export KEEPDATA="NO" +export NCO_NAMING_CONV="YES" +export CHGRP_CMD="chgrp rstprod" +export NEMSIOGET="$HOMEgfs/exec/nemsio_get" + +# Machine environment, jobs, and other utility scripts +export BASE_ENV="$HOMEgfs/env" +export BASE_JOB="$HOMEgfs/jobs/rocoto" + +# EXPERIMENT specific environment parameters +export SDATE=@SDATE@ +export EDATE=@EDATE@ +export assim_freq=6 +export PSLOT="@PSLOT@" +export EXPDIR="@EXPDIR@/$PSLOT" +export ROTDIR="@ROTDIR@/$PSLOT" +export RUNDIR="$STMP/RUNDIRS/$PSLOT" +export ARCDIR="$NOSCRUB/archive/$PSLOT" +export ICSDIR="@ICSDIR@" +export ATARDIR="/NCEPDEV/$HPSS_PROJECT/1year/$USER/$machine/scratch/$PSLOT" +export jlogfile="${RUNDIR}/jlogfile" + +# Resolution specific parameters +export LEVS=65 +export CASE="@CASECTL@" +export CASE_ENKF="@CASEENS@" + +# Surface cycle update frequency +export FHCYC=24 + +# Output frequency of the forecast model (for cycling) +export FHMIN=0 +export FHMAX=9 +export FHOUT=3 + +# GFS cycle info +export gfs_cyc=@gfs_cyc@ # 0: no GFS cycle, 1: 00Z only, 2: 00Z and 12Z only, 4: all 4 cycles. + +# GFS output and frequency +export FHMIN_GFS=0 +export FHMAX_GFS=24 +export FHOUT_GFS=6 +export FHMAX_HF_GFS=0 +export FHOUT_HF_GFS=1 + +# I/O QUILTING, true--use Write Component; false--use GFDL FMS +# if quilting=true, choose OUTPUT_GRID as cubed_sphere_grid in netcdf or gaussian_grid +# if gaussian_grid, set OUTPUT_FILE for nemsio or netcdf +export QUILTING=".true." +export OUTPUT_GRID="gaussian_grid" +export OUTPUT_FILE="nemsio" + +# Microphysics Options: 99-ZhaoCarr, 8-Thompson; 6-WSM6, 10-MG, 11-GFDL +export imp_physics=99 + +# Shared parameters +# Hybrid related +export DOHYBVAR="YES" +export NMEM_ENKF=@NMEM_ENKF@ +export SMOOTH_ENKF="YES" +export l4densvar=".false." +export lwrite4danl=".false." + +# EnKF output frequency +if [ $DOHYBVAR = "YES" ]; then + export FHMIN_ENKF=3 + export FHMAX_ENKF=9 + if [ $l4densvar = ".true." ]; then + export FHOUT=1 + export FHOUT_ENKF=1 + else + export FHOUT_ENKF=3 + fi +fi + +# Relocation related +export DO_RELOCATE="NO" + +# If YES, NSST is turned on in anal and/or fcst steps +export DONST="NO" + +# The switch to apply SST elevation correction or not +export nst_anl=.true. + +echo "END: config.base" diff --git a/model/rocoto_style_fv3gfs/config.base.nco b/model/rocoto_style_fv3gfs/config.base.nco new file mode 100755 index 0000000..6b95a75 --- /dev/null +++ b/model/rocoto_style_fv3gfs/config.base.nco @@ -0,0 +1,174 @@ +#!/bin/ksh +set -x + +export RUN_ENVIR="nco" +export machine="WCOSS_C" + +export ACCOUNT="GFS-OPS" +export QUEUE="prod" +export QUEUE_ARCH="dev_transfer" + +# Project to use in mass store: +HPSS_PROJECT=emc-global + +export gfs_ver=v15.0.0 +export HOMEgfs=$NWROOT/gfs.${gfs_ver} +export BASE_MODULES="$HOMEgfs/modulefiles" +export PARMgfs=$HOMEgfs/parm +export FIXgfs=$HOMEgfs/fix +export USHgfs=$HOMEgfs/ush +export EXECgfs=$HOMEgfs/exec + + +######################################################################## +# Theia workaround. Reduce stack soft limit while running "module" to +# avoid runaway memory allocation: +ulimit_s=$( ulimit -S -s ) +ulimit -S -s 10000 + +# Find module command and purge: +source "$BASE_MODULES/module-setup.sh.inc" 2> /dev/null + +# Load our module: +module use "$BASE_MODULES" 2> /dev/null +module load module_base.$( echo $machine | tr A-Z a-z ) 2> /dev/null + +# Restore stack soft limit: +ulimit -S -s "$ulimit_s" +unset ulimit_s + +for exetest in hsi htar ; do + if ( ! which $exetest ) ; then + echo "$exetest: executable missing after \"module load\"" 1>&2 + exit 2 + fi +done + +######################################################################## +# GLOBAL static environment parameters + +export NWPROD="/gpfs/hps/nco/ops/nwprod" +if [ -d /gpfs/tp1 ]; then + export SITE="LUNA" + export DMPDIR="/gpfs/tp1/emc/globaldump" +elif [ -d /gpfs/gp1 ]; then + export SITE="SURGE" + export DMPDIR="/gpfs/gp1/emc/globaldump" +fi +export RTMFIX=$CRTM_FIX + + +# Machine specific paths used everywhere + +# USER specific paths +export HOMEDIR="/gpfs/hps3/emc/global/noscrub/$USER" +export STMP="/gpfs/hps2/stmp/$USER" +export PTMP="/gpfs/hps2/ptmp/$USER" +export NOSCRUB="/gpfs/hps3/emc/global/noscrub/$USER" + +# Base directories for various builds +export BASE_SVN="/gpfs/hps3/emc/global/noscrub/emc.glopara/svn" + +# ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +# Build paths relative to $HOMEgfs +export HOMEgsi="$HOMEgfs/sorc/gsi.fd" +export HOMEfv3gfs="$HOMEgfs/sorc/fv3gfs.fd" +export HOMEpost="$HOMEgfs" +export BASE_PREP="$BASE_SVN/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="$BASE_SVN/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_VERIF="$BASE_SVN/verif/global/tags/vsdb" + +#--will be removed after gsi reppo is updated +export BASE_GSM=$HOMEgfs +export BASE_GSI=$HOMEgsi + + +# CONVENIENT utility scripts and other environment parameters +export NCP="/bin/cp -p" +export NMV="/bin/mv" +export NLN="/bin/ln -sf" +export VERBOSE="YES" +export KEEPDATA="NO" +export NCO_NAMING_CONV="YES" +export CHGRP_CMD="chgrp rstprod" +export NEMSIOGET="$HOMEgfs/exec/nemsio_get" + +# Machine environment, jobs, and other utility scripts +export BASE_ENV="$HOMEgfs/env" +export BASE_JOB="$HOMEgfs/jobs/rocoto" + +# EXPERIMENT specific environment parameters +export SDATE=2018020100 +export EDATE=2018020300 + +export assim_freq=6 +export PSLOT="nco_prod" +export EXPDIR="/gpfs/hps3/ptmp/$USER/$PSLOT" +export ROTDIR="$COMROOT/gfs/prod" +export RUNDIR="$STMP/$PSLOT" +export ARCDIR="$NOSCRUB/archive/$PSLOT" +export ICSDIR="$ICSDIR" +export ATARDIR="/NCEPDEV/$HPSS_PROJECT/1year/$USER/$machine/scratch/$PSLOT" + +# Resolution specific parameters +export LEVS=65 +export CASE=768 +export CASE_ENKF=384 + +# Surface cycle update frequency +export FHCYC=24 + +# Output frequency of the forecast model (for cycling) +export FHMIN=0 +export FHMAX=9 +export FHOUT=1 + +# GFS cycle info +export gfs_cyc=4 + +# GFS output and frequency +export FHMIN_GFS=0 +export FHMAX_GFS=384 +export FHOUT_GFS=3 +export FHMAX_HF_GFS=120 +export FHOUT_HF_GFS=1 + +# I/O QUILTING, true--use Write Component; false--use GFDL FMS +# if quilting=true, choose OUTPUT_GRID as cubed_sphere_grid in netcdf or gaussian_grid +# if gaussian_grid, set OUTPUT_FILE for nemsio or netcdf +export QUILTING=".true." +export OUTPUT_GRID="gaussian_grid" +export OUTPUT_FILE="nemsio" + +# Microphysics Options: 99-ZhaoCarr, 8-Thompson; 6-WSM6, 10-MG, 11-GFDL +export imp_physics=11 + +# Shared parameters +# Hybrid related +export DOHYBVAR="YES" +export NMEM_ENKF=80 +export SMOOTH_ENKF="YES" +export l4densvar=".true." +export lwrite4danl=".false." + +# EnKF output frequency +if [ $DOHYBVAR = "YES" ]; then + export FHMIN_ENKF=3 + export FHMAX_ENKF=9 + if [ $l4densvar = ".true." ]; then + export FHOUT=1 + export FHOUT_ENKF=1 + else + export FHOUT_ENKF=3 + fi +fi + +# Relocation related +export DO_RELOCATE="NO" + +# If YES, NSST is turned on in anal and/or fcst steps +export DONST="YES" + +# The switch to apply SST elevation correction or not +export nst_anl=.true. + diff --git a/model/rocoto_style_fv3gfs/config.earc b/model/rocoto_style_fv3gfs/config.earc new file mode 100755 index 0000000..74690ec --- /dev/null +++ b/model/rocoto_style_fv3gfs/config.earc @@ -0,0 +1,22 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.earc ########## +# Ensemble archive specific + +echo "BEGIN: config.earc" + +# Get task specific resources +. $EXPDIR/config.resources earc + +export NMEM_EARCGRP=10 + +export EARC_CYC="00" # Archive ensemble restarts at EARC_CYC only; can be "00 06 12 18" + +echo "END: config.earc" diff --git a/model/rocoto_style_fv3gfs/config.ecen b/model/rocoto_style_fv3gfs/config.ecen new file mode 100755 index 0000000..a6d5940 --- /dev/null +++ b/model/rocoto_style_fv3gfs/config.ecen @@ -0,0 +1,24 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.ecen ########## +# Ensemble recentering specific + +echo "BEGIN: config.ecen" + +# Get task specific resources +. $EXPDIR/config.resources ecen + +export ENKFRECENSH="$HOMEgsi/scripts/EnKF/scripts_ncep/exglobal_enkf_recenter_fv3gfs.sh.ecf" +export nth_ecen=2 + +export CHGRESEXEC="$HOMEgfs/exec/chgres_recenter.exe" +export nth_chgres=12 + +echo "END: config.ecen" diff --git a/model/rocoto_style_fv3gfs/config.efcs b/model/rocoto_style_fv3gfs/config.efcs new file mode 100755 index 0000000..9f7c184 --- /dev/null +++ b/model/rocoto_style_fv3gfs/config.efcs @@ -0,0 +1,61 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.efcs ########## +# Ensemble forecast specific, dependency: config.fcst + +echo "BEGIN: config.efcs" + +# Source model specific information that is resolution dependent +. $EXPDIR/config.fv3 $CASE_ENKF + +# Get task specific resources +. $EXPDIR/config.resources efcs + +export npe_fv3=$npe_efcs +export nth_fv3=1 + +if [ $QUILTING = ".true." ]; then + export npe_fv3=$(echo " $npe_fv3 + $WRITE_GROUP * $WRTTASK_PER_GROUP" | bc) + export npe_efcs=$npe_fv3 +fi + +export ENKFFCSTSH="$HOMEgsi/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" +export NMEM_EFCSGRP=10 +export RERUN_EFCSGRP="NO" + +# Stochastic physics parameters (only for ensemble forecasts) +export DO_SKEB="NO" +export SKEB=-999. +export SKEB_TAU=21600. +export SKEB_LSCALE=500000. +export SKEBNORM=1 +export DO_SHUM="YES" +export SHUM=0.006 +export SHUM_TAU=21600. +export SHUM_LSCALE=500000. +export DO_SPPT="YES" +export SPPT=0.5 +export SPPT_TAU=21600. +export SPPT_LSCALE=500000. +export SPPT_LOGIT=".true." +export SPPT_SFCLIMIT=".true." + +if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then + export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_da" +else + export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_da_orig" +fi + +# FV3 model namelist parameters to over-ride +export restart_interval=6 +export k_split=1 # model is unstable with k_split=2, n_split=6 and stochastic physics +export n_split=12 # make the model stable with k_split=1, n_split=12 + +echo "END: config.efcs" diff --git a/model/rocoto_style_fv3gfs/config.eobs b/model/rocoto_style_fv3gfs/config.eobs new file mode 100755 index 0000000..910bffe --- /dev/null +++ b/model/rocoto_style_fv3gfs/config.eobs @@ -0,0 +1,30 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.eobs config.eomg ########## +# Ensemble innovation specific, dependency config.anal + +echo "BEGIN: config.eobs" + +# Get task specific resources +. $EXPDIR/config.resources eobs + +export INVOBSSH="$HOMEgsi/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" +export ENKFINVOBSSH="$HOMEgsi/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" +export NMEM_EOMGGRP=10 +export RERUN_EOMGGRP="YES" +#export USE_RADSTAT="NO" # This can be only used when bias correction is non-zero. +export npe_gsi=$npe_eobs +export nth_gsi=4 + +# GSI namelist options related to observer for EnKF +export OBSINPUT_INVOBS="dmesh(1)=225.0,dmesh(2)=225.0" +export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" + +echo "END: config.eobs" diff --git a/model/rocoto_style_fv3gfs/config.epos b/model/rocoto_style_fv3gfs/config.epos new file mode 100755 index 0000000..47e2fe6 --- /dev/null +++ b/model/rocoto_style_fv3gfs/config.epos @@ -0,0 +1,21 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.epos ########## +# Ensemble post processing specific + +echo "BEGIN: config.epos" + +# Get task specific resources +. $EXPDIR/config.resources epos + +export ENKFPOSTSH="$HOMEgsi/scripts/EnKF/scripts_ncep/exglobal_enkf_post_fv3gfs.sh.ecf" +export nth_epos=2 + +echo "END: config.epos" diff --git a/model/rocoto_style_fv3gfs/config.eupd b/model/rocoto_style_fv3gfs/config.eupd new file mode 100755 index 0000000..a5cc8f9 --- /dev/null +++ b/model/rocoto_style_fv3gfs/config.eupd @@ -0,0 +1,22 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.eupd ########## +# Ensemble update specific, dependency config.anal + +echo "BEGIN: config.eupd" + +# Get task specific resources +. $EXPDIR/config.resources eupd + +export ENKFUPDSH="$HOMEgsi/scripts/EnKF/scripts_ncep/exglobal_enkf_update_fv3gfs.sh.ecf" +export npe_enkf=$npe_eupd +export nth_enkf=4 + +echo "END: config.eupd" diff --git a/model/rocoto_style_fv3gfs/config.fcst b/model/rocoto_style_fv3gfs/config.fcst new file mode 100755 index 0000000..0d999ee --- /dev/null +++ b/model/rocoto_style_fv3gfs/config.fcst @@ -0,0 +1,140 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.fcst ########## +# Forecast specific + +echo "BEGIN: config.fcst" + +# Source model specific information that is resolution dependent +. $EXPDIR/config.fv3 $CASE + +# Get task specific resources +. $EXPDIR/config.resources fcst + +if [ $DONST = "YES" ]; then + . $EXPDIR/config.nsst +fi + +export FCSTMODSDIR="$HOMEfv3gfs/modulefiles" +export FORECASTSH="$HOMEgfs/scripts/exglobal_fcst_nemsfv3gfs.sh" +#export FCSTEXECDIR="$HOMEfv3gfs/NEMS/exe" +export FCSTEXECDIR="$HOMEgfs/exec" +export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" +export npe_fv3=$npe_fcst # This is model resolution dependent, see note above + +if [ $QUILTING = ".true." ]; then + export npe_fv3=$(echo " $npe_fv3 + $WRITE_GROUP * $WRTTASK_PER_GROUP" | bc) + export npe_fcst=$npe_fv3 +fi + +# Model configuration +export TYPE="nh" +export MONO="non-mono" + +# Use stratosphere h2o physics +export h2o_phys=".false." + +# Options of stratosphere O3 physics reaction coefficients +export new_o3forc=NO + +# Microphysics configuration +export dnats=0 +export cal_pre=".true." +export do_sat_adj=".false." +export random_clds=".true." +export cnvcld=".true." + +if [ $imp_physics -eq 99 ]; then # ZhaoCarr + export ncld=1 + export FIELD_TABLE="$HOMEgfs/parm/parm_fv3diag/field_table_zhaocarr" + export nwat=2 + +elif [ $imp_physics -eq 6 ]; then # WSM6 + export ncld=2 + export FIELD_TABLE="$HOMEgfs/parm/parm_fv3diag/field_table_wsm6" + export nwat=6 + +elif [ $imp_physics -eq 8 ]; then # Thompson + export ncld=2 + export FIELD_TABLE="$HOMEgfs/parm/parm_fv3diag/field_table_thompson" + export nwat=6 + +elif [ $imp_physics -eq 11 ]; then # GFDL + export ncld=5 + export FIELD_TABLE="$HOMEgfs/parm/parm_fv3diag/field_table_gfdl" + export nwat=6 + export dnats=1 + export cal_pre=".false." + export do_sat_adj=".true." + export random_clds=".false." + export cnvcld=".false." + + export hord_mt_nh_nonmono=6 + export hord_xx_nh_nonmono=6 + export vtdm4_nh_nonmono=0.02 + export nord=2 + export dddmp=0.1 + export d4_bg=0.12 + +else + echo "Unknown microphysics option, ABORT!" + +fi +#--------------------------------------------------------------------- + + +# Disable the use of coupler.res; get model start time from model_configure +export USE_COUPLER_RES="NO" + +if [[ "$CDUMP" == "gdas" ]] ; then # GDAS cycle specific parameters + + # Variables used in DA cycling + if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then + export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_da" + else + export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_da_orig" + fi + + # Write restart files at next assimilation time + export restart_interval=6 + +elif [[ "$CDUMP" == "gfs" ]] ; then # GFS cycle specific parameters + + # Write more variables to output + if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then + export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table" + else + export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_orig" + fi + +fi + +# Regrid tiles to global Gaussian grid in NEMSIO +export REGRID_NEMSIO_SH="$HOMEgfs/ush/fv3gfs_regrid_nemsio.sh" +if [ $DONST = YES ]; then + export REGRID_NEMSIO_TBL="$HOMEgfs/parm/parm_fv3diag/variable_table_da.txt" +else + export REGRID_NEMSIO_TBL="$HOMEgfs/parm/parm_fv3diag/variable_table_da_nonsst.txt" +fi + +# Remap tiles to global latlon grid in NetCDF +export REMAPSH="$HOMEgfs/ush/fv3gfs_remap.sh" +export master_grid="0p25deg" # 1deg 0p5deg 0p25deg 0p125deg etc +export npe_remap=$((npe_fcst < 240 ? npe_fcst : 240)) +export nth_remap=2 + +# Global latlon NetCDF to nemsio utility parameters +export NC2NEMSIOSH="$HOMEgfs/ush/fv3gfs_nc2nemsio.sh" + +# Remember config.efcs will over-ride these values for ensemble forecasts +# if these variables are re-defined there. +# Otherwise, the ensemble forecast will inherit from config.fcst + +echo "END: config.fcst" diff --git a/model/rocoto_style_fv3gfs/config.fv3 b/model/rocoto_style_fv3gfs/config.fv3 new file mode 100755 index 0000000..91d9c1d --- /dev/null +++ b/model/rocoto_style_fv3gfs/config.fv3 @@ -0,0 +1,112 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.fv3 ########## +# FV3 model resolution specific parameters +# e.g. time-step, processor layout, physics and dynamics parameters +# This config sets default variables for FV3 for a given resolution +# User can over-ride after sourcing this config file + +if [ $# -ne 1 ]; then + + echo "Must specify an input resolution argument to set variables!" + echo "argument can be any one of the following:" + echo "C48 C96 C192 C384 C768 C1152 C3072" + exit 1 + +fi + +case_in=$1 + +echo "BEGIN: config.fv3" + +# (Standard) Model resolution dependent variables +case $case_in in + "C48") + export DELTIM=450 + export layout_x=2 + export layout_y=4 + export npe_node_fcst=24 + export nth_fv3=1 + export cdmbgwd="0.062,3.5" # mountain blocking and gravity wave drag + export WRITE_GROUP=1 + export WRTTASK_PER_GROUP=24 + export WRTIOBUF="4M" + ;; + "C96") + export DELTIM=450 + export layout_x=4 + export layout_y=4 + export npe_node_fcst=24 + export nth_fv3=1 + export cdmbgwd="0.125,3.0" # mountain blocking and gravity wave drag + export WRITE_GROUP=1 + export WRTTASK_PER_GROUP=24 + export WRTIOBUF="4M" + ;; + "C192") + export DELTIM=450 + export layout_x=4 + export layout_y=6 + export npe_node_fcst=12 + export nth_fv3=2 + export cdmbgwd="0.2,2.5" # mountain blocking and gravity wave drag + export WRITE_GROUP=2 + export WRTTASK_PER_GROUP=24 + export WRTIOBUF="8M" + ;; + "C384") + export DELTIM=300 + export layout_x=4 + export layout_y=8 + export npe_node_fcst=12 + export nth_fv3=2 + export cdmbgwd="1.0,1.2" # mountain blocking and gravity wave drag + export WRITE_GROUP=3 + export WRTTASK_PER_GROUP=24 + export WRTIOBUF="16M" + ;; + "C768") + export DELTIM=225 + export layout_x=8 + export layout_y=16 + export npe_node_fcst=12 + export nth_fv3=2 + export cdmbgwd="3.5,0.25" # mountain blocking and gravity wave drag + export WRITE_GROUP=4 + export WRTTASK_PER_GROUP=60 + export WRTIOBUF="32M" + ;; + "C1152") + export DELTIM=150 + export layout_x=8 + export layout_y=16 + export npe_node_fcst=6 + export nth_fv3=4 + export WRITE_GROUP=4 + export WRTTASK_PER_GROUP=84 + export WRTIOBUF="48M" + ;; + "C3072") + export DELTIM=90 + export layout_x=16 + export layout_y=32 + export npe_node_fcst=6 + export nth_fv3=4 + export WRITE_GROUP=4 + export WRTTASK_PER_GROUP=120 + export WRTIOBUF="64M" + ;; + *) + echo "grid $case_in not supported, ABORT!" + exit 1 + ;; +esac + +echo "END: config.fv3" diff --git a/model/rocoto_style_fv3gfs/config.fv3ic b/model/rocoto_style_fv3gfs/config.fv3ic new file mode 100755 index 0000000..8f1f497 --- /dev/null +++ b/model/rocoto_style_fv3gfs/config.fv3ic @@ -0,0 +1,20 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.fv3ic ########## +# Convert GFS initial conditions into FV3 initial conditions + +echo "BEGIN: config.fv3ic" + +# Task and thread configuration +export wtime_fv3ic="00:30:00" +export npe_fv3ic=24 +export npe_node_fv3ic=24 + +echo "END: config.fv3ic" diff --git a/model/rocoto_style_fv3gfs/config.getic b/model/rocoto_style_fv3gfs/config.getic new file mode 100755 index 0000000..c30167e --- /dev/null +++ b/model/rocoto_style_fv3gfs/config.getic @@ -0,0 +1,27 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.getic ########## +# Fetching GFS initial conditions specific + +echo "BEGIN: config.getic" + +# Get task specific resources +. $EXPDIR/config.resources getic + +# We should just be supporting the OPSGFS only +export ics_from="opsgfs" # initial conditions from opsgfs or pargfs + +# Provide a parallel experiment name and path to HPSS archive +if [ $ics_from = "pargfs" ]; then + export parexp="prnemsrn" + export HPSS_PAR_PATH="/5year/NCEPDEV/emc-global/emc.glopara/WCOSS_C/$parexp" +fi + +echo "END: config.getic" diff --git a/model/rocoto_style_fv3gfs/config.nsst b/model/rocoto_style_fv3gfs/config.nsst new file mode 100755 index 0000000..f18862f --- /dev/null +++ b/model/rocoto_style_fv3gfs/config.nsst @@ -0,0 +1,40 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-09-23 02:48:49 +0000 (Sat, 23 Sep 2017) $ +# $Revision: 97753 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: config.nsst 97753 2017-09-23 02:48:49Z fanglin.yang@noaa.gov $ +############################################################### + +########## config.nsst ########## +# NSST specific + +echo "BEGIN: config.nsst" + +# NSST parameters contained within nstf_name + +# nstf_name(1) : NST_MODEL (NSST Model) : 0 = OFF, 1 = ON but uncoupled, 2 = ON and coupled +export NST_MODEL=2 + +# nstf_name(2) : NST_SPINUP : 0 = OFF, 1 = ON, +export NST_SPINUP=0 +if [[ "$CDATE" = $SDATE ]]; then + export NST_SPINUP=1 +fi + +# nstf_name(3) : NST_RESV (Reserved, NSST Analysis) : 0 = OFF, 1 = ON +export NST_RESV=0 + +# nstf_name(4,5) : ZSEA1, ZSEA2 the two depths to apply vertical average (bias correction) +export ZSEA1=0 +export ZSEA2=0 + +export NST_GSI=3 # default 0: No NST info at all; + # 1: Input NST info but not used in GSI; + # 2: Input NST info, used in CRTM simulation, no Tr analysis + # 3: Input NST info, used in both CRTM simulation and Tr analysis +export NSTINFO=0 # number of elements added in obs. data array (default = 0) +if [ $NST_GSI -gt 0 ]; then export NSTINFO=4; fi + +echo "END: config.nsst" diff --git a/model/rocoto_style_fv3gfs/config.post b/model/rocoto_style_fv3gfs/config.post new file mode 100755 index 0000000..d7259b1 --- /dev/null +++ b/model/rocoto_style_fv3gfs/config.post @@ -0,0 +1,44 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.post ########## +# Post specific + +echo "BEGIN: config.post" + +# Get task specific resources +. $EXPDIR/config.resources post + +# Convert nemsio files to grib files using post job +#------------------------------------------- + +# No. of concurrent post jobs [0 implies sequential] +export NPOSTGRP=5 + +# Post driver job that calls global_nceppost.sh and downstream jobs +export POSTJJOBSH="$HOMEpost/jobs/JGLOBAL_NCEPPOST" +export GFSDOWNSH="$HOMEpost/ush/fv3gfs_downstream_nems.sh" +export GFSDWNSH="$HOMEpost/ush/fv3gfs_dwn_nems.sh" + +export POSTGPSH="$HOMEpost/ush/global_nceppost.sh" +export POSTGPEXEC="$HOMEgfs/exec/gfs_ncep_post" +export GOESF=NO # goes image +export GTGF=NO # gtg icing product +export FLXF=YES # grib2 flux file written by post +export PGB1F=YES + +export npe_postgp=$npe_post +export nth_postgp=1 + +export GFS_DOWNSTREAM="YES" +export downset=1 +export npe_dwn=24 +export nth_dwn=1 + +echo "END: config.post" diff --git a/model/rocoto_style_fv3gfs/config.prep b/model/rocoto_style_fv3gfs/config.prep new file mode 100755 index 0000000..c2f5928 --- /dev/null +++ b/model/rocoto_style_fv3gfs/config.prep @@ -0,0 +1,21 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.prep ########## +# Prep step specific + +echo "BEGIN: config.prep" + +# Get task specific resources +. $EXPDIR/config.resources prep + +export DO_MAKEPREPBUFR="YES" # if NO, will copy prepbufr from globaldump +export DRIVE_MAKEPREPBUFRSH="$HOMEgfs/ush/drive_makeprepbufr.sh" + +echo "END: config.prep" diff --git a/model/rocoto_style_fv3gfs/config.prepbufr b/model/rocoto_style_fv3gfs/config.prepbufr new file mode 100755 index 0000000..6ebc3a1 --- /dev/null +++ b/model/rocoto_style_fv3gfs/config.prepbufr @@ -0,0 +1,94 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.prepbufr ########## +# PREPBUFR specific configuration + +echo "BEGIN: config.prepbufr" + +# Get task specific resources +. $EXPDIR/config.resources prepbufr + +# What to turn ON or OFF +export SYNDATA="YES" # Execute program SYNDAT_SYNDATA +export PREPACQC="YES" # Execute program PREPOBS_PREPACQC +export PROCESS_ACQC="YES" # Execute program PREPOBS_PREPACQC +export PROCESS_ACPF="NO" # Execute program PREPOBS_PREPACPF +export PROFCQC="YES" # Execute program PREPOBS_PROFCQC +export CQCVAD="YES" # Execute program PREPOBS_CQCVAD +export CQCBUFR="YES" # Execute program PREPOBS_CQCBUFR +export OIQCBUFR="NO" # Execute program PREPOBS_OIQCBUFR +export PREPDATA="YES" # Execute program PREPOBS_MPCOPYBUFR, + # PREPOBS_PREPDATA, + # PREPOBS_LISTHEADERS, + # PREPOBS_MONOPREPBUFR +export GETGUESS="YES" # Encode first guess (background) values interpolated to + # observation locations in the PREPBUFR file for use by + # the q.c. programs. This guess is always from a global + # guess file valid at the center PREPBUFR processing date/time. +export DO_QC="YES" # IF NO, programs PREPOBS_PREPACQC, PREPOBS_ACARSQC, + # PREPOBS_PROFCQC, PREPOBS_CQCVAD, PREPOBS_CQCBUFR and + # PREPOBS_OIQCBUFR will NEVER execute regardless of + # switches above - + # should be set to NO only as a last resort!!! + +# BUFR data types to process +export BUFRLIST="adpupa proflr aircar aircft satwnd adpsfc sfcshp vadwnd wdsatr ascatw rassda gpsipw" + +# MAKEPREPBUFR script and options +export MAKEPREPBUFRSH="$BASE_PREP/ush/prepobs_makeprepbufr.sh" +export NSPLIT=4 # execute in parallel + +# These variable largely eliminate the need for explicitly setting +# USH directories, FIX files, PARM files, EXECutables below +# The USER can overwrite components that they wish +# e.g. PRVT is used from the GSI +export HOMEobsproc_prep=$BASE_PREP +export EXECPREP="$BASE_PREP/exec" +export FIXPREP="$BASE_PREP/fix" +export HOMEobsproc_network=$BASE_PREP_GLOBAL +export PARMPREP="$BASE_PREP_GLOBAL/parm" + +# Directories +#export USHSYND="$BASE_PREP/ush" +#export USHPREV="$BASE_PREP/ush" +#export USHCQC="$BASE_PREP/ush" +#export USHPQC="$BASE_PREP/ush" +#export USHVQC="$BASE_PREP/ush" +#export USHAQC="$BASE_PREP/ush" +#export USHOIQC="$BASE_PREP/ush" + +# Fix files +#export CQCS="$BASE_PREP/fix/prepobs_cqc_statbge" +#export LANDC="$BASE_PREP/fix/prepobs_landc" +#export PRPT="$BASE_PREP/fix/prepobs_prep.bufrtable" +export PRVT="$HOMEgsi/fix/prepobs_errtable.global" +#export OIQCT="$BASE_PREP_GLOBAL/fix/prepobs_oiqc.oberrs" + +# parm files +#export AQCC="$BASE_PREP_GLOBAL/parm/prepobs_prepacqc.${CDUMP}.parm" +#export CQCC="$BASE_PREP_GLOBAL/parm/prepobs_cqcbufr.gdas.parm" +#export PRPC="$BASE_PREP_GLOBAL/parm/prepobs_prepdata.${CDUMP}.parm" +#export PQCC="$BASE_PREP_GLOBAL/parm/prepobs_profcqc.gdas.parm" +#export SYNDC="$BASE_PREP_GLOBAL/parm/syndat_syndata.gdas.parm" + +# Executables +#export PRPX="$BASE_PREP/exec/prepobs_prepdata" +#export PREX="$BASE_PREP/exec/prepobs_prevents" +#export AQCX="$BASE_PREP/exec/prepobs_prepacqc" +#export PQCX="$BASE_PREP/exec/prepobs_profcqc" +#export CQCX="$BASE_PREP/exec/prepobs_cqcbufr" +#export SYNDX="$BASE_PREP/exec/syndat_syndata" +#export MPCOPYX="$BASE_PREP/exec/prepobs_mpcopybufr" +#export LISTHDX="$BASE_PREP/exec/prepobs_listheaders" +#export MONOBFRX="$BASE_PREP/exec/prepobs_monoprepbufr" +#export VQCX="$BASE_PREP/exec/prepobs_cqcvad" +#export OIQCX="$BASE_PREP/exec/prepobs_oiqcbufr" + +echo "END: config.prepbufr" diff --git a/model/rocoto_style_fv3gfs/config.resources b/model/rocoto_style_fv3gfs/config.resources new file mode 100755 index 0000000..eadc424 --- /dev/null +++ b/model/rocoto_style_fv3gfs/config.resources @@ -0,0 +1,127 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.resources ########## +# Set resource information for job tasks +# e.g. walltime, node, cores per node, memory etc. + +if [ $# -ne 1 ]; then + + echo "Must specify an input task argument to set resource variables!" + echo "argument can be any one of the following:" + echo "anal fcst post vrfy arch" + echo "eobs eomg eupd ecen efcs epos earc" + exit 1 + +fi + +step=$1 + +echo "BEGIN: config.resources" + +if [ $step = "prep" -o $step = "prepbufr" ]; then + + eval "export wtime_$step='00:15:00'" + eval "export npe_$step=12" + eval "export npe_node_$step=12" + +elif [ $step = "anal" ]; then + + export wtime_anal="01:30:00" + export npe_anal=144 + export npe_node_anal=6 + export memory_anal="3072M" + +elif [ $step = "fcst" ]; then + + export wtime_fcst="00:15:00" + export wtime_fcst_gfs="06:00:00" + export npe_fcst=$(echo "$layout_x * $layout_y * 6" | bc) + export npe_node_fcst=${npe_node_fcst:-12} + export memory_fcst="1024M" + +elif [ $step = "post" ]; then + + export wtime_post="00:30:00" + export wtime_post_gfs="01:00:00" + export npe_post=72 + export npe_node_post=12 + export npe_node_dwn=24 + if [[ "$machine" == THEIA ]]; then + export memory_post="16384M" + else + export memory_post="3072M" + fi + +elif [ $step = "vrfy" ]; then + + export wtime_vrfy="03:00:00" + export wtime_vrfy_gfs="06:00:00" + export npe_vrfy=1 + export npe_node_vrfy=1 + if [[ "$machine" == THEIA ]]; then + export memory_vrfy="16384M" + else + export memory_vrfy="3072M" + # Memory on cray is for the batch node, not the compute node. + # Tasks on the compute node have no memory limit. 16GB is + # unneeded, and is beyond the queue maximum anyway (the job is + # rejected). + fi + +elif [ $step = "arch" -o $step = "earc" -o $step = "getic" ]; then + + eval "export wtime_$step='06:00:00'" + eval "export npe_$step=1" + eval "export npe_node_$step=1" + +elif [ $step = "eobs" -o $step = "eomg" ]; then + + export wtime_eobs="00:45:00" + export wtime_eomg="01:00:00" + export npe_eobs=72 + export npe_node_eobs=6 + export memory_eobs="3072M" + +elif [ $step = "eupd" ]; then + + export wtime_eupd="00:30:00" + export npe_eupd=120 + export npe_node_eupd=12 + export memory_eupd="3072M" + +elif [ $step = "ecen" ]; then + + export wtime_ecen="00:30:00" + export npe_ecen=84 + export npe_node_ecen=12 + export memory_ecen="3072M" + +elif [ $step = "efcs" ]; then + + export wtime_efcs="01:00:00" + export npe_efcs=$(echo "$layout_x * $layout_y * 6" | bc) + export npe_node_efcs=${npe_node_fcst:-12} + export memory_efcs="254M" + +elif [ $step = "epos" ]; then + + export wtime_epos="00:30:00" + export npe_epos=84 + export npe_node_epos=12 + export memory_epos="254M" + +else + + echo "Invalid step = $step, ABORT!" + exit 2 + +fi + +echo "END: config.resources" diff --git a/model/rocoto_style_fv3gfs/config.vrfy b/model/rocoto_style_fv3gfs/config.vrfy new file mode 100755 index 0000000..ee8fa61 --- /dev/null +++ b/model/rocoto_style_fv3gfs/config.vrfy @@ -0,0 +1,176 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.vrfy ########## +# Verification step specific + +echo "BEGIN: config.vrfy" + +# Get task specific resources +. $EXPDIR/config.resources vrfy + +export VDUMP="gfs" # verifying dump +export CDUMPFCST="gdas" # Fit-to-obs with GDAS/GFS prepbufr +export CDFNL="gdas" # Scores verification against GDAS/GFS analysis + +export VSDB_STEP1="YES" # populate VSDB database +export VSDB_STEP2="NO" +export VRFYG2OBS="YES" # Grid to observations, see note below if turning ON +export VRFYFITS="YES" # Fit to observations +export VRFYPRCP="YES" # Precip threat scores +export VRFYMINMON="YES" # GSI minimization monitoring +export VRFYRAD="YES" # Radiance data assimilation monitoring +export VRFYOZN="YES" # Ozone data assimilation monitoring +export VRFYTRAK="YES" # Hurricane track forecasts +export VRFYGENESIS="YES" # Cyclone genesis +export VRFYGMPK="NO" # Gempak verification + +# Fit to Observations +if [ $VRFYFITS = "YES" ]; then + + if [ $machine = "WCOSS_C" ]; then + export fitdir="$BASE_SVN/verif/global/parafits.fv3nems/batrun" + export PREPQFITSH="$fitdir/subfits_cray_nems" + elif [ $machine = "THEIA" ]; then + export fitdir="$BASE_SVN/verif/global/parafits.fv3nems/batrun" + export PREPQFITSH="$fitdir/subfits_theia_nems" + fi + +fi + +# VSDB STEP1, Verify Precipipation and Grid To Obs options +# All these call $VSDBSH +if [[ "$CDUMP" = "gfs" ]] ; then + ddd=`echo $CDATE |cut -c 1-8` + #if [ $ddd -eq 5 -o $ddd -eq 10 ]; then export VSDB_STEP2 = "YES" ;fi + + if [ $VSDB_STEP1 = "YES" -o $VSDB_STEP2 = "YES" -o $VRFYPRCP = "YES" -o $VRFYG2OBS = "YES" ]; then + export BACKDATEVSDB=24 # execute vsdbjob for the previous day + export VBACKUP_PRCP=24 # back up for QPF verification data + export vsdbsave="$NOSCRUB/archive/vsdb_data" # place to save vsdb database + export vsdbhome=$BASE_VERIF # location of global verification scripts + export VSDBSH="$vsdbhome/vsdbjob.sh" # VSDB job script + export vlength=$FHMAX_GFS # verification length + export vhr_rain=$FHMAX_GFS # verification length for precip + export ftyplist="pgbq" # verif. files used for computing QPF ETS scores + export anltype="gfs" # default=gfs, analysis type (gfs or gdas) for verification + + export VSDB_START_DATE="$SDATE" # starting date for vsdb maps + export webhost="emcrzdm.ncep.noaa.gov" # webhost(rzdm) computer + export webhostid="$USER" # webhost(rzdm) user name + export SEND2WEB="NO" # whether or not to send maps to webhost + export WEBDIR="/home/people/emc/www/htdocs/gmb/${webhostid}/vsdb/$PSLOT" + export mdlist="gfs $PSLOT " # exps (up to 10) to compare in maps + fi +fi + + +# Minimization, Radiance and Ozone Monitoring +if [ $VRFYRAD = "YES" -o $VRFYMINMON = "YES" -o $VRFYOZN = "YES" ]; then + + export HOMEgfs="$HOMEgfs" + export envir="para" + + # Radiance Monitoring + if [[ "$VRFYRAD" == "YES" && "$CDUMP" == "$CDFNL" ]] ; then + + export HOMEradmon="$HOMEgfs" + export RADMON_SUFFIX=$PSLOT + export TANKverf="$NOSCRUB/radmon" + if [ $machine = "WCOSS_C" -o $machine = "THEIA" ]; then + export VRFYRADSH="$HOMEgfs/jobs/JGDAS_VERFRAD" + else + echo "WARNING: Radiance monitoring is not enabled on $machine!" + export VRFYRAD="NO" + fi + + fi + + # Minimization Monitoring + if [[ "$VRFYMINMON" = "YES" ]] ; then + + export HOMEgfs="$HOMEgfs" + export HOMEminmon=$HOMEgfs + export MINMON_SUFFIX=$PSLOT + export M_TANKverf="$NOSCRUB/minmon" + if [[ "$machine" == "WCOSS_C" || "$machine" == "THEIA" ]] ; then + if [[ "$CDUMP" = "gdas" ]] ; then + export VRFYMINSH="$HOMEgfs/jobs/JGDAS_VMINMON" + elif [[ "$CDUMP" = "gfs" ]] ; then + export VRFYMINSH="$HOMEgfs/jobs/JGFS_VMINMON" + fi + else + echo "WARNING: Minimization monitoring is not enabled on $machine!" + export VRFYMINMON="NO" + fi + + fi + + # Ozone Monitoring + if [[ "$VRFYOZN" == "YES" && "$CDUMP" == "$CDFNL" ]] ; then + + export HOMEoznmon="$HOMEgfs" + export OZNMON_SUFFIX=$PSLOT + export TANKverf_ozn="$NOSCRUB/oznmon" + if [ $machine = "WCOSS_C" -o $machine = "THEIA" ]; then + export VRFYOZNSH="$HOMEgfs/jobs/JGDAS_VERFOZN" + else + echo "WARNING: Ozone monitoring is not enabled on $machine!" + export VRFYOZN="NO" + fi + + fi + +fi + +# Cyclone track verification +if [ $VRFYTRAK = "YES" ]; then + + export TRACKERSH="$HOMEgfs/ush/global_tracker.sh" + export PARATRKR="$HOMEgfs/ush/global_extrkr.sh" + export GETTRKEXEC="$HOMEgfs/exec/gettrk" + export GETTX=$GETTRKEXEC + export SUPVX="$HOMEgfs/exec/supvit" + export HOMERELO=$HOMEgfs + export homesyndir=$HOMEgfs + export prep_step="$NWPROD/prod_util.v1.0.15/ush/prep_step" + if [[ "$CDUMP" = "gfs" ]] ; then + export FHOUT=$FHOUT_GFS + export FHMAX=$FHMAX_GFS + export FHMAX2=${FHMAX2_GFS:-$FHMAX_GFS} # We don't run FCST2 + fi + if [ $machine = "THEIA" ]; then + export COMROOTp1="/scratch4/NCEPDEV/rstprod/com" + export archsyndir="$COMROOTp1/arch/prod/syndat" + fi +fi + +# Cyclone genesis verification +if [ $VRFYGENESIS = "YES" ]; then + + if [ $machine = "WCOSS_C" ] ; then + export NWROOTGENESIS="/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/gfs/q3fy17" + elif [ $machine = "THEIA" ] ; then + export NWROOTGENESIS="/scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17" + export UTILROOT="/scratch4/NCEPDEV/global/save/glopara/nwpara/prod_util.v1.0.15" + export GETTX_GEN="$NWROOTGENESIS/ens_tracker.v2.0.1/exec/gettrk_gen_g2_theia" + fi + if [ $machine = "WCOSS_C" -o $machine = "THEIA" ]; then + export GENESISSH="$NWROOTGENESIS/ens_tracker.v2.0.1/gfs_genesis_para_fv3gfs.sh" + else + echo "WARNING: Genesis verification is not enabled on $machine!" + export VRFYGENESIS="NO" + export GENESISSH="" + fi + + export GETTX_GEN="$NWROOTGENESIS/ens_tracker.v2.0.1/exec/gettrk_gen_g2" + +fi + +echo "END: config.vrfy" diff --git a/model/rocoto_style_fv3gfs/settings.yaml b/model/rocoto_style_fv3gfs/settings.yaml index dc81d6a..74ecdf8 100644 --- a/model/rocoto_style_fv3gfs/settings.yaml +++ b/model/rocoto_style_fv3gfs/settings.yaml @@ -3,13 +3,13 @@ initial_directory: !calc ( tools.realpath(tools.abspath(".")) ) settings: - HOMEgfs: "/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/snapshot_flat/gfs.v15.0.0" - COM: !expand '/gpfs/hps3/ptmp/Samuel.Trahan/comdir2/{experiment_name}' - EXPDIR: !expand '/gpfs/hps3/ptmp/Samuel.Trahan/expdir2/{experiment_name}' - DATAROOT: !expand '/gpfs/hps2/stmp/emc.glopara/{experiment_name}' + HOMEgfs: "/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.v15.0.0" + COM: !expand '/gpfs/hps2/ptmp/$USER/{experiment_name}' + EXPDIR: !expand '/gpfs/hps3/emc/global/noscrub/$USER/para_fv3gfs/{experiment_name}' + DATAROOT: !expand '/gpfs/hps2/stmp/$USER/{experiment_name}' experiment_name: QSLOT - NMEM_ENKF: 80 + NMEM_ENKF: 20 ENKF_GROUPS: 2 ENKF_INNOVATE_GROUPS: !calc ENKF_GROUPS @@ -23,7 +23,7 @@ settings: WRITE_GROUP: 2 WRTTASK_PER_GROUP: 24 QUEUE: dev - PROJECT: GFS-T2O + PROJECT: FV3GFS-T2O QUEUESERV: dev_transfer DUMPDIR: "/gpfs/tp1/emc/globaldump" From 02125d5d9c34e42aa069ad327ba9f6faa3b4481a Mon Sep 17 00:00:00 2001 From: "Kate.Howard" Date: Thu, 15 Feb 2018 16:56:50 +0000 Subject: [PATCH 342/487] Adjusted settings.yaml --- model/rocoto_style_fv3gfs/settings.yaml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/model/rocoto_style_fv3gfs/settings.yaml b/model/rocoto_style_fv3gfs/settings.yaml index f80fa5e..6621bd1 100644 --- a/model/rocoto_style_fv3gfs/settings.yaml +++ b/model/rocoto_style_fv3gfs/settings.yaml @@ -5,7 +5,7 @@ initial_directory: !calc ( tools.realpath(tools.abspath(".")) ) settings: HOMEgfs: "/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.v15.0.0" COM: !expand '/gpfs/hps2/ptmp/$USER/{experiment_name}' - EXPDIR: !expand '/gpfs/hps3/emc/global/noscrub/$USER/para_fv3gfs/{experiment_name}' + EXPDIR: !expand '/gpfs/hps3/emc/global/noscrub/$USER/{experiment_name}' DATAROOT: !expand '/gpfs/hps2/stmp/$USER/{experiment_name}' experiment_name: QSLOT From a67972b8e9f3aa210ecf93b82be68c80e2ed850b Mon Sep 17 00:00:00 2001 From: wx20st Date: Thu, 15 Feb 2018 18:34:49 +0000 Subject: [PATCH 343/487] yet more bug fixes for rocoto and ecflow owrkflow --- model/rocoto_style_fv3gfs/dump_waiter.yaml | 1 + .../rocoto_style_fv3gfs/make-ecflow-suite.py | 8 +- model/rocoto_style_fv3gfs/make-rocoto-xml.py | 8 +- .../resources_C192_C192.yaml | 4 +- model/rocoto_style_fv3gfs/settings.yaml | 12 +- model/rocoto_style_fv3gfs/suite_def.yaml | 53 +- model/rocoto_style_fv3gfs/task_template.yaml | 3 +- model/rocoto_style_fv3gfs/workflow.xml | 1367 +++++++++++++---- 8 files changed, 1130 insertions(+), 326 deletions(-) diff --git a/model/rocoto_style_fv3gfs/dump_waiter.yaml b/model/rocoto_style_fv3gfs/dump_waiter.yaml index 299de71..0e7bf8c 100644 --- a/model/rocoto_style_fv3gfs/dump_waiter.yaml +++ b/model/rocoto_style_fv3gfs/dump_waiter.yaml @@ -38,4 +38,5 @@ dump_waiter: &dump_waiter_task !Task echo "$WAITFILE: nope" sleep 37 done + echo "$WAITFILE: yup" ecflow_client --event updated_status diff --git a/model/rocoto_style_fv3gfs/make-ecflow-suite.py b/model/rocoto_style_fv3gfs/make-ecflow-suite.py index 908cf1b..c4b971b 100755 --- a/model/rocoto_style_fv3gfs/make-ecflow-suite.py +++ b/model/rocoto_style_fv3gfs/make-ecflow-suite.py @@ -1,11 +1,17 @@ #! /usr/bin/env python3 f'This script requires Python 3.6 or newer.' -import os, io +import os, io, sys from crow.metascheduler import to_ecflow from crow.config import from_dir, Suite +if len(sys.argv) != 2: + sys.stderr.write('Syntax: make-ecflow-suite.py PSLOT\n') + sys.stderr.write('PSLOT must match what you gave setup_expt.py\n') + sys.exit(1) + conf=from_dir('.') +conf.sys_argv_1=sys.argv[1] suite=Suite(conf.suite) suite_defs, ecf_files = to_ecflow(suite) diff --git a/model/rocoto_style_fv3gfs/make-rocoto-xml.py b/model/rocoto_style_fv3gfs/make-rocoto-xml.py index 06575cc..adf86c8 100755 --- a/model/rocoto_style_fv3gfs/make-rocoto-xml.py +++ b/model/rocoto_style_fv3gfs/make-rocoto-xml.py @@ -1,11 +1,17 @@ #! /usr/bin/env python3 f'This script requires Python 3.6 or newer.' -import os, io +import os, io, sys from crow.metascheduler import to_rocoto from crow.config import from_dir, Suite +if len(sys.argv) != 2: + sys.stderr.write('Syntax: make-ecflow-suite.py PSLOT\n') + sys.stderr.write('PSLOT must match what you gave setup_expt.py\n') + sys.exit(1) + conf=from_dir('.') +conf.sys_argv_1=sys.argv[1] suite=Suite(conf.suite) with open('workflow.xml','wt') as fd: print('workflow.xml') diff --git a/model/rocoto_style_fv3gfs/resources_C192_C192.yaml b/model/rocoto_style_fv3gfs/resources_C192_C192.yaml index 5a2c160..0c0dcf9 100644 --- a/model/rocoto_style_fv3gfs/resources_C192_C192.yaml +++ b/model/rocoto_style_fv3gfs/resources_C192_C192.yaml @@ -36,7 +36,7 @@ resources: run_eomg: !JobRequest - memory: "3072M" - walltime: !timedelta "01:00:00" + walltime: !timedelta "02:00:00" mpi_ranks: !calc 6*12 exe: placeholder max_ppn: 6 @@ -105,7 +105,7 @@ resources: - mpi_ranks: !calc >- doc.settings.layout_x*doc.settings.layout_y*6 + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP - walltime: !timedelta "06:00:00" + walltime: !timedelta "01:30:00" max_ppn: 12 memory: "1024M" diff --git a/model/rocoto_style_fv3gfs/settings.yaml b/model/rocoto_style_fv3gfs/settings.yaml index 3b0f287..96958a9 100644 --- a/model/rocoto_style_fv3gfs/settings.yaml +++ b/model/rocoto_style_fv3gfs/settings.yaml @@ -3,11 +3,11 @@ initial_directory: !calc ( tools.realpath(tools.abspath(".")) ) settings: - HOMEgfs: "/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/snapshot_flat/gfs.v15.0.0" - COM: !expand '/gpfs/hps3/ptmp/Samuel.Trahan/comdir2/{experiment_name}' - EXPDIR: !expand '/gpfs/hps3/ptmp/Samuel.Trahan/expdir2/{experiment_name}' + HOMEgfs: "/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/snapshot_master_20180209/gfs.v15.0.0/" + COM: !expand '/gpfs/hps3/ptmp/Samuel.Trahan/comdir/{experiment_name}' + EXPDIR: !expand '/gpfs/hps3/ptmp/Samuel.Trahan/expdir/{experiment_name}' DATAROOT: !expand '/gpfs/hps2/stmp/emc.glopara/{experiment_name}' - experiment_name: QSLOT + experiment_name: !calc doc.sys_argv_1 NMEM_ENKF: 80 ENKF_GROUPS: 2 @@ -34,7 +34,9 @@ settings: start_date_gfs: !calc ( start_date+tools.to_timedelta('6:00:00') ) end_date_gfs: !calc end_date interval_gfs: !timedelta "12:00:00" - forecast_hours: !calc tools.seq(0,120,1)+tools.seq(123,240,3)+tools.seq(240,384,12) + #forecast_hours: !calc tools.seq(0,120,1)+tools.seq(123,240,3)+tools.seq(240,384,12) + forecast_hours: !calc tools.seq(0,240,6) + gdas_hours: !calc tools.seq(0,9,3) max_job_tries: 1 realtime: False diff --git a/model/rocoto_style_fv3gfs/suite_def.yaml b/model/rocoto_style_fv3gfs/suite_def.yaml index 18e4232..dc23da1 100644 --- a/model/rocoto_style_fv3gfs/suite_def.yaml +++ b/model/rocoto_style_fv3gfs/suite_def.yaml @@ -84,6 +84,7 @@ suite: !Cycle + {tools.indent(" ",suite.common_metasched_vars)} @@ -125,8 +126,7 @@ suite: !Cycle post: !TaskArray Dimensions: - groupid: !calc tools.seq(0,4,1) - fhr: !calc tools.seq(0,9,1) + fhr: !calc doc.settings.gdas_hours #tools.seq(0,9,1) post_manager_el: !TaskElement <<: *exclusive_task_template Trigger: !Depend ( up.fcst.is_running() | up.fcst.is_completed() ) @@ -138,30 +138,30 @@ suite: !Cycle file: !expand >- {metasched.varref("COM")}/{up.CDUMP}.{metasched.datestring("%Y%m%d/%H/")}{up.CDUMP}.t{metasched.datestring("%H")}z.logf000.nemsio release_post_fhr: !DataEventElement - Name: !expand "release_post{idx.fhr:02d}" + Name: !expand "release_post{dimval.fhr:02d}" Foreach: [ fhr ] file: !expand > - {metasched.varref("COM")}/{up.CDUMP}.{metasched.datestring("%Y%m%d/%H/")}{up.CDUMP}.t{metasched.datestring("%H")}z.logf{idx.fhr:03d}.nemsio + {metasched.varref("COM")}/{up.CDUMP}.{metasched.datestring("%Y%m%d/%H/")}{up.CDUMP}.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio post_el: !TaskElement <<: *exclusive_task_template - Foreach: [ groupid ] + Foreach: [ fhr ] J_JOB: post.sh - Name: !expand "g{idx.groupid:03d}" - fhrgrp: !expand "{idx.groupid:03d}" + Name: !expand "g{dimidx.fhr:03d}" + fhrgrp: !expand "{dimidx.fhr:03d}" fhrlst: !FirstTrue - - when: !calc idx.groupid==0 + - when: !calc dimidx.fhr==0 do: anl - - otherwise: !expand "f{(idx.groupid-1)*3:03d}" + - otherwise: !expand "f{(dimidx.fhr-1)*3:03d}" ecflow_def: !calc more_vars rocoto_more_vars: !calc more_vars more_vars: !expand | {metasched.defenvar("FHRGRP",fhrgrp)} {metasched.defenvar("FHRLST",fhrlst)} release_id: !FirstTrue - - when: !calc idx.groupid==0 + - when: !calc dimidx.fhr==0 do: "anl" - - otherwise: !expand "{(idx.groupid-1)*3:02d}" + - otherwise: !expand "{(dimidx.fhr-1)*3:02d}" Trigger: !Depend post_manager.depend("release_post{F}",F=[release_id]) resources: !calc doc.resources.run_gdaspost @@ -187,10 +187,10 @@ suite: !Cycle resources: !calc ( doc.resources.run_eomg ) J_JOB: eomg.sh Foreach: [ groupid ] - Name: !expand "grp{idx.groupid}" + Name: !expand "grp{dimval.groupid}" ecflow_def: !calc more_vars rocoto_more_vars: !calc more_vars - ENSGRP: !expand "{idx.groupid:02d}" + ENSGRP: !expand "{dimval.groupid:02d}" more_vars: !expand | {metasched.defenvar("ENSGRP",ENSGRP)} @@ -215,10 +215,10 @@ suite: !Cycle resources: !calc ( doc.resources.run_efcs ) J_JOB: efcs.sh Foreach: [ groupid ] - Name: !expand "grp{idx.groupid}" + Name: !expand "grp{dimval.groupid}" ecflow_def: !calc more_vars rocoto_more_vars: !calc more_vars - ENSGRP: !expand "{idx.groupid:02d}" + ENSGRP: !expand "{dimval.groupid:02d}" more_vars: !expand | {metasched.defenvar("ENSGRP",ENSGRP)} @@ -252,7 +252,6 @@ suite: !Cycle post: !TaskArray Dimensions: - groupid: !calc tools.seq(0,5,1) fhr: !calc doc.settings.forecast_hours post_manager_el: !TaskElement @@ -266,26 +265,26 @@ suite: !Cycle file: !expand >- {metasched.varref("COM")}/{up.CDUMP}.{metasched.datestring("%Y%m%d/%H/")}{up.CDUMP}.t{metasched.datestring("%H")}z.logf000.nemsio release_post_fhr: !DataEventElement - Name: !expand "release_post{idx.fhr:02d}" + Name: !expand "release_post{dimval.fhr:02d}" Foreach: [ fhr ] file: !expand >- - {metasched.varref("COM")}/{up.CDUMP}.{metasched.datestring("%Y%m%d/%H/")}{up.CDUMP}.t{metasched.datestring("%H")}z.logf{idx.fhr:03d}.nemsio + {metasched.varref("COM")}/{up.CDUMP}.{metasched.datestring("%Y%m%d/%H/")}{up.CDUMP}.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio post_el: !TaskElement <<: *exclusive_task_template - Foreach: [ groupid ] + Foreach: [ fhr ] resources: !calc doc.resources.run_gfspost - Name: !expand "grp{idx.groupid:03d}" - fhrgrp: !expand "{idx.groupid:03d}" + Name: !expand "grp{dimidx.fhr:03d}" + fhrgrp: !expand "{dimidx.fhr:03d}" J_JOB: post.sh fhrlst: !FirstTrue - - when: !calc idx.groupid==0 + - when: !calc dimidx.fhr==0 do: anl - - otherwise: !expand "f{(idx.groupid-1)*6:03d}" + - otherwise: !expand "f{(dimidx.fhr-1)*6:03d}" release_id: !FirstTrue - - when: !calc idx.groupid==0 + - when: !calc dimidx.fhr==0 do: "00" - - otherwise: !expand "{(idx.groupid-1)*6:02d}" + - otherwise: !expand "{(dimidx.fhr-1)*6:02d}" ecflow_def: !calc more_vars rocoto_more_vars: !calc more_vars Trigger: !Depend post_manager.depend("release_post{F}",F=[release_id]) @@ -317,10 +316,10 @@ suite: !Cycle resources: !calc ( doc.resources.run_arch ) J_JOB: earc.sh Foreach: [ groupid ] - Name: !expand "grp{idx.groupid:02d}" + Name: !expand "grp{dimval.groupid:02d}" ecflow_def: !calc more_vars rocoto_more_vars: !calc more_vars - ENSGRP: !expand "{idx.groupid:02d}" + ENSGRP: !expand "{dimval.groupid:02d}" more_vars: !expand | {metasched.defenvar("ENSGRP",ENSGRP)} diff --git a/model/rocoto_style_fv3gfs/task_template.yaml b/model/rocoto_style_fv3gfs/task_template.yaml index bea3b11..a248dc7 100644 --- a/model/rocoto_style_fv3gfs/task_template.yaml +++ b/model/rocoto_style_fv3gfs/task_template.yaml @@ -8,7 +8,7 @@ task_template: &task_template Rocoto: !expand | {rocoto_command} {sched.rocoto_accounting(accounting,jobname=task_path_var, - outerr="&LOG_DIR;/@Y@m@d/@H/"+task_path_var+".log")} + outerr="&LOG_DIR;/@Y@m@d/@H/&PSLOT;_"+task_path_var+".log")} {sched.rocoto_resources(resources)} CDATE@Y@m@d@H EXPDIR&EXPDIR; @@ -28,6 +28,7 @@ task_template: &task_template export DATAROOT=%DATAROOT% export jlogfile=%ECF_OUT%/jlogfile export COMROOT=%COM% + export cycle=t%CYC%z ecf_file: !expand | #! /bin/sh diff --git a/model/rocoto_style_fv3gfs/workflow.xml b/model/rocoto_style_fv3gfs/workflow.xml index 222472d..127b646 100644 --- a/model/rocoto_style_fv3gfs/workflow.xml +++ b/model/rocoto_style_fv3gfs/workflow.xml @@ -3,12 +3,13 @@ + - + - + - + ]> @@ -31,7 +32,7 @@ &QUEUE; &PROJECT; gdas.prep - &LOG_DIR;/@Y@m@d/@H/gdas.prep.log + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.prep.log 0:15:00 3072M @@ -54,7 +55,7 @@ &QUEUE; &PROJECT; gdas.anal - &LOG_DIR;/@Y@m@d/@H/gdas.anal.log + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.anal.log 1:30:00 3072M @@ -82,7 +83,7 @@ &QUEUE; &PROJECT; gdas.fcst - &LOG_DIR;/@Y@m@d/@H/gdas.fcst.log + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.fcst.log 0:15:00 1024M @@ -108,7 +109,7 @@ &QUEUE; &PROJECT; gdas.post.g000 - &LOG_DIR;/@Y@m@d/@H/gdas.post.g000.log + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.post.g000.log 0:30:00 3072M @@ -129,7 +130,7 @@ &QUEUE; &PROJECT; gdas.post.g001 - &LOG_DIR;/@Y@m@d/@H/gdas.post.g001.log + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.post.g001.log 0:30:00 3072M @@ -150,7 +151,7 @@ &QUEUE; &PROJECT; gdas.post.g002 - &LOG_DIR;/@Y@m@d/@H/gdas.post.g002.log + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.post.g002.log 0:30:00 3072M @@ -171,7 +172,7 @@ &QUEUE; &PROJECT; gdas.post.g003 - &LOG_DIR;/@Y@m@d/@H/gdas.post.g003.log + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.post.g003.log 0:30:00 3072M @@ -187,34 +188,13 @@ &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf006.nemsio - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gdas.post.g004 - &LOG_DIR;/@Y@m@d/@H/gdas.post.g004.log - - 0:30:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - FHRGRP004 - FHRLSTf009 - - - &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf009.nemsio - - &HOMEgfs;/jobs/rocoto/vrfy.sh &QUEUE; &PROJECT; gdas.vrfy - &LOG_DIR;/@Y@m@d/@H/gdas.vrfy.log + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.vrfy.log 3:00:00 3072M @@ -235,7 +215,7 @@ &QUEUE; &PROJECT; gdas.enkf.eobs - &LOG_DIR;/@Y@m@d/@H/gdas.enkf.eobs.log + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.eobs.log 0:45:00 3072M @@ -265,9 +245,9 @@ &QUEUE; &PROJECT; gdas.enkf.eomg.grp1 - &LOG_DIR;/@Y@m@d/@H/gdas.enkf.eomg.grp1.log + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.eomg.grp1.log - 1:00:00 + 2:00:00 3072M 12:ppn=6 @@ -293,9 +273,9 @@ &QUEUE; &PROJECT; gdas.enkf.eomg.grp2 - &LOG_DIR;/@Y@m@d/@H/gdas.enkf.eomg.grp2.log + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.eomg.grp2.log - 1:00:00 + 2:00:00 3072M 12:ppn=6 @@ -322,7 +302,7 @@ &QUEUE; &PROJECT; gdas.enkf.eupd - &LOG_DIR;/@Y@m@d/@H/gdas.enkf.eupd.log + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.eupd.log 0:30:00 3072M @@ -334,15 +314,7 @@ - - - - - - - - - + @@ -352,7 +324,7 @@ &QUEUE; &PROJECT; gdas.enkf.ecen - &LOG_DIR;/@Y@m@d/@H/gdas.enkf.ecen.log + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.ecen.log 0:30:00 254M @@ -384,7 +356,7 @@ &QUEUE; &PROJECT; gdas.enkf.efcs.grp1 - &LOG_DIR;/@Y@m@d/@H/gdas.enkf.efcs.grp1.log + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.efcs.grp1.log 1:00:00 254M @@ -409,7 +381,7 @@ &QUEUE; &PROJECT; gdas.enkf.efcs.grp2 - &LOG_DIR;/@Y@m@d/@H/gdas.enkf.efcs.grp2.log + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.efcs.grp2.log 1:00:00 254M @@ -435,7 +407,7 @@ &QUEUE; &PROJECT; gdas.enkf.epos - &LOG_DIR;/@Y@m@d/@H/gdas.enkf.epos.log + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.epos.log 0:30:00 254M @@ -458,7 +430,7 @@ &QUEUE; &PROJECT; gfs.prep - &LOG_DIR;/@Y@m@d/@H/gfs.prep.log + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.prep.log 0:15:00 3072M @@ -481,7 +453,7 @@ &QUEUE; &PROJECT; gfs.anal - &LOG_DIR;/@Y@m@d/@H/gfs.anal.log + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.anal.log 1:30:00 3072M @@ -493,12 +465,7 @@ - - - - - - + @@ -509,9 +476,9 @@ &QUEUE; &PROJECT; gfs.fcst - &LOG_DIR;/@Y@m@d/@H/gfs.fcst.log + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.fcst.log - 6:00:00 + 1:30:00 1024M 16:ppn=12 @@ -521,12 +488,7 @@ - - - - - - + @@ -538,7 +500,7 @@ &QUEUE; &PROJECT; gfs.post.grp000 - &LOG_DIR;/@Y@m@d/@H/gfs.post.grp000.log + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp000.log 1:00:00 3072M @@ -562,7 +524,7 @@ &QUEUE; &PROJECT; gfs.post.grp001 - &LOG_DIR;/@Y@m@d/@H/gfs.post.grp001.log + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp001.log 1:00:00 3072M @@ -586,7 +548,7 @@ &QUEUE; &PROJECT; gfs.post.grp002 - &LOG_DIR;/@Y@m@d/@H/gfs.post.grp002.log + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp002.log 1:00:00 3072M @@ -610,7 +572,7 @@ &QUEUE; &PROJECT; gfs.post.grp003 - &LOG_DIR;/@Y@m@d/@H/gfs.post.grp003.log + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp003.log 1:00:00 3072M @@ -634,7 +596,7 @@ &QUEUE; &PROJECT; gfs.post.grp004 - &LOG_DIR;/@Y@m@d/@H/gfs.post.grp004.log + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp004.log 1:00:00 3072M @@ -658,7 +620,7 @@ &QUEUE; &PROJECT; gfs.post.grp005 - &LOG_DIR;/@Y@m@d/@H/gfs.post.grp005.log + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp005.log 1:00:00 3072M @@ -677,242 +639,1056 @@ - - - &HOMEgfs;/jobs/rocoto/vrfy.sh - &QUEUE; - &PROJECT; - gfs.vrfy - &LOG_DIR;/@Y@m@d/@H/gfs.vrfy.log - - 6:00:00 - 3072M - 1:ppn=1 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - - - - - - - - - - - - - - - - - - - - - - - DUMMY_VALUE - - &HOMEgfs;/jobs/rocoto/arch.sh - &QUEUESERV; - &PROJECT; - archive.gdasarch - &LOG_DIR;/@Y@m@d/@H/archive.gdasarch.log - - 6:00:00 - 3072M - 1 - - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMParchive - - - - - - - DUMMY_VALUE - - &HOMEgfs;/jobs/rocoto/earc.sh - &QUEUESERV; + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; &PROJECT; - archive.earc.grp00 - &LOG_DIR;/@Y@m@d/@H/archive.earc.grp00.log + gfs.post.grp006 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp006.log - 6:00:00 + 1:00:00 3072M - 1 - + 6:ppn=12 CDATE@Y@m@d@H EXPDIR&EXPDIR; - CDUMParchive - ENSGRP00 + CDUMPgfs + FHRGRP006 + FHRLSTf030 - + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf030.nemsio + + - - &HOMEgfs;/jobs/rocoto/earc.sh - &QUEUESERV; + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; &PROJECT; - archive.earc.grp01 - &LOG_DIR;/@Y@m@d/@H/archive.earc.grp01.log + gfs.post.grp007 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp007.log - 6:00:00 + 1:00:00 3072M - 1 - + 6:ppn=12 CDATE@Y@m@d@H EXPDIR&EXPDIR; - CDUMParchive - ENSGRP01 + CDUMPgfs + FHRGRP007 + FHRLSTf036 - + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf036.nemsio + + - - &HOMEgfs;/jobs/rocoto/earc.sh - &QUEUESERV; + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; &PROJECT; - archive.earc.grp02 - &LOG_DIR;/@Y@m@d/@H/archive.earc.grp02.log + gfs.post.grp008 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp008.log - 6:00:00 + 1:00:00 3072M - 1 - + 6:ppn=12 CDATE@Y@m@d@H EXPDIR&EXPDIR; - CDUMParchive - ENSGRP02 + CDUMPgfs + FHRGRP008 + FHRLSTf042 - + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf042.nemsio + + - - - &HOMEgfs;/jobs/rocoto/arch.sh - &QUEUESERV; - &PROJECT; - archive.gfsarch - &LOG_DIR;/@Y@m@d/@H/archive.gfsarch.log - - 6:00:00 - 3072M - 1 - - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMParchive - - - - - - - - - - - - - - - - - - - /bin/true - &QUEUESERV; - &PROJECT; - final_no_alarm - &LOG_DIR;/@Y@m@d/@H/final_no_alarm.log - - 0:02:00 - 300M - 1 - - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPfinal_no_alarm - - - - - /bin/true - &QUEUESERV; - &PROJECT; - final_for_gfs - &LOG_DIR;/@Y@m@d/@H/final_for_gfs.log - - 0:02:00 - 300M - 1 - - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPfinal_for_gfs - - - - - - - - - - - - - - - - - - - - - - - - /bin/true - &QUEUESERV; - &PROJECT; - final_for_gdas - &LOG_DIR;/@Y@m@d/@H/final_for_gdas.log - - 0:02:00 - 300M - 1 - - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPfinal_for_gdas - - - - + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp009 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp009.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP009 + FHRLSTf048 + + - - - - - + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf048.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp010 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp010.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP010 + FHRLSTf054 + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf054.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp011 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp011.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP011 + FHRLSTf060 + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf060.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp012 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp012.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP012 + FHRLSTf066 + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf066.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp013 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp013.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP013 + FHRLSTf072 + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf072.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp014 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp014.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP014 + FHRLSTf078 + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf078.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp015 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp015.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP015 + FHRLSTf084 + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf084.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp016 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp016.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP016 + FHRLSTf090 + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf090.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp017 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp017.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP017 + FHRLSTf096 + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf096.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp018 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp018.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP018 + FHRLSTf102 + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf102.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp019 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp019.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP019 + FHRLSTf108 + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf108.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp020 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp020.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP020 + FHRLSTf114 + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf114.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp021 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp021.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP021 + FHRLSTf120 + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf120.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp022 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp022.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP022 + FHRLSTf126 + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf126.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp023 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp023.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP023 + FHRLSTf132 + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf132.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp024 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp024.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP024 + FHRLSTf138 + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf138.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp025 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp025.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP025 + FHRLSTf144 + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf144.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp026 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp026.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP026 + FHRLSTf150 + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf150.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp027 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp027.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP027 + FHRLSTf156 + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf156.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp028 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp028.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP028 + FHRLSTf162 + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf162.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp029 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp029.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP029 + FHRLSTf168 + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf168.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp030 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp030.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP030 + FHRLSTf174 + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf174.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp031 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp031.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP031 + FHRLSTf180 + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf180.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp032 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp032.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP032 + FHRLSTf186 + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf186.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp033 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp033.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP033 + FHRLSTf192 + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf192.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp034 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp034.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP034 + FHRLSTf198 + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf198.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp035 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp035.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP035 + FHRLSTf204 + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf204.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp036 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp036.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP036 + FHRLSTf210 + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf210.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp037 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp037.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP037 + FHRLSTf216 + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf216.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp038 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp038.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP038 + FHRLSTf222 + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf222.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp039 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp039.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP039 + FHRLSTf228 + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf228.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp040 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp040.log + + 1:00:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP040 + FHRLSTf234 + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf234.nemsio + + + + + + + &HOMEgfs;/jobs/rocoto/vrfy.sh + &QUEUE; + &PROJECT; + gfs.vrfy + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.vrfy.log + + 6:00:00 + 3072M + 1:ppn=1 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + + + + + + + + + + + DUMMY_VALUE + + &HOMEgfs;/jobs/rocoto/arch.sh + &QUEUESERV; + &PROJECT; + archive.gdasarch + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_archive.gdasarch.log + + 6:00:00 + 3072M + 1 + + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMParchive + + + + + + + DUMMY_VALUE + + &HOMEgfs;/jobs/rocoto/earc.sh + &QUEUESERV; + &PROJECT; + archive.earc.grp00 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_archive.earc.grp00.log + + 6:00:00 + 3072M + 1 + + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMParchive + ENSGRP00 + + + + + + + &HOMEgfs;/jobs/rocoto/earc.sh + &QUEUESERV; + &PROJECT; + archive.earc.grp01 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_archive.earc.grp01.log + + 6:00:00 + 3072M + 1 + + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMParchive + ENSGRP01 + + + + + + + &HOMEgfs;/jobs/rocoto/earc.sh + &QUEUESERV; + &PROJECT; + archive.earc.grp02 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_archive.earc.grp02.log + + 6:00:00 + 3072M + 1 + + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMParchive + ENSGRP02 + + + + + + + + &HOMEgfs;/jobs/rocoto/arch.sh + &QUEUESERV; + &PROJECT; + archive.gfsarch + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_archive.gfsarch.log + + 6:00:00 + 3072M + 1 + + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMParchive + + + + + + + + + + + + + + /bin/true + &QUEUESERV; + &PROJECT; + final_no_alarm + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_final_no_alarm.log + + 0:02:00 + 300M + 1 + + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPfinal_no_alarm + + + + + /bin/true + &QUEUESERV; + &PROJECT; + final_for_gfs + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_final_for_gfs.log + + 0:02:00 + 300M + 1 + + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPfinal_for_gfs + + + + + + + + + + + + + + + /bin/true + &QUEUESERV; + &PROJECT; + final_for_gdas + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_final_for_gdas.log + + 0:02:00 + 300M + 1 + + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPfinal_for_gdas + + + + + + + + + + @@ -922,13 +1698,17 @@ - + + + + + + + + - - - @@ -955,11 +1735,16 @@ + + + + + + + + - - - @@ -972,11 +1757,15 @@ + + + + + + + - - - @@ -1000,7 +1789,7 @@ &QUEUESERV; &PROJECT; final - &LOG_DIR;/@Y@m@d/@H/final.log + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_final.log 0:02:00 300M From 1d1b24135668ed457df4e2e4d6140b099cb8d84f Mon Sep 17 00:00:00 2001 From: wx20st Date: Thu, 15 Feb 2018 18:38:33 +0000 Subject: [PATCH 344/487] yet more bug fixes for rocoto and ecflow owrkflow --- crow/config/tasks.py | 56 ++++++++++++++++--------- crow/metascheduler/algebra.py | 23 +++++++--- crow/metascheduler/ecflow.py | 15 ++++--- crow/metascheduler/graph.py | 79 ++++++++++++++++++++++++++--------- crow/metascheduler/rocoto.py | 44 ++++++++++--------- 5 files changed, 148 insertions(+), 69 deletions(-) diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 4ba5279..7c60664 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -69,7 +69,8 @@ class SuiteView(Mapping): '_more_globals']) def __init__(self,suite,viewed,path,parent, task_array_dimensions=None, - task_array_indices=None): + task_array_dimval=None, + task_array_dimidx=None): # assert(isinstance(suite,Suite)) # assert(isinstance(viewed,dict_eval)) assert(hasattr(self,'_iter_raw')) @@ -80,11 +81,16 @@ def __init__(self,suite,viewed,path,parent, task_array_dimensions) else: self.task_array_dimensions=OrderedDict() - if task_array_indices: - self.task_array_indices=OrderedDict( - task_array_indices) + if task_array_dimidx: + self.task_array_dimidx=OrderedDict( + task_array_dimidx) else: - self.task_array_indices=OrderedDict() + self.task_array_dimidx=OrderedDict() + if task_array_dimval: + self.task_array_dimval=OrderedDict( + task_array_dimval) + else: + self.task_array_dimval=OrderedDict() self.suite=suite self.viewed=viewed self.viewed.task_path_list=path[1:] @@ -670,7 +676,7 @@ class Family(Taskable): pass class Cycle(dict_eval): pass class TaskArrayElement(dict_eval): - def _duplicate(self,parent,dimensions,indices): + def _duplicate(self,parent,dimensions,dimval,dimidx): child_dimensions=dimensions if 'Foreach' in self: typecheck(f'{self._path}.Foreach',self.Foreach,Sequence,'sequence') @@ -683,19 +689,28 @@ def _duplicate(self,parent,dimensions,indices): dimensions=d2 dict_iter=[{}] if dimensions: - dict_iter=subdict_iter(dimensions) - for more_indices in dict_iter: - child_indices=copy(indices) - child_indices.update(more_indices) + dimensions_to_dimidx=dict() + for k,v in dimensions.items(): + dimensions_to_dimidx[k]=[n for n in range(len(v))] + dict_iter=subdict_iter(dimensions_to_dimidx) + for more_dimidx in dict_iter: + child_dimidx=copy(dimidx) + child_dimidx.update(more_dimidx) + child_dimval=dict() + for i_dimname,i_dimidx in child_dimidx.items(): + child_dimval[i_dimname]=dimensions[i_dimname][i_dimidx] cls=ARRAY_ELEMENT_TYPE_MAP[type(self)] t=cls(self._raw_child(),globals=self._globals()) t._path=self._path # used if Name is missing - t['idx']=dict_eval(child_indices) + t['dimlist']=dimensions + t['dimval']=dict_eval(child_dimval) + t['dimidx']=dict_eval(child_dimidx) name=t.Name t._path=f'{parent._path}.{name}' for k,v in self._raw_child().items(): if hasattr(v,'_duplicate'): - for name2,content2 in v._duplicate(t,child_dimensions,indices): + for name2,content2 in v._duplicate( + t,child_dimensions,dimval,dimidx): t[name2]=content2 yield name,t @@ -703,7 +718,7 @@ class DataEventElement(TaskArrayElement): pass class ShellEventElement(TaskArrayElement): pass class TaskElement(TaskArrayElement): pass - # def _duplicate(self,dimensions,indices): + # def _duplicate(self,dimensions,dimval): # if 'Foreach' in self: # typecheck(f'{self._path}.Foreach',self.Foreach,Sequence,'sequence') # d2=dict() @@ -716,12 +731,12 @@ class TaskElement(TaskArrayElement): pass # dict_iter=[{}] # if dimensions: # dict_iter=subdict_iter(dimensions) - # for more_indices in dict_iter: - # child_indices=copy(indices) - # child_indices.update(more_indices) + # for more_dimval in dict_iter: + # child_dimval=copy(dimval) + # child_dimval.update(more_dimval) # t=Task(self._raw_child(),globals=self._globals()) # t._path=self._path # used if Name is missing - # t['idx']=dict_eval(child_indices) + # t['idx']=dict_eval(child_dimval) # name=t.Name # t._path=f'{self._path}.{name}' # yield name,t @@ -730,7 +745,8 @@ class TaskArray(dict_eval): def _generate(self,parent_view): f=Family(self._raw_child(),path=self._path,globals=self._globals()) dimensions=copy(parent_view.task_array_dimensions) - indices=copy(parent_view.task_array_indices) + dimidx=copy(parent_view.task_array_dimidx) + dimval=copy(parent_view.task_array_dimval) child_dimensions=self.Dimensions dimensions.update(child_dimensions) for dimname,dimlist in child_dimensions.items(): @@ -738,7 +754,7 @@ def _generate(self,parent_view): raise TypeError(f'{self._path}: dimension {dimname} is not a list (is type {type(dimlist).__name__}).') for k,v in self._raw_child().items(): if hasattr(v,'_duplicate'): - for name,content in v._duplicate(f,child_dimensions,indices): + for name,content in v._duplicate(f,child_dimensions,dimval,dimidx): f[name]=content else: f[k]=v @@ -757,7 +773,7 @@ def _generate(self,parent_view): # class TaskArray(TaskableGenerator): # def __init__(self,*args,**kwargs): # super().init(*args,**kwargs) -# Indices=self.Indices +# Dimval=self.Dimval # varname=Index[0] # if not isinstance(varname,str): # raise TypeError('Index first argument should be a string variable ' diff --git a/crow/metascheduler/algebra.py b/crow/metascheduler/algebra.py index ce067da..17e7be3 100644 --- a/crow/metascheduler/algebra.py +++ b/crow/metascheduler/algebra.py @@ -13,9 +13,13 @@ def assume(tree,existing_cycles,current_cycle,assume_complete=None, assume_never_run=None): typecheck('tree',tree,LogicalDependency) + #print(f'ASSUME: {tree}') if isinstance(tree,CycleExistsDependency): - if tree.dt in existing_cycles: + rel_cycle=tree.dt+current_cycle + if rel_cycle in existing_cycles: + #print(f'{rel_cycle}: cylce exists in {existing_cycles}') return TRUE_DEPENDENCY + print(f'{rel_cycle}: cycle does not exist in {existing_cycles}') return FALSE_DEPENDENCY elif isinstance(tree,TaskExistsDependency): cycle=current_cycle+tree.view.path[0] @@ -27,11 +31,20 @@ def assume(tree,existing_cycles,current_cycle,assume_complete=None, return TRUE_DEPENDENCY else: return FALSE_DEPENDENCY - elif isinstance(tree,AndDependency) or isinstance(tree,OrDependency): - return type(tree)( *[ - assume(d,existing_cycles,current_cycle) for d in tree ]) + elif isinstance(tree,AndDependency): + a=TRUE_DEPENDENCY + for d in tree: + a=a & assume(d,existing_cycles,current_cycle) + print(f'AND: reduced {tree} to {a}') + return a + elif isinstance(tree,OrDependency): + a=FALSE_DEPENDENCY + for d in tree: + a=a | assume(d,existing_cycles,current_cycle) + print(f'OR: reduced {tree} to {a}') + return a elif isinstance(tree,NotDependency): - return NotDependency(assume(tree.depend,existing_cycles,current_cycle)) + return ~assume(tree.depend,existing_cycles,current_cycle) elif isinstance(tree,StateDependency): if assume_never_run and assume_never_run(tree.path): return FALSE_DEPENDENCY diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py index 60eaa95..6359b6a 100644 --- a/crow/metascheduler/ecflow.py +++ b/crow/metascheduler/ecflow.py @@ -22,6 +22,13 @@ RUNNING:'active', FAILED:'aborted' } +def skip_fun(node): + print(f'{node.path}: skip? trigger={node.trigger}') + print(f'{node.path}: skip? complete={node.complete}') + print(f'{node.path}: skip? might_complete={node.might_complete()}') + print(f'{node.path}: skip? is_always_complete={node.is_always_complete()}') + return not node.might_complete() or node.is_always_complete() + def relative_path(start,dest): """Used to generate relative paths for ecflow. Removes common path components and adds ".." components to go up one or more @@ -202,6 +209,7 @@ def _populate_job_graph(self): def _simplify_job_graph(self): for cycle in self._foreach_cycle(self._cycles_to_write()): + print(f'{cycle}: simplify cycle') self.graph.simplify_cycle(cycle) def _walk_job_graph(self,cycle,skip_fun=None,enter_fun=None,exit_fun=None): @@ -230,9 +238,6 @@ def exit_fun(node): ended=re.sub('/+','/',ended) sio.write(f'{indent}endfamily # {ended}\n') - def skip_fun(node): - return not node.might_complete() - for node in self._walk_job_graph(cycle,skip_fun=skip_fun,exit_fun=exit_fun): indent0=max(0,len(node.path)-1)*self.indent indent1=max(0,len(node.path))*self.indent @@ -290,7 +295,7 @@ def skip_fun(node): def _make_task_ecf_files(self,ecf_files,ecf_file_set, ecf_file_path,task): dt=self.suite.Clock.now-self.suite.Clock.start - if not self.graph.might_complete(task.at(dt).path): + if skip_fun(self.graph.get_node(task.at(dt).path)): return ecf_file_set=task.get('ecf_file_set',ecf_file_set) ecf_file_path=ecf_file_path+[task.path[-1]] @@ -302,7 +307,7 @@ def _make_task_ecf_files(self,ecf_files,ecf_file_set, def _make_family_ecf_files(self,ecf_files,ecf_file_set, ecf_file_path,family): dt=self.suite.Clock.now-self.suite.Clock.start - if not self.graph.might_complete(family.at(dt).path): + if skip_fun(self.graph.get_node(family.at(dt).path)): return ecf_file_set=family.get('ecf_file_set',ecf_file_set) ecf_file_path=ecf_file_path+[family.path[-1]] diff --git a/crow/metascheduler/graph.py b/crow/metascheduler/graph.py index 769ff39..a5332d4 100644 --- a/crow/metascheduler/graph.py +++ b/crow/metascheduler/graph.py @@ -18,15 +18,21 @@ def depth_first_traversal(tree,skip_fun=None,enter_fun=None, if memo is None: memo=set() if id(tree) in memo: return memo.add(id(tree)) - if skip_fun and skip_fun(tree): - return - if enter_fun: enter_fun(tree) + if skip_fun is not None: + if skip_fun(tree): + print(f'{tree.path}: skip') + return + else: + print(f'{tree.path}: do not skip') + if enter_fun is not None: + enter_fun(tree) yield tree for child in tree: for item in depth_first_traversal( child,skip_fun,enter_fun,exit_fun,memo): yield item - if exit_fun: exit_fun(tree) + if exit_fun is not None: + exit_fun(tree) class Node(object): def __init__(self,view,cycle): @@ -57,6 +63,8 @@ def force_always_complete(self): self.complete=TRUE_DEPENDENCY def assume(self,clock,assume_complete=None,assume_never_run=None): + trigger0=self.trigger + complete0=self.complete typecheck('self.alarm',self.alarm,Clock) if self.cycle not in self.alarm: self.trigger=FALSE_DEPENDENCY @@ -65,10 +73,19 @@ def assume(self,clock,assume_complete=None,assume_never_run=None): self.trigger=FALSE_DEPENDENCY self.complete=FALSE_DEPENDENCY else: + print(f'{self.path}: simplify trigger={self.trigger}') + print(f'{self.path}: simplify complete={self.complete}') self.trigger=algebra_simplify(algebra_assume( self.trigger,clock,self.cycle,assume_complete,assume_never_run)) self.complete=algebra_simplify(algebra_assume( self.complete,clock,self.cycle,assume_complete,assume_never_run)) + print(f'{self.path}: resulting trigger={self.trigger}') + print(f'{self.path}: resulting complete={self.complete}') + if trigger0!=self.trigger or complete0!=self.complete: + print(f'{self.path}: trigger {trigger0} => {self.trigger}') + print(f'{self.path}: complete {complete0} => {self.complete}') + return True + return False def is_family(self): return self.view.is_family() def is_task(self): return self.view.is_task() def has_trigger(self): @@ -83,9 +100,12 @@ def can_never_complete(self): return self.trigger==FALSE_DEPENDENCY and self.complete==FALSE_DEPENDENCY def is_always_complete(self): return self.complete==TRUE_DEPENDENCY + def has_no_dependencies(self): + return self.complete in [ TRUE_DEPENDENCY, FALSE_DEPENDENCY ] and \ + self.trigger in [ TRUE_DEPENDENCY, FALSE_DEPENDENCY ] def might_complete(self): - return self.trigger is not FALSE_DEPENDENCY or \ - self.complete is not FALSE_DEPENDENCY + return not (self.trigger is FALSE_DEPENDENCY and \ + self.complete is FALSE_DEPENDENCY ) def is_empty(self): return self.is_family() and not self.children def __copy__(self): @@ -122,22 +142,43 @@ def fun_assume_complete(path): def fun_assume_never_run(path): return path in never_run + self.__clock.now=cycle + while changed: changed=False for node in self.__nodes[cycle].values(): - if node.might_complete(): - node.assume(self.__clock,fun_assume_complete, - fun_assume_never_run) - if node.can_never_complete(): - for descendent in depth_first_traversal(node): - never_run.add(descendent.path) - descendent.force_never_run() - changed=True - elif node.is_always_complete(): - for descendent in depth_first_traversal(node): - always_complete.add(descendent.path) - descendent.force_always_complete() - changed=True + print(f'{node.path}: trigger {node.trigger}') + print(f'{node.path}: complete {node.complete}') + if node.is_always_complete(): + print(f'{node.path}: is always complete') + continue + if node.can_never_complete(): + print(f'{node.path}: can never complete') + continue + if node.has_no_dependencies(): + print(f'{node.path}: has no dependencies') + continue + if node.assume(self.__clock,fun_assume_complete, + fun_assume_never_run): + print(f'{node.path}: assumptions changed trigger or complete') + changed=True + if node.can_never_complete(): + never_run.add(node.path) + print(f'{node.path}: can never complete') + for descendent in depth_first_traversal(node): + print(f'{node.path}: descendent {descendent.path} can never complete') + never_run.add(descendent.path) + descendent.force_never_run() + changed=True + assert(not node.might_complete()) + elif node.is_always_complete(): + print(f'{node.path}: is always complete') + for descendent in depth_first_traversal(node): + always_complete.add(node.path) + print(f'{node.path}: descendent {descendent.path} is always complete') + always_complete.add(descendent.path) + descendent.force_always_complete() + changed=True def depth_first_traversal(self,cycle,skip_fun,enter_fun,exit_fun): if cycle not in self.__cycles: diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index dfab8d6..07fe5dc 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -276,17 +276,16 @@ def remove_undefined_tasks(self,tree): # Node is not defined, so assume it is complete dep_path=SuitePath([_ZERO_DT] + tree.view.path[1:]) if dep_path not in self.__all_defined: - return TRUE_DEPENDENCY + tree=TRUE_DEPENDENCY elif isinstance(tree,NotDependency): - return ( ~ self.remove_undefined_tasks(tree.depend) ) + tree=~ self.remove_undefined_tasks(tree.depend) elif isinstance(tree,AndDependency) or isinstance(tree,OrDependency): deplist = [ self.remove_undefined_tasks(t) for t in tree ] - return type(tree)(*deplist) + tree=type(tree)(*deplist) return tree def _rocotoify_dep(self,dep,defining_path): typecheck('dep',dep,LogicalDependency) - dep=self.remove_undefined_tasks(dep) try: if dep in self.__rocotoified: return self.__rocotoified[dep] @@ -302,10 +301,12 @@ def _rocotoify_dep_impl(self,dep,defining_path): if isinstance(dep,StateDependency): dep_path=SuitePath([_ZERO_DT] + dep.view.path[1:]) if dep_path not in self.__all_defined: - raise ValueError( - f'/{"/".join(defining_path[1:])}: ' - 'has a dependency on undefined task ' - f'/{"/".join(dep_path[1:])}') + #_logger.info( + #f'/{"/".join(defining_path[1:])}: ' + #'has a dependency on undefined task ' + #f'/{"/".join(dep_path[1:])}') + + return TRUE_DEPENDENCY if isinstance(dep,StateDependency) and not dep.view.is_task() and \ @@ -323,14 +324,16 @@ def _rocotoify_dep_impl(self,dep,defining_path): return dep | _dep_rel(dep.view.path[0],self._rocotoify_dep( self._completes_for(dep.view),defining_path)) elif SuitePath(dep.view.path[1:]) in self.__families_with_completes: - deplist=list() + deplist=TRUE_DEPENDENCY for t in dep.view.walk_task_tree(): if t.is_task(): - deplist.append(_dep_rel(dep.view.path[0],self._rocotoify_dep( - t.is_completed(),defining_path))) - return AndDependency(*deplist) | _dep_rel(dep.path[0], \ + deplist = deplist & \ + _dep_rel(dep.view.path[0],self._rocotoify_dep( + t.is_completed(),defining_path)) + deplist = deplist | _dep_rel(dep.path[0], \ self._rocotoify_dep(self._completes_for(dep.view), defining_path)) + return deplist elif isinstance(dep,NotDependency): return NotDependency(self._rocotoify_dep(dep.depend,defining_path)) elif isinstance(dep,OrDependency) or isinstance(dep,AndDependency): @@ -341,6 +344,7 @@ def _rocotoify_dep_impl(self,dep,defining_path): def _as_rocoto_dep(self,dep,defining_path): dep=dep.copy_dependencies() + dep=self.remove_undefined_tasks(dep) dep=self._rocotoify_dep(dep,defining_path) dep=simplify(dep) return dep @@ -361,11 +365,11 @@ def _validate_cycle(self): def _record_item(self,view,complete,alarm_name): if view.get('Disable',False): return - complete=complete | view.get_complete_dep() + my_completes = view.get_complete_dep() self.__all_defined.add(view.path) - if complete is not FALSE_DEPENDENCY: - complete=complete | view.get_complete_dep() + if my_completes is not FALSE_DEPENDENCY: + complete=complete | my_completes self.__completes[view.path]=[view, complete] if 'AlarmName' in view: @@ -405,13 +409,15 @@ def _convert_item(self,fd,indent,view,trigger,complete,time,alarm_name): raise ValueError('{view.task_path_var}: nested alarms are not supported in crow.metascheduler.to_rocoto()') else: alarm_name=view.AlarmName - + + dep=trigger&~complete + dep=simplify(dep) if view.is_task(): maxtries=int(view.get( 'max_tries',self.suite.Rocoto.get('max_tries',0))) attr = f' maxtries="{maxtries}"' if maxtries else '' - self._write_task_text(fd,attr,indent,view,trigger&~complete,time,alarm_name) + self._write_task_text(fd,attr,indent,view,dep,time,alarm_name) return self.__dummy_var_count+=1 @@ -448,7 +454,7 @@ def _write_task_text(self,fd,attr,indent,view,dependency,time,alarm_name, fd.write(f' cycledefs="{alarm_name}"') fd.write('>\n') - dep=self._as_rocoto_dep(dependency,view.path) + dep=self._as_rocoto_dep(simplify(dependency),view.path) dep_count = ( dep != TRUE_DEPENDENCY ) + ( time>timedelta.min ) @@ -641,8 +647,6 @@ def _handle_final_task(self,fd,indent): invalidate_cache(self.suite,recurse=True) self.suite.viewed[task_name]=new_task new_task_view=self.suite[task_name] - #print(f'[[[{type(self.suite.viewed._raw("final")._raw("Rocoto"))}]]] =>\n[[[{self.suite.viewed._raw("final")}]]]') - #print(f'[[[{type(new_task._raw("Rocoto"))}]]] =>\n[[[{new_task.Rocoto}]]]') del new_task self.__all_defined.add(SuitePath( [_ZERO_DT] + new_task_view.path[1:])) From d20abb156d9cbb66e76c2ef9bde298e221c099a4 Mon Sep 17 00:00:00 2001 From: wx20st Date: Thu, 15 Feb 2018 19:18:39 +0000 Subject: [PATCH 345/487] rename ecflow job stdout/stderr files so they contain the experiment_name --- model/rocoto_style_fv3gfs/suite_def.yaml | 5 ++++- model/rocoto_style_fv3gfs/task_template.yaml | 2 +- model/rocoto_style_fv3gfs/workflow.xml | 2 +- 3 files changed, 6 insertions(+), 3 deletions(-) diff --git a/model/rocoto_style_fv3gfs/suite_def.yaml b/model/rocoto_style_fv3gfs/suite_def.yaml index dc23da1..6a4ed8c 100644 --- a/model/rocoto_style_fv3gfs/suite_def.yaml +++ b/model/rocoto_style_fv3gfs/suite_def.yaml @@ -102,11 +102,14 @@ suite: !Cycle gdas: !Family AlarmName: gdas - dump_waiter: *dump_waiter_task ecflow_def: | edit MODEL_NAME 'gdas' + dump_waiter: !Task + <<: *dump_waiter_task + Complete: !Depend ~ suite.has_cycle('-6:00:00') + prep: !Task <<: *exclusive_task_template Trigger: !Depend up.gdas.post.at('-6:00:00') & dump_waiter.updated_status diff --git a/model/rocoto_style_fv3gfs/task_template.yaml b/model/rocoto_style_fv3gfs/task_template.yaml index a248dc7..71bccde 100644 --- a/model/rocoto_style_fv3gfs/task_template.yaml +++ b/model/rocoto_style_fv3gfs/task_template.yaml @@ -79,7 +79,7 @@ task_template: &task_template # Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. ecf_batch_resources: !expand |- - {sched.batch_accounting(accounting,jobname=task_path_var,outerr="%ECF_OUT%/%PDY%%CYC%_"+task_path_var+".log")} + {sched.batch_accounting(accounting,jobname=task_path_var,outerr="%ECF_OUT%/%EMCPEN%_%PDY%%CYC%_"+task_path_var+".log")} {sched.batch_resources(resources)} ecf_resource_more: !expand |- diff --git a/model/rocoto_style_fv3gfs/workflow.xml b/model/rocoto_style_fv3gfs/workflow.xml index 127b646..d4b7ce1 100644 --- a/model/rocoto_style_fv3gfs/workflow.xml +++ b/model/rocoto_style_fv3gfs/workflow.xml @@ -11,7 +11,7 @@ - + ]> From 110345c13e0b73bc54f1f78cf7c14dcfc5669617 Mon Sep 17 00:00:00 2001 From: Terry McGuinness Date: Thu, 15 Feb 2018 19:40:15 +0000 Subject: [PATCH 346/487] fixed args to checkout and other fixes for updated build --- tests/regression/fv3gfs_regression.sh | 31 +++++++++++++++++++-------- 1 file changed, 22 insertions(+), 9 deletions(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index 9db4b43..a36fe9b 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -86,12 +86,12 @@ PTMP_theia='/scratch4/NCEPDEV/stmp4' if [[ -d /scratch4/NCEPDEV ]]; then system="theia" elif [[ -d /gpfs/hps3 ]]; then - system="cray" + system="wcoss_cray" else log_message "CRITICAL" "Unknown machine $system, not supported" fi -if [[ $system == "cray" ]]; then +if [[ $system == "wcoss_cray" ]]; then ICS_dir=$ICS_dir_cray PTMP=$PTMP_cray else @@ -344,7 +344,7 @@ echo "EDATE : $edate" echo "EXPDIR : $exp_dir_fullpath" echo -e "EXTRA : $EXTRA_SETUP_STRING\n" -if [[ $INTERACTIVE == "TRUE" ]]; then +if [ $INTERACTIVE == "TRUE" ] || [ $- == *i* ]; then while read -n1 -r -p "Are these the correct settings (y/n): " answer do if [[ $answer == "n" ]]; then @@ -393,13 +393,17 @@ if [[ $CREATE_EXP == 'TRUE' ]]; then log_message "INFO" "setting up experiment: ${setup_expt} ${exp_setup_string}" removed='' if [[ -d $exp_dir_fullpath ]]; then - removed='it was present but now has been removed' + exp_dir_fullpath_movename=$exp_dir_fullpath.$(date +%H%M%S) + mv $exp_dir_fullpath $exp_dir_fullpath_movename + removed="but it was present so the prior directory was moved to $exp_dir_fullpath_movename" fi rm -Rf $exp_dir_fullpath log_message "INFO" "experiment directory is $exp_dir_fullpath $removed" removed='' if [[ -d $comrot_test_dir ]]; then - removed='it was present but now has been removed' + comrot_test_dir_movename=$comrot_test_dir.$(date +%H%M%S) + mv $comrot_test_dir $comrot_test_dir_movename + removed="but it was present so the prior directory was moved to $comrot_test_dir_movename" fi rm -Rf $comrot_test_dir log_message "INFO" "comrot directory is $comrot_test_dir $removed" @@ -431,13 +435,22 @@ if [[ $BUILD == 'TRUE' ]]; then cd ${checkout_dir_basename}/sorc - sed -i 's/cd gsi.fd/cd gsi.fd\n checkout DA-FV3-IMPL/' checkout.sh - log_message "WARNING" "just updated checkout.sh script and added line to checkout DA-FV3-IMPL branch for gsi instead of master" + # This is in BUILD branch for you + #sed -i 's/cd gsi.fd/cd gsi.fd\n checkout DA-FV3-IMPL/' checkout.sh + #log_message "WARNING" "just updated checkout.sh script and added line to checkout DA-FV3-IMPL branch for gsi instead of master" log_message "INFO" "running checkout script: $PWD/checkout.sh $username" + export GIT_TERMINAL_PROMPT=0 ./checkout.sh $username - log_message "INFO" "running build script: $PWD/build_all.sh $system" - ./build_all.sh ${system} + if [[ $CASE=="BASE_org" ]]; then + build_all_args='cray' + else + build_all_args='config=fv3gfs_build.cfg' + fi + log_message "INFO" "running build script: $PWD/build_all.sh $build_all_args" + ./build_all.sh $build_all_args + log_message "INFO" "running link_fv3gfs.sh" + ./link_fv3gfs.sh num_shared_exec=`ls -1 ../exec | wc -l` if [[ $num_shared_exec != $num_expected_exec ]]; then log_message "WARNING" "number of executables in shared exec: $num_shared_exec was found and was expecting $num_expected_exec" From bd64fac0b85a7d9f55ddb30f4fe835ec4b61832e Mon Sep 17 00:00:00 2001 From: wx20st Date: Thu, 15 Feb 2018 20:20:18 +0000 Subject: [PATCH 347/487] yet more bug fixes for ecflow and rocoto support. Added a post manager. --- crow/config/eval_tools.py | 2 ++ crow/config/tasks.py | 16 +++++++------- crow/config/tools.py | 2 +- model/rocoto_style_fv3gfs/_main.yaml | 1 + model/rocoto_style_fv3gfs/post_manager.yaml | 22 ++++++++++++++++++++ model/rocoto_style_fv3gfs/suite_def.yaml | 8 +++++-- model/rocoto_style_fv3gfs/task_template.yaml | 2 ++ 7 files changed, 42 insertions(+), 11 deletions(-) create mode 100644 model/rocoto_style_fv3gfs/post_manager.yaml diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 259e989..74a3213 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -48,6 +48,8 @@ def _is_error(self): pass class expand(str): """!Represents a literal format string.""" def _result(self,globals,locals): + if(self == '--{up}--'): + assert('up' in locals) if "'''" in self: raise ValueError("!expand strings cannot include three single " f"quotes in a row ('''): {self[:80]}") diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 7c60664..c675d7f 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -97,13 +97,6 @@ def __init__(self,suite,viewed,path,parent, self.viewed.task_path_str='/'+'/'.join(path[1:]) self.viewed.task_path_var='.'.join(path[1:]) self.viewed._path=self.viewed.task_path_var - if isinstance(self.viewed,Task): - for k,v in self.viewed.items(): - v=copy(v) - if hasattr(v,"_validate"): - v._validate('suite') - if self.__can_wrap(v): - self.viewed[k]=v if type(self.viewed) in SUITE_CLASS_MAP: self.viewed.up=parent self.viewed.this=self @@ -116,6 +109,13 @@ def __init__(self,suite,viewed,path,parent, for k,v in self.viewed._raw_child().items(): if hasattr(v,'_as_dependency'): continue self.viewed[k]=from_config(k,v,globals,locals,self.viewed._path) + if isinstance(self.viewed,Task): + for k,v in self.viewed.items(): + v=copy(v) + if hasattr(v,"_validate"): + v._validate('suite') + if self.__can_wrap(v): + self.viewed[k]=v assert(isinstance(viewed,Cycle) or self.viewed.task_path_var != parent.task_path_var) def _is_suite_view(self): pass @@ -702,7 +702,7 @@ def _duplicate(self,parent,dimensions,dimval,dimidx): cls=ARRAY_ELEMENT_TYPE_MAP[type(self)] t=cls(self._raw_child(),globals=self._globals()) t._path=self._path # used if Name is missing - t['dimlist']=dimensions + t['dimlist']=dict_eval(dimensions) t['dimval']=dict_eval(child_dimval) t['dimidx']=dict_eval(child_dimidx) name=t.Name diff --git a/crow/config/tools.py b/crow/config/tools.py index 4933c54..53a7d2e 100644 --- a/crow/config/tools.py +++ b/crow/config/tools.py @@ -21,7 +21,7 @@ def to_YMDH(d): return d.strftime('%Y%m%d%H') def to_YMD(d): return d.strftime('%Y%m%d') def from_YMDH(d): return datetime.datetime.strptime(d,'%Y%m%d%H') def from_YMD(d): return datetime.datetime.strptime(d,'%Y%m%d') -def join(L,J): return J.join(L) +def join(L,J): return J.join([str(i) for i in L]) def seq(start,end,step): return [ r for r in range(start,end+1,step) ] diff --git a/model/rocoto_style_fv3gfs/_main.yaml b/model/rocoto_style_fv3gfs/_main.yaml index 3a16845..abc625d 100644 --- a/model/rocoto_style_fv3gfs/_main.yaml +++ b/model/rocoto_style_fv3gfs/_main.yaml @@ -3,6 +3,7 @@ include: - settings.yaml - resources_C192_C192.yaml - validator.yaml + - post_manager.yaml - task_template.yaml - dump_waiter.yaml - suite_def.yaml diff --git a/model/rocoto_style_fv3gfs/post_manager.yaml b/model/rocoto_style_fv3gfs/post_manager.yaml new file mode 100644 index 0000000..4171c9b --- /dev/null +++ b/model/rocoto_style_fv3gfs/post_manager.yaml @@ -0,0 +1,22 @@ +post_manager_job_contents: &post_manager_job_contents !expand | + fhrs='anl {tools.join(up.Dimensions.fhr," ")}' + CDATE=%PDY%%CYC% + for fid in $fhrs ; do + if [[ "$fhr" == anl ]] ; then + fhr3=f000 + event=release_postanl + else + fhr3=$( printf %%03d $fid ) + event=release_post$fhr3 + fi + + file=%COM%/%CDUMP%.%PDY%/%CYC%/.t%CYC%z.log$fhr3.nemsio + + while ( ! -s "$file" ) ; do + echo "$file: nope" + sleep 33 + done + echo "$file: yup" + ecflow_client --event "$event" + done + echo "done" diff --git a/model/rocoto_style_fv3gfs/suite_def.yaml b/model/rocoto_style_fv3gfs/suite_def.yaml index 6a4ed8c..1c17f2e 100644 --- a/model/rocoto_style_fv3gfs/suite_def.yaml +++ b/model/rocoto_style_fv3gfs/suite_def.yaml @@ -136,6 +136,7 @@ suite: !Cycle Disable: !calc metasched.type=='rocoto' Foreach: [] J_JOB: post_manager + ecflow_command: *post_manager_job_contents Name: post_manager release_postanl: !DataEvent file: !expand >- @@ -145,7 +146,8 @@ suite: !Cycle Foreach: [ fhr ] file: !expand > {metasched.varref("COM")}/{up.CDUMP}.{metasched.datestring("%Y%m%d/%H/")}{up.CDUMP}.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio - + # NOTE: the above files must match post_manager.yaml + post_el: !TaskElement <<: *exclusive_task_template Foreach: [ fhr ] @@ -261,7 +263,8 @@ suite: !Cycle <<: *exclusive_task_template Disable: !calc metasched.type == 'rocoto' Trigger: !Depend ( up.fcst.is_running() | up.fcst.is_completed() ) - Foreach: [ fhr ] + Foreach: [ ] + ecflow_command: *post_manager_job_contents J_JOB: post_manager Name: post_manager release_postanl: !DataEvent @@ -272,6 +275,7 @@ suite: !Cycle Foreach: [ fhr ] file: !expand >- {metasched.varref("COM")}/{up.CDUMP}.{metasched.datestring("%Y%m%d/%H/")}{up.CDUMP}.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio + # NOTE: the above files must match post_manager.yaml post_el: !TaskElement <<: *exclusive_task_template diff --git a/model/rocoto_style_fv3gfs/task_template.yaml b/model/rocoto_style_fv3gfs/task_template.yaml index 71bccde..e0b6960 100644 --- a/model/rocoto_style_fv3gfs/task_template.yaml +++ b/model/rocoto_style_fv3gfs/task_template.yaml @@ -29,6 +29,8 @@ task_template: &task_template export jlogfile=%ECF_OUT%/jlogfile export COMROOT=%COM% export cycle=t%CYC%z + export CDATE=%PDY%%CYC% + export EXPDIR="{doc.settings.EXPDIR}" ecf_file: !expand | #! /bin/sh From 94b7a222297a9623231bbe01f9fdfa3f6959c791 Mon Sep 17 00:00:00 2001 From: Terry McGuinness Date: Thu, 15 Feb 2018 20:46:47 +0000 Subject: [PATCH 348/487] syntax error for testing BASE_org --- tests/regression/fv3gfs_regression.sh | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index a36fe9b..008b007 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -442,7 +442,7 @@ if [[ $BUILD == 'TRUE' ]]; then log_message "INFO" "running checkout script: $PWD/checkout.sh $username" export GIT_TERMINAL_PROMPT=0 ./checkout.sh $username - if [[ $CASE=="BASE_org" ]]; then + if [[ $CASE == "BASE_org" ]]; then build_all_args='cray' else build_all_args='config=fv3gfs_build.cfg' From f3dccdf762de6abce17b59fc336f8b1f921e07c3 Mon Sep 17 00:00:00 2001 From: wx20st Date: Thu, 15 Feb 2018 23:43:25 +0000 Subject: [PATCH 349/487] yet more fixes for rocoto and ecflow support. Rocoto final tasks may now work with alarms and completes --- crow/config/eval_tools.py | 13 +- crow/config/tasks.py | 12 +- crow/config/tools.py | 4 + crow/metascheduler/rocoto.py | 119 +++++++--- model/rocoto_style_fv3gfs/post_manager.yaml | 4 +- model/rocoto_style_fv3gfs/suite_def.yaml | 40 ++-- model/rocoto_style_fv3gfs/task_template.yaml | 17 +- model/rocoto_style_fv3gfs/validator.yaml | 21 +- model/rocoto_style_fv3gfs/workflow.xml | 228 ++++++------------- 9 files changed, 221 insertions(+), 237 deletions(-) diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 74a3213..2b6ef0a 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -42,7 +42,9 @@ class user_error_message(str): """!Used to embed assertions in configuration code.""" def _result(self,globals,locals): - raise ConfigUserError(eval("f'''"+self+"'''",globals,locals)) + c=copy(globals) + c['this']=locals + raise ConfigUserError(eval("f'''"+self+"'''",c,locals)) def _is_error(self): pass class expand(str): @@ -56,7 +58,9 @@ def _result(self,globals,locals): cmd=self if cmd[-1] == "'": cmd=cmd[:-1] + "\\" + cmd[-1] - return eval("f'''"+cmd+"'''",globals,locals) + c=copy(globals) + c['this']=locals + return eval("f'''"+cmd+"'''",c,locals) #f''''blah bla'h \'''' @@ -66,14 +70,15 @@ def __repr__(self): return '%s(%s)'%(type(self).__name__, super().__repr__()) def _result(self,globals,locals): - return eval(self,globals,locals) + c=copy(globals) + c['this']=locals + return eval(self,c,locals) def from_config(key,val,globals,locals,path): """!Converts s strcalc cor Conditional to another data type via eval(). Other types are returned unmodified.""" try: if hasattr(val,'_result'): - #_logger.debug(f'{path}: expand {key} with locals {list(locals.keys())}') result=val._result(globals,locals) return from_config(key,result,globals,locals,path) return val diff --git a/crow/config/tasks.py b/crow/config/tasks.py index c675d7f..8b02793 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -99,10 +99,13 @@ def __init__(self,suite,viewed,path,parent, self.viewed._path=self.viewed.task_path_var if type(self.viewed) in SUITE_CLASS_MAP: self.viewed.up=parent - self.viewed.this=self + self.viewed.this=self.viewed + elif not isinstance(self.viewed,Cycle): + assert(False) self.path=SuitePath(path) self.parent=parent self.__cache={} + assert(isinstance(self.viewed,Cycle) or 'this' in self.viewed) if isinstance(self.viewed,Slot): locals=multidict(self.parent,self.viewed) globals=self.viewed._get_globals() @@ -110,11 +113,16 @@ def __init__(self,suite,viewed,path,parent, if hasattr(v,'_as_dependency'): continue self.viewed[k]=from_config(k,v,globals,locals,self.viewed._path) if isinstance(self.viewed,Task): + assert(isinstance(self.viewed,Cycle) or 'this' in self.viewed) for k,v in self.viewed.items(): - v=copy(v) + copied=False if hasattr(v,"_validate"): + copied=True + v=copy(v) v._validate('suite') if self.__can_wrap(v): + if not copied: + v=copy(v) self.viewed[k]=v assert(isinstance(viewed,Cycle) or self.viewed.task_path_var != parent.task_path_var) diff --git a/crow/config/tools.py b/crow/config/tools.py index 53a7d2e..db5ed4f 100644 --- a/crow/config/tools.py +++ b/crow/config/tools.py @@ -82,11 +82,15 @@ def indent(prefix,text): given prefix prepended to each line. """ return '\n'.join([prefix+L for L in text.splitlines()]) +def expand(string,**kwargs): + return eval(f"f'''{string}'''",{},kwargs) + ## The CONFIG_TOOLS contains the tools available to configuration yaml ## "!calc" expressions in their "tools" variable. CONFIG_TOOLS=crow.tools.ImmutableMapping({ 'fort':fort, 'seq':seq, + 'expand':expand, 'crow_install_dir':crow_install_dir, 'to_upper':(lambda s: s.upper()), 'to_lower':(lambda s: s.lower()), diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index 07fe5dc..871fda5 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -74,6 +74,39 @@ def _has_alarms(self,item): if _has_alarms(subitem): return True return False +def _entirely_in_alarm(item,desired_alarm,recursed_alarm): + if 'AlarmName' in item: + recursed_alarm=item.AlarmName + + if desired_alarm!=recursed_alarm: return False + + if item.is_family(): + for subitem in item.child_iter(): + if item.is_family() or item.is_task(): + if not _entirely_in_alarm( + subitem,desired_alarm,recursed_alarm): + return False + return True + + +def _none_are_in_alarm(item,desired_alarm,recursed_alarm): + if 'AlarmName' in item: + recursed_alarm=item.AlarmName + + if desired_alarm==recursed_alarm: + print(f'NAIA: {item.path}: alarm {recursed_alarm} is in alarm {desired_alarm}') + return False + + if item.is_family() or item.is_cycle(): + for subitem in item.child_iter(): + if subitem.is_family() or subitem.is_task(): + if not _none_are_in_alarm( + subitem,desired_alarm,recursed_alarm): + print(f'NAIA: {item.path}: subitem {subitem.path} in alarm {desired_alarm}') + return False + print(f'NAIA: {item.path}: self and children not in {desired_alarm}') + return True + def stringify_clock(name,clock,indent): start_time=clock.start.strftime('%Y%m%d%H%M') end_time=clock.end.strftime('%Y%m%d%H%M') @@ -539,6 +572,22 @@ def _final_task_deps_no_alarms(self,item): return dep def _final_task_deps_for_alarm(self,item,for_alarm,alarm_name=''): + # For tasks: + # item is not in alarm + # OR + # item is complete + # OR + # item completion condition is met + # OR + # item is disabled + # For families: + # item completion condition is met + # OR + # item is disabled + # OR + # entirety of family is not in alarm + # OR + # final_task_deps... for any children are not met if 'AlarmName' in item: alarm_name=item.AlarmName @@ -546,56 +595,54 @@ def _final_task_deps_for_alarm(self,item,for_alarm,alarm_name=''): with_completes=self.__families_with_completes with_alarms=self.__families_with_alarms + # Disabled applies recursively to families, so if this node is + # disabled, the netire tree is done: if 'Disabled' in item and item.Disabled: + print(f'{path}: disabled') + return TRUE_DEPENDENCY + + # If nothing in the entire tree is in the alarm, then we're done. + if _none_are_in_alarm(item,for_alarm,alarm_name): + print(f'{path}: entire tree is not in alarm') return TRUE_DEPENDENCY - if item.is_task(): - if alarm_name!=for_alarm: - # Assume tasks that are not in this cycle have completed. - return TRUE_DEPENDENCY - dep = item.is_completed() + if len(path)==1 and '_is_final_' in path: + # Do not have final tasks depend on one another + return TRUE_DEPENDENCY + elif path: + dep=item.is_completed() if item.path in self.__completes: dep = dep | self.__completes[item.path][1] - return dep - # Initial completion dependency is the task or family - # completion unless this item is the Suite. Suites must be - # handled differently. - if path: - if alarm_name==for_alarm: - dep = item.is_completed() # Family in alarm - else: - dep = FALSE_DEPENDENCY # Family not in alarm + if item.is_task(): + # No children. We're done. + print(f'{path}: task dep {dep}') + return dep else: - dep = FALSE_DEPENDENCY # Suite + # This is a suite. + dep=FALSE_DEPENDENCY - if path and path not in with_completes and path not in with_alarms: - # Families with no "complete" dependency and no alarms in - # their entire tree have no further dependencies to - # identify. Their own completion is the entirety of the - # completion dependency. + if path and _entirely_in_alarm(item,for_alarm,alarm_name) and \ + path not in with_completes: + # Families with no "complete" dependency in their entire + # tree have no further dependencies to identify. Their + # own completion is the entirety of the completion + # dependency. return dep subdep=TRUE_DEPENDENCY for subitem in item.child_iter(): - if 'Disabled' in subitem and subitem.Disabled: - continue - if not path and subitem.path[1:][:5] == [ 'final' ]: - # Special case. Do not include final tasks' - # dependencies in the final tasks' dependencies. - continue - if not subitem.is_task() and not subitem.is_family(): - continue - indep=self._final_task_deps_for_alarm( + dep2=self._final_task_deps_for_alarm( subitem,for_alarm,alarm_name) - if indep not in [ TRUE_DEPENDENCY, FALSE_DEPENDENCY ]: - subdep=subdep & indep + subdep=subdep & dep2 + del dep2 - if dep is FALSE_DEPENDENCY: - dep=subdep - else: - dep=dep | subdep + if subdep is not TRUE_DEPENDENCY: + dep=subdep + if item.path in self.__completes: + dep = self.__completes[item.path][1] | subdep + print(f'{path}: family or suite dep {dep}') return dep def _handle_final_task(self,fd,indent): @@ -639,10 +686,12 @@ def _handle_final_task(self,fd,indent): alarms = set(self.__alarms_used) alarms.add('') for alarm_name in alarms: + print(f'find final for {alarm_name}') dep = self._final_task_deps_for_alarm(self.suite,alarm_name) dep = simplify(dep) task_name=f'final_for_{alarm_name}' if alarm_name else 'final_no_alarm' new_task=copy(self.suite.final.viewed) + new_task['_is_final_']=True new_task['AlarmName']=alarm_name invalidate_cache(self.suite,recurse=True) self.suite.viewed[task_name]=new_task diff --git a/model/rocoto_style_fv3gfs/post_manager.yaml b/model/rocoto_style_fv3gfs/post_manager.yaml index 4171c9b..91ce460 100644 --- a/model/rocoto_style_fv3gfs/post_manager.yaml +++ b/model/rocoto_style_fv3gfs/post_manager.yaml @@ -2,7 +2,7 @@ post_manager_job_contents: &post_manager_job_contents !expand | fhrs='anl {tools.join(up.Dimensions.fhr," ")}' CDATE=%PDY%%CYC% for fid in $fhrs ; do - if [[ "$fhr" == anl ]] ; then + if [[ "fid" == anl ]] ; then fhr3=f000 event=release_postanl else @@ -10,7 +10,7 @@ post_manager_job_contents: &post_manager_job_contents !expand | event=release_post$fhr3 fi - file=%COM%/%CDUMP%.%PDY%/%CYC%/.t%CYC%z.log$fhr3.nemsio + file=%COM%/$CDUMP.%PDY%/%CYC%/.t%CYC%z.log$fhr3.nemsio while ( ! -s "$file" ) ; do echo "$file: nope" diff --git a/model/rocoto_style_fv3gfs/suite_def.yaml b/model/rocoto_style_fv3gfs/suite_def.yaml index 1c17f2e..9282380 100644 --- a/model/rocoto_style_fv3gfs/suite_def.yaml +++ b/model/rocoto_style_fv3gfs/suite_def.yaml @@ -153,16 +153,12 @@ suite: !Cycle Foreach: [ fhr ] J_JOB: post.sh Name: !expand "g{dimidx.fhr:03d}" - fhrgrp: !expand "{dimidx.fhr:03d}" - fhrlst: !FirstTrue + FHRGRP: !expand "{dimidx.fhr:03d}" + FHRLST: !FirstTrue - when: !calc dimidx.fhr==0 do: anl - otherwise: !expand "f{(dimidx.fhr-1)*3:03d}" - ecflow_def: !calc more_vars - rocoto_more_vars: !calc more_vars - more_vars: !expand | - {metasched.defenvar("FHRGRP",fhrgrp)} - {metasched.defenvar("FHRLST",fhrlst)} + more_vars: [ FHRGRP, FHRLST ] release_id: !FirstTrue - when: !calc dimidx.fhr==0 do: "anl" @@ -193,11 +189,8 @@ suite: !Cycle J_JOB: eomg.sh Foreach: [ groupid ] Name: !expand "grp{dimval.groupid}" - ecflow_def: !calc more_vars - rocoto_more_vars: !calc more_vars ENSGRP: !expand "{dimval.groupid:02d}" - more_vars: !expand | - {metasched.defenvar("ENSGRP",ENSGRP)} + more_vars: [ ENSGRP ] eupd: !Task <<: *exclusive_task_template @@ -221,11 +214,8 @@ suite: !Cycle J_JOB: efcs.sh Foreach: [ groupid ] Name: !expand "grp{dimval.groupid}" - ecflow_def: !calc more_vars - rocoto_more_vars: !calc more_vars ENSGRP: !expand "{dimval.groupid:02d}" - more_vars: !expand | - {metasched.defenvar("ENSGRP",ENSGRP)} + more_vars: [ ENSGRP ] epos: !Task <<: *exclusive_task_template @@ -282,9 +272,9 @@ suite: !Cycle Foreach: [ fhr ] resources: !calc doc.resources.run_gfspost Name: !expand "grp{dimidx.fhr:03d}" - fhrgrp: !expand "{dimidx.fhr:03d}" + FHRGRP: !expand "{dimidx.fhr:03d}" J_JOB: post.sh - fhrlst: !FirstTrue + FHRLST: !FirstTrue - when: !calc dimidx.fhr==0 do: anl - otherwise: !expand "f{(dimidx.fhr-1)*6:03d}" @@ -292,12 +282,8 @@ suite: !Cycle - when: !calc dimidx.fhr==0 do: "00" - otherwise: !expand "{(dimidx.fhr-1)*6:02d}" - ecflow_def: !calc more_vars - rocoto_more_vars: !calc more_vars + more_vars: [ FHRGRP, FHRLST ] Trigger: !Depend post_manager.depend("release_post{F}",F=[release_id]) - more_vars: !expand | - {metasched.defenvar("FHRGRP",fhrgrp)} - {metasched.defenvar("FHRLST",fhrlst)} vrfy: !Task <<: *exclusive_task_template @@ -312,6 +298,7 @@ suite: !Cycle resources: !calc ( doc.resources.run_arch ) Disable: !calc not doc.settings.archive_to_hpss J_JOB: arch.sh + CDUMP: gdas earc: !TaskArray AlarmName: gdas @@ -324,11 +311,9 @@ suite: !Cycle J_JOB: earc.sh Foreach: [ groupid ] Name: !expand "grp{dimval.groupid:02d}" - ecflow_def: !calc more_vars - rocoto_more_vars: !calc more_vars + more_vars: [ ENSGRP ] ENSGRP: !expand "{dimval.groupid:02d}" - more_vars: !expand | - {metasched.defenvar("ENSGRP",ENSGRP)} + CDUMP: gdas gfsarch: !Task <<: *service_task_template @@ -338,9 +323,10 @@ suite: !Cycle resources: !calc ( doc.resources.run_arch ) Disable: !calc not doc.settings.archive_to_hpss J_JOB: arch.sh + CDUMP: gfs final: !Task <<: *service_task_template resources: !calc (doc.resources.run_nothing) rocoto_command: /bin/true - + CDUMP: gfs # useless but required diff --git a/model/rocoto_style_fv3gfs/task_template.yaml b/model/rocoto_style_fv3gfs/task_template.yaml index e0b6960..5995af7 100644 --- a/model/rocoto_style_fv3gfs/task_template.yaml +++ b/model/rocoto_style_fv3gfs/task_template.yaml @@ -15,8 +15,6 @@ task_template: &task_template CDUMP{CDUMP} {rocoto_more_vars} - rocoto_more_vars: "" - CDUMP: !calc task_path_list[0] # Default resources for a job are serial @@ -32,6 +30,19 @@ task_template: &task_template export CDATE=%PDY%%CYC% export EXPDIR="{doc.settings.EXPDIR}" + ecf_more_exports: "" + + more_vars: [] + + ecf_more_exports: !calc | + "\n".join([ tools.expand("export {VAR}=%{VAR}%\n",VAR=V) for V in more_vars ]) + + rocoto_more_vars: !calc | + "\n".join([ metasched.defenvar(VAR,this[VAR]) for VAR in more_vars ]) + + ecflow_def: !calc | + "\n".join([ metasched.defenvar(VAR,this[VAR]) for VAR in more_vars ]) + ecf_file: !expand | #! /bin/sh {ecf_batch_resources} @@ -45,6 +56,8 @@ task_template: &task_template export HOMEgfs=%HOMEgfs% export model=%MODEL_NAME% + export CDUMP=$model + {ecf_more_exports} %include ############################################################ diff --git a/model/rocoto_style_fv3gfs/validator.yaml b/model/rocoto_style_fv3gfs/validator.yaml index dabb5e0..7242181 100644 --- a/model/rocoto_style_fv3gfs/validator.yaml +++ b/model/rocoto_style_fv3gfs/validator.yaml @@ -23,13 +23,26 @@ task_validator: &task_validator !Template type: string default: "" - batch_job_command: + ecflow_command: description: >- - Command to execute for this task. This is dumped into both the - ecf file and the Rocoto XML. Ultimately, it is the "meat" of - the task. + Command to execute for this task when run in ecflow. This is + inserted into the ecf file. type: string + rocoto_command: + description: >- + Command to execute for this task when run in rocoto. This is + inserted into the rocoto command tag for the task. + type: string + + more_vars: + description: >- + List of variables that should be added to Rocoto envar blocks, + ecflow suite definition edits, and ecf file exports. Overriding + ecflow_def will prevent this from being passed through as edits + in the suite definition. + type: string list + ecf_environment_settings: description: "Tuning variables like KMP_AFFINITY and OMP_STACKSIZE." type: string diff --git a/model/rocoto_style_fv3gfs/workflow.xml b/model/rocoto_style_fv3gfs/workflow.xml index d4b7ce1..1c59c10 100644 --- a/model/rocoto_style_fv3gfs/workflow.xml +++ b/model/rocoto_style_fv3gfs/workflow.xml @@ -120,7 +120,6 @@ CDUMPgdas FHRGRP000 FHRLSTanl - &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf000.nemsio @@ -141,7 +140,6 @@ CDUMPgdas FHRGRP001 FHRLSTf000 - &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf000.nemsio @@ -162,7 +160,6 @@ CDUMPgdas FHRGRP002 FHRLSTf003 - &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf003.nemsio @@ -183,7 +180,6 @@ CDUMPgdas FHRGRP003 FHRLSTf006 - &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf006.nemsio @@ -255,7 +251,6 @@ EXPDIR&EXPDIR; CDUMPgdas ENSGRP01 - @@ -283,7 +278,6 @@ EXPDIR&EXPDIR; CDUMPgdas ENSGRP02 - @@ -366,7 +360,6 @@ EXPDIR&EXPDIR; CDUMPgdas ENSGRP01 - @@ -391,7 +384,6 @@ EXPDIR&EXPDIR; CDUMPgdas ENSGRP02 - @@ -511,7 +503,6 @@ CDUMPgfs FHRGRP000 FHRLSTanl - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf000.nemsio @@ -535,7 +526,6 @@ CDUMPgfs FHRGRP001 FHRLSTf000 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf000.nemsio @@ -559,7 +549,6 @@ CDUMPgfs FHRGRP002 FHRLSTf006 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf006.nemsio @@ -583,7 +572,6 @@ CDUMPgfs FHRGRP003 FHRLSTf012 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf012.nemsio @@ -607,7 +595,6 @@ CDUMPgfs FHRGRP004 FHRLSTf018 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf018.nemsio @@ -631,7 +618,6 @@ CDUMPgfs FHRGRP005 FHRLSTf024 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf024.nemsio @@ -655,7 +641,6 @@ CDUMPgfs FHRGRP006 FHRLSTf030 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf030.nemsio @@ -679,7 +664,6 @@ CDUMPgfs FHRGRP007 FHRLSTf036 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf036.nemsio @@ -703,7 +687,6 @@ CDUMPgfs FHRGRP008 FHRLSTf042 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf042.nemsio @@ -727,7 +710,6 @@ CDUMPgfs FHRGRP009 FHRLSTf048 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf048.nemsio @@ -751,7 +733,6 @@ CDUMPgfs FHRGRP010 FHRLSTf054 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf054.nemsio @@ -775,7 +756,6 @@ CDUMPgfs FHRGRP011 FHRLSTf060 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf060.nemsio @@ -799,7 +779,6 @@ CDUMPgfs FHRGRP012 FHRLSTf066 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf066.nemsio @@ -823,7 +802,6 @@ CDUMPgfs FHRGRP013 FHRLSTf072 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf072.nemsio @@ -847,7 +825,6 @@ CDUMPgfs FHRGRP014 FHRLSTf078 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf078.nemsio @@ -871,7 +848,6 @@ CDUMPgfs FHRGRP015 FHRLSTf084 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf084.nemsio @@ -895,7 +871,6 @@ CDUMPgfs FHRGRP016 FHRLSTf090 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf090.nemsio @@ -919,7 +894,6 @@ CDUMPgfs FHRGRP017 FHRLSTf096 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf096.nemsio @@ -943,7 +917,6 @@ CDUMPgfs FHRGRP018 FHRLSTf102 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf102.nemsio @@ -967,7 +940,6 @@ CDUMPgfs FHRGRP019 FHRLSTf108 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf108.nemsio @@ -991,7 +963,6 @@ CDUMPgfs FHRGRP020 FHRLSTf114 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf114.nemsio @@ -1015,7 +986,6 @@ CDUMPgfs FHRGRP021 FHRLSTf120 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf120.nemsio @@ -1039,7 +1009,6 @@ CDUMPgfs FHRGRP022 FHRLSTf126 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf126.nemsio @@ -1063,7 +1032,6 @@ CDUMPgfs FHRGRP023 FHRLSTf132 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf132.nemsio @@ -1087,7 +1055,6 @@ CDUMPgfs FHRGRP024 FHRLSTf138 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf138.nemsio @@ -1111,7 +1078,6 @@ CDUMPgfs FHRGRP025 FHRLSTf144 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf144.nemsio @@ -1135,7 +1101,6 @@ CDUMPgfs FHRGRP026 FHRLSTf150 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf150.nemsio @@ -1159,7 +1124,6 @@ CDUMPgfs FHRGRP027 FHRLSTf156 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf156.nemsio @@ -1183,7 +1147,6 @@ CDUMPgfs FHRGRP028 FHRLSTf162 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf162.nemsio @@ -1207,7 +1170,6 @@ CDUMPgfs FHRGRP029 FHRLSTf168 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf168.nemsio @@ -1231,7 +1193,6 @@ CDUMPgfs FHRGRP030 FHRLSTf174 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf174.nemsio @@ -1255,7 +1216,6 @@ CDUMPgfs FHRGRP031 FHRLSTf180 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf180.nemsio @@ -1279,7 +1239,6 @@ CDUMPgfs FHRGRP032 FHRLSTf186 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf186.nemsio @@ -1303,7 +1262,6 @@ CDUMPgfs FHRGRP033 FHRLSTf192 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf192.nemsio @@ -1327,7 +1285,6 @@ CDUMPgfs FHRGRP034 FHRLSTf198 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf198.nemsio @@ -1351,7 +1308,6 @@ CDUMPgfs FHRGRP035 FHRLSTf204 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf204.nemsio @@ -1375,7 +1331,6 @@ CDUMPgfs FHRGRP036 FHRLSTf210 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf210.nemsio @@ -1399,7 +1354,6 @@ CDUMPgfs FHRGRP037 FHRLSTf216 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf216.nemsio @@ -1423,7 +1377,6 @@ CDUMPgfs FHRGRP038 FHRLSTf222 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf222.nemsio @@ -1447,7 +1400,6 @@ CDUMPgfs FHRGRP039 FHRLSTf228 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf228.nemsio @@ -1471,7 +1423,6 @@ CDUMPgfs FHRGRP040 FHRLSTf234 - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf234.nemsio @@ -1519,7 +1470,7 @@ CDATE@Y@m@d@H EXPDIR&EXPDIR; - CDUMParchive + CDUMPgdas @@ -1541,9 +1492,8 @@ CDATE@Y@m@d@H EXPDIR&EXPDIR; - CDUMParchive + CDUMPgdas ENSGRP00 - @@ -1562,9 +1512,8 @@ CDATE@Y@m@d@H EXPDIR&EXPDIR; - CDUMParchive + CDUMPgdas ENSGRP01 - @@ -1583,9 +1532,8 @@ CDATE@Y@m@d@H EXPDIR&EXPDIR; - CDUMParchive + CDUMPgdas ENSGRP02 - @@ -1605,7 +1553,7 @@ CDATE@Y@m@d@H EXPDIR&EXPDIR; - CDUMParchive + CDUMPgfs @@ -1632,29 +1580,14 @@ CDATE@Y@m@d@H EXPDIR&EXPDIR; - CDUMPfinal_no_alarm - - - - - /bin/true - &QUEUESERV; - &PROJECT; - final_for_gfs - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_final_for_gfs.log - - 0:02:00 - 300M - 1 - - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPfinal_for_gfs + CDUMPgfs - + + + + @@ -1678,35 +1611,31 @@ CDATE@Y@m@d@H EXPDIR&EXPDIR; - CDUMPfinal_for_gdas + CDUMPgfs - - - - - - - - - - - - - - - + + + + + + + + + + + + - - + @@ -1716,74 +1645,51 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + /bin/true + &QUEUESERV; + &PROJECT; + final_for_gfs + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_final_for_gfs.log + + 0:02:00 + 300M + 1 + + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + + + + + + + + + + + + + + + + + + /bin/true &QUEUESERV; @@ -1798,7 +1704,7 @@ CDATE@Y@m@d@H EXPDIR&EXPDIR; - CDUMPfinal + CDUMPgfs @@ -1807,14 +1713,14 @@ - - - - + + + + From 0ab1df8c89433905aec8ded962d7977f049b7ade Mon Sep 17 00:00:00 2001 From: wx20st Date: Fri, 16 Feb 2018 00:11:26 +0000 Subject: [PATCH 350/487] fix typo in post_manager.yaml that broke the post manager for analysis time --- model/rocoto_style_fv3gfs/post_manager.yaml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/model/rocoto_style_fv3gfs/post_manager.yaml b/model/rocoto_style_fv3gfs/post_manager.yaml index 91ce460..d4263b1 100644 --- a/model/rocoto_style_fv3gfs/post_manager.yaml +++ b/model/rocoto_style_fv3gfs/post_manager.yaml @@ -2,7 +2,7 @@ post_manager_job_contents: &post_manager_job_contents !expand | fhrs='anl {tools.join(up.Dimensions.fhr," ")}' CDATE=%PDY%%CYC% for fid in $fhrs ; do - if [[ "fid" == anl ]] ; then + if [[ "$fid" == anl ]] ; then fhr3=f000 event=release_postanl else From a8e61fc2bbcae8ec29822cb088178bb85b357dca Mon Sep 17 00:00:00 2001 From: wx20st Date: Fri, 16 Feb 2018 00:38:49 +0000 Subject: [PATCH 351/487] yet another bug fix to post manager: missing a $CDUMP --- model/rocoto_style_fv3gfs/post_manager.yaml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/model/rocoto_style_fv3gfs/post_manager.yaml b/model/rocoto_style_fv3gfs/post_manager.yaml index d4263b1..cc85543 100644 --- a/model/rocoto_style_fv3gfs/post_manager.yaml +++ b/model/rocoto_style_fv3gfs/post_manager.yaml @@ -10,7 +10,7 @@ post_manager_job_contents: &post_manager_job_contents !expand | event=release_post$fhr3 fi - file=%COM%/$CDUMP.%PDY%/%CYC%/.t%CYC%z.log$fhr3.nemsio + file=%COM%/$CDUMP.%PDY%/%CYC%/$CDUMP.t%CYC%z.log$fhr3.nemsio while ( ! -s "$file" ) ; do echo "$file: nope" From b3c54f5a2c7e6cc294c8f13bf21aa93bca60066f Mon Sep 17 00:00:00 2001 From: wx20st Date: Fri, 16 Feb 2018 03:19:18 +0000 Subject: [PATCH 352/487] yet more bug fixes for rocoto and ecflow support. ecflow ran the first half cycle. rocoto is most of the way through the first full cycle --- crow/sysenv/jobs.py | 3 + model/rocoto_style_fv3gfs/post_manager.yaml | 9 +- .../resources_C192_C192.yaml | 18 +- model/rocoto_style_fv3gfs/suite_def.yaml | 6 +- model/rocoto_style_fv3gfs/task_template.yaml | 6 +- model/rocoto_style_fv3gfs/workflow.xml | 164 +++++++++--------- 6 files changed, 113 insertions(+), 93 deletions(-) diff --git a/crow/sysenv/jobs.py b/crow/sysenv/jobs.py index 80a9f1a..e3ef1ca 100644 --- a/crow/sysenv/jobs.py +++ b/crow/sysenv/jobs.py @@ -78,6 +78,9 @@ def new_with(self,*args,**kwargs): newspec.update(*args,**kwargs) return JobRankSpec(**newspec) + # Nicities + def __getattr__(self,key): return self[key] + # Implement Mapping abstract methods: def __getitem__(self,key): return self.__spec[key] def __len__(self): return len(self.__spec) diff --git a/model/rocoto_style_fv3gfs/post_manager.yaml b/model/rocoto_style_fv3gfs/post_manager.yaml index cc85543..ea867ac 100644 --- a/model/rocoto_style_fv3gfs/post_manager.yaml +++ b/model/rocoto_style_fv3gfs/post_manager.yaml @@ -3,16 +3,17 @@ post_manager_job_contents: &post_manager_job_contents !expand | CDATE=%PDY%%CYC% for fid in $fhrs ; do if [[ "$fid" == anl ]] ; then - fhr3=f000 + fhr3=000 event=release_postanl else fhr3=$( printf %%03d $fid ) - event=release_post$fhr3 + fhr2=$( printf %%02d $fid ) + event=release_post$fhr2 fi - file=%COM%/$CDUMP.%PDY%/%CYC%/$CDUMP.t%CYC%z.log$fhr3.nemsio + file=%COM%/$CDUMP.%PDY%/%CYC%/$CDUMP.t%CYC%z.logf$fhr3.nemsio - while ( ! -s "$file" ) ; do + while [[ ! -s "$file" ]] ; do echo "$file: nope" sleep 33 done diff --git a/model/rocoto_style_fv3gfs/resources_C192_C192.yaml b/model/rocoto_style_fv3gfs/resources_C192_C192.yaml index 0c0dcf9..95b80dc 100644 --- a/model/rocoto_style_fv3gfs/resources_C192_C192.yaml +++ b/model/rocoto_style_fv3gfs/resources_C192_C192.yaml @@ -101,6 +101,13 @@ resources: max_ppn: 12 memory: "1024M" + run_gdas_post_manager: !JobRequest + - memory: "300M" + exe: nothing + exclusive: false + walltime: !calc >- + doc.resources.run_gdasfcst[0].walltime + tools.to_timedelta('00:15:00') + run_gfsfcst: !JobRequest - mpi_ranks: !calc >- doc.settings.layout_x*doc.settings.layout_y*6 @@ -109,10 +116,17 @@ resources: max_ppn: 12 memory: "1024M" + run_gfs_post_manager: !JobRequest + - memory: "300M" + exe: nothing + exclusive: false + walltime: !calc >- + doc.resources.run_gfsfcst[0].walltime + tools.to_timedelta('00:15:00') + run_gdaspost: !JobRequest - memory: "3072M" mpi_ranks: 72 - walltime: !timedelta "00:30:00" + walltime: !timedelta "00:10:00" exe: placeholder max_ppn: 12 OMP_NUM_THREADS: 1 @@ -120,7 +134,7 @@ resources: run_gfspost: !JobRequest - memory: "3072M" mpi_ranks: 72 - walltime: !timedelta "01:00:00" + walltime: !timedelta "00:10:00" exe: placeholder max_ppn: 12 OMP_NUM_THREADS: 1 diff --git a/model/rocoto_style_fv3gfs/suite_def.yaml b/model/rocoto_style_fv3gfs/suite_def.yaml index 9282380..77797c7 100644 --- a/model/rocoto_style_fv3gfs/suite_def.yaml +++ b/model/rocoto_style_fv3gfs/suite_def.yaml @@ -131,13 +131,14 @@ suite: !Cycle Dimensions: fhr: !calc doc.settings.gdas_hours #tools.seq(0,9,1) post_manager_el: !TaskElement - <<: *exclusive_task_template + <<: *shared_task_template Trigger: !Depend ( up.fcst.is_running() | up.fcst.is_completed() ) Disable: !calc metasched.type=='rocoto' Foreach: [] J_JOB: post_manager ecflow_command: *post_manager_job_contents Name: post_manager + resources: !calc doc.resources.run_gdas_post_manager release_postanl: !DataEvent file: !expand >- {metasched.varref("COM")}/{up.CDUMP}.{metasched.datestring("%Y%m%d/%H/")}{up.CDUMP}.t{metasched.datestring("%H")}z.logf000.nemsio @@ -250,13 +251,14 @@ suite: !Cycle fhr: !calc doc.settings.forecast_hours post_manager_el: !TaskElement - <<: *exclusive_task_template + <<: *shared_task_template Disable: !calc metasched.type == 'rocoto' Trigger: !Depend ( up.fcst.is_running() | up.fcst.is_completed() ) Foreach: [ ] ecflow_command: *post_manager_job_contents J_JOB: post_manager Name: post_manager + resources: !calc doc.resources.run_gfs_post_manager release_postanl: !DataEvent file: !expand >- {metasched.varref("COM")}/{up.CDUMP}.{metasched.datestring("%Y%m%d/%H/")}{up.CDUMP}.t{metasched.datestring("%H")}z.logf000.nemsio diff --git a/model/rocoto_style_fv3gfs/task_template.yaml b/model/rocoto_style_fv3gfs/task_template.yaml index 5995af7..3356e6d 100644 --- a/model/rocoto_style_fv3gfs/task_template.yaml +++ b/model/rocoto_style_fv3gfs/task_template.yaml @@ -58,14 +58,14 @@ task_template: &task_template export model=%MODEL_NAME% export CDUMP=$model {ecf_more_exports} - %include + ## don't include ############################################################ # Load modules ############################################################ - . $MODULESHOME/init/sh + #. $MODULESHOME/init/sh {ecf_module_commands} - module list + #module list ############################################################# # WCOSS environment settings diff --git a/model/rocoto_style_fv3gfs/workflow.xml b/model/rocoto_style_fv3gfs/workflow.xml index 1c59c10..bab8975 100644 --- a/model/rocoto_style_fv3gfs/workflow.xml +++ b/model/rocoto_style_fv3gfs/workflow.xml @@ -111,7 +111,7 @@ gdas.post.g000 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.post.g000.log - 0:30:00 + 0:10:00 3072M 6:ppn=12 @@ -131,7 +131,7 @@ gdas.post.g001 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.post.g001.log - 0:30:00 + 0:10:00 3072M 6:ppn=12 @@ -151,7 +151,7 @@ gdas.post.g002 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.post.g002.log - 0:30:00 + 0:10:00 3072M 6:ppn=12 @@ -171,7 +171,7 @@ gdas.post.g003 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.post.g003.log - 0:30:00 + 0:10:00 3072M 6:ppn=12 @@ -494,7 +494,7 @@ gfs.post.grp000 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp000.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -517,7 +517,7 @@ gfs.post.grp001 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp001.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -540,7 +540,7 @@ gfs.post.grp002 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp002.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -563,7 +563,7 @@ gfs.post.grp003 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp003.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -586,7 +586,7 @@ gfs.post.grp004 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp004.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -609,7 +609,7 @@ gfs.post.grp005 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp005.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -632,7 +632,7 @@ gfs.post.grp006 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp006.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -655,7 +655,7 @@ gfs.post.grp007 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp007.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -678,7 +678,7 @@ gfs.post.grp008 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp008.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -701,7 +701,7 @@ gfs.post.grp009 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp009.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -724,7 +724,7 @@ gfs.post.grp010 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp010.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -747,7 +747,7 @@ gfs.post.grp011 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp011.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -770,7 +770,7 @@ gfs.post.grp012 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp012.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -793,7 +793,7 @@ gfs.post.grp013 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp013.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -816,7 +816,7 @@ gfs.post.grp014 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp014.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -839,7 +839,7 @@ gfs.post.grp015 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp015.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -862,7 +862,7 @@ gfs.post.grp016 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp016.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -885,7 +885,7 @@ gfs.post.grp017 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp017.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -908,7 +908,7 @@ gfs.post.grp018 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp018.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -931,7 +931,7 @@ gfs.post.grp019 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp019.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -954,7 +954,7 @@ gfs.post.grp020 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp020.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -977,7 +977,7 @@ gfs.post.grp021 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp021.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -1000,7 +1000,7 @@ gfs.post.grp022 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp022.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -1023,7 +1023,7 @@ gfs.post.grp023 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp023.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -1046,7 +1046,7 @@ gfs.post.grp024 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp024.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -1069,7 +1069,7 @@ gfs.post.grp025 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp025.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -1092,7 +1092,7 @@ gfs.post.grp026 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp026.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -1115,7 +1115,7 @@ gfs.post.grp027 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp027.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -1138,7 +1138,7 @@ gfs.post.grp028 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp028.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -1161,7 +1161,7 @@ gfs.post.grp029 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp029.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -1184,7 +1184,7 @@ gfs.post.grp030 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp030.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -1207,7 +1207,7 @@ gfs.post.grp031 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp031.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -1230,7 +1230,7 @@ gfs.post.grp032 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp032.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -1253,7 +1253,7 @@ gfs.post.grp033 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp033.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -1276,7 +1276,7 @@ gfs.post.grp034 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp034.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -1299,7 +1299,7 @@ gfs.post.grp035 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp035.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -1322,7 +1322,7 @@ gfs.post.grp036 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp036.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -1345,7 +1345,7 @@ gfs.post.grp037 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp037.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -1368,7 +1368,7 @@ gfs.post.grp038 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp038.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -1391,7 +1391,7 @@ gfs.post.grp039 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp039.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -1414,7 +1414,7 @@ gfs.post.grp040 &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp040.log - 1:00:00 + 0:10:00 3072M 6:ppn=12 @@ -1597,6 +1597,39 @@ + + /bin/true + &QUEUESERV; + &PROJECT; + final_for_gfs + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_final_for_gfs.log + + 0:02:00 + 300M + 1 + + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + + + + + + + + + + + + + + + + + + /bin/true &QUEUESERV; @@ -1657,39 +1690,6 @@ - - /bin/true - &QUEUESERV; - &PROJECT; - final_for_gfs - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_final_for_gfs.log - - 0:02:00 - 300M - 1 - - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - - - - - - - - - - - - - - - - - - /bin/true &QUEUESERV; @@ -1713,14 +1713,14 @@ - - - - + + + + From 9cd8f437bae8eed8de99829246b844724774b132 Mon Sep 17 00:00:00 2001 From: wx20st Date: Fri, 16 Feb 2018 15:37:56 +0000 Subject: [PATCH 353/487] move configs to a subdirectory --- model/rocoto_style_fv3gfs/{ => sample-config}/config.anal | 0 model/rocoto_style_fv3gfs/{ => sample-config}/config.arch | 0 model/rocoto_style_fv3gfs/{ => sample-config}/config.base | 0 model/rocoto_style_fv3gfs/{ => sample-config}/config.base.default | 0 model/rocoto_style_fv3gfs/{ => sample-config}/config.base.nco | 0 model/rocoto_style_fv3gfs/{ => sample-config}/config.earc | 0 model/rocoto_style_fv3gfs/{ => sample-config}/config.ecen | 0 model/rocoto_style_fv3gfs/{ => sample-config}/config.efcs | 0 model/rocoto_style_fv3gfs/{ => sample-config}/config.eobs | 0 model/rocoto_style_fv3gfs/{ => sample-config}/config.epos | 0 model/rocoto_style_fv3gfs/{ => sample-config}/config.eupd | 0 model/rocoto_style_fv3gfs/{ => sample-config}/config.fcst | 0 model/rocoto_style_fv3gfs/{ => sample-config}/config.fv3 | 0 model/rocoto_style_fv3gfs/{ => sample-config}/config.fv3ic | 0 model/rocoto_style_fv3gfs/{ => sample-config}/config.getic | 0 model/rocoto_style_fv3gfs/{ => sample-config}/config.nsst | 0 model/rocoto_style_fv3gfs/{ => sample-config}/config.post | 0 model/rocoto_style_fv3gfs/{ => sample-config}/config.prep | 0 model/rocoto_style_fv3gfs/{ => sample-config}/config.prepbufr | 0 model/rocoto_style_fv3gfs/{ => sample-config}/config.resources | 0 model/rocoto_style_fv3gfs/{ => sample-config}/config.vrfy | 0 21 files changed, 0 insertions(+), 0 deletions(-) rename model/rocoto_style_fv3gfs/{ => sample-config}/config.anal (100%) rename model/rocoto_style_fv3gfs/{ => sample-config}/config.arch (100%) rename model/rocoto_style_fv3gfs/{ => sample-config}/config.base (100%) rename model/rocoto_style_fv3gfs/{ => sample-config}/config.base.default (100%) rename model/rocoto_style_fv3gfs/{ => sample-config}/config.base.nco (100%) rename model/rocoto_style_fv3gfs/{ => sample-config}/config.earc (100%) rename model/rocoto_style_fv3gfs/{ => sample-config}/config.ecen (100%) rename model/rocoto_style_fv3gfs/{ => sample-config}/config.efcs (100%) rename model/rocoto_style_fv3gfs/{ => sample-config}/config.eobs (100%) rename model/rocoto_style_fv3gfs/{ => sample-config}/config.epos (100%) rename model/rocoto_style_fv3gfs/{ => sample-config}/config.eupd (100%) rename model/rocoto_style_fv3gfs/{ => sample-config}/config.fcst (100%) rename model/rocoto_style_fv3gfs/{ => sample-config}/config.fv3 (100%) rename model/rocoto_style_fv3gfs/{ => sample-config}/config.fv3ic (100%) rename model/rocoto_style_fv3gfs/{ => sample-config}/config.getic (100%) rename model/rocoto_style_fv3gfs/{ => sample-config}/config.nsst (100%) rename model/rocoto_style_fv3gfs/{ => sample-config}/config.post (100%) rename model/rocoto_style_fv3gfs/{ => sample-config}/config.prep (100%) rename model/rocoto_style_fv3gfs/{ => sample-config}/config.prepbufr (100%) rename model/rocoto_style_fv3gfs/{ => sample-config}/config.resources (100%) rename model/rocoto_style_fv3gfs/{ => sample-config}/config.vrfy (100%) diff --git a/model/rocoto_style_fv3gfs/config.anal b/model/rocoto_style_fv3gfs/sample-config/config.anal similarity index 100% rename from model/rocoto_style_fv3gfs/config.anal rename to model/rocoto_style_fv3gfs/sample-config/config.anal diff --git a/model/rocoto_style_fv3gfs/config.arch b/model/rocoto_style_fv3gfs/sample-config/config.arch similarity index 100% rename from model/rocoto_style_fv3gfs/config.arch rename to model/rocoto_style_fv3gfs/sample-config/config.arch diff --git a/model/rocoto_style_fv3gfs/config.base b/model/rocoto_style_fv3gfs/sample-config/config.base similarity index 100% rename from model/rocoto_style_fv3gfs/config.base rename to model/rocoto_style_fv3gfs/sample-config/config.base diff --git a/model/rocoto_style_fv3gfs/config.base.default b/model/rocoto_style_fv3gfs/sample-config/config.base.default similarity index 100% rename from model/rocoto_style_fv3gfs/config.base.default rename to model/rocoto_style_fv3gfs/sample-config/config.base.default diff --git a/model/rocoto_style_fv3gfs/config.base.nco b/model/rocoto_style_fv3gfs/sample-config/config.base.nco similarity index 100% rename from model/rocoto_style_fv3gfs/config.base.nco rename to model/rocoto_style_fv3gfs/sample-config/config.base.nco diff --git a/model/rocoto_style_fv3gfs/config.earc b/model/rocoto_style_fv3gfs/sample-config/config.earc similarity index 100% rename from model/rocoto_style_fv3gfs/config.earc rename to model/rocoto_style_fv3gfs/sample-config/config.earc diff --git a/model/rocoto_style_fv3gfs/config.ecen b/model/rocoto_style_fv3gfs/sample-config/config.ecen similarity index 100% rename from model/rocoto_style_fv3gfs/config.ecen rename to model/rocoto_style_fv3gfs/sample-config/config.ecen diff --git a/model/rocoto_style_fv3gfs/config.efcs b/model/rocoto_style_fv3gfs/sample-config/config.efcs similarity index 100% rename from model/rocoto_style_fv3gfs/config.efcs rename to model/rocoto_style_fv3gfs/sample-config/config.efcs diff --git a/model/rocoto_style_fv3gfs/config.eobs b/model/rocoto_style_fv3gfs/sample-config/config.eobs similarity index 100% rename from model/rocoto_style_fv3gfs/config.eobs rename to model/rocoto_style_fv3gfs/sample-config/config.eobs diff --git a/model/rocoto_style_fv3gfs/config.epos b/model/rocoto_style_fv3gfs/sample-config/config.epos similarity index 100% rename from model/rocoto_style_fv3gfs/config.epos rename to model/rocoto_style_fv3gfs/sample-config/config.epos diff --git a/model/rocoto_style_fv3gfs/config.eupd b/model/rocoto_style_fv3gfs/sample-config/config.eupd similarity index 100% rename from model/rocoto_style_fv3gfs/config.eupd rename to model/rocoto_style_fv3gfs/sample-config/config.eupd diff --git a/model/rocoto_style_fv3gfs/config.fcst b/model/rocoto_style_fv3gfs/sample-config/config.fcst similarity index 100% rename from model/rocoto_style_fv3gfs/config.fcst rename to model/rocoto_style_fv3gfs/sample-config/config.fcst diff --git a/model/rocoto_style_fv3gfs/config.fv3 b/model/rocoto_style_fv3gfs/sample-config/config.fv3 similarity index 100% rename from model/rocoto_style_fv3gfs/config.fv3 rename to model/rocoto_style_fv3gfs/sample-config/config.fv3 diff --git a/model/rocoto_style_fv3gfs/config.fv3ic b/model/rocoto_style_fv3gfs/sample-config/config.fv3ic similarity index 100% rename from model/rocoto_style_fv3gfs/config.fv3ic rename to model/rocoto_style_fv3gfs/sample-config/config.fv3ic diff --git a/model/rocoto_style_fv3gfs/config.getic b/model/rocoto_style_fv3gfs/sample-config/config.getic similarity index 100% rename from model/rocoto_style_fv3gfs/config.getic rename to model/rocoto_style_fv3gfs/sample-config/config.getic diff --git a/model/rocoto_style_fv3gfs/config.nsst b/model/rocoto_style_fv3gfs/sample-config/config.nsst similarity index 100% rename from model/rocoto_style_fv3gfs/config.nsst rename to model/rocoto_style_fv3gfs/sample-config/config.nsst diff --git a/model/rocoto_style_fv3gfs/config.post b/model/rocoto_style_fv3gfs/sample-config/config.post similarity index 100% rename from model/rocoto_style_fv3gfs/config.post rename to model/rocoto_style_fv3gfs/sample-config/config.post diff --git a/model/rocoto_style_fv3gfs/config.prep b/model/rocoto_style_fv3gfs/sample-config/config.prep similarity index 100% rename from model/rocoto_style_fv3gfs/config.prep rename to model/rocoto_style_fv3gfs/sample-config/config.prep diff --git a/model/rocoto_style_fv3gfs/config.prepbufr b/model/rocoto_style_fv3gfs/sample-config/config.prepbufr similarity index 100% rename from model/rocoto_style_fv3gfs/config.prepbufr rename to model/rocoto_style_fv3gfs/sample-config/config.prepbufr diff --git a/model/rocoto_style_fv3gfs/config.resources b/model/rocoto_style_fv3gfs/sample-config/config.resources similarity index 100% rename from model/rocoto_style_fv3gfs/config.resources rename to model/rocoto_style_fv3gfs/sample-config/config.resources diff --git a/model/rocoto_style_fv3gfs/config.vrfy b/model/rocoto_style_fv3gfs/sample-config/config.vrfy similarity index 100% rename from model/rocoto_style_fv3gfs/config.vrfy rename to model/rocoto_style_fv3gfs/sample-config/config.vrfy From 10afc662484014afb3492c9ea8c7a4e7b5773272 Mon Sep 17 00:00:00 2001 From: wx20st Date: Fri, 16 Feb 2018 19:17:24 +0000 Subject: [PATCH 354/487] remove debug prints --- crow/metascheduler/algebra.py | 3 --- crow/metascheduler/ecflow.py | 5 ----- crow/metascheduler/graph.py | 19 ------------------- ...esources.yaml => resources_C768_C384.yaml} | 0 4 files changed, 27 deletions(-) rename model/rocoto_style_fv3gfs/{resources.yaml => resources_C768_C384.yaml} (100%) diff --git a/crow/metascheduler/algebra.py b/crow/metascheduler/algebra.py index 17e7be3..b0ef114 100644 --- a/crow/metascheduler/algebra.py +++ b/crow/metascheduler/algebra.py @@ -19,7 +19,6 @@ def assume(tree,existing_cycles,current_cycle,assume_complete=None, if rel_cycle in existing_cycles: #print(f'{rel_cycle}: cylce exists in {existing_cycles}') return TRUE_DEPENDENCY - print(f'{rel_cycle}: cycle does not exist in {existing_cycles}') return FALSE_DEPENDENCY elif isinstance(tree,TaskExistsDependency): cycle=current_cycle+tree.view.path[0] @@ -35,13 +34,11 @@ def assume(tree,existing_cycles,current_cycle,assume_complete=None, a=TRUE_DEPENDENCY for d in tree: a=a & assume(d,existing_cycles,current_cycle) - print(f'AND: reduced {tree} to {a}') return a elif isinstance(tree,OrDependency): a=FALSE_DEPENDENCY for d in tree: a=a | assume(d,existing_cycles,current_cycle) - print(f'OR: reduced {tree} to {a}') return a elif isinstance(tree,NotDependency): return ~assume(tree.depend,existing_cycles,current_cycle) diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py index 6359b6a..f4c6087 100644 --- a/crow/metascheduler/ecflow.py +++ b/crow/metascheduler/ecflow.py @@ -23,10 +23,6 @@ FAILED:'aborted' } def skip_fun(node): - print(f'{node.path}: skip? trigger={node.trigger}') - print(f'{node.path}: skip? complete={node.complete}') - print(f'{node.path}: skip? might_complete={node.might_complete()}') - print(f'{node.path}: skip? is_always_complete={node.is_always_complete()}') return not node.might_complete() or node.is_always_complete() def relative_path(start,dest): @@ -209,7 +205,6 @@ def _populate_job_graph(self): def _simplify_job_graph(self): for cycle in self._foreach_cycle(self._cycles_to_write()): - print(f'{cycle}: simplify cycle') self.graph.simplify_cycle(cycle) def _walk_job_graph(self,cycle,skip_fun=None,enter_fun=None,exit_fun=None): diff --git a/crow/metascheduler/graph.py b/crow/metascheduler/graph.py index a5332d4..3cf68bc 100644 --- a/crow/metascheduler/graph.py +++ b/crow/metascheduler/graph.py @@ -20,10 +20,7 @@ def depth_first_traversal(tree,skip_fun=None,enter_fun=None, memo.add(id(tree)) if skip_fun is not None: if skip_fun(tree): - print(f'{tree.path}: skip') return - else: - print(f'{tree.path}: do not skip') if enter_fun is not None: enter_fun(tree) yield tree @@ -73,17 +70,11 @@ def assume(self,clock,assume_complete=None,assume_never_run=None): self.trigger=FALSE_DEPENDENCY self.complete=FALSE_DEPENDENCY else: - print(f'{self.path}: simplify trigger={self.trigger}') - print(f'{self.path}: simplify complete={self.complete}') self.trigger=algebra_simplify(algebra_assume( self.trigger,clock,self.cycle,assume_complete,assume_never_run)) self.complete=algebra_simplify(algebra_assume( self.complete,clock,self.cycle,assume_complete,assume_never_run)) - print(f'{self.path}: resulting trigger={self.trigger}') - print(f'{self.path}: resulting complete={self.complete}') if trigger0!=self.trigger or complete0!=self.complete: - print(f'{self.path}: trigger {trigger0} => {self.trigger}') - print(f'{self.path}: complete {complete0} => {self.complete}') return True return False def is_family(self): return self.view.is_family() @@ -147,35 +138,25 @@ def fun_assume_never_run(path): while changed: changed=False for node in self.__nodes[cycle].values(): - print(f'{node.path}: trigger {node.trigger}') - print(f'{node.path}: complete {node.complete}') if node.is_always_complete(): - print(f'{node.path}: is always complete') continue if node.can_never_complete(): - print(f'{node.path}: can never complete') continue if node.has_no_dependencies(): - print(f'{node.path}: has no dependencies') continue if node.assume(self.__clock,fun_assume_complete, fun_assume_never_run): - print(f'{node.path}: assumptions changed trigger or complete') changed=True if node.can_never_complete(): never_run.add(node.path) - print(f'{node.path}: can never complete') for descendent in depth_first_traversal(node): - print(f'{node.path}: descendent {descendent.path} can never complete') never_run.add(descendent.path) descendent.force_never_run() changed=True assert(not node.might_complete()) elif node.is_always_complete(): - print(f'{node.path}: is always complete') for descendent in depth_first_traversal(node): always_complete.add(node.path) - print(f'{node.path}: descendent {descendent.path} is always complete') always_complete.add(descendent.path) descendent.force_always_complete() changed=True diff --git a/model/rocoto_style_fv3gfs/resources.yaml b/model/rocoto_style_fv3gfs/resources_C768_C384.yaml similarity index 100% rename from model/rocoto_style_fv3gfs/resources.yaml rename to model/rocoto_style_fv3gfs/resources_C768_C384.yaml From a6a17066d2b750895c257a5b8f301ddc2068b50f Mon Sep 17 00:00:00 2001 From: wx20st Date: Fri, 16 Feb 2018 19:17:47 +0000 Subject: [PATCH 355/487] add a chdir context manager --- crow/tools.py | 11 +++++++++-- 1 file changed, 9 insertions(+), 2 deletions(-) diff --git a/crow/tools.py b/crow/tools.py index 5eb86ec..c5c1999 100644 --- a/crow/tools.py +++ b/crow/tools.py @@ -1,16 +1,23 @@ import subprocess, os, re, logging, tempfile, datetime, shutil from datetime import timedelta from copy import deepcopy -from contextlib import suppress +from contextlib import suppress, contextmanager from collections.abc import Mapping __all__=['panasas_gb','gpfs_gb','to_timedelta','deliver_file','NamedConstant', 'Clock','str_timedelta','memory_in_bytes','to_printf_octal', 'str_to_posix_sh','typecheck','ZER_DT','shell_to_python_type', - 'MISSING'] + 'MISSING','chdir'] _logger=logging.getLogger('crow.tools') +@contextmanager +def chdir(dir): + olddir=os.getcwd() + os.chdir(dir) + yield + os.chdir(olddir) + def deliver_file(from_file: str,to_file: str,*,blocksize: int=1048576, permmask: int=2,preserve_perms: bool=True, preserve_times: bool=True,preserve_group: bool=True, From a2ccfe2b285ac4d5c845a4b373c2bd9ada735948 Mon Sep 17 00:00:00 2001 From: wx20st Date: Fri, 16 Feb 2018 19:18:36 +0000 Subject: [PATCH 356/487] make a nice front-end to the ecflow support --- model/rocoto_style_fv3gfs/_main.yaml | 2 +- .../begin_ecflow_workflow.sh | 117 +++++++++++ model/rocoto_style_fv3gfs/schedulers.yaml | 3 + model/rocoto_style_fv3gfs/settings.yaml | 32 ++- model/rocoto_style_fv3gfs/suite_def.yaml | 20 +- model/rocoto_style_fv3gfs/worktools.py | 183 ++++++++++++++++++ 6 files changed, 341 insertions(+), 16 deletions(-) create mode 100755 model/rocoto_style_fv3gfs/begin_ecflow_workflow.sh create mode 100644 model/rocoto_style_fv3gfs/worktools.py diff --git a/model/rocoto_style_fv3gfs/_main.yaml b/model/rocoto_style_fv3gfs/_main.yaml index abc625d..c790198 100644 --- a/model/rocoto_style_fv3gfs/_main.yaml +++ b/model/rocoto_style_fv3gfs/_main.yaml @@ -1,7 +1,7 @@ include: - schedulers.yaml - settings.yaml - - resources_C192_C192.yaml + - resources.yaml - validator.yaml - post_manager.yaml - task_template.yaml diff --git a/model/rocoto_style_fv3gfs/begin_ecflow_workflow.sh b/model/rocoto_style_fv3gfs/begin_ecflow_workflow.sh new file mode 100755 index 0000000..ad9b7f2 --- /dev/null +++ b/model/rocoto_style_fv3gfs/begin_ecflow_workflow.sh @@ -0,0 +1,117 @@ +#! /bin/bash + +set -ue + +# Get the directory in which this script resides. We'll assume the +# yaml files are there: +dir0=$( dirname "$0" ) +here=$( cd "$dir0" ; pwd -P ) + +export WORKTOOLS_VERBOSE=NO + +# Make sure this directory is in the python path so we find worktools.py: +export PYTHONPATH=$here:${PYTHONPATH:+:$PYTHONPATH} + +# Parse arguments: +if [[ "$1" == "-v" ]] ; then + export WORKTOOLS_VERBOSE=YES + shift 1 +fi +export CONFIGDIR="$1" + +if [[ ! -d /usrx/local || -e /etc/redhat-release ]] ; then + echo "ERROR: This script only runs on WCOSS Cray" 1>&2 + exit 1 +fi + +if ( ! which ecflow_client > /dev/null 2>&1 ) ; then + echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." + exit 1 +fi + +if [[ "${ECF_ROOT:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_ROOT" + exit 1 +fi + +if [[ "${ECF_HOME:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_HOME. I suggest \$ECF_ROOT/submit" + exit 1 +fi + +if [[ "${ECF_PORT:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_PORT. See /usrx/local/sys/ecflow/assigned_ports.txt" + exit 1 +fi + +export ECF_HOME="${ECF_HOME:-$ECF_ROOT/submit}" + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + echo "begin_ecflow_workflow.sh: verbose mode" + export redirect=" " +else + export redirect="> /dev/null 2>&1" +fi + +echo "ecFlow server port: $ECF_PORT" +echo "ecFlow server root: $ECF_ROOT" +echo "ecFlow server home: $ECF_HOME" + +set +e +if ( ! which python3 > /dev/null 2>&1 || \ + ! python3 -c 'import yaml ; f{"1+1"}' > /dev/null 2>&1 ) ; then + python36=/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/python/3.6.1-emc/bin/python3.6 +else + python36="$( which python3 )" +fi +set -e + +tmpfile=${TMPDIR:-/tmp}/find-expdir.$RANDOM.$RANDOM.$$ + +make_yaml_files() { + # NOTE: Sourcing config.base clobbers the ecflow variables, so we + # must do it in a subshell. + set +uex + source "$CONFIGDIR"/config.base $redirect + set -ue + + if [[ "$FHMAX_GFS" != 240 ]] ; then + echo "ERROR: This script requires FHMAX_GFS = 240" 1>&2 + exit 1 + fi + + if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + set -x + fi + + $python36 -c "import worktools ; worktools.make_yaml_files('$here','$EXPDIR')" + + echo "$EXPDIR" > "$tmpfile" +} + +if ( ! ( make_yaml_files ) ) ; then + echo "Failed to make YAML files" + exit 1 +fi + +EXPDIR=$( cat "$tmpfile" ) +rm -f "$tmpfile" + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + set -x +fi + +/ecf/devutils/server_check.sh "$ECF_ROOT" "$ECF_PORT" $redirect || true + +if ( ! ecflow_client --ping $redirect ) ; then + echo "Could not connect to ecflow server. Aborting." + exit 1 +fi + +$python36 -c "import worktools ; worktools.create_and_begin_ecflow_workflow('$EXPDIR')" + + + + + + diff --git a/model/rocoto_style_fv3gfs/schedulers.yaml b/model/rocoto_style_fv3gfs/schedulers.yaml index 4488b5e..532eaeb 100644 --- a/model/rocoto_style_fv3gfs/schedulers.yaml +++ b/model/rocoto_style_fv3gfs/schedulers.yaml @@ -18,3 +18,6 @@ automatic_scheduler_settings: &automatic_scheduler_settings !FirstTrue - when: !calc tools.isdir("/scratch4") and tools.isdir("/scratch3") do: *theia_scheduler_settings - otherwise: !error "You are not on Theia or WCOSS" + +scheduler_settings: *automatic_scheduler_settings + diff --git a/model/rocoto_style_fv3gfs/settings.yaml b/model/rocoto_style_fv3gfs/settings.yaml index cca734e..52d8cae 100644 --- a/model/rocoto_style_fv3gfs/settings.yaml +++ b/model/rocoto_style_fv3gfs/settings.yaml @@ -2,12 +2,26 @@ ## initial_directory: location from which the setup script was run. initial_directory: !calc ( tools.realpath(tools.abspath(".")) ) +config_base: + # These are initialized from config.base: + FHMAX_GFS: !Immediate [ !calc int(tools.env("FHMAX_GFS")) ] + PSLOT: !Immediate [ !calc tools.env("PSLOT") ] + EXPDIR: !Immediate [ !calc tools.env("EXPDIR") ] + ROTDIR: !Immediate [ !calc tools.env("ROTDIR") ] + RUNDIR: !Immediate [ !calc tools.env("RUNDIR") ] + HOMEgfs: !Immediate [ !calc tools.env("HOMEgfs") ] + CASE: !Immediate [ !calc tools.env("CASE") ] + CASE_ENKF: !Immediate [ !calc tools.env("CASE_ENKF") ] + settings: - HOMEgfs: "/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/snapshot_master_20180209/gfs.v15.0.0/" - COM: !expand '/gpfs/hps3/ptmp/Samuel.Trahan/comdir/{experiment_name}' - EXPDIR: !expand '/gpfs/hps3/ptmp/Samuel.Trahan/expdir/{experiment_name}' - DATAROOT: !expand '/gpfs/hps2/stmp/emc.glopara/{experiment_name}' - experiment_name: !calc doc.sys_argv_1 + resource_file: !expand >- + resources_{doc.config_base.CASE}_{doc.config_base.CASE_ENKF}.yaml + + HOMEgfs: !calc doc.config_base.HOMEgfs + COM: !calc doc.config_base.ROTDIR + EXPDIR: !expand doc.config_base.EXPDIR + DATAROOT: !expand doc.config_base.RUNDIR + experiment_name: !calc doc.config_base.PSLOT NMEM_ENKF: 20 ENKF_GROUPS: 2 @@ -16,8 +30,8 @@ settings: ENKF_FORECAST_GROUPS: !calc ENKF_GROUPS ENKF_ARCHIVE_GROUPS: 3 - ECF_HOME: !calc ( doc.initial_directory ) - ROCOTO_HOME: !calc ( doc.initial_directory ) + ECF_HOME: !calc ( tools.env('ECF_HOME') ) + ROCOTO_HOME: !calc ( doc.config_base.EXPDIR ) layout_x: 4 layout_y: 6 WRITE_GROUP: 2 @@ -35,13 +49,11 @@ settings: end_date_gfs: !calc end_date interval_gfs: !timedelta "12:00:00" #forecast_hours: !calc tools.seq(0,120,1)+tools.seq(123,240,3)+tools.seq(240,384,12) - forecast_hours: !calc tools.seq(0,240,6) + forecast_hours: !calc tools.seq(0,doc.config_base.FHMAX_GFS,6) gdas_hours: !calc tools.seq(0,9,3) max_job_tries: 1 realtime: False archive_to_hpss: True -scheduler_settings: *automatic_scheduler_settings - ######################################################################## diff --git a/model/rocoto_style_fv3gfs/suite_def.yaml b/model/rocoto_style_fv3gfs/suite_def.yaml index 77797c7..f07a005 100644 --- a/model/rocoto_style_fv3gfs/suite_def.yaml +++ b/model/rocoto_style_fv3gfs/suite_def.yaml @@ -22,9 +22,10 @@ suite: !Cycle step: !calc doc.settings.interval_gfs ecFlow: - suite_def_filename: "prod%Y%m%d%H.def" - suite_name: "prod%Y%m%d%H" + suite_def_filename: !expand "{doc.settings.experiment_name}_%Y%m%d%H.def" + suite_name: !expand "{doc.settings.experiment_name}_%Y%m%d%H" scheduler: !calc doc.scheduler + dates_in_time_dependencies: true # write_cycles/analyze_cycles: Use these to generate a subset of # the cycles in ecFlow. Make sure analyze_cycles starts at least @@ -60,8 +61,8 @@ suite: !Cycle edit DATAROOT '{doc.settings.DATAROOT}' edit ECF_FILES '{doc.settings.ECF_HOME}' #edit ECF_JOBOUT '%ECF_HOME%/%ECF_NAME%.%ECF_TRYNO%' - edit ECF_OUT '{doc.settings.ECF_HOME}' - edit ECF_LOG '{doc.settings.ECF_HOME}/ecf.log' + edit ECF_OUT '{doc.settings.COM}/logs' + edit ECF_LOG '{doc.settings.EXPDIR}/ecf.log' edit MODEL_NAME 'gfs' edit DUMPDIR '{doc.settings.DUMPDIR}' @@ -109,6 +110,10 @@ suite: !Cycle dump_waiter: !Task <<: *dump_waiter_task Complete: !Depend ~ suite.has_cycle('-6:00:00') + Time: !FirstTrue + - when: !calc doc.settings.realtime + do: !timedelta "3:00:00" + - otherwise: null prep: !Task <<: *exclusive_task_template @@ -229,7 +234,12 @@ suite: !Cycle ecflow_def: | edit MODEL_NAME 'gfs' - dump_waiter: *dump_waiter_task + dump_waiter: !Task + <<: *dump_waiter_task + Time: !FirstTrue + - when: !calc doc.settings.realtime + do: !timedelta "6:00:00" + - otherwise: null prep: !Task <<: *exclusive_task_template diff --git a/model/rocoto_style_fv3gfs/worktools.py b/model/rocoto_style_fv3gfs/worktools.py new file mode 100644 index 0000000..3949876 --- /dev/null +++ b/model/rocoto_style_fv3gfs/worktools.py @@ -0,0 +1,183 @@ +#! /usr/bin/env python3 +f'This python module requires python 3.6 or newer' + +import logging, os, io, sys, datetime, glob, shutil, subprocess +from collections import OrderedDict +from copy import copy +logger=logging.getLogger('crow.model.fv3gfs') + +try: + import crow +except ImportError as ie: + thisdir=os.path.dirname(os.path.abspath(__file__)) + topdir=os.path.realpath(os.path.join(thisdir,"../..")) + sys.path.append(topdir) + del thisdir, topdir + +level=logging.WARNING +if os.environ.get('WORKTOOLS_VERBOSE','NO') == 'YES': + level=logging.INFO +logging.basicConfig(stream=sys.stderr,level=level) + +import crow.tools +from crow.metascheduler import to_ecflow, to_rocoto +from crow.config import from_dir, Suite, from_file, to_yaml +from crow.tools import Clock + +ECFNETS_INCLUDE = "/ecf/ecfnets/include" +SIX_HOURS = datetime.timedelta(seconds=6*3600) + +def read_yaml_suite(dir): + logger.info(f'{dir}: read yaml files specified in _main.yaml') + conf=from_dir(dir) + suite=Suite(conf.suite) + return conf,suite + +def make_yaml_files(srcdir,tgtdir): + if not os.path.exists(tgtdir): + logger.info(f'{tgtdir}: make directory') + os.makedirs(tgtdir) + logger.info(f'{tgtdir}: send yaml files to here') + logger.info(f'{srcdir}: get yaml files from here') + for srcfile in glob.glob(f'{srcdir}/*.yaml'): + srcbase=os.path.basename(srcfile) + if srcbase.startswith('resources'): continue + if srcbase.startswith('settings'): continue + tgtfile=os.path.join(tgtdir,srcbase) + logger.info(f'{srcbase}: copy yaml file') + shutil.copyfile(srcfile,tgtfile) + + # Deal with the settings: + doc=from_file(f"{srcdir}/settings.yaml") + settings_yaml=os.path.join(tgtdir,'settings.yaml') + logger.info(f'{settings_yaml}: generate file') + with open(f'{tgtdir}/settings.yaml','wt') as fd: + fd.write('# This file is automatically generated from:\n') + fd.write(f'# {srcdir}/settings.yaml') + fd.write('# Changes to this file may be overwritten.\n\n') + fd.write(to_yaml(doc)) + + # Now the resources: + resource_basename=doc.settings.resource_file + resource_srcfile=os.path.join(srcdir,resource_basename) + resource_tgtfile=os.path.join(tgtdir,'resources.yaml') + logger.info(f'{resource_srcfile}: use this resource yaml file') + shutil.copyfile(resource_srcfile,resource_tgtfile) + logger.info(f'{tgtdir}: yaml files created here') + +def loudly_make_dir_if_missing(dirname): + if dirname and not os.path.exists(dirname): + logger.info(f'{dirname}: make directory') + os.makedirs(dirname) + +def make_parent_dir(filename): + loudly_make_dir_if_missing(os.path.dirname(filename)) + +def make_clocks_for_cycle_range(suite,first_cycle,last_cycle,surrounding_cycles): + suite_clock=copy(suite.Clock) + logger.info(f'cycles to write: {first_cycle:%Ft%T} - {last_cycle:%Ft%T}') + suite.ecFlow.write_cycles = Clock( + start=first_cycle,end=last_cycle,step=SIX_HOURS) + first_analyzed=max(suite_clock.start,first_cycle-surrounding_cycles*SIX_HOURS) + last_analyzed=min(suite_clock.end,last_cycle+surrounding_cycles*SIX_HOURS) + logger.info(f'cycles to analyze: {first_analyzed:%Ft%T} - {last_analyzed:%Ft%T}') + suite.ecFlow.analyze_cycles=Clock( + start=first_analyzed,end=last_analyzed,step=SIX_HOURS) + +def generate_ecflow_suite_in_memory(suite,first_cycle,last_cycle,surrounding_cycles): + logger.info(f'make suite for cycles: {first_cycle:%Ft%T} - {last_cycle:%Ft%T}') + make_clocks_for_cycle_range(suite,first_cycle,last_cycle,surrounding_cycles) + suite_defs, ecf_files = to_ecflow(suite) + return suite_defs, ecf_files + +def write_ecflow_suite_to_disk(targetdir, suite_defs, ecf_files): + written_suite_defs=OrderedDict() + logger.info(f'{targetdir}: write suite here') + for deffile in suite_defs.keys(): + defname = suite_defs[deffile]['name'] + defcontents = suite_defs[deffile]['def'] + #print(f'=== contents of suite def {defname}\n{suite_defs[defname]}') + filename=os.path.realpath(os.path.join(targetdir,'defs',deffile)) + make_parent_dir(filename) + logger.info(f'{defname}: {filename}: write suite definition') + with open(os.path.join(targetdir,filename),'wt') as fd: + fd.write(defcontents) + written_suite_defs[defname]=filename + for setname in ecf_files: + logger.info(f'{defname}: write ecf file set {setname}') + for filename in ecf_files[setname]: + full_fn=os.path.realpath(os.path.join(targetdir,defname,filename)+'.ecf') + logger.debug(f'{defname}: {setname}: write ecf file {full_fn}') + make_parent_dir(full_fn) + with open(full_fn,'wt') as fd: + fd.write(ecf_files[setname][filename]) + return written_suite_defs + +def get_target_dir_and_check_ecflow_env(): + ECF_HOME=os.environ.get('ECF_HOME',None) + + if not ECF_HOME: + logger.error('Set $ECF_HOME to location where your ecflow files should reside.') + return None + elif not os.environ.get('ECF_PORT',None): + logger.error('Set $ECF_PORT to the port number of your ecflow server.') + return None + elif not os.path.isdir(ECF_HOME): + logger.error('Directory $ECF_HOME={ECF_HOME} does not exist. You need to set up your account for ecflow before you can run any ecflow workflows.') + return None + + for file in [ 'head.h', 'tail.h', 'envir-xc40.h' ]: + yourfile=os.path.join(ECF_HOME,file) + if not os.path.exists(yourfile): + logger.warning(f'{yourfile}: does not exist. I will get one for you.') + os.symlink(os.path.join(ECFNETS_INCLUDE,file),yourfile) + else: + logger.info(f'{yourfile}: exists.') + + return ECF_HOME + +def create_new_ecflow_workflow(suite,surrounding_cycles=5): + ECF_HOME=get_target_dir_and_check_ecflow_env() + if not ECF_HOME: return None,None,None,None + first_cycle=suite.Clock.start + last_cycle=min(suite.Clock.end,first_cycle+suite.Clock.step*2) + suite_defs, ecf_files = generate_ecflow_suite_in_memory( + suite,first_cycle,last_cycle,surrounding_cycles) + suite_def_files = write_ecflow_suite_to_disk( + ECF_HOME,suite_defs,ecf_files) + return ECF_HOME, suite_def_files, first_cycle, last_cycle + +def update_existing_ecflow_workflow(suite,first_cycle,last_cycle, + surrounding_cycles=5): + ECF_HOME=get_target_dir_and_check_ecflow_env() + suite_defs, ecf_files = generate_ecflow_suite_in_memory( + suite,first_cycle,last_cycle,surrounding_cycles) + suite_def_files = write_ecflow_suite_to_disk( + ECF_HOME,suite_defs,ecf_files) + return ECF_HOME, suite_def_files + +def load_and_begin_ecflow_suites(ECF_HOME,suite_def_files): + logger.info(f'{ECF_HOME}: write files for suites: ' + f'{", ".join(suite_def_files.keys())}') + with crow.tools.chdir(ECF_HOME): + for suite, file in suite_def_files.items(): + cmd=f'ecflow_client --load {file}' + logger.info(cmd) + subprocess.run(cmd,check=False,shell=True) + cmd=f'ecflow_client --begin {suite}' + logger.info(cmd) + subprocess.run(cmd,check=False,shell=True) + +def create_and_begin_ecflow_workflow(yamldir,surrounding_cycles=5): + conf,suite=read_yaml_suite(yamldir) + loudly_make_dir_if_missing(f'{conf.settings.COM}/log') + ECF_HOME, suite_def_files, first_cycle, last_cycle = \ + create_new_ecflow_workflow(suite,surrounding_cycles) + if not ECF_HOME: + logger.error('Could not create workflow files. See prior errors for details.') + return False + load_and_begin_ecflow_suites(ECF_HOME,suite_def_files) + +# def add_cycles_to_running_ecflow_workflow_at( +# yamldir,first_cycle,last_cycle,surrounding_cycles=5): + From 187e6a8945721e27e08e48d690367277b4a9109f Mon Sep 17 00:00:00 2001 From: wx20st Date: Fri, 16 Feb 2018 19:20:01 +0000 Subject: [PATCH 357/487] remove the old ecflow wrapper --- .../rocoto_style_fv3gfs/make-ecflow-suite.py | 40 ------------------- 1 file changed, 40 deletions(-) delete mode 100755 model/rocoto_style_fv3gfs/make-ecflow-suite.py diff --git a/model/rocoto_style_fv3gfs/make-ecflow-suite.py b/model/rocoto_style_fv3gfs/make-ecflow-suite.py deleted file mode 100755 index c4b971b..0000000 --- a/model/rocoto_style_fv3gfs/make-ecflow-suite.py +++ /dev/null @@ -1,40 +0,0 @@ -#! /usr/bin/env python3 -f'This script requires Python 3.6 or newer.' - -import os, io, sys -from crow.metascheduler import to_ecflow -from crow.config import from_dir, Suite - -if len(sys.argv) != 2: - sys.stderr.write('Syntax: make-ecflow-suite.py PSLOT\n') - sys.stderr.write('PSLOT must match what you gave setup_expt.py\n') - sys.exit(1) - -conf=from_dir('.') -conf.sys_argv_1=sys.argv[1] -suite=Suite(conf.suite) -suite_defs, ecf_files = to_ecflow(suite) - -def make_parent_dir(filename): - dirname=os.path.dirname(filename) - if dirname and not os.path.exists(dirname): - os.makedirs(os.path.dirname(filename)) - -for deffile in suite_defs.keys(): - defname = suite_defs[deffile]['name'] - defcontents = suite_defs[deffile]['def'] - #print(f'=== contents of suite def {defname}\n{suite_defs[defname]}') - filename=os.path.join('defs',deffile) - make_parent_dir(filename) - with open(filename,'wt') as fd: - fd.write(defcontents) - - for setname in ecf_files: - print(f'ecf file set {setname}:') - for filename in ecf_files[setname]: - full_fn=os.path.join(defname,filename)+'.ecf' - print(f' file {full_fn}') - make_parent_dir(full_fn) - with open(full_fn,'wt') as fd: - fd.write(ecf_files[setname][filename]) - From 5e505f778f9875bf4d2c4842d496bdcc8ffe1181 Mon Sep 17 00:00:00 2001 From: wx20st Date: Sun, 18 Feb 2018 17:12:15 +0000 Subject: [PATCH 358/487] many more bug fixes to ecflow and rocoto support. All real-time jobs except make_next_cycles are tested. --- crow/metascheduler/ecflow.py | 4 + crow/metascheduler/graph.py | 3 +- model/rocoto_style_fv3gfs/_main.yaml | 1 + model/rocoto_style_fv3gfs/dump_waiter.yaml | 7 +- .../rocoto_style_fv3gfs/make_next_cycles.yaml | 55 +++++++++++ .../resources_C192_C192.yaml | 49 ++++++---- model/rocoto_style_fv3gfs/settings.yaml | 14 +-- model/rocoto_style_fv3gfs/suite_def.yaml | 48 ++++++++-- model/rocoto_style_fv3gfs/task_template.yaml | 6 +- .../update_ecflow_workflow.sh | 94 +++++++++++++++++++ 10 files changed, 237 insertions(+), 44 deletions(-) create mode 100644 model/rocoto_style_fv3gfs/make_next_cycles.yaml create mode 100755 model/rocoto_style_fv3gfs/update_ecflow_workflow.sh diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py index f4c6087..a5c88da 100644 --- a/crow/metascheduler/ecflow.py +++ b/crow/metascheduler/ecflow.py @@ -257,8 +257,12 @@ def exit_fun(node): dep_to_ecflow(sio,node,node.complete,clock,suite_name_format,undated) sio.write('\n') if node.time>ZERO_DT: + when=cycle+node.time ectime=when.strftime('%H:%M') sio.write(f'{indent1}time {ectime}\n') + if self.settings.dates_in_time_dependencies: + ecdate=when.strftime('%d.%m.%Y') + sio.write(f'{indent1}date {ecdate}\n') event_number=node.view.get('ecflow_first_event_number',1) typecheck(f'{node.view.task_path_var}.ecflow_first_event_number',event_number,int) diff --git a/crow/metascheduler/graph.py b/crow/metascheduler/graph.py index 3cf68bc..bbbe8f4 100644 --- a/crow/metascheduler/graph.py +++ b/crow/metascheduler/graph.py @@ -44,7 +44,8 @@ def __init__(self,view,cycle): if 'Complete' in view and view.Complete is not None: self.complete=view.Complete.copy_dependencies() if 'Time' in view and view.Time is not None: - self.time=copy(view.Time) + typecheck('Time',view.Time,datetime.timedelta) + self.time=copy.copy(view.Time) self.children=collections.OrderedDict() def __iter__(self): diff --git a/model/rocoto_style_fv3gfs/_main.yaml b/model/rocoto_style_fv3gfs/_main.yaml index c790198..d54ad0c 100644 --- a/model/rocoto_style_fv3gfs/_main.yaml +++ b/model/rocoto_style_fv3gfs/_main.yaml @@ -6,4 +6,5 @@ include: - post_manager.yaml - task_template.yaml - dump_waiter.yaml + - make_next_cycles.yaml - suite_def.yaml diff --git a/model/rocoto_style_fv3gfs/dump_waiter.yaml b/model/rocoto_style_fv3gfs/dump_waiter.yaml index 0e7bf8c..f963a8e 100644 --- a/model/rocoto_style_fv3gfs/dump_waiter.yaml +++ b/model/rocoto_style_fv3gfs/dump_waiter.yaml @@ -16,12 +16,7 @@ dump_waiter: &dump_waiter_task !Task Disable: !calc metasched.type=='rocoto' - resources: !calc (doc.resources.run_nothing) - - Time: !FirstTrue - - when: !calc doc.settings.realtime - do: 2:00 - - otherwise: null + resources: !calc doc.resources.run_dump_waiter updated_status: !DataEvent file: !expand >- diff --git a/model/rocoto_style_fv3gfs/make_next_cycles.yaml b/model/rocoto_style_fv3gfs/make_next_cycles.yaml new file mode 100644 index 0000000..ad882e3 --- /dev/null +++ b/model/rocoto_style_fv3gfs/make_next_cycles.yaml @@ -0,0 +1,55 @@ +make_next_cycles: &make_next_cycles_task !Task + <<: *shared_task_template + manual: | + The make_next_cycles task generates and begins the suites for the + next few cycles in the workflow. This job is only used when running + in ecflow. + + Disable: !calc metasched.type=='rocoto' + + resources: !calc doc.resources.run_make_next_cycles + + Trigger: !Depend gdas + + CDUMP: gdas # useless but required + + # The batch_job_command is dumped into the ecf file where the + # J-Job would normally be called. This job is never run by + # Rocoto, so Rocoto never uses this code block. + ecflow_command: !expand | + export WORKFLOW_FIRST_CYCLE=%WORKFLOW_FIRST_CYCLE:1970010100% + export WORKFLOW_LAST_CYCLE=%WORKFLOW_LAST_CYCLE:ETERNITY% + export WORKFLOW_CYCLES_TO_GENERATE=%WORKFLOW_CYCLES_TO_GENERATE:5% + export WORKFLOW_CROW_HOME=%WORKFLOW_CROW_HOME% + export WORKFLOW_EXPDIR=%WORKFLOW_EXPDIR% + + export PDY=%PDY% + export cycle=t%CYC%z + setpdy.sh + source ./PDY + + set -uex + which NDATE + + # Decide the range of cycles to start + first_cycle=$( $NDATE +6 "$PDY$CYC" ) + + if [[ "${{WORKFLOW_LAST_CYCLE:-ETERNITY}}" != ETERNITY && + "$first_cycle" -gt "$WORKFLOW_LAST_CYCLE" ]] ; then + postmsg "Last cycle reached. Not starting any new cycles." + fi + + last_cycle=$first_cycle + for istart in $( seq 1 5 ) ; do + if [[ "${{WORKFLOW_LAST_CYCLE:-ETERNITY}}" != ETERNITY && + "$last_cycle" -gt "$WORKFLOW_LAST_CYCLE" ]] ; then + break + fi + last_cycle=$( $NDATE +6 "$last_cycle" ) + done + + cd "$WORKFLOW_CROWDIR" + postmsg Start cycles $first_cycle through $last_cycle. + ./update_ecflow_workflow.sh "$WORKFLOW_EXPDIR" "$first_cycle" "$last_cycle" + + diff --git a/model/rocoto_style_fv3gfs/resources_C192_C192.yaml b/model/rocoto_style_fv3gfs/resources_C192_C192.yaml index 95b80dc..8eeb2ee 100644 --- a/model/rocoto_style_fv3gfs/resources_C192_C192.yaml +++ b/model/rocoto_style_fv3gfs/resources_C192_C192.yaml @@ -1,13 +1,9 @@ resources: - run_test: !JobRequest - - exe: nothing - mpi_ranks: 1 - # From if[[...ecen]] block in config.resources: run_ecen: !JobRequest - memory: "254M" - walltime: !timedelta "00:30:00" + walltime: !timedelta "00:10:00" mpi_ranks: 84 exe: placeholder # max_ppn comes from THEIA.env: 84/12 = 7 @@ -22,13 +18,28 @@ resources: run_nothing: !JobRequest # Special placeholder for "do nothing" - memory: "300M" - exe: nothing + exe: placeholder walltime: !timedelta "00:02:00" exclusive: false + run_dump_waiter: !JobRequest + - memory: "300M" + exe: placeholder + walltime: !FirstTrue + - when: !calc doc.settings.realtime + do: !timedelta "01:00:00" + - otherwise: !timedelta "00:05:00" + exclusive: false + + run_make_next_cycles: !JobRequest + - memory: "300M" + exe: placeholder + walltime: !timedelta "00:15:00" + exclusive: false + run_eobs: !JobRequest - memory: "3072M" - walltime: !timedelta "00:45:00" + walltime: !timedelta "00:15:00" mpi_ranks: !calc 12*6 exe: placeholder max_ppn: 6 @@ -44,7 +55,7 @@ resources: run_eupd: !JobRequest - memory: "3072M" - walltime: !timedelta "00:30:00" + walltime: !timedelta "00:15:00" mpi_ranks: !calc 10*12 exe: placeholder max_ppn: 12 @@ -58,7 +69,7 @@ resources: # OMP_NUM_THREADS: 4 run_efcs: !JobRequest - - walltime: !timedelta "01:00:00" + - walltime: !timedelta "00:45:00" mpi_ranks: !calc >- doc.settings.layout_x*doc.settings.layout_y*6 + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP @@ -68,7 +79,7 @@ resources: run_epos: !JobRequest - memory: "254M" mpi_ranks: 84 - walltime: !timedelta "00:30:00" + walltime: !timedelta "00:10:00" exe: placeholder max_ppn: 12 OMP_NUM_THREADS: 2 @@ -82,7 +93,7 @@ resources: run_anal: !JobRequest - memory: "3072M" mpi_ranks: 144 - walltime: !timedelta "1:30:00" + walltime: !timedelta "0:30:00" exe: placeholder max_ppn: 6 @@ -97,13 +108,13 @@ resources: - mpi_ranks: !calc >- doc.settings.layout_x*doc.settings.layout_y*6 + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP - walltime: !timedelta "00:15:00" + walltime: !timedelta "00:10:00" max_ppn: 12 memory: "1024M" run_gdas_post_manager: !JobRequest - memory: "300M" - exe: nothing + exe: placeholder exclusive: false walltime: !calc >- doc.resources.run_gdasfcst[0].walltime + tools.to_timedelta('00:15:00') @@ -112,13 +123,13 @@ resources: - mpi_ranks: !calc >- doc.settings.layout_x*doc.settings.layout_y*6 + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP - walltime: !timedelta "01:30:00" + walltime: !timedelta "00:45:00" max_ppn: 12 memory: "1024M" run_gfs_post_manager: !JobRequest - memory: "300M" - exe: nothing + exe: placeholder exclusive: false walltime: !calc >- doc.resources.run_gfsfcst[0].walltime + tools.to_timedelta('00:15:00') @@ -142,14 +153,14 @@ resources: run_gfsvrfy: !JobRequest - memory: "3072M" mpi_ranks: 1 - walltime: !timedelta "06:00:00" + walltime: !timedelta "02:00:00" exe: placeholder max_ppn: 1 run_gdasvrfy: !JobRequest - memory: "3072M" mpi_ranks: 1 - walltime: !timedelta "03:00:00" + walltime: !timedelta "02:00:00" exe: placeholder max_ppn: 1 @@ -157,7 +168,7 @@ resources: - memory: "3072M" exclusive: false mpi_ranks: 1 - walltime: !timedelta "06:00:00" + walltime: !timedelta "01:00:00" exe: placeholder max_ppn: 1 OMP_NUM_THREADS: 2 @@ -173,7 +184,7 @@ resources: run_earc: !JobRequest - memory: "3072M" mpi_ranks: 1 - walltime: !timedelta "06:00:00" + walltime: !timedelta "01:00:00" exe: placeholder max_ppn: 1 diff --git a/model/rocoto_style_fv3gfs/settings.yaml b/model/rocoto_style_fv3gfs/settings.yaml index 52d8cae..34f92ab 100644 --- a/model/rocoto_style_fv3gfs/settings.yaml +++ b/model/rocoto_style_fv3gfs/settings.yaml @@ -12,6 +12,8 @@ config_base: HOMEgfs: !Immediate [ !calc tools.env("HOMEgfs") ] CASE: !Immediate [ !calc tools.env("CASE") ] CASE_ENKF: !Immediate [ !calc tools.env("CASE_ENKF") ] + SDATE: !Immediate [ !calc tools.env("SDATE") ] + EDATE: !Immediate [ !calc tools.env("EDATE") ] settings: resource_file: !expand >- @@ -19,8 +21,8 @@ settings: HOMEgfs: !calc doc.config_base.HOMEgfs COM: !calc doc.config_base.ROTDIR - EXPDIR: !expand doc.config_base.EXPDIR - DATAROOT: !expand doc.config_base.RUNDIR + EXPDIR: !calc doc.config_base.EXPDIR + DATAROOT: !calc doc.config_base.RUNDIR experiment_name: !calc doc.config_base.PSLOT NMEM_ENKF: 20 @@ -43,17 +45,17 @@ settings: DUMPDIR: "/gpfs/tp1/emc/globaldump" - start_date: 2018-01-05t00:00:00 - end_date: 2018-01-05t06:00:00 + start_date: !calc tools.strptime(doc.config_base.SDATE,"%Y%m%d%H") + end_date: !calc tools.strptime(doc.config_base.EDATE,"%Y%m%d%H") start_date_gfs: !calc ( start_date+tools.to_timedelta('6:00:00') ) end_date_gfs: !calc end_date - interval_gfs: !timedelta "12:00:00" + interval_gfs: !timedelta "6:00:00" #forecast_hours: !calc tools.seq(0,120,1)+tools.seq(123,240,3)+tools.seq(240,384,12) forecast_hours: !calc tools.seq(0,doc.config_base.FHMAX_GFS,6) gdas_hours: !calc tools.seq(0,9,3) max_job_tries: 1 - realtime: False + realtime: True archive_to_hpss: True ######################################################################## diff --git a/model/rocoto_style_fv3gfs/suite_def.yaml b/model/rocoto_style_fv3gfs/suite_def.yaml index f07a005..35ae37b 100644 --- a/model/rocoto_style_fv3gfs/suite_def.yaml +++ b/model/rocoto_style_fv3gfs/suite_def.yaml @@ -30,7 +30,8 @@ suite: !Cycle # write_cycles/analyze_cycles: Use these to generate a subset of # the cycles in ecFlow. Make sure analyze_cycles starts at least # one cycle before write_cycles so that dependencies are - # processed. + # processed. These are overridden by update_ecflow_workflow.py + # during its execution. # write_cycles: !Clock # start: 2018-01-02T00:00:00 @@ -44,7 +45,18 @@ suite: !Cycle ecflow_def: !expand | # This ecflow suite definition is automatically generated. # Changes will be overwritten. Please edit suite_def.yaml instead. + + # Repeat vs. autocancel. + # + # - Use "repeat day 1" if you are generating four cycles: 00, 06, 12, 18 + # as is done in operations + # + # - Use "autocancel" if you are generating one suite for each cycle. + # This ensures the server is not filled up with cycles. + #repeat day 1 + autocancel +5 + edit ECF_TRIES '{doc.settings.max_job_tries}' #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' @@ -71,7 +83,7 @@ suite: !Cycle common_metasched_vars: !expand | {metasched.defvar("QUEUE", doc.settings.QUEUE)} {metasched.defvar("HOMEgfs", doc.settings.HOMEgfs)} - {metasched.defvar("QUEUESHARED", doc.settings.QUEUE)} + {metasched.defvar("QUEUESHARED", doc.settings.QUEUESHARED)} {metasched.defvar("COM", doc.settings.COM)} {metasched.defvar("QUEUESERV", doc.settings.QUEUESERV)} {metasched.defvar("PROJECT", doc.settings.PROJECT)} @@ -109,11 +121,12 @@ suite: !Cycle dump_waiter: !Task <<: *dump_waiter_task - Complete: !Depend ~ suite.has_cycle('-6:00:00') - Time: !FirstTrue + ecflow_def: !FirstTrue - when: !calc doc.settings.realtime - do: !timedelta "3:00:00" - - otherwise: null + do: "edit ECF_TRIES 72" + - otherwise: "" + Trigger: !Depend up.gdas.post.at('-6:00:00') + Complete: !Depend ~ suite.has_cycle('-6:00:00') prep: !Task <<: *exclusive_task_template @@ -235,11 +248,12 @@ suite: !Cycle edit MODEL_NAME 'gfs' dump_waiter: !Task - <<: *dump_waiter_task - Time: !FirstTrue + <<: *dump_waiter_task + ecflow_def: !FirstTrue - when: !calc doc.settings.realtime - do: !timedelta "6:00:00" - - otherwise: null + do: "edit ECF_TRIES 72" + - otherwise: "" + Trigger: !Depend up.gdas.post.at('-6:00:00') prep: !Task <<: *exclusive_task_template @@ -302,7 +316,21 @@ suite: !Cycle Trigger: !Depend post resources: !calc ( doc.resources.run_gfsvrfy ) + make_next_cycles: !Task + <<: *make_next_cycles_task + Trigger: !Depend gdas + ecflow_def: + # These variables are used by the make_next_cycles job to generate + # suites for later cycles once earlier cycles have finished. + edit WORKFLOW_FIRST_CYCLE '{tools.strftime(suite.Clock.start,"%Y%m%d%H")}' + edit WORKFLOW_LAST_CYCLE '{tools.strftime(suite.Clock.end,"%Y%m%d%H")}' + edit WORKFLOW_CYCLES_TO_GENERATE '5' + edit WORKFLOW_CROW_HOME '{doc.initial_directory}' + edit WORKFLOW_EXPDIR '{doc.settings.EXPDIR}' + archive: !Family + ecflow_def: + edit ECF_TRIES '3' gdasarch: !Task <<: *service_task_template AlarmName: gdas diff --git a/model/rocoto_style_fv3gfs/task_template.yaml b/model/rocoto_style_fv3gfs/task_template.yaml index 3356e6d..422aead 100644 --- a/model/rocoto_style_fv3gfs/task_template.yaml +++ b/model/rocoto_style_fv3gfs/task_template.yaml @@ -18,7 +18,7 @@ task_template: &task_template CDUMP: !calc task_path_list[0] # Default resources for a job are serial - resources: !calc doc.resources.run_nothing + #resources: !calc doc.resources.run_nothing ecf_dev_overrides: !expand | # Set data and logs to locations specified in the suite definition. @@ -40,9 +40,11 @@ task_template: &task_template rocoto_more_vars: !calc | "\n".join([ metasched.defenvar(VAR,this[VAR]) for VAR in more_vars ]) - ecflow_def: !calc | + ecflow_def_more_vars: !calc | "\n".join([ metasched.defenvar(VAR,this[VAR]) for VAR in more_vars ]) + ecflow_def: !calc ecflow_def_more_vars + ecf_file: !expand | #! /bin/sh {ecf_batch_resources} diff --git a/model/rocoto_style_fv3gfs/update_ecflow_workflow.sh b/model/rocoto_style_fv3gfs/update_ecflow_workflow.sh new file mode 100755 index 0000000..7d85131 --- /dev/null +++ b/model/rocoto_style_fv3gfs/update_ecflow_workflow.sh @@ -0,0 +1,94 @@ +#! /bin/bash + +set -ue + +# Get the directory in which this script resides. We'll assume the +# yaml files are there: +dir0=$( dirname "$0" ) +here=$( cd "$dir0" ; pwd -P ) + +export WORKTOOLS_VERBOSE=NO + +# Make sure this directory is in the python path so we find worktools.py: +export PYTHONPATH=$here:${PYTHONPATH:+:$PYTHONPATH} + +# Parse arguments: +if [[ "$1" == "-v" ]] ; then + export WORKTOOLS_VERBOSE=YES + shift 1 +fi +export EXPDIR="$1" +export FIRST_CYCLE="$2" +export LAST_CYCLE="$3" + +if [[ ! -d /usrx/local || -e /etc/redhat-release ]] ; then + echo "ERROR: This script only runs on WCOSS Cray" 1>&2 + exit 1 +fi + +if ( ! which ecflow_client > /dev/null 2>&1 ) ; then + echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." + exit 1 +fi + +if [[ "${ECF_ROOT:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_ROOT" + exit 1 +fi + +if [[ "${ECF_HOME:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_HOME. I suggest \$ECF_ROOT/submit" + exit 1 +fi + +if [[ "${ECF_PORT:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_PORT. See /usrx/local/sys/ecflow/assigned_ports.txt" + exit 1 +fi + +export ECF_HOME="${ECF_HOME:-$ECF_ROOT/submit}" + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + echo "begin_ecflow_workflow.sh: verbose mode" + export redirect=" " +else + export redirect="> /dev/null 2>&1" +fi + +echo "ecFlow server port: $ECF_PORT" +echo "ecFlow server root: $ECF_ROOT" +echo "ecFlow server home: $ECF_HOME" + +set +e +if ( ! which python3 > /dev/null 2>&1 || \ + ! python3 -c 'import yaml ; f{"1+1"}' > /dev/null 2>&1 ) ; then + python36=/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/python/3.6.1-emc/bin/python3.6 +else + python36="$( which python3 )" +fi +set -e + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + set -x +fi + +/ecf/devutils/server_check.sh "$ECF_ROOT" "$ECF_PORT" $redirect || true + +if ( ! ecflow_client --ping $redirect ) ; then + echo "Could not connect to ecflow server. Aborting." + exit 1 +fi + +$python36 -c " +import worktools ; +worktools.add_cycles_to_running_ecflow_workflow_at( + '$EXPDIR', + '$FIRST_CYCLE', + '$LAST_CYCLE' +)" + + + + + + From 48bd518adbf1dd1d4b6999f00e3889339b10190a Mon Sep 17 00:00:00 2001 From: wx20st Date: Tue, 20 Feb 2018 01:22:56 +0000 Subject: [PATCH 359/487] yet more fixes for ecflow support. Whole workflow seems to work now. --- crow/config/__init__.py | 5 +- crow/tools.py | 4 +- model/rocoto_style_fv3gfs/dump_waiter.yaml | 2 +- .../rocoto_style_fv3gfs/make_next_cycles.yaml | 19 ++- .../remake_ecflow_files_for.sh | 123 ++++++++++++++++++ .../resources_C192_C192.yaml | 6 +- model/rocoto_style_fv3gfs/suite_def.yaml | 14 +- model/rocoto_style_fv3gfs/worktools.py | 43 +++++- 8 files changed, 193 insertions(+), 23 deletions(-) create mode 100755 model/rocoto_style_fv3gfs/remake_ecflow_files_for.sh diff --git a/crow/config/__init__.py b/crow/config/__init__.py index 2bf17e5..9d41e4f 100644 --- a/crow/config/__init__.py +++ b/crow/config/__init__.py @@ -1,6 +1,9 @@ -import yaml, logging, os, io, re +import yaml, logging, os, io, re, glob + from collections import Sequence, Mapping + import crow.tools + from .from_yaml import ConvertFromYAML from .template import Template from .represent import Action, Platform, ShellCommand diff --git a/crow/tools.py b/crow/tools.py index c5c1999..8aca701 100644 --- a/crow/tools.py +++ b/crow/tools.py @@ -223,9 +223,9 @@ def __init__(self,start,step,end=None,now=None): self.step=step self.__now=start if self.step<=ZERO_DT: - raise ValueError('Time step must be positive and non-zero.') + raise ValueError(f'Time step must be positive and non-zero: {self.step}') if self.end is not None and self.end&2 + exit 1 +fi + +if ( ! which ecflow_client > /dev/null 2>&1 ) ; then + echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." + exit 1 +fi + +if [[ "${ECF_ROOT:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_ROOT" + exit 1 +fi + +if [[ "${ECF_HOME:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_HOME. I suggest \$ECF_ROOT/submit" + exit 1 +fi + +if [[ "${ECF_PORT:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_PORT. See /usrx/local/sys/ecflow/assigned_ports.txt" + exit 1 +fi + +export ECF_HOME="${ECF_HOME:-$ECF_ROOT/submit}" + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + echo "remake_ecflow_files_for.sh: verbose mode" + export redirect=" " +else + export redirect="> /dev/null 2>&1" +fi + +echo "ecFlow server port: $ECF_PORT" +echo "ecFlow server root: $ECF_ROOT" +echo "ecFlow server home: $ECF_HOME" + +set +e +if ( ! which python3 > /dev/null 2>&1 || \ + ! python3 -c 'import yaml ; f{"1+1"}' > /dev/null 2>&1 ) ; then + python36=/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/python/3.6.1-emc/bin/python3.6 +else + python36="$( which python3 )" +fi +set -e + +tmpfile=${TMPDIR:-/tmp}/find-expdir.$RANDOM.$RANDOM.$$ + +make_yaml_files() { + # NOTE: Sourcing config.base clobbers the ecflow variables, so we + # must do it in a subshell. + set +uex + source "$CONFIGDIR"/config.base $redirect + set -ue + + if [[ "$FHMAX_GFS" != 240 ]] ; then + echo "ERROR: This script requires FHMAX_GFS = 240" 1>&2 + exit 1 + fi + + if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + set -x + fi + + $python36 -c "import worktools ; worktools.make_yaml_files('$here','$EXPDIR')" + + echo "$EXPDIR" > "$tmpfile" +} + +if ( ! ( make_yaml_files ) ) ; then + echo "Failed to make YAML files" + exit 1 +fi + +EXPDIR=$( cat "$tmpfile" ) +rm -f "$tmpfile" + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + echo "remake_ecflow_files_for.sh: EXPDIR=$EXPDIR" + set -x +fi + +/ecf/devutils/server_check.sh "$ECF_ROOT" "$ECF_PORT" $redirect || true + +if ( ! ecflow_client --ping $redirect ) ; then + echo "Could not connect to ecflow server. Aborting." + exit 1 +fi + +$python36 -c "import worktools ; worktools.remake_ecflow_files_for_cycles( + '$EXPDIR', + '$FIRST_CYCLE', + '$LAST_CYCLE')" + + + + + + diff --git a/model/rocoto_style_fv3gfs/resources_C192_C192.yaml b/model/rocoto_style_fv3gfs/resources_C192_C192.yaml index 8eeb2ee..9c23bcf 100644 --- a/model/rocoto_style_fv3gfs/resources_C192_C192.yaml +++ b/model/rocoto_style_fv3gfs/resources_C192_C192.yaml @@ -29,13 +29,11 @@ resources: - when: !calc doc.settings.realtime do: !timedelta "01:00:00" - otherwise: !timedelta "00:05:00" - exclusive: false run_make_next_cycles: !JobRequest - memory: "300M" exe: placeholder walltime: !timedelta "00:15:00" - exclusive: false run_eobs: !JobRequest - memory: "3072M" @@ -93,7 +91,7 @@ resources: run_anal: !JobRequest - memory: "3072M" mpi_ranks: 144 - walltime: !timedelta "0:30:00" + walltime: !timedelta "0:40:00" exe: placeholder max_ppn: 6 @@ -115,7 +113,6 @@ resources: run_gdas_post_manager: !JobRequest - memory: "300M" exe: placeholder - exclusive: false walltime: !calc >- doc.resources.run_gdasfcst[0].walltime + tools.to_timedelta('00:15:00') @@ -130,7 +127,6 @@ resources: run_gfs_post_manager: !JobRequest - memory: "300M" exe: placeholder - exclusive: false walltime: !calc >- doc.resources.run_gfsfcst[0].walltime + tools.to_timedelta('00:15:00') diff --git a/model/rocoto_style_fv3gfs/suite_def.yaml b/model/rocoto_style_fv3gfs/suite_def.yaml index 35ae37b..6895a67 100644 --- a/model/rocoto_style_fv3gfs/suite_def.yaml +++ b/model/rocoto_style_fv3gfs/suite_def.yaml @@ -149,8 +149,9 @@ suite: !Cycle Dimensions: fhr: !calc doc.settings.gdas_hours #tools.seq(0,9,1) post_manager_el: !TaskElement - <<: *shared_task_template - Trigger: !Depend ( up.fcst.is_running() | up.fcst.is_completed() ) + <<: *exclusive_task_template + Trigger: !Depend up.fcst.is_running() + Complete: !Depend up.fcst Disable: !calc metasched.type=='rocoto' Foreach: [] J_JOB: post_manager @@ -182,7 +183,7 @@ suite: !Cycle - when: !calc dimidx.fhr==0 do: "anl" - otherwise: !expand "{(dimidx.fhr-1)*3:02d}" - Trigger: !Depend post_manager.depend("release_post{F}",F=[release_id]) + Trigger: !Depend post_manager.depend("release_post{F}",F=[release_id]) | up.fcst resources: !calc doc.resources.run_gdaspost vrfy: !Task @@ -275,9 +276,10 @@ suite: !Cycle fhr: !calc doc.settings.forecast_hours post_manager_el: !TaskElement - <<: *shared_task_template + <<: *exclusive_task_template Disable: !calc metasched.type == 'rocoto' - Trigger: !Depend ( up.fcst.is_running() | up.fcst.is_completed() ) + Trigger: !Depend up.fcst.is_running() + Complete: !Depend up.fcst Foreach: [ ] ecflow_command: *post_manager_job_contents J_JOB: post_manager @@ -309,7 +311,7 @@ suite: !Cycle do: "00" - otherwise: !expand "{(dimidx.fhr-1)*6:02d}" more_vars: [ FHRGRP, FHRLST ] - Trigger: !Depend post_manager.depend("release_post{F}",F=[release_id]) + Trigger: !Depend post_manager.depend("release_post{F}",F=[release_id]) | up.fcst vrfy: !Task <<: *exclusive_task_template diff --git a/model/rocoto_style_fv3gfs/worktools.py b/model/rocoto_style_fv3gfs/worktools.py index 3949876..47aeb34 100644 --- a/model/rocoto_style_fv3gfs/worktools.py +++ b/model/rocoto_style_fv3gfs/worktools.py @@ -168,6 +168,38 @@ def load_and_begin_ecflow_suites(ECF_HOME,suite_def_files): logger.info(cmd) subprocess.run(cmd,check=False,shell=True) +######################################################################## + +# These functions are called directly from scripts, and can be thought +# of as "main programs." + +def remake_ecflow_files_for_cycles( + yamldir,first_cycle_str,last_cycle_str, + surrounding_cycles=5): + ECF_HOME=get_target_dir_and_check_ecflow_env() + conf,suite=read_yaml_suite(yamldir) + loudly_make_dir_if_missing(f'{conf.settings.COM}/log') + + first_cycle=datetime.datetime.strptime(first_cycle_str,'%Y%m%d%H') + first_cycle=max(suite.Clock.start,first_cycle) + + last_cycle=datetime.datetime.strptime(last_cycle_str,'%Y%m%d%H') + last_cycle=max(first_cycle,min(suite.Clock.end,last_cycle)) + + suite_defs, ecf_files = generate_ecflow_suite_in_memory( + suite,first_cycle,last_cycle,surrounding_cycles) + written_suite_defs = write_ecflow_suite_to_disk( + ECF_HOME, suite_defs, ecf_files) + print(f'''Suite definition files and ecf files have been written to: + + {ECF_HOME} + +If all you wanted to do was update the ecf files, then you're done. + +If you want to update the suite (cycle) definitions, or add suites +(cycles), you will need to call ecflow_client's --load, --begin, +--replace, or --delete commands.''') + def create_and_begin_ecflow_workflow(yamldir,surrounding_cycles=5): conf,suite=read_yaml_suite(yamldir) loudly_make_dir_if_missing(f'{conf.settings.COM}/log') @@ -178,6 +210,11 @@ def create_and_begin_ecflow_workflow(yamldir,surrounding_cycles=5): return False load_and_begin_ecflow_suites(ECF_HOME,suite_def_files) -# def add_cycles_to_running_ecflow_workflow_at( -# yamldir,first_cycle,last_cycle,surrounding_cycles=5): - +def add_cycles_to_running_ecflow_workflow_at( + yamldir,first_cycle_str,last_cycle_str,surrounding_cycles=5): + conf,suite=read_yaml_suite(yamldir) + first_cycle=datetime.datetime.strptime(first_cycle_str,'%Y%m%d%H') + last_cycle=datetime.datetime.strptime(last_cycle_str,'%Y%m%d%H') + ECF_HOME, suite_def_files = update_existing_ecflow_workflow( + suite,first_cycle,last_cycle,surrounding_cycles) + load_and_begin_ecflow_suites(ECF_HOME,suite_def_files) From 2d30a80263bd5b127bb5480bef6f33de03050e71 Mon Sep 17 00:00:00 2001 From: wx20st Date: Tue, 20 Feb 2018 15:31:01 +0000 Subject: [PATCH 360/487] 1. Bug fix to make_next_cycles job edits. 2. Split eomg into five jobs instead of two, and set a smaller wallclock limit. --- model/rocoto_style_fv3gfs/resources_C192_C192.yaml | 2 +- model/rocoto_style_fv3gfs/settings.yaml | 9 ++++++--- model/rocoto_style_fv3gfs/suite_def.yaml | 2 +- 3 files changed, 8 insertions(+), 5 deletions(-) diff --git a/model/rocoto_style_fv3gfs/resources_C192_C192.yaml b/model/rocoto_style_fv3gfs/resources_C192_C192.yaml index 9c23bcf..66b8eae 100644 --- a/model/rocoto_style_fv3gfs/resources_C192_C192.yaml +++ b/model/rocoto_style_fv3gfs/resources_C192_C192.yaml @@ -45,7 +45,7 @@ resources: run_eomg: !JobRequest - memory: "3072M" - walltime: !timedelta "02:00:00" + walltime: !timedelta "01:20:00" mpi_ranks: !calc 6*12 exe: placeholder max_ppn: 6 diff --git a/model/rocoto_style_fv3gfs/settings.yaml b/model/rocoto_style_fv3gfs/settings.yaml index 34f92ab..196d960 100644 --- a/model/rocoto_style_fv3gfs/settings.yaml +++ b/model/rocoto_style_fv3gfs/settings.yaml @@ -27,10 +27,13 @@ settings: NMEM_ENKF: 20 ENKF_GROUPS: 2 + NMEM_EOMGGRP: 4 + NMEM_EFCSGRP: 10 + NMEM_EARCGRP: 10 - ENKF_INNOVATE_GROUPS: !calc ENKF_GROUPS - ENKF_FORECAST_GROUPS: !calc ENKF_GROUPS - ENKF_ARCHIVE_GROUPS: 3 + ENKF_INNOVATE_GROUPS: !calc ( NMEM_ENKF // NMEM_EOMGGRP ) + ENKF_FORECAST_GROUPS: !calc ( NMEM_ENKF // NMEM_EFCSGRP ) + ENKF_ARCHIVE_GROUPS: !calc ( NMEM_ENKF // NMEM_EARCGRP ) ECF_HOME: !calc ( tools.env('ECF_HOME') ) ROCOTO_HOME: !calc ( doc.config_base.EXPDIR ) diff --git a/model/rocoto_style_fv3gfs/suite_def.yaml b/model/rocoto_style_fv3gfs/suite_def.yaml index 6895a67..aed45fe 100644 --- a/model/rocoto_style_fv3gfs/suite_def.yaml +++ b/model/rocoto_style_fv3gfs/suite_def.yaml @@ -321,7 +321,7 @@ suite: !Cycle make_next_cycles: !Task <<: *make_next_cycles_task Trigger: !Depend gdas - ecflow_def: + ecflow_def: !expand | # These variables are used by the make_next_cycles job to generate # suites for later cycles once earlier cycles have finished. edit WORKFLOW_FIRST_CYCLE '{tools.strftime(suite.Clock.start,"%Y%m%d%H")}' From a2f35e31a891481065a26e418e39fc594cbecab3 Mon Sep 17 00:00:00 2001 From: wx20st Date: Thu, 22 Feb 2018 20:51:46 +0000 Subject: [PATCH 361/487] many updates to allow ecflow_fv3gfs to generate the correct suite definition, corresponding ecf files, and load into ecflow --- crow/config/__init__.py | 16 +- crow/config/eval_tools.py | 24 +- crow/config/tasks.py | 10 +- crow/config/template.py | 19 +- crow/config/tools.py | 10 + crow/metascheduler/algebra.py | 23 +- crow/metascheduler/ecflow.py | 22 +- crow/metascheduler/graph.py | 47 +- model/ecflow_fv3gfs/_main.yaml | 7 + model/ecflow_fv3gfs/begin_ecflow_workflow.sh | 156 + model/ecflow_fv3gfs/config_files.yaml | 42 + model/ecflow_fv3gfs/config_locations.yaml | 7 + model/ecflow_fv3gfs/defs/prod00.def | 4366 ----------------- model/ecflow_fv3gfs/defs/prod06.def | 4366 ----------------- model/ecflow_fv3gfs/defs/prod12.def | 4366 ----------------- model/ecflow_fv3gfs/defs/prod18.def | 4366 ----------------- model/ecflow_fv3gfs/dump_waiter.yaml | 37 + model/ecflow_fv3gfs/ecf_file.yaml | 1 + model/ecflow_fv3gfs/make_next_cycles.yaml | 64 + model/ecflow_fv3gfs/post_manager.yaml | 23 + .../ecflow_fv3gfs/remake_ecflow_files_for.sh | 151 + model/ecflow_fv3gfs/resources_C192_C192.yaml | 197 + ...esources.yaml => resources_C768_C384.yaml} | 2 +- model/ecflow_fv3gfs/schedulers.yaml | 23 + .../scripts/prod00/cycle_end.ecf | 44 - .../gdas/analysis/jgdas_analysis_high.ecf | 45 - .../scripts/prod00/gdas/dump/jgdas_dump.ecf | 44 - .../scripts/prod00/gdas/dump/jgdas_ics.ecf | 44 - .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 44 - .../enkf/forecast/jgdas_enkf_fcst_grp1.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp10.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp11.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp12.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp13.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp14.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp15.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp16.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp2.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp3.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp4.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp5.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp6.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp7.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp8.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp9.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp1.ecf | 45 - .../jgdas_enkf_innovate_obs_grp10.ecf | 45 - .../jgdas_enkf_innovate_obs_grp11.ecf | 45 - .../jgdas_enkf_innovate_obs_grp12.ecf | 45 - .../jgdas_enkf_innovate_obs_grp13.ecf | 45 - .../jgdas_enkf_innovate_obs_grp14.ecf | 45 - .../jgdas_enkf_innovate_obs_grp15.ecf | 45 - .../jgdas_enkf_innovate_obs_grp16.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp2.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp3.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp4.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp5.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp6.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp7.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp8.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp9.ecf | 45 - .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 45 - .../prod00/gdas/enkf/jgdas_enkf_post.ecf | 45 - .../gdas/enkf/jgdas_enkf_select_obs.ecf | 45 - .../prod00/gdas/enkf/jgdas_enkf_update.ecf | 45 - .../prod00/gdas/forecast/jgdas_forecast.ecf | 45 - .../prod00/gdas/gempak/jgdas_gempak.ecf | 44 - .../prod00/gdas/gempak/jgdas_gempak_meta.ecf | 44 - .../prod00/gdas/gempak/jgdas_gempak_ncdc.ecf | 44 - .../scripts/prod00/gdas/jgdas_verfrad.ecf | 44 - .../scripts/prod00/gdas/jgdas_vminmon.ecf | 44 - .../scripts/prod00/gdas/post/jgdas_post.ecf | 45 - .../bulletins/jgdas_mknavybulls.ecf | 44 - .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 44 - .../scripts/prod00/gdas/prep/jgdas_prep.ecf | 45 - .../prod00/gdas/prep/jgdas_prep_post.ecf | 44 - .../scripts/prod00/gfs/dump/jgfs_dump.ecf | 44 - .../prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 44 - .../gfs/forecast/jgfs_forecast_high.ecf | 45 - .../prod00/gfs/forecast/jgfs_forecast_low.ecf | 45 - .../scripts/prod00/gfs/gempak/jgfs_gempak.ecf | 44 - .../prod00/gfs/gempak/jgfs_gempak_meta.ecf | 44 - .../prod00/gfs/gempak/jgfs_gempak_ncdc.ecf | 44 - .../prod00/gfs/gempak/jgfs_gempak_upapgif.ecf | 44 - .../gfs/gempak/jgfs_pgrb2_spec_gempak.ecf | 33 - .../scripts/prod00/gfs/jgfs_analysis.ecf | 45 - .../scripts/prod00/gfs/jgfs_vminmon.ecf | 44 - .../scripts/prod00/gfs/post/dummy.ecf | 11 - .../prod00/gfs/post/jgfs_pgrb2_spec_post.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_anl.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f00.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f01.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f02.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f03.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f04.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f05.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f06.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f07.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f08.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f09.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f10.ecf | 44 - .../prod00/gfs/post/jgfs_post_f100.ecf | 44 - .../prod00/gfs/post/jgfs_post_f101.ecf | 44 - .../prod00/gfs/post/jgfs_post_f102.ecf | 44 - .../prod00/gfs/post/jgfs_post_f103.ecf | 44 - .../prod00/gfs/post/jgfs_post_f104.ecf | 44 - .../prod00/gfs/post/jgfs_post_f105.ecf | 44 - .../prod00/gfs/post/jgfs_post_f106.ecf | 44 - .../prod00/gfs/post/jgfs_post_f107.ecf | 44 - .../prod00/gfs/post/jgfs_post_f108.ecf | 44 - .../prod00/gfs/post/jgfs_post_f109.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f11.ecf | 44 - .../prod00/gfs/post/jgfs_post_f110.ecf | 44 - .../prod00/gfs/post/jgfs_post_f111.ecf | 44 - .../prod00/gfs/post/jgfs_post_f112.ecf | 44 - .../prod00/gfs/post/jgfs_post_f113.ecf | 44 - .../prod00/gfs/post/jgfs_post_f114.ecf | 44 - .../prod00/gfs/post/jgfs_post_f115.ecf | 44 - .../prod00/gfs/post/jgfs_post_f116.ecf | 44 - .../prod00/gfs/post/jgfs_post_f117.ecf | 44 - .../prod00/gfs/post/jgfs_post_f118.ecf | 44 - .../prod00/gfs/post/jgfs_post_f119.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f12.ecf | 44 - .../prod00/gfs/post/jgfs_post_f120.ecf | 44 - .../prod00/gfs/post/jgfs_post_f123.ecf | 44 - .../prod00/gfs/post/jgfs_post_f126.ecf | 44 - .../prod00/gfs/post/jgfs_post_f129.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f13.ecf | 44 - .../prod00/gfs/post/jgfs_post_f132.ecf | 44 - .../prod00/gfs/post/jgfs_post_f135.ecf | 44 - .../prod00/gfs/post/jgfs_post_f138.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f14.ecf | 44 - .../prod00/gfs/post/jgfs_post_f141.ecf | 44 - .../prod00/gfs/post/jgfs_post_f144.ecf | 44 - .../prod00/gfs/post/jgfs_post_f147.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f15.ecf | 44 - .../prod00/gfs/post/jgfs_post_f150.ecf | 44 - .../prod00/gfs/post/jgfs_post_f153.ecf | 44 - .../prod00/gfs/post/jgfs_post_f156.ecf | 44 - .../prod00/gfs/post/jgfs_post_f159.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f16.ecf | 44 - .../prod00/gfs/post/jgfs_post_f162.ecf | 44 - .../prod00/gfs/post/jgfs_post_f165.ecf | 44 - .../prod00/gfs/post/jgfs_post_f168.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f17.ecf | 44 - .../prod00/gfs/post/jgfs_post_f171.ecf | 44 - .../prod00/gfs/post/jgfs_post_f174.ecf | 44 - .../prod00/gfs/post/jgfs_post_f177.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f18.ecf | 44 - .../prod00/gfs/post/jgfs_post_f180.ecf | 44 - .../prod00/gfs/post/jgfs_post_f183.ecf | 44 - .../prod00/gfs/post/jgfs_post_f186.ecf | 44 - .../prod00/gfs/post/jgfs_post_f189.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f19.ecf | 44 - .../prod00/gfs/post/jgfs_post_f192.ecf | 44 - .../prod00/gfs/post/jgfs_post_f195.ecf | 44 - .../prod00/gfs/post/jgfs_post_f198.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f20.ecf | 44 - .../prod00/gfs/post/jgfs_post_f201.ecf | 44 - .../prod00/gfs/post/jgfs_post_f204.ecf | 44 - .../prod00/gfs/post/jgfs_post_f207.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f21.ecf | 44 - .../prod00/gfs/post/jgfs_post_f210.ecf | 44 - .../prod00/gfs/post/jgfs_post_f213.ecf | 44 - .../prod00/gfs/post/jgfs_post_f216.ecf | 44 - .../prod00/gfs/post/jgfs_post_f219.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f22.ecf | 44 - .../prod00/gfs/post/jgfs_post_f222.ecf | 44 - .../prod00/gfs/post/jgfs_post_f225.ecf | 44 - .../prod00/gfs/post/jgfs_post_f228.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f23.ecf | 44 - .../prod00/gfs/post/jgfs_post_f231.ecf | 44 - .../prod00/gfs/post/jgfs_post_f234.ecf | 44 - .../prod00/gfs/post/jgfs_post_f237.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f24.ecf | 44 - .../prod00/gfs/post/jgfs_post_f240.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f25.ecf | 44 - .../prod00/gfs/post/jgfs_post_f252.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f26.ecf | 44 - .../prod00/gfs/post/jgfs_post_f264.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f27.ecf | 44 - .../prod00/gfs/post/jgfs_post_f276.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f28.ecf | 44 - .../prod00/gfs/post/jgfs_post_f288.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f29.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f30.ecf | 44 - .../prod00/gfs/post/jgfs_post_f300.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f31.ecf | 44 - .../prod00/gfs/post/jgfs_post_f312.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f32.ecf | 44 - .../prod00/gfs/post/jgfs_post_f324.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f33.ecf | 44 - .../prod00/gfs/post/jgfs_post_f336.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f34.ecf | 44 - .../prod00/gfs/post/jgfs_post_f348.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f35.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f36.ecf | 44 - .../prod00/gfs/post/jgfs_post_f360.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f37.ecf | 44 - .../prod00/gfs/post/jgfs_post_f372.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f38.ecf | 44 - .../prod00/gfs/post/jgfs_post_f384.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f39.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f40.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f41.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f42.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f43.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f44.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f45.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f46.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f47.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f48.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f49.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f50.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f51.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f52.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f53.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f54.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f55.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f56.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f57.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f58.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f59.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f60.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f61.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f62.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f63.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f64.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f65.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f66.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f67.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f68.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f69.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f70.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f71.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f72.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f73.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f74.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f75.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f76.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f77.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f78.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f79.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f80.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f81.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f82.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f83.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f84.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f85.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f86.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f87.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f88.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f89.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f90.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f91.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f92.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f93.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f94.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f95.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f96.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f97.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f98.ecf | 44 - .../scripts/prod00/gfs/post/jgfs_post_f99.ecf | 44 - .../prod00/gfs/post/jgfs_post_manager.ecf | 44 - .../awips_1p0deg/jgfs_awips_f000.ecf | 44 - .../awips_1p0deg/jgfs_awips_f001.ecf | 44 - .../awips_1p0deg/jgfs_awips_f002.ecf | 44 - .../awips_1p0deg/jgfs_awips_f003.ecf | 44 - .../awips_1p0deg/jgfs_awips_f004.ecf | 44 - .../awips_1p0deg/jgfs_awips_f005.ecf | 44 - .../awips_1p0deg/jgfs_awips_f006.ecf | 44 - .../awips_1p0deg/jgfs_awips_f007.ecf | 44 - .../awips_1p0deg/jgfs_awips_f008.ecf | 44 - .../awips_1p0deg/jgfs_awips_f009.ecf | 44 - .../awips_1p0deg/jgfs_awips_f010.ecf | 44 - .../awips_1p0deg/jgfs_awips_f011.ecf | 44 - .../awips_1p0deg/jgfs_awips_f012.ecf | 44 - .../awips_1p0deg/jgfs_awips_f013.ecf | 44 - .../awips_1p0deg/jgfs_awips_f014.ecf | 44 - .../awips_1p0deg/jgfs_awips_f015.ecf | 44 - .../awips_1p0deg/jgfs_awips_f016.ecf | 44 - .../awips_1p0deg/jgfs_awips_f017.ecf | 44 - .../awips_1p0deg/jgfs_awips_f018.ecf | 44 - .../awips_1p0deg/jgfs_awips_f019.ecf | 44 - .../awips_1p0deg/jgfs_awips_f020.ecf | 44 - .../awips_1p0deg/jgfs_awips_f021.ecf | 44 - .../awips_1p0deg/jgfs_awips_f022.ecf | 44 - .../awips_1p0deg/jgfs_awips_f023.ecf | 44 - .../awips_1p0deg/jgfs_awips_f024.ecf | 44 - .../awips_1p0deg/jgfs_awips_f025.ecf | 44 - .../awips_1p0deg/jgfs_awips_f026.ecf | 44 - .../awips_1p0deg/jgfs_awips_f027.ecf | 44 - .../awips_1p0deg/jgfs_awips_f028.ecf | 44 - .../awips_1p0deg/jgfs_awips_f029.ecf | 44 - .../awips_1p0deg/jgfs_awips_f030.ecf | 44 - .../awips_1p0deg/jgfs_awips_f031.ecf | 44 - .../awips_1p0deg/jgfs_awips_f032.ecf | 44 - .../awips_1p0deg/jgfs_awips_f033.ecf | 44 - .../awips_1p0deg/jgfs_awips_f034.ecf | 44 - .../awips_1p0deg/jgfs_awips_f035.ecf | 44 - .../awips_1p0deg/jgfs_awips_f036.ecf | 44 - .../awips_1p0deg/jgfs_awips_f037.ecf | 44 - .../awips_1p0deg/jgfs_awips_f038.ecf | 44 - .../awips_1p0deg/jgfs_awips_f039.ecf | 44 - .../awips_1p0deg/jgfs_awips_f040.ecf | 44 - .../awips_1p0deg/jgfs_awips_f041.ecf | 44 - .../awips_1p0deg/jgfs_awips_f042.ecf | 44 - .../awips_1p0deg/jgfs_awips_f043.ecf | 44 - .../awips_1p0deg/jgfs_awips_f044.ecf | 44 - .../awips_1p0deg/jgfs_awips_f045.ecf | 44 - .../awips_1p0deg/jgfs_awips_f046.ecf | 44 - .../awips_1p0deg/jgfs_awips_f047.ecf | 44 - .../awips_1p0deg/jgfs_awips_f048.ecf | 44 - .../awips_1p0deg/jgfs_awips_f049.ecf | 44 - .../awips_1p0deg/jgfs_awips_f050.ecf | 44 - .../awips_1p0deg/jgfs_awips_f051.ecf | 44 - .../awips_1p0deg/jgfs_awips_f052.ecf | 44 - .../awips_1p0deg/jgfs_awips_f053.ecf | 44 - .../awips_1p0deg/jgfs_awips_f054.ecf | 44 - .../awips_1p0deg/jgfs_awips_f055.ecf | 44 - .../awips_1p0deg/jgfs_awips_f056.ecf | 44 - .../awips_1p0deg/jgfs_awips_f057.ecf | 44 - .../awips_1p0deg/jgfs_awips_f058.ecf | 44 - .../awips_1p0deg/jgfs_awips_f059.ecf | 44 - .../awips_1p0deg/jgfs_awips_f060.ecf | 44 - .../awips_1p0deg/jgfs_awips_f061.ecf | 44 - .../awips_1p0deg/jgfs_awips_f062.ecf | 44 - .../awips_1p0deg/jgfs_awips_f063.ecf | 44 - .../awips_1p0deg/jgfs_awips_f064.ecf | 44 - .../awips_1p0deg/jgfs_awips_f065.ecf | 44 - .../awips_1p0deg/jgfs_awips_f066.ecf | 44 - .../awips_1p0deg/jgfs_awips_f067.ecf | 44 - .../awips_1p0deg/jgfs_awips_f068.ecf | 44 - .../awips_1p0deg/jgfs_awips_f069.ecf | 44 - .../awips_1p0deg/jgfs_awips_f070.ecf | 44 - .../awips_1p0deg/jgfs_awips_f071.ecf | 44 - .../awips_1p0deg/jgfs_awips_f072.ecf | 44 - .../awips_1p0deg/jgfs_awips_f073.ecf | 44 - .../awips_1p0deg/jgfs_awips_f074.ecf | 44 - .../awips_1p0deg/jgfs_awips_f075.ecf | 44 - .../awips_1p0deg/jgfs_awips_f076.ecf | 44 - .../awips_1p0deg/jgfs_awips_f077.ecf | 44 - .../awips_1p0deg/jgfs_awips_f078.ecf | 44 - .../awips_1p0deg/jgfs_awips_f079.ecf | 44 - .../awips_1p0deg/jgfs_awips_f080.ecf | 44 - .../awips_1p0deg/jgfs_awips_f081.ecf | 44 - .../awips_1p0deg/jgfs_awips_f082.ecf | 44 - .../awips_1p0deg/jgfs_awips_f083.ecf | 44 - .../awips_1p0deg/jgfs_awips_f084.ecf | 44 - .../awips_1p0deg/jgfs_awips_f085.ecf | 44 - .../awips_1p0deg/jgfs_awips_f086.ecf | 44 - .../awips_1p0deg/jgfs_awips_f087.ecf | 44 - .../awips_1p0deg/jgfs_awips_f088.ecf | 44 - .../awips_1p0deg/jgfs_awips_f089.ecf | 44 - .../awips_1p0deg/jgfs_awips_f090.ecf | 44 - .../awips_1p0deg/jgfs_awips_f091.ecf | 44 - .../awips_1p0deg/jgfs_awips_f092.ecf | 44 - .../awips_1p0deg/jgfs_awips_f093.ecf | 44 - .../awips_1p0deg/jgfs_awips_f094.ecf | 44 - .../awips_1p0deg/jgfs_awips_f095.ecf | 44 - .../awips_1p0deg/jgfs_awips_f096.ecf | 44 - .../awips_1p0deg/jgfs_awips_f097.ecf | 44 - .../awips_1p0deg/jgfs_awips_f098.ecf | 44 - .../awips_1p0deg/jgfs_awips_f099.ecf | 44 - .../awips_1p0deg/jgfs_awips_f100.ecf | 44 - .../awips_1p0deg/jgfs_awips_f101.ecf | 44 - .../awips_1p0deg/jgfs_awips_f102.ecf | 44 - .../awips_1p0deg/jgfs_awips_f103.ecf | 44 - .../awips_1p0deg/jgfs_awips_f104.ecf | 44 - .../awips_1p0deg/jgfs_awips_f105.ecf | 44 - .../awips_1p0deg/jgfs_awips_f106.ecf | 44 - .../awips_1p0deg/jgfs_awips_f107.ecf | 44 - .../awips_1p0deg/jgfs_awips_f108.ecf | 44 - .../awips_1p0deg/jgfs_awips_f109.ecf | 44 - .../awips_1p0deg/jgfs_awips_f110.ecf | 44 - .../awips_1p0deg/jgfs_awips_f111.ecf | 44 - .../awips_1p0deg/jgfs_awips_f112.ecf | 44 - .../awips_1p0deg/jgfs_awips_f113.ecf | 44 - .../awips_1p0deg/jgfs_awips_f114.ecf | 44 - .../awips_1p0deg/jgfs_awips_f115.ecf | 44 - .../awips_1p0deg/jgfs_awips_f116.ecf | 44 - .../awips_1p0deg/jgfs_awips_f117.ecf | 44 - .../awips_1p0deg/jgfs_awips_f118.ecf | 44 - .../awips_1p0deg/jgfs_awips_f119.ecf | 44 - .../awips_1p0deg/jgfs_awips_f120.ecf | 44 - .../awips_1p0deg/jgfs_awips_f123.ecf | 44 - .../awips_1p0deg/jgfs_awips_f126.ecf | 44 - .../awips_1p0deg/jgfs_awips_f129.ecf | 44 - .../awips_1p0deg/jgfs_awips_f132.ecf | 44 - .../awips_1p0deg/jgfs_awips_f135.ecf | 44 - .../awips_1p0deg/jgfs_awips_f138.ecf | 44 - .../awips_1p0deg/jgfs_awips_f141.ecf | 44 - .../awips_1p0deg/jgfs_awips_f144.ecf | 44 - .../awips_1p0deg/jgfs_awips_f147.ecf | 44 - .../awips_1p0deg/jgfs_awips_f150.ecf | 44 - .../awips_1p0deg/jgfs_awips_f153.ecf | 44 - .../awips_1p0deg/jgfs_awips_f156.ecf | 44 - .../awips_1p0deg/jgfs_awips_f159.ecf | 44 - .../awips_1p0deg/jgfs_awips_f162.ecf | 44 - .../awips_1p0deg/jgfs_awips_f165.ecf | 44 - .../awips_1p0deg/jgfs_awips_f168.ecf | 44 - .../awips_1p0deg/jgfs_awips_f171.ecf | 44 - .../awips_1p0deg/jgfs_awips_f174.ecf | 44 - .../awips_1p0deg/jgfs_awips_f177.ecf | 44 - .../awips_1p0deg/jgfs_awips_f180.ecf | 44 - .../awips_1p0deg/jgfs_awips_f183.ecf | 44 - .../awips_1p0deg/jgfs_awips_f186.ecf | 44 - .../awips_1p0deg/jgfs_awips_f189.ecf | 44 - .../awips_1p0deg/jgfs_awips_f192.ecf | 44 - .../awips_1p0deg/jgfs_awips_f195.ecf | 44 - .../awips_1p0deg/jgfs_awips_f198.ecf | 44 - .../awips_1p0deg/jgfs_awips_f201.ecf | 44 - .../awips_1p0deg/jgfs_awips_f204.ecf | 44 - .../awips_1p0deg/jgfs_awips_f207.ecf | 44 - .../awips_1p0deg/jgfs_awips_f210.ecf | 44 - .../awips_1p0deg/jgfs_awips_f213.ecf | 44 - .../awips_1p0deg/jgfs_awips_f216.ecf | 44 - .../awips_1p0deg/jgfs_awips_f219.ecf | 44 - .../awips_1p0deg/jgfs_awips_f222.ecf | 44 - .../awips_1p0deg/jgfs_awips_f225.ecf | 44 - .../awips_1p0deg/jgfs_awips_f228.ecf | 44 - .../awips_1p0deg/jgfs_awips_f231.ecf | 44 - .../awips_1p0deg/jgfs_awips_f234.ecf | 44 - .../awips_1p0deg/jgfs_awips_f237.ecf | 44 - .../awips_1p0deg/jgfs_awips_f240.ecf | 44 - .../awips_1p0deg/jgfs_awips_f252.ecf | 44 - .../awips_1p0deg/jgfs_awips_f264.ecf | 44 - .../awips_1p0deg/jgfs_awips_f276.ecf | 44 - .../awips_1p0deg/jgfs_awips_f288.ecf | 44 - .../awips_1p0deg/jgfs_awips_f300.ecf | 44 - .../awips_1p0deg/jgfs_awips_f312.ecf | 44 - .../awips_1p0deg/jgfs_awips_f324.ecf | 44 - .../awips_1p0deg/jgfs_awips_f336.ecf | 44 - .../awips_1p0deg/jgfs_awips_f348.ecf | 44 - .../awips_1p0deg/jgfs_awips_f360.ecf | 44 - .../awips_1p0deg/jgfs_awips_f372.ecf | 44 - .../awips_1p0deg/jgfs_awips_f384.ecf | 44 - .../awips_20km/jgfs_awips_f000.ecf | 44 - .../awips_20km/jgfs_awips_f001.ecf | 44 - .../awips_20km/jgfs_awips_f002.ecf | 44 - .../awips_20km/jgfs_awips_f003.ecf | 44 - .../awips_20km/jgfs_awips_f004.ecf | 44 - .../awips_20km/jgfs_awips_f005.ecf | 44 - .../awips_20km/jgfs_awips_f006.ecf | 44 - .../awips_20km/jgfs_awips_f007.ecf | 44 - .../awips_20km/jgfs_awips_f008.ecf | 44 - .../awips_20km/jgfs_awips_f009.ecf | 44 - .../awips_20km/jgfs_awips_f010.ecf | 44 - .../awips_20km/jgfs_awips_f011.ecf | 44 - .../awips_20km/jgfs_awips_f012.ecf | 44 - .../awips_20km/jgfs_awips_f013.ecf | 44 - .../awips_20km/jgfs_awips_f014.ecf | 44 - .../awips_20km/jgfs_awips_f015.ecf | 44 - .../awips_20km/jgfs_awips_f016.ecf | 44 - .../awips_20km/jgfs_awips_f017.ecf | 44 - .../awips_20km/jgfs_awips_f018.ecf | 44 - .../awips_20km/jgfs_awips_f019.ecf | 44 - .../awips_20km/jgfs_awips_f020.ecf | 44 - .../awips_20km/jgfs_awips_f021.ecf | 44 - .../awips_20km/jgfs_awips_f022.ecf | 44 - .../awips_20km/jgfs_awips_f023.ecf | 44 - .../awips_20km/jgfs_awips_f024.ecf | 44 - .../awips_20km/jgfs_awips_f025.ecf | 44 - .../awips_20km/jgfs_awips_f026.ecf | 44 - .../awips_20km/jgfs_awips_f027.ecf | 44 - .../awips_20km/jgfs_awips_f028.ecf | 44 - .../awips_20km/jgfs_awips_f029.ecf | 44 - .../awips_20km/jgfs_awips_f030.ecf | 44 - .../awips_20km/jgfs_awips_f031.ecf | 44 - .../awips_20km/jgfs_awips_f032.ecf | 44 - .../awips_20km/jgfs_awips_f033.ecf | 44 - .../awips_20km/jgfs_awips_f034.ecf | 44 - .../awips_20km/jgfs_awips_f035.ecf | 44 - .../awips_20km/jgfs_awips_f036.ecf | 44 - .../awips_20km/jgfs_awips_f037.ecf | 44 - .../awips_20km/jgfs_awips_f038.ecf | 44 - .../awips_20km/jgfs_awips_f039.ecf | 44 - .../awips_20km/jgfs_awips_f040.ecf | 44 - .../awips_20km/jgfs_awips_f041.ecf | 44 - .../awips_20km/jgfs_awips_f042.ecf | 44 - .../awips_20km/jgfs_awips_f043.ecf | 44 - .../awips_20km/jgfs_awips_f044.ecf | 44 - .../awips_20km/jgfs_awips_f045.ecf | 44 - .../awips_20km/jgfs_awips_f046.ecf | 44 - .../awips_20km/jgfs_awips_f047.ecf | 44 - .../awips_20km/jgfs_awips_f048.ecf | 44 - .../awips_20km/jgfs_awips_f049.ecf | 44 - .../awips_20km/jgfs_awips_f050.ecf | 44 - .../awips_20km/jgfs_awips_f051.ecf | 44 - .../awips_20km/jgfs_awips_f052.ecf | 44 - .../awips_20km/jgfs_awips_f053.ecf | 44 - .../awips_20km/jgfs_awips_f054.ecf | 44 - .../awips_20km/jgfs_awips_f055.ecf | 44 - .../awips_20km/jgfs_awips_f056.ecf | 44 - .../awips_20km/jgfs_awips_f057.ecf | 44 - .../awips_20km/jgfs_awips_f058.ecf | 44 - .../awips_20km/jgfs_awips_f059.ecf | 44 - .../awips_20km/jgfs_awips_f060.ecf | 44 - .../awips_20km/jgfs_awips_f061.ecf | 44 - .../awips_20km/jgfs_awips_f062.ecf | 44 - .../awips_20km/jgfs_awips_f063.ecf | 44 - .../awips_20km/jgfs_awips_f064.ecf | 44 - .../awips_20km/jgfs_awips_f065.ecf | 44 - .../awips_20km/jgfs_awips_f066.ecf | 44 - .../awips_20km/jgfs_awips_f067.ecf | 44 - .../awips_20km/jgfs_awips_f068.ecf | 44 - .../awips_20km/jgfs_awips_f069.ecf | 44 - .../awips_20km/jgfs_awips_f070.ecf | 44 - .../awips_20km/jgfs_awips_f071.ecf | 44 - .../awips_20km/jgfs_awips_f072.ecf | 44 - .../awips_20km/jgfs_awips_f073.ecf | 44 - .../awips_20km/jgfs_awips_f074.ecf | 44 - .../awips_20km/jgfs_awips_f075.ecf | 44 - .../awips_20km/jgfs_awips_f076.ecf | 44 - .../awips_20km/jgfs_awips_f077.ecf | 44 - .../awips_20km/jgfs_awips_f078.ecf | 44 - .../awips_20km/jgfs_awips_f079.ecf | 44 - .../awips_20km/jgfs_awips_f080.ecf | 44 - .../awips_20km/jgfs_awips_f081.ecf | 44 - .../awips_20km/jgfs_awips_f082.ecf | 44 - .../awips_20km/jgfs_awips_f083.ecf | 44 - .../awips_20km/jgfs_awips_f084.ecf | 44 - .../awips_20km/jgfs_awips_f085.ecf | 44 - .../awips_20km/jgfs_awips_f086.ecf | 44 - .../awips_20km/jgfs_awips_f087.ecf | 44 - .../awips_20km/jgfs_awips_f088.ecf | 44 - .../awips_20km/jgfs_awips_f089.ecf | 44 - .../awips_20km/jgfs_awips_f090.ecf | 44 - .../awips_20km/jgfs_awips_f091.ecf | 44 - .../awips_20km/jgfs_awips_f092.ecf | 44 - .../awips_20km/jgfs_awips_f093.ecf | 44 - .../awips_20km/jgfs_awips_f094.ecf | 44 - .../awips_20km/jgfs_awips_f095.ecf | 44 - .../awips_20km/jgfs_awips_f096.ecf | 44 - .../awips_20km/jgfs_awips_f097.ecf | 44 - .../awips_20km/jgfs_awips_f098.ecf | 44 - .../awips_20km/jgfs_awips_f099.ecf | 44 - .../awips_20km/jgfs_awips_f100.ecf | 44 - .../awips_20km/jgfs_awips_f101.ecf | 44 - .../awips_20km/jgfs_awips_f102.ecf | 44 - .../awips_20km/jgfs_awips_f103.ecf | 44 - .../awips_20km/jgfs_awips_f104.ecf | 44 - .../awips_20km/jgfs_awips_f105.ecf | 44 - .../awips_20km/jgfs_awips_f106.ecf | 44 - .../awips_20km/jgfs_awips_f107.ecf | 44 - .../awips_20km/jgfs_awips_f108.ecf | 44 - .../awips_20km/jgfs_awips_f109.ecf | 44 - .../awips_20km/jgfs_awips_f110.ecf | 44 - .../awips_20km/jgfs_awips_f111.ecf | 44 - .../awips_20km/jgfs_awips_f112.ecf | 44 - .../awips_20km/jgfs_awips_f113.ecf | 44 - .../awips_20km/jgfs_awips_f114.ecf | 44 - .../awips_20km/jgfs_awips_f115.ecf | 44 - .../awips_20km/jgfs_awips_f116.ecf | 44 - .../awips_20km/jgfs_awips_f117.ecf | 44 - .../awips_20km/jgfs_awips_f118.ecf | 44 - .../awips_20km/jgfs_awips_f119.ecf | 44 - .../awips_20km/jgfs_awips_f120.ecf | 44 - .../awips_20km/jgfs_awips_f123.ecf | 44 - .../awips_20km/jgfs_awips_f126.ecf | 44 - .../awips_20km/jgfs_awips_f129.ecf | 44 - .../awips_20km/jgfs_awips_f132.ecf | 44 - .../awips_20km/jgfs_awips_f135.ecf | 44 - .../awips_20km/jgfs_awips_f138.ecf | 44 - .../awips_20km/jgfs_awips_f141.ecf | 44 - .../awips_20km/jgfs_awips_f144.ecf | 44 - .../awips_20km/jgfs_awips_f147.ecf | 44 - .../awips_20km/jgfs_awips_f150.ecf | 44 - .../awips_20km/jgfs_awips_f153.ecf | 44 - .../awips_20km/jgfs_awips_f156.ecf | 44 - .../awips_20km/jgfs_awips_f159.ecf | 44 - .../awips_20km/jgfs_awips_f162.ecf | 44 - .../awips_20km/jgfs_awips_f165.ecf | 44 - .../awips_20km/jgfs_awips_f168.ecf | 44 - .../awips_20km/jgfs_awips_f171.ecf | 44 - .../awips_20km/jgfs_awips_f174.ecf | 44 - .../awips_20km/jgfs_awips_f177.ecf | 44 - .../awips_20km/jgfs_awips_f180.ecf | 44 - .../awips_20km/jgfs_awips_f183.ecf | 44 - .../awips_20km/jgfs_awips_f186.ecf | 44 - .../awips_20km/jgfs_awips_f189.ecf | 44 - .../awips_20km/jgfs_awips_f192.ecf | 44 - .../awips_20km/jgfs_awips_f195.ecf | 44 - .../awips_20km/jgfs_awips_f198.ecf | 44 - .../awips_20km/jgfs_awips_f201.ecf | 44 - .../awips_20km/jgfs_awips_f204.ecf | 44 - .../awips_20km/jgfs_awips_f207.ecf | 44 - .../awips_20km/jgfs_awips_f210.ecf | 44 - .../awips_20km/jgfs_awips_f213.ecf | 44 - .../awips_20km/jgfs_awips_f216.ecf | 44 - .../awips_20km/jgfs_awips_f219.ecf | 44 - .../awips_20km/jgfs_awips_f222.ecf | 44 - .../awips_20km/jgfs_awips_f225.ecf | 44 - .../awips_20km/jgfs_awips_f228.ecf | 44 - .../awips_20km/jgfs_awips_f231.ecf | 44 - .../awips_20km/jgfs_awips_f234.ecf | 44 - .../awips_20km/jgfs_awips_f237.ecf | 44 - .../awips_20km/jgfs_awips_f240.ecf | 44 - .../awips_20km/jgfs_awips_f252.ecf | 44 - .../awips_20km/jgfs_awips_f264.ecf | 44 - .../awips_20km/jgfs_awips_f276.ecf | 44 - .../awips_20km/jgfs_awips_f288.ecf | 44 - .../awips_20km/jgfs_awips_f300.ecf | 44 - .../awips_20km/jgfs_awips_f312.ecf | 44 - .../awips_20km/jgfs_awips_f324.ecf | 44 - .../awips_20km/jgfs_awips_f336.ecf | 44 - .../awips_20km/jgfs_awips_f348.ecf | 44 - .../awips_20km/jgfs_awips_f360.ecf | 44 - .../awips_20km/jgfs_awips_f372.ecf | 44 - .../awips_20km/jgfs_awips_f384.ecf | 44 - .../bufr_sounding/jgfs_postsnd.ecf | 44 - .../bulletins/jgfs_cyclone_tracker.ecf | 44 - .../post_processing/bulletins/jgfs_fbwind.ecf | 44 - .../prod00/gfs/post_processing/dummy.ecf | 44 - .../gfs/post_processing/fax/jgfs_fax_anl.ecf | 44 - .../gfs/post_processing/fax/jgfs_fax_f00.ecf | 44 - .../post_processing/fax/jgfs_fax_wafs_f12.ecf | 44 - .../post_processing/fax/jgfs_fax_wafs_f24.ecf | 44 - .../post_processing/fax/jgfs_fax_wafs_f36.ecf | 44 - .../grib2_wafs/jgfs_wafs_blending.ecf | 44 - .../grib2_wafs/jgfs_wafs_grib2.ecf | 44 - .../grib_awips/jgfs_awips_f00.ecf | 44 - .../grib_awips/jgfs_awips_f01.ecf | 44 - .../grib_awips/jgfs_awips_f02.ecf | 44 - .../grib_awips/jgfs_awips_f03.ecf | 44 - .../grib_awips/jgfs_awips_f04.ecf | 44 - .../grib_awips/jgfs_awips_f05.ecf | 44 - .../grib_awips/jgfs_awips_f06.ecf | 44 - .../grib_awips/jgfs_awips_f07.ecf | 44 - .../grib_awips/jgfs_awips_f08.ecf | 44 - .../grib_awips/jgfs_awips_f09.ecf | 44 - .../grib_awips/jgfs_awips_f10.ecf | 44 - .../grib_awips/jgfs_awips_f100.ecf | 44 - .../grib_awips/jgfs_awips_f101.ecf | 44 - .../grib_awips/jgfs_awips_f102.ecf | 44 - .../grib_awips/jgfs_awips_f103.ecf | 44 - .../grib_awips/jgfs_awips_f104.ecf | 44 - .../grib_awips/jgfs_awips_f105.ecf | 44 - .../grib_awips/jgfs_awips_f106.ecf | 44 - .../grib_awips/jgfs_awips_f107.ecf | 44 - .../grib_awips/jgfs_awips_f108.ecf | 44 - .../grib_awips/jgfs_awips_f109.ecf | 44 - .../grib_awips/jgfs_awips_f11.ecf | 44 - .../grib_awips/jgfs_awips_f110.ecf | 44 - .../grib_awips/jgfs_awips_f111.ecf | 44 - .../grib_awips/jgfs_awips_f112.ecf | 44 - .../grib_awips/jgfs_awips_f113.ecf | 44 - .../grib_awips/jgfs_awips_f114.ecf | 44 - .../grib_awips/jgfs_awips_f115.ecf | 44 - .../grib_awips/jgfs_awips_f116.ecf | 44 - .../grib_awips/jgfs_awips_f117.ecf | 44 - .../grib_awips/jgfs_awips_f118.ecf | 44 - .../grib_awips/jgfs_awips_f119.ecf | 44 - .../grib_awips/jgfs_awips_f12.ecf | 44 - .../grib_awips/jgfs_awips_f120.ecf | 44 - .../grib_awips/jgfs_awips_f123.ecf | 44 - .../grib_awips/jgfs_awips_f126.ecf | 44 - .../grib_awips/jgfs_awips_f129.ecf | 44 - .../grib_awips/jgfs_awips_f13.ecf | 44 - .../grib_awips/jgfs_awips_f132.ecf | 44 - .../grib_awips/jgfs_awips_f135.ecf | 44 - .../grib_awips/jgfs_awips_f138.ecf | 44 - .../grib_awips/jgfs_awips_f14.ecf | 44 - .../grib_awips/jgfs_awips_f141.ecf | 44 - .../grib_awips/jgfs_awips_f144.ecf | 44 - .../grib_awips/jgfs_awips_f147.ecf | 44 - .../grib_awips/jgfs_awips_f15.ecf | 44 - .../grib_awips/jgfs_awips_f150.ecf | 44 - .../grib_awips/jgfs_awips_f153.ecf | 44 - .../grib_awips/jgfs_awips_f156.ecf | 44 - .../grib_awips/jgfs_awips_f159.ecf | 44 - .../grib_awips/jgfs_awips_f16.ecf | 44 - .../grib_awips/jgfs_awips_f162.ecf | 44 - .../grib_awips/jgfs_awips_f165.ecf | 44 - .../grib_awips/jgfs_awips_f168.ecf | 44 - .../grib_awips/jgfs_awips_f17.ecf | 44 - .../grib_awips/jgfs_awips_f171.ecf | 44 - .../grib_awips/jgfs_awips_f174.ecf | 44 - .../grib_awips/jgfs_awips_f177.ecf | 44 - .../grib_awips/jgfs_awips_f18.ecf | 44 - .../grib_awips/jgfs_awips_f180.ecf | 44 - .../grib_awips/jgfs_awips_f183.ecf | 44 - .../grib_awips/jgfs_awips_f186.ecf | 44 - .../grib_awips/jgfs_awips_f189.ecf | 44 - .../grib_awips/jgfs_awips_f19.ecf | 44 - .../grib_awips/jgfs_awips_f192.ecf | 44 - .../grib_awips/jgfs_awips_f195.ecf | 44 - .../grib_awips/jgfs_awips_f198.ecf | 44 - .../grib_awips/jgfs_awips_f20.ecf | 44 - .../grib_awips/jgfs_awips_f201.ecf | 44 - .../grib_awips/jgfs_awips_f204.ecf | 44 - .../grib_awips/jgfs_awips_f207.ecf | 44 - .../grib_awips/jgfs_awips_f21.ecf | 44 - .../grib_awips/jgfs_awips_f210.ecf | 44 - .../grib_awips/jgfs_awips_f213.ecf | 44 - .../grib_awips/jgfs_awips_f216.ecf | 44 - .../grib_awips/jgfs_awips_f219.ecf | 44 - .../grib_awips/jgfs_awips_f22.ecf | 44 - .../grib_awips/jgfs_awips_f222.ecf | 44 - .../grib_awips/jgfs_awips_f225.ecf | 44 - .../grib_awips/jgfs_awips_f228.ecf | 44 - .../grib_awips/jgfs_awips_f23.ecf | 44 - .../grib_awips/jgfs_awips_f231.ecf | 44 - .../grib_awips/jgfs_awips_f234.ecf | 44 - .../grib_awips/jgfs_awips_f237.ecf | 44 - .../grib_awips/jgfs_awips_f24.ecf | 44 - .../grib_awips/jgfs_awips_f240.ecf | 44 - .../grib_awips/jgfs_awips_f25.ecf | 44 - .../grib_awips/jgfs_awips_f252.ecf | 44 - .../grib_awips/jgfs_awips_f26.ecf | 44 - .../grib_awips/jgfs_awips_f264.ecf | 44 - .../grib_awips/jgfs_awips_f27.ecf | 44 - .../grib_awips/jgfs_awips_f276.ecf | 44 - .../grib_awips/jgfs_awips_f28.ecf | 44 - .../grib_awips/jgfs_awips_f288.ecf | 44 - .../grib_awips/jgfs_awips_f29.ecf | 44 - .../grib_awips/jgfs_awips_f30.ecf | 44 - .../grib_awips/jgfs_awips_f300.ecf | 44 - .../grib_awips/jgfs_awips_f31.ecf | 44 - .../grib_awips/jgfs_awips_f312.ecf | 44 - .../grib_awips/jgfs_awips_f32.ecf | 44 - .../grib_awips/jgfs_awips_f324.ecf | 44 - .../grib_awips/jgfs_awips_f33.ecf | 44 - .../grib_awips/jgfs_awips_f336.ecf | 44 - .../grib_awips/jgfs_awips_f34.ecf | 44 - .../grib_awips/jgfs_awips_f348.ecf | 44 - .../grib_awips/jgfs_awips_f35.ecf | 44 - .../grib_awips/jgfs_awips_f36.ecf | 44 - .../grib_awips/jgfs_awips_f360.ecf | 44 - .../grib_awips/jgfs_awips_f37.ecf | 44 - .../grib_awips/jgfs_awips_f372.ecf | 44 - .../grib_awips/jgfs_awips_f38.ecf | 44 - .../grib_awips/jgfs_awips_f384.ecf | 44 - .../grib_awips/jgfs_awips_f39.ecf | 44 - .../grib_awips/jgfs_awips_f40.ecf | 44 - .../grib_awips/jgfs_awips_f41.ecf | 44 - .../grib_awips/jgfs_awips_f42.ecf | 44 - .../grib_awips/jgfs_awips_f43.ecf | 44 - .../grib_awips/jgfs_awips_f44.ecf | 44 - .../grib_awips/jgfs_awips_f45.ecf | 44 - .../grib_awips/jgfs_awips_f46.ecf | 44 - .../grib_awips/jgfs_awips_f47.ecf | 44 - .../grib_awips/jgfs_awips_f48.ecf | 44 - .../grib_awips/jgfs_awips_f49.ecf | 44 - .../grib_awips/jgfs_awips_f50.ecf | 44 - .../grib_awips/jgfs_awips_f51.ecf | 44 - .../grib_awips/jgfs_awips_f52.ecf | 44 - .../grib_awips/jgfs_awips_f53.ecf | 44 - .../grib_awips/jgfs_awips_f54.ecf | 44 - .../grib_awips/jgfs_awips_f55.ecf | 44 - .../grib_awips/jgfs_awips_f56.ecf | 44 - .../grib_awips/jgfs_awips_f57.ecf | 44 - .../grib_awips/jgfs_awips_f58.ecf | 44 - .../grib_awips/jgfs_awips_f59.ecf | 44 - .../grib_awips/jgfs_awips_f60.ecf | 44 - .../grib_awips/jgfs_awips_f61.ecf | 44 - .../grib_awips/jgfs_awips_f62.ecf | 44 - .../grib_awips/jgfs_awips_f63.ecf | 44 - .../grib_awips/jgfs_awips_f64.ecf | 44 - .../grib_awips/jgfs_awips_f65.ecf | 44 - .../grib_awips/jgfs_awips_f66.ecf | 44 - .../grib_awips/jgfs_awips_f67.ecf | 44 - .../grib_awips/jgfs_awips_f68.ecf | 44 - .../grib_awips/jgfs_awips_f69.ecf | 44 - .../grib_awips/jgfs_awips_f70.ecf | 44 - .../grib_awips/jgfs_awips_f71.ecf | 44 - .../grib_awips/jgfs_awips_f72.ecf | 44 - .../grib_awips/jgfs_awips_f73.ecf | 44 - .../grib_awips/jgfs_awips_f74.ecf | 44 - .../grib_awips/jgfs_awips_f75.ecf | 44 - .../grib_awips/jgfs_awips_f76.ecf | 44 - .../grib_awips/jgfs_awips_f77.ecf | 44 - .../grib_awips/jgfs_awips_f78.ecf | 44 - .../grib_awips/jgfs_awips_f79.ecf | 44 - .../grib_awips/jgfs_awips_f80.ecf | 44 - .../grib_awips/jgfs_awips_f81.ecf | 44 - .../grib_awips/jgfs_awips_f82.ecf | 44 - .../grib_awips/jgfs_awips_f83.ecf | 44 - .../grib_awips/jgfs_awips_f84.ecf | 44 - .../grib_awips/jgfs_awips_f85.ecf | 44 - .../grib_awips/jgfs_awips_f86.ecf | 44 - .../grib_awips/jgfs_awips_f87.ecf | 44 - .../grib_awips/jgfs_awips_f88.ecf | 44 - .../grib_awips/jgfs_awips_f89.ecf | 44 - .../grib_awips/jgfs_awips_f90.ecf | 44 - .../grib_awips/jgfs_awips_f91.ecf | 44 - .../grib_awips/jgfs_awips_f92.ecf | 44 - .../grib_awips/jgfs_awips_f93.ecf | 44 - .../grib_awips/jgfs_awips_f94.ecf | 44 - .../grib_awips/jgfs_awips_f95.ecf | 44 - .../grib_awips/jgfs_awips_f96.ecf | 44 - .../grib_awips/jgfs_awips_f97.ecf | 44 - .../grib_awips/jgfs_awips_f98.ecf | 44 - .../grib_awips/jgfs_awips_f99.ecf | 44 - .../grib_wafs/jgfs_wafs_f00.ecf | 44 - .../grib_wafs/jgfs_wafs_f06.ecf | 44 - .../grib_wafs/jgfs_wafs_f102.ecf | 44 - .../grib_wafs/jgfs_wafs_f108.ecf | 44 - .../grib_wafs/jgfs_wafs_f114.ecf | 44 - .../grib_wafs/jgfs_wafs_f12.ecf | 44 - .../grib_wafs/jgfs_wafs_f120.ecf | 44 - .../grib_wafs/jgfs_wafs_f18.ecf | 44 - .../grib_wafs/jgfs_wafs_f24.ecf | 44 - .../grib_wafs/jgfs_wafs_f30.ecf | 44 - .../grib_wafs/jgfs_wafs_f36.ecf | 44 - .../grib_wafs/jgfs_wafs_f42.ecf | 44 - .../grib_wafs/jgfs_wafs_f48.ecf | 44 - .../grib_wafs/jgfs_wafs_f54.ecf | 44 - .../grib_wafs/jgfs_wafs_f60.ecf | 44 - .../grib_wafs/jgfs_wafs_f66.ecf | 44 - .../grib_wafs/jgfs_wafs_f72.ecf | 44 - .../grib_wafs/jgfs_wafs_f78.ecf | 44 - .../grib_wafs/jgfs_wafs_f84.ecf | 44 - .../grib_wafs/jgfs_wafs_f90.ecf | 44 - .../grib_wafs/jgfs_wafs_f96.ecf | 44 - .../gfs/post_processing/jgfs_wafs_gcip.ecf | 33 - .../scripts/prod00/gfs/prdgen/dummy.ecf | 11 - .../prod00/gfs/prdgen/jgfs_pgrb2_anl.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f00.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f01.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f02.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f03.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f04.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f05.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f06.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f07.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f08.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f09.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f10.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f100.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f101.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f102.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f103.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f104.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f105.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f106.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f107.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f108.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f109.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f11.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f110.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f111.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f112.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f113.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f114.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f115.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f116.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f117.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f118.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f119.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f12.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f120.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f123.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f126.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f129.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f13.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f132.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f135.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f138.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f14.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f141.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f144.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f147.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f15.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f150.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f153.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f156.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f159.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f16.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f162.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f165.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f168.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f17.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f171.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f174.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f177.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f18.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f180.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f183.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f186.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f189.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f19.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f192.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f195.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f198.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f20.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f201.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f204.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f207.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f21.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f210.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f213.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f216.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f219.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f22.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f222.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f225.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f228.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f23.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f231.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f234.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f237.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f24.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f240.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f25.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f252.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f26.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f264.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f27.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f276.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f28.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f288.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f29.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f30.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f300.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f31.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f312.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f32.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f324.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f33.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f336.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f34.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f348.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f35.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f36.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f360.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f37.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f372.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f38.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f384.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f39.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f40.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f41.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f42.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f43.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f44.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f45.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f46.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f47.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f48.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f49.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f50.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f51.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f52.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f53.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f54.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f55.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f56.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f57.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f58.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f59.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f60.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f61.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f62.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f63.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f64.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f65.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f66.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f67.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f68.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f69.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f70.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f71.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f72.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f73.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f74.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f75.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f76.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f77.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f78.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f79.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f80.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f81.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f82.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f83.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f84.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f85.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f86.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f87.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f88.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f89.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f90.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f91.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f92.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f93.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f94.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f95.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f96.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f97.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f98.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_f99.ecf | 45 - .../prod00/gfs/prdgen/jgfs_pgrb2_manager.ecf | 44 - .../prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 44 - .../scripts/prod00/gfs/prep/jgfs_prep.ecf | 45 - .../prod00/gfs/prep/jgfs_prep_post.ecf | 44 - .../gfs/sminit_guam/jgfs_sminit_guam_even.ecf | 44 - .../gfs/sminit_guam/jgfs_sminit_guam_odd.ecf | 44 - .../scripts/prod06/cycle_end.ecf | 44 - .../gdas/analysis/jgdas_analysis_high.ecf | 45 - .../scripts/prod06/gdas/dump/jgdas_dump.ecf | 44 - .../scripts/prod06/gdas/dump/jgdas_ics.ecf | 44 - .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 44 - .../enkf/forecast/jgdas_enkf_fcst_grp1.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp10.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp11.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp12.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp13.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp14.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp15.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp16.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp2.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp3.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp4.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp5.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp6.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp7.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp8.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp9.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp1.ecf | 45 - .../jgdas_enkf_innovate_obs_grp10.ecf | 45 - .../jgdas_enkf_innovate_obs_grp11.ecf | 45 - .../jgdas_enkf_innovate_obs_grp12.ecf | 45 - .../jgdas_enkf_innovate_obs_grp13.ecf | 45 - .../jgdas_enkf_innovate_obs_grp14.ecf | 45 - .../jgdas_enkf_innovate_obs_grp15.ecf | 45 - .../jgdas_enkf_innovate_obs_grp16.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp2.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp3.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp4.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp5.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp6.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp7.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp8.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp9.ecf | 45 - .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 45 - .../prod06/gdas/enkf/jgdas_enkf_post.ecf | 45 - .../gdas/enkf/jgdas_enkf_select_obs.ecf | 45 - .../prod06/gdas/enkf/jgdas_enkf_update.ecf | 45 - .../prod06/gdas/forecast/jgdas_forecast.ecf | 45 - .../prod06/gdas/gempak/jgdas_gempak.ecf | 44 - .../prod06/gdas/gempak/jgdas_gempak_meta.ecf | 44 - .../prod06/gdas/gempak/jgdas_gempak_ncdc.ecf | 44 - .../scripts/prod06/gdas/jgdas_verfrad.ecf | 44 - .../scripts/prod06/gdas/jgdas_vminmon.ecf | 44 - .../scripts/prod06/gdas/post/jgdas_post.ecf | 45 - .../bulletins/jgdas_mknavybulls.ecf | 44 - .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 44 - .../scripts/prod06/gdas/prep/jgdas_prep.ecf | 45 - .../prod06/gdas/prep/jgdas_prep_post.ecf | 44 - .../scripts/prod06/gfs/dump/jgfs_dump.ecf | 44 - .../prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 44 - .../gfs/forecast/jgfs_forecast_high.ecf | 45 - .../prod06/gfs/forecast/jgfs_forecast_low.ecf | 45 - .../scripts/prod06/gfs/gempak/jgfs_gempak.ecf | 44 - .../prod06/gfs/gempak/jgfs_gempak_meta.ecf | 44 - .../prod06/gfs/gempak/jgfs_gempak_ncdc.ecf | 44 - .../prod06/gfs/gempak/jgfs_gempak_upapgif.ecf | 44 - .../gfs/gempak/jgfs_pgrb2_spec_gempak.ecf | 33 - .../scripts/prod06/gfs/jgfs_analysis.ecf | 45 - .../scripts/prod06/gfs/jgfs_vminmon.ecf | 44 - .../scripts/prod06/gfs/post/dummy.ecf | 11 - .../prod06/gfs/post/jgfs_pgrb2_spec_post.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_anl.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f00.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f01.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f02.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f03.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f04.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f05.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f06.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f07.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f08.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f09.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f10.ecf | 44 - .../prod06/gfs/post/jgfs_post_f100.ecf | 44 - .../prod06/gfs/post/jgfs_post_f101.ecf | 44 - .../prod06/gfs/post/jgfs_post_f102.ecf | 44 - .../prod06/gfs/post/jgfs_post_f103.ecf | 44 - .../prod06/gfs/post/jgfs_post_f104.ecf | 44 - .../prod06/gfs/post/jgfs_post_f105.ecf | 44 - .../prod06/gfs/post/jgfs_post_f106.ecf | 44 - .../prod06/gfs/post/jgfs_post_f107.ecf | 44 - .../prod06/gfs/post/jgfs_post_f108.ecf | 44 - .../prod06/gfs/post/jgfs_post_f109.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f11.ecf | 44 - .../prod06/gfs/post/jgfs_post_f110.ecf | 44 - .../prod06/gfs/post/jgfs_post_f111.ecf | 44 - .../prod06/gfs/post/jgfs_post_f112.ecf | 44 - .../prod06/gfs/post/jgfs_post_f113.ecf | 44 - .../prod06/gfs/post/jgfs_post_f114.ecf | 44 - .../prod06/gfs/post/jgfs_post_f115.ecf | 44 - .../prod06/gfs/post/jgfs_post_f116.ecf | 44 - .../prod06/gfs/post/jgfs_post_f117.ecf | 44 - .../prod06/gfs/post/jgfs_post_f118.ecf | 44 - .../prod06/gfs/post/jgfs_post_f119.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f12.ecf | 44 - .../prod06/gfs/post/jgfs_post_f120.ecf | 44 - .../prod06/gfs/post/jgfs_post_f123.ecf | 44 - .../prod06/gfs/post/jgfs_post_f126.ecf | 44 - .../prod06/gfs/post/jgfs_post_f129.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f13.ecf | 44 - .../prod06/gfs/post/jgfs_post_f132.ecf | 44 - .../prod06/gfs/post/jgfs_post_f135.ecf | 44 - .../prod06/gfs/post/jgfs_post_f138.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f14.ecf | 44 - .../prod06/gfs/post/jgfs_post_f141.ecf | 44 - .../prod06/gfs/post/jgfs_post_f144.ecf | 44 - .../prod06/gfs/post/jgfs_post_f147.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f15.ecf | 44 - .../prod06/gfs/post/jgfs_post_f150.ecf | 44 - .../prod06/gfs/post/jgfs_post_f153.ecf | 44 - .../prod06/gfs/post/jgfs_post_f156.ecf | 44 - .../prod06/gfs/post/jgfs_post_f159.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f16.ecf | 44 - .../prod06/gfs/post/jgfs_post_f162.ecf | 44 - .../prod06/gfs/post/jgfs_post_f165.ecf | 44 - .../prod06/gfs/post/jgfs_post_f168.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f17.ecf | 44 - .../prod06/gfs/post/jgfs_post_f171.ecf | 44 - .../prod06/gfs/post/jgfs_post_f174.ecf | 44 - .../prod06/gfs/post/jgfs_post_f177.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f18.ecf | 44 - .../prod06/gfs/post/jgfs_post_f180.ecf | 44 - .../prod06/gfs/post/jgfs_post_f183.ecf | 44 - .../prod06/gfs/post/jgfs_post_f186.ecf | 44 - .../prod06/gfs/post/jgfs_post_f189.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f19.ecf | 44 - .../prod06/gfs/post/jgfs_post_f192.ecf | 44 - .../prod06/gfs/post/jgfs_post_f195.ecf | 44 - .../prod06/gfs/post/jgfs_post_f198.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f20.ecf | 44 - .../prod06/gfs/post/jgfs_post_f201.ecf | 44 - .../prod06/gfs/post/jgfs_post_f204.ecf | 44 - .../prod06/gfs/post/jgfs_post_f207.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f21.ecf | 44 - .../prod06/gfs/post/jgfs_post_f210.ecf | 44 - .../prod06/gfs/post/jgfs_post_f213.ecf | 44 - .../prod06/gfs/post/jgfs_post_f216.ecf | 44 - .../prod06/gfs/post/jgfs_post_f219.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f22.ecf | 44 - .../prod06/gfs/post/jgfs_post_f222.ecf | 44 - .../prod06/gfs/post/jgfs_post_f225.ecf | 44 - .../prod06/gfs/post/jgfs_post_f228.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f23.ecf | 44 - .../prod06/gfs/post/jgfs_post_f231.ecf | 44 - .../prod06/gfs/post/jgfs_post_f234.ecf | 44 - .../prod06/gfs/post/jgfs_post_f237.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f24.ecf | 44 - .../prod06/gfs/post/jgfs_post_f240.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f25.ecf | 44 - .../prod06/gfs/post/jgfs_post_f252.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f26.ecf | 44 - .../prod06/gfs/post/jgfs_post_f264.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f27.ecf | 44 - .../prod06/gfs/post/jgfs_post_f276.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f28.ecf | 44 - .../prod06/gfs/post/jgfs_post_f288.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f29.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f30.ecf | 44 - .../prod06/gfs/post/jgfs_post_f300.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f31.ecf | 44 - .../prod06/gfs/post/jgfs_post_f312.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f32.ecf | 44 - .../prod06/gfs/post/jgfs_post_f324.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f33.ecf | 44 - .../prod06/gfs/post/jgfs_post_f336.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f34.ecf | 44 - .../prod06/gfs/post/jgfs_post_f348.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f35.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f36.ecf | 44 - .../prod06/gfs/post/jgfs_post_f360.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f37.ecf | 44 - .../prod06/gfs/post/jgfs_post_f372.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f38.ecf | 44 - .../prod06/gfs/post/jgfs_post_f384.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f39.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f40.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f41.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f42.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f43.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f44.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f45.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f46.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f47.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f48.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f49.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f50.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f51.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f52.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f53.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f54.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f55.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f56.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f57.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f58.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f59.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f60.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f61.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f62.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f63.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f64.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f65.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f66.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f67.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f68.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f69.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f70.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f71.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f72.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f73.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f74.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f75.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f76.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f77.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f78.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f79.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f80.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f81.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f82.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f83.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f84.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f85.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f86.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f87.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f88.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f89.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f90.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f91.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f92.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f93.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f94.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f95.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f96.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f97.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f98.ecf | 44 - .../scripts/prod06/gfs/post/jgfs_post_f99.ecf | 44 - .../prod06/gfs/post/jgfs_post_manager.ecf | 44 - .../awips_1p0deg/jgfs_awips_f000.ecf | 44 - .../awips_1p0deg/jgfs_awips_f001.ecf | 44 - .../awips_1p0deg/jgfs_awips_f002.ecf | 44 - .../awips_1p0deg/jgfs_awips_f003.ecf | 44 - .../awips_1p0deg/jgfs_awips_f004.ecf | 44 - .../awips_1p0deg/jgfs_awips_f005.ecf | 44 - .../awips_1p0deg/jgfs_awips_f006.ecf | 44 - .../awips_1p0deg/jgfs_awips_f007.ecf | 44 - .../awips_1p0deg/jgfs_awips_f008.ecf | 44 - .../awips_1p0deg/jgfs_awips_f009.ecf | 44 - .../awips_1p0deg/jgfs_awips_f010.ecf | 44 - .../awips_1p0deg/jgfs_awips_f011.ecf | 44 - .../awips_1p0deg/jgfs_awips_f012.ecf | 44 - .../awips_1p0deg/jgfs_awips_f013.ecf | 44 - .../awips_1p0deg/jgfs_awips_f014.ecf | 44 - .../awips_1p0deg/jgfs_awips_f015.ecf | 44 - .../awips_1p0deg/jgfs_awips_f016.ecf | 44 - .../awips_1p0deg/jgfs_awips_f017.ecf | 44 - .../awips_1p0deg/jgfs_awips_f018.ecf | 44 - .../awips_1p0deg/jgfs_awips_f019.ecf | 44 - .../awips_1p0deg/jgfs_awips_f020.ecf | 44 - .../awips_1p0deg/jgfs_awips_f021.ecf | 44 - .../awips_1p0deg/jgfs_awips_f022.ecf | 44 - .../awips_1p0deg/jgfs_awips_f023.ecf | 44 - .../awips_1p0deg/jgfs_awips_f024.ecf | 44 - .../awips_1p0deg/jgfs_awips_f025.ecf | 44 - .../awips_1p0deg/jgfs_awips_f026.ecf | 44 - .../awips_1p0deg/jgfs_awips_f027.ecf | 44 - .../awips_1p0deg/jgfs_awips_f028.ecf | 44 - .../awips_1p0deg/jgfs_awips_f029.ecf | 44 - .../awips_1p0deg/jgfs_awips_f030.ecf | 44 - .../awips_1p0deg/jgfs_awips_f031.ecf | 44 - .../awips_1p0deg/jgfs_awips_f032.ecf | 44 - .../awips_1p0deg/jgfs_awips_f033.ecf | 44 - .../awips_1p0deg/jgfs_awips_f034.ecf | 44 - .../awips_1p0deg/jgfs_awips_f035.ecf | 44 - .../awips_1p0deg/jgfs_awips_f036.ecf | 44 - .../awips_1p0deg/jgfs_awips_f037.ecf | 44 - .../awips_1p0deg/jgfs_awips_f038.ecf | 44 - .../awips_1p0deg/jgfs_awips_f039.ecf | 44 - .../awips_1p0deg/jgfs_awips_f040.ecf | 44 - .../awips_1p0deg/jgfs_awips_f041.ecf | 44 - .../awips_1p0deg/jgfs_awips_f042.ecf | 44 - .../awips_1p0deg/jgfs_awips_f043.ecf | 44 - .../awips_1p0deg/jgfs_awips_f044.ecf | 44 - .../awips_1p0deg/jgfs_awips_f045.ecf | 44 - .../awips_1p0deg/jgfs_awips_f046.ecf | 44 - .../awips_1p0deg/jgfs_awips_f047.ecf | 44 - .../awips_1p0deg/jgfs_awips_f048.ecf | 44 - .../awips_1p0deg/jgfs_awips_f049.ecf | 44 - .../awips_1p0deg/jgfs_awips_f050.ecf | 44 - .../awips_1p0deg/jgfs_awips_f051.ecf | 44 - .../awips_1p0deg/jgfs_awips_f052.ecf | 44 - .../awips_1p0deg/jgfs_awips_f053.ecf | 44 - .../awips_1p0deg/jgfs_awips_f054.ecf | 44 - .../awips_1p0deg/jgfs_awips_f055.ecf | 44 - .../awips_1p0deg/jgfs_awips_f056.ecf | 44 - .../awips_1p0deg/jgfs_awips_f057.ecf | 44 - .../awips_1p0deg/jgfs_awips_f058.ecf | 44 - .../awips_1p0deg/jgfs_awips_f059.ecf | 44 - .../awips_1p0deg/jgfs_awips_f060.ecf | 44 - .../awips_1p0deg/jgfs_awips_f061.ecf | 44 - .../awips_1p0deg/jgfs_awips_f062.ecf | 44 - .../awips_1p0deg/jgfs_awips_f063.ecf | 44 - .../awips_1p0deg/jgfs_awips_f064.ecf | 44 - .../awips_1p0deg/jgfs_awips_f065.ecf | 44 - .../awips_1p0deg/jgfs_awips_f066.ecf | 44 - .../awips_1p0deg/jgfs_awips_f067.ecf | 44 - .../awips_1p0deg/jgfs_awips_f068.ecf | 44 - .../awips_1p0deg/jgfs_awips_f069.ecf | 44 - .../awips_1p0deg/jgfs_awips_f070.ecf | 44 - .../awips_1p0deg/jgfs_awips_f071.ecf | 44 - .../awips_1p0deg/jgfs_awips_f072.ecf | 44 - .../awips_1p0deg/jgfs_awips_f073.ecf | 44 - .../awips_1p0deg/jgfs_awips_f074.ecf | 44 - .../awips_1p0deg/jgfs_awips_f075.ecf | 44 - .../awips_1p0deg/jgfs_awips_f076.ecf | 44 - .../awips_1p0deg/jgfs_awips_f077.ecf | 44 - .../awips_1p0deg/jgfs_awips_f078.ecf | 44 - .../awips_1p0deg/jgfs_awips_f079.ecf | 44 - .../awips_1p0deg/jgfs_awips_f080.ecf | 44 - .../awips_1p0deg/jgfs_awips_f081.ecf | 44 - .../awips_1p0deg/jgfs_awips_f082.ecf | 44 - .../awips_1p0deg/jgfs_awips_f083.ecf | 44 - .../awips_1p0deg/jgfs_awips_f084.ecf | 44 - .../awips_1p0deg/jgfs_awips_f085.ecf | 44 - .../awips_1p0deg/jgfs_awips_f086.ecf | 44 - .../awips_1p0deg/jgfs_awips_f087.ecf | 44 - .../awips_1p0deg/jgfs_awips_f088.ecf | 44 - .../awips_1p0deg/jgfs_awips_f089.ecf | 44 - .../awips_1p0deg/jgfs_awips_f090.ecf | 44 - .../awips_1p0deg/jgfs_awips_f091.ecf | 44 - .../awips_1p0deg/jgfs_awips_f092.ecf | 44 - .../awips_1p0deg/jgfs_awips_f093.ecf | 44 - .../awips_1p0deg/jgfs_awips_f094.ecf | 44 - .../awips_1p0deg/jgfs_awips_f095.ecf | 44 - .../awips_1p0deg/jgfs_awips_f096.ecf | 44 - .../awips_1p0deg/jgfs_awips_f097.ecf | 44 - .../awips_1p0deg/jgfs_awips_f098.ecf | 44 - .../awips_1p0deg/jgfs_awips_f099.ecf | 44 - .../awips_1p0deg/jgfs_awips_f100.ecf | 44 - .../awips_1p0deg/jgfs_awips_f101.ecf | 44 - .../awips_1p0deg/jgfs_awips_f102.ecf | 44 - .../awips_1p0deg/jgfs_awips_f103.ecf | 44 - .../awips_1p0deg/jgfs_awips_f104.ecf | 44 - .../awips_1p0deg/jgfs_awips_f105.ecf | 44 - .../awips_1p0deg/jgfs_awips_f106.ecf | 44 - .../awips_1p0deg/jgfs_awips_f107.ecf | 44 - .../awips_1p0deg/jgfs_awips_f108.ecf | 44 - .../awips_1p0deg/jgfs_awips_f109.ecf | 44 - .../awips_1p0deg/jgfs_awips_f110.ecf | 44 - .../awips_1p0deg/jgfs_awips_f111.ecf | 44 - .../awips_1p0deg/jgfs_awips_f112.ecf | 44 - .../awips_1p0deg/jgfs_awips_f113.ecf | 44 - .../awips_1p0deg/jgfs_awips_f114.ecf | 44 - .../awips_1p0deg/jgfs_awips_f115.ecf | 44 - .../awips_1p0deg/jgfs_awips_f116.ecf | 44 - .../awips_1p0deg/jgfs_awips_f117.ecf | 44 - .../awips_1p0deg/jgfs_awips_f118.ecf | 44 - .../awips_1p0deg/jgfs_awips_f119.ecf | 44 - .../awips_1p0deg/jgfs_awips_f120.ecf | 44 - .../awips_1p0deg/jgfs_awips_f123.ecf | 44 - .../awips_1p0deg/jgfs_awips_f126.ecf | 44 - .../awips_1p0deg/jgfs_awips_f129.ecf | 44 - .../awips_1p0deg/jgfs_awips_f132.ecf | 44 - .../awips_1p0deg/jgfs_awips_f135.ecf | 44 - .../awips_1p0deg/jgfs_awips_f138.ecf | 44 - .../awips_1p0deg/jgfs_awips_f141.ecf | 44 - .../awips_1p0deg/jgfs_awips_f144.ecf | 44 - .../awips_1p0deg/jgfs_awips_f147.ecf | 44 - .../awips_1p0deg/jgfs_awips_f150.ecf | 44 - .../awips_1p0deg/jgfs_awips_f153.ecf | 44 - .../awips_1p0deg/jgfs_awips_f156.ecf | 44 - .../awips_1p0deg/jgfs_awips_f159.ecf | 44 - .../awips_1p0deg/jgfs_awips_f162.ecf | 44 - .../awips_1p0deg/jgfs_awips_f165.ecf | 44 - .../awips_1p0deg/jgfs_awips_f168.ecf | 44 - .../awips_1p0deg/jgfs_awips_f171.ecf | 44 - .../awips_1p0deg/jgfs_awips_f174.ecf | 44 - .../awips_1p0deg/jgfs_awips_f177.ecf | 44 - .../awips_1p0deg/jgfs_awips_f180.ecf | 44 - .../awips_1p0deg/jgfs_awips_f183.ecf | 44 - .../awips_1p0deg/jgfs_awips_f186.ecf | 44 - .../awips_1p0deg/jgfs_awips_f189.ecf | 44 - .../awips_1p0deg/jgfs_awips_f192.ecf | 44 - .../awips_1p0deg/jgfs_awips_f195.ecf | 44 - .../awips_1p0deg/jgfs_awips_f198.ecf | 44 - .../awips_1p0deg/jgfs_awips_f201.ecf | 44 - .../awips_1p0deg/jgfs_awips_f204.ecf | 44 - .../awips_1p0deg/jgfs_awips_f207.ecf | 44 - .../awips_1p0deg/jgfs_awips_f210.ecf | 44 - .../awips_1p0deg/jgfs_awips_f213.ecf | 44 - .../awips_1p0deg/jgfs_awips_f216.ecf | 44 - .../awips_1p0deg/jgfs_awips_f219.ecf | 44 - .../awips_1p0deg/jgfs_awips_f222.ecf | 44 - .../awips_1p0deg/jgfs_awips_f225.ecf | 44 - .../awips_1p0deg/jgfs_awips_f228.ecf | 44 - .../awips_1p0deg/jgfs_awips_f231.ecf | 44 - .../awips_1p0deg/jgfs_awips_f234.ecf | 44 - .../awips_1p0deg/jgfs_awips_f237.ecf | 44 - .../awips_1p0deg/jgfs_awips_f240.ecf | 44 - .../awips_1p0deg/jgfs_awips_f252.ecf | 44 - .../awips_1p0deg/jgfs_awips_f264.ecf | 44 - .../awips_1p0deg/jgfs_awips_f276.ecf | 44 - .../awips_1p0deg/jgfs_awips_f288.ecf | 44 - .../awips_1p0deg/jgfs_awips_f300.ecf | 44 - .../awips_1p0deg/jgfs_awips_f312.ecf | 44 - .../awips_1p0deg/jgfs_awips_f324.ecf | 44 - .../awips_1p0deg/jgfs_awips_f336.ecf | 44 - .../awips_1p0deg/jgfs_awips_f348.ecf | 44 - .../awips_1p0deg/jgfs_awips_f360.ecf | 44 - .../awips_1p0deg/jgfs_awips_f372.ecf | 44 - .../awips_1p0deg/jgfs_awips_f384.ecf | 44 - .../awips_20km/jgfs_awips_f000.ecf | 44 - .../awips_20km/jgfs_awips_f001.ecf | 44 - .../awips_20km/jgfs_awips_f002.ecf | 44 - .../awips_20km/jgfs_awips_f003.ecf | 44 - .../awips_20km/jgfs_awips_f004.ecf | 44 - .../awips_20km/jgfs_awips_f005.ecf | 44 - .../awips_20km/jgfs_awips_f006.ecf | 44 - .../awips_20km/jgfs_awips_f007.ecf | 44 - .../awips_20km/jgfs_awips_f008.ecf | 44 - .../awips_20km/jgfs_awips_f009.ecf | 44 - .../awips_20km/jgfs_awips_f010.ecf | 44 - .../awips_20km/jgfs_awips_f011.ecf | 44 - .../awips_20km/jgfs_awips_f012.ecf | 44 - .../awips_20km/jgfs_awips_f013.ecf | 44 - .../awips_20km/jgfs_awips_f014.ecf | 44 - .../awips_20km/jgfs_awips_f015.ecf | 44 - .../awips_20km/jgfs_awips_f016.ecf | 44 - .../awips_20km/jgfs_awips_f017.ecf | 44 - .../awips_20km/jgfs_awips_f018.ecf | 44 - .../awips_20km/jgfs_awips_f019.ecf | 44 - .../awips_20km/jgfs_awips_f020.ecf | 44 - .../awips_20km/jgfs_awips_f021.ecf | 44 - .../awips_20km/jgfs_awips_f022.ecf | 44 - .../awips_20km/jgfs_awips_f023.ecf | 44 - .../awips_20km/jgfs_awips_f024.ecf | 44 - .../awips_20km/jgfs_awips_f025.ecf | 44 - .../awips_20km/jgfs_awips_f026.ecf | 44 - .../awips_20km/jgfs_awips_f027.ecf | 44 - .../awips_20km/jgfs_awips_f028.ecf | 44 - .../awips_20km/jgfs_awips_f029.ecf | 44 - .../awips_20km/jgfs_awips_f030.ecf | 44 - .../awips_20km/jgfs_awips_f031.ecf | 44 - .../awips_20km/jgfs_awips_f032.ecf | 44 - .../awips_20km/jgfs_awips_f033.ecf | 44 - .../awips_20km/jgfs_awips_f034.ecf | 44 - .../awips_20km/jgfs_awips_f035.ecf | 44 - .../awips_20km/jgfs_awips_f036.ecf | 44 - .../awips_20km/jgfs_awips_f037.ecf | 44 - .../awips_20km/jgfs_awips_f038.ecf | 44 - .../awips_20km/jgfs_awips_f039.ecf | 44 - .../awips_20km/jgfs_awips_f040.ecf | 44 - .../awips_20km/jgfs_awips_f041.ecf | 44 - .../awips_20km/jgfs_awips_f042.ecf | 44 - .../awips_20km/jgfs_awips_f043.ecf | 44 - .../awips_20km/jgfs_awips_f044.ecf | 44 - .../awips_20km/jgfs_awips_f045.ecf | 44 - .../awips_20km/jgfs_awips_f046.ecf | 44 - .../awips_20km/jgfs_awips_f047.ecf | 44 - .../awips_20km/jgfs_awips_f048.ecf | 44 - .../awips_20km/jgfs_awips_f049.ecf | 44 - .../awips_20km/jgfs_awips_f050.ecf | 44 - .../awips_20km/jgfs_awips_f051.ecf | 44 - .../awips_20km/jgfs_awips_f052.ecf | 44 - .../awips_20km/jgfs_awips_f053.ecf | 44 - .../awips_20km/jgfs_awips_f054.ecf | 44 - .../awips_20km/jgfs_awips_f055.ecf | 44 - .../awips_20km/jgfs_awips_f056.ecf | 44 - .../awips_20km/jgfs_awips_f057.ecf | 44 - .../awips_20km/jgfs_awips_f058.ecf | 44 - .../awips_20km/jgfs_awips_f059.ecf | 44 - .../awips_20km/jgfs_awips_f060.ecf | 44 - .../awips_20km/jgfs_awips_f061.ecf | 44 - .../awips_20km/jgfs_awips_f062.ecf | 44 - .../awips_20km/jgfs_awips_f063.ecf | 44 - .../awips_20km/jgfs_awips_f064.ecf | 44 - .../awips_20km/jgfs_awips_f065.ecf | 44 - .../awips_20km/jgfs_awips_f066.ecf | 44 - .../awips_20km/jgfs_awips_f067.ecf | 44 - .../awips_20km/jgfs_awips_f068.ecf | 44 - .../awips_20km/jgfs_awips_f069.ecf | 44 - .../awips_20km/jgfs_awips_f070.ecf | 44 - .../awips_20km/jgfs_awips_f071.ecf | 44 - .../awips_20km/jgfs_awips_f072.ecf | 44 - .../awips_20km/jgfs_awips_f073.ecf | 44 - .../awips_20km/jgfs_awips_f074.ecf | 44 - .../awips_20km/jgfs_awips_f075.ecf | 44 - .../awips_20km/jgfs_awips_f076.ecf | 44 - .../awips_20km/jgfs_awips_f077.ecf | 44 - .../awips_20km/jgfs_awips_f078.ecf | 44 - .../awips_20km/jgfs_awips_f079.ecf | 44 - .../awips_20km/jgfs_awips_f080.ecf | 44 - .../awips_20km/jgfs_awips_f081.ecf | 44 - .../awips_20km/jgfs_awips_f082.ecf | 44 - .../awips_20km/jgfs_awips_f083.ecf | 44 - .../awips_20km/jgfs_awips_f084.ecf | 44 - .../awips_20km/jgfs_awips_f085.ecf | 44 - .../awips_20km/jgfs_awips_f086.ecf | 44 - .../awips_20km/jgfs_awips_f087.ecf | 44 - .../awips_20km/jgfs_awips_f088.ecf | 44 - .../awips_20km/jgfs_awips_f089.ecf | 44 - .../awips_20km/jgfs_awips_f090.ecf | 44 - .../awips_20km/jgfs_awips_f091.ecf | 44 - .../awips_20km/jgfs_awips_f092.ecf | 44 - .../awips_20km/jgfs_awips_f093.ecf | 44 - .../awips_20km/jgfs_awips_f094.ecf | 44 - .../awips_20km/jgfs_awips_f095.ecf | 44 - .../awips_20km/jgfs_awips_f096.ecf | 44 - .../awips_20km/jgfs_awips_f097.ecf | 44 - .../awips_20km/jgfs_awips_f098.ecf | 44 - .../awips_20km/jgfs_awips_f099.ecf | 44 - .../awips_20km/jgfs_awips_f100.ecf | 44 - .../awips_20km/jgfs_awips_f101.ecf | 44 - .../awips_20km/jgfs_awips_f102.ecf | 44 - .../awips_20km/jgfs_awips_f103.ecf | 44 - .../awips_20km/jgfs_awips_f104.ecf | 44 - .../awips_20km/jgfs_awips_f105.ecf | 44 - .../awips_20km/jgfs_awips_f106.ecf | 44 - .../awips_20km/jgfs_awips_f107.ecf | 44 - .../awips_20km/jgfs_awips_f108.ecf | 44 - .../awips_20km/jgfs_awips_f109.ecf | 44 - .../awips_20km/jgfs_awips_f110.ecf | 44 - .../awips_20km/jgfs_awips_f111.ecf | 44 - .../awips_20km/jgfs_awips_f112.ecf | 44 - .../awips_20km/jgfs_awips_f113.ecf | 44 - .../awips_20km/jgfs_awips_f114.ecf | 44 - .../awips_20km/jgfs_awips_f115.ecf | 44 - .../awips_20km/jgfs_awips_f116.ecf | 44 - .../awips_20km/jgfs_awips_f117.ecf | 44 - .../awips_20km/jgfs_awips_f118.ecf | 44 - .../awips_20km/jgfs_awips_f119.ecf | 44 - .../awips_20km/jgfs_awips_f120.ecf | 44 - .../awips_20km/jgfs_awips_f123.ecf | 44 - .../awips_20km/jgfs_awips_f126.ecf | 44 - .../awips_20km/jgfs_awips_f129.ecf | 44 - .../awips_20km/jgfs_awips_f132.ecf | 44 - .../awips_20km/jgfs_awips_f135.ecf | 44 - .../awips_20km/jgfs_awips_f138.ecf | 44 - .../awips_20km/jgfs_awips_f141.ecf | 44 - .../awips_20km/jgfs_awips_f144.ecf | 44 - .../awips_20km/jgfs_awips_f147.ecf | 44 - .../awips_20km/jgfs_awips_f150.ecf | 44 - .../awips_20km/jgfs_awips_f153.ecf | 44 - .../awips_20km/jgfs_awips_f156.ecf | 44 - .../awips_20km/jgfs_awips_f159.ecf | 44 - .../awips_20km/jgfs_awips_f162.ecf | 44 - .../awips_20km/jgfs_awips_f165.ecf | 44 - .../awips_20km/jgfs_awips_f168.ecf | 44 - .../awips_20km/jgfs_awips_f171.ecf | 44 - .../awips_20km/jgfs_awips_f174.ecf | 44 - .../awips_20km/jgfs_awips_f177.ecf | 44 - .../awips_20km/jgfs_awips_f180.ecf | 44 - .../awips_20km/jgfs_awips_f183.ecf | 44 - .../awips_20km/jgfs_awips_f186.ecf | 44 - .../awips_20km/jgfs_awips_f189.ecf | 44 - .../awips_20km/jgfs_awips_f192.ecf | 44 - .../awips_20km/jgfs_awips_f195.ecf | 44 - .../awips_20km/jgfs_awips_f198.ecf | 44 - .../awips_20km/jgfs_awips_f201.ecf | 44 - .../awips_20km/jgfs_awips_f204.ecf | 44 - .../awips_20km/jgfs_awips_f207.ecf | 44 - .../awips_20km/jgfs_awips_f210.ecf | 44 - .../awips_20km/jgfs_awips_f213.ecf | 44 - .../awips_20km/jgfs_awips_f216.ecf | 44 - .../awips_20km/jgfs_awips_f219.ecf | 44 - .../awips_20km/jgfs_awips_f222.ecf | 44 - .../awips_20km/jgfs_awips_f225.ecf | 44 - .../awips_20km/jgfs_awips_f228.ecf | 44 - .../awips_20km/jgfs_awips_f231.ecf | 44 - .../awips_20km/jgfs_awips_f234.ecf | 44 - .../awips_20km/jgfs_awips_f237.ecf | 44 - .../awips_20km/jgfs_awips_f240.ecf | 44 - .../awips_20km/jgfs_awips_f252.ecf | 44 - .../awips_20km/jgfs_awips_f264.ecf | 44 - .../awips_20km/jgfs_awips_f276.ecf | 44 - .../awips_20km/jgfs_awips_f288.ecf | 44 - .../awips_20km/jgfs_awips_f300.ecf | 44 - .../awips_20km/jgfs_awips_f312.ecf | 44 - .../awips_20km/jgfs_awips_f324.ecf | 44 - .../awips_20km/jgfs_awips_f336.ecf | 44 - .../awips_20km/jgfs_awips_f348.ecf | 44 - .../awips_20km/jgfs_awips_f360.ecf | 44 - .../awips_20km/jgfs_awips_f372.ecf | 44 - .../awips_20km/jgfs_awips_f384.ecf | 44 - .../bufr_sounding/jgfs_postsnd.ecf | 44 - .../bulletins/jgfs_cyclone_tracker.ecf | 44 - .../post_processing/bulletins/jgfs_fbwind.ecf | 44 - .../prod06/gfs/post_processing/dummy.ecf | 44 - .../gfs/post_processing/fax/jgfs_fax_anl.ecf | 44 - .../gfs/post_processing/fax/jgfs_fax_f00.ecf | 44 - .../post_processing/fax/jgfs_fax_wafs_f12.ecf | 44 - .../post_processing/fax/jgfs_fax_wafs_f24.ecf | 44 - .../post_processing/fax/jgfs_fax_wafs_f36.ecf | 44 - .../grib2_wafs/jgfs_wafs_blending.ecf | 44 - .../grib2_wafs/jgfs_wafs_grib2.ecf | 44 - .../grib_awips/jgfs_awips_f00.ecf | 44 - .../grib_awips/jgfs_awips_f01.ecf | 44 - .../grib_awips/jgfs_awips_f02.ecf | 44 - .../grib_awips/jgfs_awips_f03.ecf | 44 - .../grib_awips/jgfs_awips_f04.ecf | 44 - .../grib_awips/jgfs_awips_f05.ecf | 44 - .../grib_awips/jgfs_awips_f06.ecf | 44 - .../grib_awips/jgfs_awips_f07.ecf | 44 - .../grib_awips/jgfs_awips_f08.ecf | 44 - .../grib_awips/jgfs_awips_f09.ecf | 44 - .../grib_awips/jgfs_awips_f10.ecf | 44 - .../grib_awips/jgfs_awips_f100.ecf | 44 - .../grib_awips/jgfs_awips_f101.ecf | 44 - .../grib_awips/jgfs_awips_f102.ecf | 44 - .../grib_awips/jgfs_awips_f103.ecf | 44 - .../grib_awips/jgfs_awips_f104.ecf | 44 - .../grib_awips/jgfs_awips_f105.ecf | 44 - .../grib_awips/jgfs_awips_f106.ecf | 44 - .../grib_awips/jgfs_awips_f107.ecf | 44 - .../grib_awips/jgfs_awips_f108.ecf | 44 - .../grib_awips/jgfs_awips_f109.ecf | 44 - .../grib_awips/jgfs_awips_f11.ecf | 44 - .../grib_awips/jgfs_awips_f110.ecf | 44 - .../grib_awips/jgfs_awips_f111.ecf | 44 - .../grib_awips/jgfs_awips_f112.ecf | 44 - .../grib_awips/jgfs_awips_f113.ecf | 44 - .../grib_awips/jgfs_awips_f114.ecf | 44 - .../grib_awips/jgfs_awips_f115.ecf | 44 - .../grib_awips/jgfs_awips_f116.ecf | 44 - .../grib_awips/jgfs_awips_f117.ecf | 44 - .../grib_awips/jgfs_awips_f118.ecf | 44 - .../grib_awips/jgfs_awips_f119.ecf | 44 - .../grib_awips/jgfs_awips_f12.ecf | 44 - .../grib_awips/jgfs_awips_f120.ecf | 44 - .../grib_awips/jgfs_awips_f123.ecf | 44 - .../grib_awips/jgfs_awips_f126.ecf | 44 - .../grib_awips/jgfs_awips_f129.ecf | 44 - .../grib_awips/jgfs_awips_f13.ecf | 44 - .../grib_awips/jgfs_awips_f132.ecf | 44 - .../grib_awips/jgfs_awips_f135.ecf | 44 - .../grib_awips/jgfs_awips_f138.ecf | 44 - .../grib_awips/jgfs_awips_f14.ecf | 44 - .../grib_awips/jgfs_awips_f141.ecf | 44 - .../grib_awips/jgfs_awips_f144.ecf | 44 - .../grib_awips/jgfs_awips_f147.ecf | 44 - .../grib_awips/jgfs_awips_f15.ecf | 44 - .../grib_awips/jgfs_awips_f150.ecf | 44 - .../grib_awips/jgfs_awips_f153.ecf | 44 - .../grib_awips/jgfs_awips_f156.ecf | 44 - .../grib_awips/jgfs_awips_f159.ecf | 44 - .../grib_awips/jgfs_awips_f16.ecf | 44 - .../grib_awips/jgfs_awips_f162.ecf | 44 - .../grib_awips/jgfs_awips_f165.ecf | 44 - .../grib_awips/jgfs_awips_f168.ecf | 44 - .../grib_awips/jgfs_awips_f17.ecf | 44 - .../grib_awips/jgfs_awips_f171.ecf | 44 - .../grib_awips/jgfs_awips_f174.ecf | 44 - .../grib_awips/jgfs_awips_f177.ecf | 44 - .../grib_awips/jgfs_awips_f18.ecf | 44 - .../grib_awips/jgfs_awips_f180.ecf | 44 - .../grib_awips/jgfs_awips_f183.ecf | 44 - .../grib_awips/jgfs_awips_f186.ecf | 44 - .../grib_awips/jgfs_awips_f189.ecf | 44 - .../grib_awips/jgfs_awips_f19.ecf | 44 - .../grib_awips/jgfs_awips_f192.ecf | 44 - .../grib_awips/jgfs_awips_f195.ecf | 44 - .../grib_awips/jgfs_awips_f198.ecf | 44 - .../grib_awips/jgfs_awips_f20.ecf | 44 - .../grib_awips/jgfs_awips_f201.ecf | 44 - .../grib_awips/jgfs_awips_f204.ecf | 44 - .../grib_awips/jgfs_awips_f207.ecf | 44 - .../grib_awips/jgfs_awips_f21.ecf | 44 - .../grib_awips/jgfs_awips_f210.ecf | 44 - .../grib_awips/jgfs_awips_f213.ecf | 44 - .../grib_awips/jgfs_awips_f216.ecf | 44 - .../grib_awips/jgfs_awips_f219.ecf | 44 - .../grib_awips/jgfs_awips_f22.ecf | 44 - .../grib_awips/jgfs_awips_f222.ecf | 44 - .../grib_awips/jgfs_awips_f225.ecf | 44 - .../grib_awips/jgfs_awips_f228.ecf | 44 - .../grib_awips/jgfs_awips_f23.ecf | 44 - .../grib_awips/jgfs_awips_f231.ecf | 44 - .../grib_awips/jgfs_awips_f234.ecf | 44 - .../grib_awips/jgfs_awips_f237.ecf | 44 - .../grib_awips/jgfs_awips_f24.ecf | 44 - .../grib_awips/jgfs_awips_f240.ecf | 44 - .../grib_awips/jgfs_awips_f25.ecf | 44 - .../grib_awips/jgfs_awips_f252.ecf | 44 - .../grib_awips/jgfs_awips_f26.ecf | 44 - .../grib_awips/jgfs_awips_f264.ecf | 44 - .../grib_awips/jgfs_awips_f27.ecf | 44 - .../grib_awips/jgfs_awips_f276.ecf | 44 - .../grib_awips/jgfs_awips_f28.ecf | 44 - .../grib_awips/jgfs_awips_f288.ecf | 44 - .../grib_awips/jgfs_awips_f29.ecf | 44 - .../grib_awips/jgfs_awips_f30.ecf | 44 - .../grib_awips/jgfs_awips_f300.ecf | 44 - .../grib_awips/jgfs_awips_f31.ecf | 44 - .../grib_awips/jgfs_awips_f312.ecf | 44 - .../grib_awips/jgfs_awips_f32.ecf | 44 - .../grib_awips/jgfs_awips_f324.ecf | 44 - .../grib_awips/jgfs_awips_f33.ecf | 44 - .../grib_awips/jgfs_awips_f336.ecf | 44 - .../grib_awips/jgfs_awips_f34.ecf | 44 - .../grib_awips/jgfs_awips_f348.ecf | 44 - .../grib_awips/jgfs_awips_f35.ecf | 44 - .../grib_awips/jgfs_awips_f36.ecf | 44 - .../grib_awips/jgfs_awips_f360.ecf | 44 - .../grib_awips/jgfs_awips_f37.ecf | 44 - .../grib_awips/jgfs_awips_f372.ecf | 44 - .../grib_awips/jgfs_awips_f38.ecf | 44 - .../grib_awips/jgfs_awips_f384.ecf | 44 - .../grib_awips/jgfs_awips_f39.ecf | 44 - .../grib_awips/jgfs_awips_f40.ecf | 44 - .../grib_awips/jgfs_awips_f41.ecf | 44 - .../grib_awips/jgfs_awips_f42.ecf | 44 - .../grib_awips/jgfs_awips_f43.ecf | 44 - .../grib_awips/jgfs_awips_f44.ecf | 44 - .../grib_awips/jgfs_awips_f45.ecf | 44 - .../grib_awips/jgfs_awips_f46.ecf | 44 - .../grib_awips/jgfs_awips_f47.ecf | 44 - .../grib_awips/jgfs_awips_f48.ecf | 44 - .../grib_awips/jgfs_awips_f49.ecf | 44 - .../grib_awips/jgfs_awips_f50.ecf | 44 - .../grib_awips/jgfs_awips_f51.ecf | 44 - .../grib_awips/jgfs_awips_f52.ecf | 44 - .../grib_awips/jgfs_awips_f53.ecf | 44 - .../grib_awips/jgfs_awips_f54.ecf | 44 - .../grib_awips/jgfs_awips_f55.ecf | 44 - .../grib_awips/jgfs_awips_f56.ecf | 44 - .../grib_awips/jgfs_awips_f57.ecf | 44 - .../grib_awips/jgfs_awips_f58.ecf | 44 - .../grib_awips/jgfs_awips_f59.ecf | 44 - .../grib_awips/jgfs_awips_f60.ecf | 44 - .../grib_awips/jgfs_awips_f61.ecf | 44 - .../grib_awips/jgfs_awips_f62.ecf | 44 - .../grib_awips/jgfs_awips_f63.ecf | 44 - .../grib_awips/jgfs_awips_f64.ecf | 44 - .../grib_awips/jgfs_awips_f65.ecf | 44 - .../grib_awips/jgfs_awips_f66.ecf | 44 - .../grib_awips/jgfs_awips_f67.ecf | 44 - .../grib_awips/jgfs_awips_f68.ecf | 44 - .../grib_awips/jgfs_awips_f69.ecf | 44 - .../grib_awips/jgfs_awips_f70.ecf | 44 - .../grib_awips/jgfs_awips_f71.ecf | 44 - .../grib_awips/jgfs_awips_f72.ecf | 44 - .../grib_awips/jgfs_awips_f73.ecf | 44 - .../grib_awips/jgfs_awips_f74.ecf | 44 - .../grib_awips/jgfs_awips_f75.ecf | 44 - .../grib_awips/jgfs_awips_f76.ecf | 44 - .../grib_awips/jgfs_awips_f77.ecf | 44 - .../grib_awips/jgfs_awips_f78.ecf | 44 - .../grib_awips/jgfs_awips_f79.ecf | 44 - .../grib_awips/jgfs_awips_f80.ecf | 44 - .../grib_awips/jgfs_awips_f81.ecf | 44 - .../grib_awips/jgfs_awips_f82.ecf | 44 - .../grib_awips/jgfs_awips_f83.ecf | 44 - .../grib_awips/jgfs_awips_f84.ecf | 44 - .../grib_awips/jgfs_awips_f85.ecf | 44 - .../grib_awips/jgfs_awips_f86.ecf | 44 - .../grib_awips/jgfs_awips_f87.ecf | 44 - .../grib_awips/jgfs_awips_f88.ecf | 44 - .../grib_awips/jgfs_awips_f89.ecf | 44 - .../grib_awips/jgfs_awips_f90.ecf | 44 - .../grib_awips/jgfs_awips_f91.ecf | 44 - .../grib_awips/jgfs_awips_f92.ecf | 44 - .../grib_awips/jgfs_awips_f93.ecf | 44 - .../grib_awips/jgfs_awips_f94.ecf | 44 - .../grib_awips/jgfs_awips_f95.ecf | 44 - .../grib_awips/jgfs_awips_f96.ecf | 44 - .../grib_awips/jgfs_awips_f97.ecf | 44 - .../grib_awips/jgfs_awips_f98.ecf | 44 - .../grib_awips/jgfs_awips_f99.ecf | 44 - .../grib_wafs/jgfs_wafs_f00.ecf | 44 - .../grib_wafs/jgfs_wafs_f06.ecf | 44 - .../grib_wafs/jgfs_wafs_f102.ecf | 44 - .../grib_wafs/jgfs_wafs_f108.ecf | 44 - .../grib_wafs/jgfs_wafs_f114.ecf | 44 - .../grib_wafs/jgfs_wafs_f12.ecf | 44 - .../grib_wafs/jgfs_wafs_f120.ecf | 44 - .../grib_wafs/jgfs_wafs_f18.ecf | 44 - .../grib_wafs/jgfs_wafs_f24.ecf | 44 - .../grib_wafs/jgfs_wafs_f30.ecf | 44 - .../grib_wafs/jgfs_wafs_f36.ecf | 44 - .../grib_wafs/jgfs_wafs_f42.ecf | 44 - .../grib_wafs/jgfs_wafs_f48.ecf | 44 - .../grib_wafs/jgfs_wafs_f54.ecf | 44 - .../grib_wafs/jgfs_wafs_f60.ecf | 44 - .../grib_wafs/jgfs_wafs_f66.ecf | 44 - .../grib_wafs/jgfs_wafs_f72.ecf | 44 - .../grib_wafs/jgfs_wafs_f78.ecf | 44 - .../grib_wafs/jgfs_wafs_f84.ecf | 44 - .../grib_wafs/jgfs_wafs_f90.ecf | 44 - .../grib_wafs/jgfs_wafs_f96.ecf | 44 - .../gfs/post_processing/jgfs_wafs_gcip.ecf | 33 - .../scripts/prod06/gfs/prdgen/dummy.ecf | 11 - .../prod06/gfs/prdgen/jgfs_pgrb2_anl.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f00.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f01.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f02.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f03.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f04.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f05.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f06.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f07.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f08.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f09.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f10.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f100.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f101.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f102.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f103.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f104.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f105.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f106.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f107.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f108.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f109.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f11.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f110.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f111.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f112.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f113.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f114.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f115.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f116.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f117.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f118.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f119.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f12.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f120.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f123.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f126.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f129.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f13.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f132.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f135.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f138.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f14.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f141.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f144.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f147.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f15.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f150.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f153.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f156.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f159.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f16.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f162.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f165.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f168.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f17.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f171.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f174.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f177.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f18.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f180.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f183.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f186.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f189.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f19.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f192.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f195.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f198.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f20.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f201.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f204.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f207.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f21.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f210.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f213.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f216.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f219.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f22.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f222.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f225.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f228.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f23.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f231.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f234.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f237.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f24.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f240.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f25.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f252.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f26.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f264.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f27.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f276.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f28.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f288.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f29.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f30.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f300.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f31.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f312.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f32.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f324.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f33.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f336.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f34.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f348.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f35.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f36.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f360.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f37.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f372.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f38.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f384.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f39.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f40.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f41.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f42.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f43.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f44.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f45.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f46.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f47.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f48.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f49.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f50.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f51.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f52.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f53.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f54.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f55.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f56.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f57.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f58.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f59.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f60.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f61.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f62.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f63.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f64.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f65.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f66.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f67.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f68.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f69.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f70.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f71.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f72.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f73.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f74.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f75.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f76.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f77.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f78.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f79.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f80.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f81.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f82.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f83.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f84.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f85.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f86.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f87.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f88.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f89.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f90.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f91.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f92.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f93.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f94.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f95.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f96.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f97.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f98.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_f99.ecf | 45 - .../prod06/gfs/prdgen/jgfs_pgrb2_manager.ecf | 44 - .../prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 44 - .../scripts/prod06/gfs/prep/jgfs_prep.ecf | 45 - .../prod06/gfs/prep/jgfs_prep_post.ecf | 44 - .../gfs/sminit_guam/jgfs_sminit_guam_even.ecf | 44 - .../gfs/sminit_guam/jgfs_sminit_guam_odd.ecf | 44 - .../scripts/prod12/cycle_end.ecf | 44 - .../gdas/analysis/jgdas_analysis_high.ecf | 45 - .../scripts/prod12/gdas/dump/jgdas_dump.ecf | 44 - .../scripts/prod12/gdas/dump/jgdas_ics.ecf | 44 - .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 44 - .../enkf/forecast/jgdas_enkf_fcst_grp1.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp10.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp11.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp12.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp13.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp14.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp15.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp16.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp2.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp3.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp4.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp5.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp6.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp7.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp8.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp9.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp1.ecf | 45 - .../jgdas_enkf_innovate_obs_grp10.ecf | 45 - .../jgdas_enkf_innovate_obs_grp11.ecf | 45 - .../jgdas_enkf_innovate_obs_grp12.ecf | 45 - .../jgdas_enkf_innovate_obs_grp13.ecf | 45 - .../jgdas_enkf_innovate_obs_grp14.ecf | 45 - .../jgdas_enkf_innovate_obs_grp15.ecf | 45 - .../jgdas_enkf_innovate_obs_grp16.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp2.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp3.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp4.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp5.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp6.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp7.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp8.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp9.ecf | 45 - .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 45 - .../prod12/gdas/enkf/jgdas_enkf_post.ecf | 45 - .../gdas/enkf/jgdas_enkf_select_obs.ecf | 45 - .../prod12/gdas/enkf/jgdas_enkf_update.ecf | 45 - .../prod12/gdas/forecast/jgdas_forecast.ecf | 45 - .../prod12/gdas/gempak/jgdas_gempak.ecf | 44 - .../prod12/gdas/gempak/jgdas_gempak_meta.ecf | 44 - .../prod12/gdas/gempak/jgdas_gempak_ncdc.ecf | 44 - .../scripts/prod12/gdas/jgdas_verfrad.ecf | 44 - .../scripts/prod12/gdas/jgdas_vminmon.ecf | 44 - .../scripts/prod12/gdas/post/jgdas_post.ecf | 45 - .../bulletins/jgdas_mknavybulls.ecf | 44 - .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 44 - .../scripts/prod12/gdas/prep/jgdas_prep.ecf | 45 - .../prod12/gdas/prep/jgdas_prep_post.ecf | 44 - .../scripts/prod12/gfs/dump/jgfs_dump.ecf | 44 - .../prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 44 - .../gfs/forecast/jgfs_forecast_high.ecf | 45 - .../prod12/gfs/forecast/jgfs_forecast_low.ecf | 45 - .../scripts/prod12/gfs/gempak/jgfs_gempak.ecf | 44 - .../prod12/gfs/gempak/jgfs_gempak_meta.ecf | 44 - .../prod12/gfs/gempak/jgfs_gempak_ncdc.ecf | 44 - .../prod12/gfs/gempak/jgfs_gempak_upapgif.ecf | 44 - .../gfs/gempak/jgfs_pgrb2_spec_gempak.ecf | 33 - .../scripts/prod12/gfs/jgfs_analysis.ecf | 45 - .../scripts/prod12/gfs/jgfs_vminmon.ecf | 44 - .../scripts/prod12/gfs/post/dummy.ecf | 11 - .../prod12/gfs/post/jgfs_pgrb2_spec_post.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_anl.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f00.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f01.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f02.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f03.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f04.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f05.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f06.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f07.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f08.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f09.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f10.ecf | 44 - .../prod12/gfs/post/jgfs_post_f100.ecf | 44 - .../prod12/gfs/post/jgfs_post_f101.ecf | 44 - .../prod12/gfs/post/jgfs_post_f102.ecf | 44 - .../prod12/gfs/post/jgfs_post_f103.ecf | 44 - .../prod12/gfs/post/jgfs_post_f104.ecf | 44 - .../prod12/gfs/post/jgfs_post_f105.ecf | 44 - .../prod12/gfs/post/jgfs_post_f106.ecf | 44 - .../prod12/gfs/post/jgfs_post_f107.ecf | 44 - .../prod12/gfs/post/jgfs_post_f108.ecf | 44 - .../prod12/gfs/post/jgfs_post_f109.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f11.ecf | 44 - .../prod12/gfs/post/jgfs_post_f110.ecf | 44 - .../prod12/gfs/post/jgfs_post_f111.ecf | 44 - .../prod12/gfs/post/jgfs_post_f112.ecf | 44 - .../prod12/gfs/post/jgfs_post_f113.ecf | 44 - .../prod12/gfs/post/jgfs_post_f114.ecf | 44 - .../prod12/gfs/post/jgfs_post_f115.ecf | 44 - .../prod12/gfs/post/jgfs_post_f116.ecf | 44 - .../prod12/gfs/post/jgfs_post_f117.ecf | 44 - .../prod12/gfs/post/jgfs_post_f118.ecf | 44 - .../prod12/gfs/post/jgfs_post_f119.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f12.ecf | 44 - .../prod12/gfs/post/jgfs_post_f120.ecf | 44 - .../prod12/gfs/post/jgfs_post_f123.ecf | 44 - .../prod12/gfs/post/jgfs_post_f126.ecf | 44 - .../prod12/gfs/post/jgfs_post_f129.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f13.ecf | 44 - .../prod12/gfs/post/jgfs_post_f132.ecf | 44 - .../prod12/gfs/post/jgfs_post_f135.ecf | 44 - .../prod12/gfs/post/jgfs_post_f138.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f14.ecf | 44 - .../prod12/gfs/post/jgfs_post_f141.ecf | 44 - .../prod12/gfs/post/jgfs_post_f144.ecf | 44 - .../prod12/gfs/post/jgfs_post_f147.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f15.ecf | 44 - .../prod12/gfs/post/jgfs_post_f150.ecf | 44 - .../prod12/gfs/post/jgfs_post_f153.ecf | 44 - .../prod12/gfs/post/jgfs_post_f156.ecf | 44 - .../prod12/gfs/post/jgfs_post_f159.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f16.ecf | 44 - .../prod12/gfs/post/jgfs_post_f162.ecf | 44 - .../prod12/gfs/post/jgfs_post_f165.ecf | 44 - .../prod12/gfs/post/jgfs_post_f168.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f17.ecf | 44 - .../prod12/gfs/post/jgfs_post_f171.ecf | 44 - .../prod12/gfs/post/jgfs_post_f174.ecf | 44 - .../prod12/gfs/post/jgfs_post_f177.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f18.ecf | 44 - .../prod12/gfs/post/jgfs_post_f180.ecf | 44 - .../prod12/gfs/post/jgfs_post_f183.ecf | 44 - .../prod12/gfs/post/jgfs_post_f186.ecf | 44 - .../prod12/gfs/post/jgfs_post_f189.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f19.ecf | 44 - .../prod12/gfs/post/jgfs_post_f192.ecf | 44 - .../prod12/gfs/post/jgfs_post_f195.ecf | 44 - .../prod12/gfs/post/jgfs_post_f198.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f20.ecf | 44 - .../prod12/gfs/post/jgfs_post_f201.ecf | 44 - .../prod12/gfs/post/jgfs_post_f204.ecf | 44 - .../prod12/gfs/post/jgfs_post_f207.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f21.ecf | 44 - .../prod12/gfs/post/jgfs_post_f210.ecf | 44 - .../prod12/gfs/post/jgfs_post_f213.ecf | 44 - .../prod12/gfs/post/jgfs_post_f216.ecf | 44 - .../prod12/gfs/post/jgfs_post_f219.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f22.ecf | 44 - .../prod12/gfs/post/jgfs_post_f222.ecf | 44 - .../prod12/gfs/post/jgfs_post_f225.ecf | 44 - .../prod12/gfs/post/jgfs_post_f228.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f23.ecf | 44 - .../prod12/gfs/post/jgfs_post_f231.ecf | 44 - .../prod12/gfs/post/jgfs_post_f234.ecf | 44 - .../prod12/gfs/post/jgfs_post_f237.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f24.ecf | 44 - .../prod12/gfs/post/jgfs_post_f240.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f25.ecf | 44 - .../prod12/gfs/post/jgfs_post_f252.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f26.ecf | 44 - .../prod12/gfs/post/jgfs_post_f264.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f27.ecf | 44 - .../prod12/gfs/post/jgfs_post_f276.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f28.ecf | 44 - .../prod12/gfs/post/jgfs_post_f288.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f29.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f30.ecf | 44 - .../prod12/gfs/post/jgfs_post_f300.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f31.ecf | 44 - .../prod12/gfs/post/jgfs_post_f312.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f32.ecf | 44 - .../prod12/gfs/post/jgfs_post_f324.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f33.ecf | 44 - .../prod12/gfs/post/jgfs_post_f336.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f34.ecf | 44 - .../prod12/gfs/post/jgfs_post_f348.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f35.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f36.ecf | 44 - .../prod12/gfs/post/jgfs_post_f360.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f37.ecf | 44 - .../prod12/gfs/post/jgfs_post_f372.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f38.ecf | 44 - .../prod12/gfs/post/jgfs_post_f384.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f39.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f40.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f41.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f42.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f43.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f44.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f45.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f46.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f47.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f48.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f49.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f50.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f51.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f52.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f53.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f54.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f55.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f56.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f57.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f58.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f59.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f60.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f61.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f62.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f63.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f64.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f65.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f66.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f67.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f68.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f69.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f70.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f71.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f72.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f73.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f74.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f75.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f76.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f77.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f78.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f79.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f80.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f81.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f82.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f83.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f84.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f85.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f86.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f87.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f88.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f89.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f90.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f91.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f92.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f93.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f94.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f95.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f96.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f97.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f98.ecf | 44 - .../scripts/prod12/gfs/post/jgfs_post_f99.ecf | 44 - .../prod12/gfs/post/jgfs_post_manager.ecf | 44 - .../awips_1p0deg/jgfs_awips_f000.ecf | 44 - .../awips_1p0deg/jgfs_awips_f001.ecf | 44 - .../awips_1p0deg/jgfs_awips_f002.ecf | 44 - .../awips_1p0deg/jgfs_awips_f003.ecf | 44 - .../awips_1p0deg/jgfs_awips_f004.ecf | 44 - .../awips_1p0deg/jgfs_awips_f005.ecf | 44 - .../awips_1p0deg/jgfs_awips_f006.ecf | 44 - .../awips_1p0deg/jgfs_awips_f007.ecf | 44 - .../awips_1p0deg/jgfs_awips_f008.ecf | 44 - .../awips_1p0deg/jgfs_awips_f009.ecf | 44 - .../awips_1p0deg/jgfs_awips_f010.ecf | 44 - .../awips_1p0deg/jgfs_awips_f011.ecf | 44 - .../awips_1p0deg/jgfs_awips_f012.ecf | 44 - .../awips_1p0deg/jgfs_awips_f013.ecf | 44 - .../awips_1p0deg/jgfs_awips_f014.ecf | 44 - .../awips_1p0deg/jgfs_awips_f015.ecf | 44 - .../awips_1p0deg/jgfs_awips_f016.ecf | 44 - .../awips_1p0deg/jgfs_awips_f017.ecf | 44 - .../awips_1p0deg/jgfs_awips_f018.ecf | 44 - .../awips_1p0deg/jgfs_awips_f019.ecf | 44 - .../awips_1p0deg/jgfs_awips_f020.ecf | 44 - .../awips_1p0deg/jgfs_awips_f021.ecf | 44 - .../awips_1p0deg/jgfs_awips_f022.ecf | 44 - .../awips_1p0deg/jgfs_awips_f023.ecf | 44 - .../awips_1p0deg/jgfs_awips_f024.ecf | 44 - .../awips_1p0deg/jgfs_awips_f025.ecf | 44 - .../awips_1p0deg/jgfs_awips_f026.ecf | 44 - .../awips_1p0deg/jgfs_awips_f027.ecf | 44 - .../awips_1p0deg/jgfs_awips_f028.ecf | 44 - .../awips_1p0deg/jgfs_awips_f029.ecf | 44 - .../awips_1p0deg/jgfs_awips_f030.ecf | 44 - .../awips_1p0deg/jgfs_awips_f031.ecf | 44 - .../awips_1p0deg/jgfs_awips_f032.ecf | 44 - .../awips_1p0deg/jgfs_awips_f033.ecf | 44 - .../awips_1p0deg/jgfs_awips_f034.ecf | 44 - .../awips_1p0deg/jgfs_awips_f035.ecf | 44 - .../awips_1p0deg/jgfs_awips_f036.ecf | 44 - .../awips_1p0deg/jgfs_awips_f037.ecf | 44 - .../awips_1p0deg/jgfs_awips_f038.ecf | 44 - .../awips_1p0deg/jgfs_awips_f039.ecf | 44 - .../awips_1p0deg/jgfs_awips_f040.ecf | 44 - .../awips_1p0deg/jgfs_awips_f041.ecf | 44 - .../awips_1p0deg/jgfs_awips_f042.ecf | 44 - .../awips_1p0deg/jgfs_awips_f043.ecf | 44 - .../awips_1p0deg/jgfs_awips_f044.ecf | 44 - .../awips_1p0deg/jgfs_awips_f045.ecf | 44 - .../awips_1p0deg/jgfs_awips_f046.ecf | 44 - .../awips_1p0deg/jgfs_awips_f047.ecf | 44 - .../awips_1p0deg/jgfs_awips_f048.ecf | 44 - .../awips_1p0deg/jgfs_awips_f049.ecf | 44 - .../awips_1p0deg/jgfs_awips_f050.ecf | 44 - .../awips_1p0deg/jgfs_awips_f051.ecf | 44 - .../awips_1p0deg/jgfs_awips_f052.ecf | 44 - .../awips_1p0deg/jgfs_awips_f053.ecf | 44 - .../awips_1p0deg/jgfs_awips_f054.ecf | 44 - .../awips_1p0deg/jgfs_awips_f055.ecf | 44 - .../awips_1p0deg/jgfs_awips_f056.ecf | 44 - .../awips_1p0deg/jgfs_awips_f057.ecf | 44 - .../awips_1p0deg/jgfs_awips_f058.ecf | 44 - .../awips_1p0deg/jgfs_awips_f059.ecf | 44 - .../awips_1p0deg/jgfs_awips_f060.ecf | 44 - .../awips_1p0deg/jgfs_awips_f061.ecf | 44 - .../awips_1p0deg/jgfs_awips_f062.ecf | 44 - .../awips_1p0deg/jgfs_awips_f063.ecf | 44 - .../awips_1p0deg/jgfs_awips_f064.ecf | 44 - .../awips_1p0deg/jgfs_awips_f065.ecf | 44 - .../awips_1p0deg/jgfs_awips_f066.ecf | 44 - .../awips_1p0deg/jgfs_awips_f067.ecf | 44 - .../awips_1p0deg/jgfs_awips_f068.ecf | 44 - .../awips_1p0deg/jgfs_awips_f069.ecf | 44 - .../awips_1p0deg/jgfs_awips_f070.ecf | 44 - .../awips_1p0deg/jgfs_awips_f071.ecf | 44 - .../awips_1p0deg/jgfs_awips_f072.ecf | 44 - .../awips_1p0deg/jgfs_awips_f073.ecf | 44 - .../awips_1p0deg/jgfs_awips_f074.ecf | 44 - .../awips_1p0deg/jgfs_awips_f075.ecf | 44 - .../awips_1p0deg/jgfs_awips_f076.ecf | 44 - .../awips_1p0deg/jgfs_awips_f077.ecf | 44 - .../awips_1p0deg/jgfs_awips_f078.ecf | 44 - .../awips_1p0deg/jgfs_awips_f079.ecf | 44 - .../awips_1p0deg/jgfs_awips_f080.ecf | 44 - .../awips_1p0deg/jgfs_awips_f081.ecf | 44 - .../awips_1p0deg/jgfs_awips_f082.ecf | 44 - .../awips_1p0deg/jgfs_awips_f083.ecf | 44 - .../awips_1p0deg/jgfs_awips_f084.ecf | 44 - .../awips_1p0deg/jgfs_awips_f085.ecf | 44 - .../awips_1p0deg/jgfs_awips_f086.ecf | 44 - .../awips_1p0deg/jgfs_awips_f087.ecf | 44 - .../awips_1p0deg/jgfs_awips_f088.ecf | 44 - .../awips_1p0deg/jgfs_awips_f089.ecf | 44 - .../awips_1p0deg/jgfs_awips_f090.ecf | 44 - .../awips_1p0deg/jgfs_awips_f091.ecf | 44 - .../awips_1p0deg/jgfs_awips_f092.ecf | 44 - .../awips_1p0deg/jgfs_awips_f093.ecf | 44 - .../awips_1p0deg/jgfs_awips_f094.ecf | 44 - .../awips_1p0deg/jgfs_awips_f095.ecf | 44 - .../awips_1p0deg/jgfs_awips_f096.ecf | 44 - .../awips_1p0deg/jgfs_awips_f097.ecf | 44 - .../awips_1p0deg/jgfs_awips_f098.ecf | 44 - .../awips_1p0deg/jgfs_awips_f099.ecf | 44 - .../awips_1p0deg/jgfs_awips_f100.ecf | 44 - .../awips_1p0deg/jgfs_awips_f101.ecf | 44 - .../awips_1p0deg/jgfs_awips_f102.ecf | 44 - .../awips_1p0deg/jgfs_awips_f103.ecf | 44 - .../awips_1p0deg/jgfs_awips_f104.ecf | 44 - .../awips_1p0deg/jgfs_awips_f105.ecf | 44 - .../awips_1p0deg/jgfs_awips_f106.ecf | 44 - .../awips_1p0deg/jgfs_awips_f107.ecf | 44 - .../awips_1p0deg/jgfs_awips_f108.ecf | 44 - .../awips_1p0deg/jgfs_awips_f109.ecf | 44 - .../awips_1p0deg/jgfs_awips_f110.ecf | 44 - .../awips_1p0deg/jgfs_awips_f111.ecf | 44 - .../awips_1p0deg/jgfs_awips_f112.ecf | 44 - .../awips_1p0deg/jgfs_awips_f113.ecf | 44 - .../awips_1p0deg/jgfs_awips_f114.ecf | 44 - .../awips_1p0deg/jgfs_awips_f115.ecf | 44 - .../awips_1p0deg/jgfs_awips_f116.ecf | 44 - .../awips_1p0deg/jgfs_awips_f117.ecf | 44 - .../awips_1p0deg/jgfs_awips_f118.ecf | 44 - .../awips_1p0deg/jgfs_awips_f119.ecf | 44 - .../awips_1p0deg/jgfs_awips_f120.ecf | 44 - .../awips_1p0deg/jgfs_awips_f123.ecf | 44 - .../awips_1p0deg/jgfs_awips_f126.ecf | 44 - .../awips_1p0deg/jgfs_awips_f129.ecf | 44 - .../awips_1p0deg/jgfs_awips_f132.ecf | 44 - .../awips_1p0deg/jgfs_awips_f135.ecf | 44 - .../awips_1p0deg/jgfs_awips_f138.ecf | 44 - .../awips_1p0deg/jgfs_awips_f141.ecf | 44 - .../awips_1p0deg/jgfs_awips_f144.ecf | 44 - .../awips_1p0deg/jgfs_awips_f147.ecf | 44 - .../awips_1p0deg/jgfs_awips_f150.ecf | 44 - .../awips_1p0deg/jgfs_awips_f153.ecf | 44 - .../awips_1p0deg/jgfs_awips_f156.ecf | 44 - .../awips_1p0deg/jgfs_awips_f159.ecf | 44 - .../awips_1p0deg/jgfs_awips_f162.ecf | 44 - .../awips_1p0deg/jgfs_awips_f165.ecf | 44 - .../awips_1p0deg/jgfs_awips_f168.ecf | 44 - .../awips_1p0deg/jgfs_awips_f171.ecf | 44 - .../awips_1p0deg/jgfs_awips_f174.ecf | 44 - .../awips_1p0deg/jgfs_awips_f177.ecf | 44 - .../awips_1p0deg/jgfs_awips_f180.ecf | 44 - .../awips_1p0deg/jgfs_awips_f183.ecf | 44 - .../awips_1p0deg/jgfs_awips_f186.ecf | 44 - .../awips_1p0deg/jgfs_awips_f189.ecf | 44 - .../awips_1p0deg/jgfs_awips_f192.ecf | 44 - .../awips_1p0deg/jgfs_awips_f195.ecf | 44 - .../awips_1p0deg/jgfs_awips_f198.ecf | 44 - .../awips_1p0deg/jgfs_awips_f201.ecf | 44 - .../awips_1p0deg/jgfs_awips_f204.ecf | 44 - .../awips_1p0deg/jgfs_awips_f207.ecf | 44 - .../awips_1p0deg/jgfs_awips_f210.ecf | 44 - .../awips_1p0deg/jgfs_awips_f213.ecf | 44 - .../awips_1p0deg/jgfs_awips_f216.ecf | 44 - .../awips_1p0deg/jgfs_awips_f219.ecf | 44 - .../awips_1p0deg/jgfs_awips_f222.ecf | 44 - .../awips_1p0deg/jgfs_awips_f225.ecf | 44 - .../awips_1p0deg/jgfs_awips_f228.ecf | 44 - .../awips_1p0deg/jgfs_awips_f231.ecf | 44 - .../awips_1p0deg/jgfs_awips_f234.ecf | 44 - .../awips_1p0deg/jgfs_awips_f237.ecf | 44 - .../awips_1p0deg/jgfs_awips_f240.ecf | 44 - .../awips_1p0deg/jgfs_awips_f252.ecf | 44 - .../awips_1p0deg/jgfs_awips_f264.ecf | 44 - .../awips_1p0deg/jgfs_awips_f276.ecf | 44 - .../awips_1p0deg/jgfs_awips_f288.ecf | 44 - .../awips_1p0deg/jgfs_awips_f300.ecf | 44 - .../awips_1p0deg/jgfs_awips_f312.ecf | 44 - .../awips_1p0deg/jgfs_awips_f324.ecf | 44 - .../awips_1p0deg/jgfs_awips_f336.ecf | 44 - .../awips_1p0deg/jgfs_awips_f348.ecf | 44 - .../awips_1p0deg/jgfs_awips_f360.ecf | 44 - .../awips_1p0deg/jgfs_awips_f372.ecf | 44 - .../awips_1p0deg/jgfs_awips_f384.ecf | 44 - .../awips_20km/jgfs_awips_f000.ecf | 44 - .../awips_20km/jgfs_awips_f001.ecf | 44 - .../awips_20km/jgfs_awips_f002.ecf | 44 - .../awips_20km/jgfs_awips_f003.ecf | 44 - .../awips_20km/jgfs_awips_f004.ecf | 44 - .../awips_20km/jgfs_awips_f005.ecf | 44 - .../awips_20km/jgfs_awips_f006.ecf | 44 - .../awips_20km/jgfs_awips_f007.ecf | 44 - .../awips_20km/jgfs_awips_f008.ecf | 44 - .../awips_20km/jgfs_awips_f009.ecf | 44 - .../awips_20km/jgfs_awips_f010.ecf | 44 - .../awips_20km/jgfs_awips_f011.ecf | 44 - .../awips_20km/jgfs_awips_f012.ecf | 44 - .../awips_20km/jgfs_awips_f013.ecf | 44 - .../awips_20km/jgfs_awips_f014.ecf | 44 - .../awips_20km/jgfs_awips_f015.ecf | 44 - .../awips_20km/jgfs_awips_f016.ecf | 44 - .../awips_20km/jgfs_awips_f017.ecf | 44 - .../awips_20km/jgfs_awips_f018.ecf | 44 - .../awips_20km/jgfs_awips_f019.ecf | 44 - .../awips_20km/jgfs_awips_f020.ecf | 44 - .../awips_20km/jgfs_awips_f021.ecf | 44 - .../awips_20km/jgfs_awips_f022.ecf | 44 - .../awips_20km/jgfs_awips_f023.ecf | 44 - .../awips_20km/jgfs_awips_f024.ecf | 44 - .../awips_20km/jgfs_awips_f025.ecf | 44 - .../awips_20km/jgfs_awips_f026.ecf | 44 - .../awips_20km/jgfs_awips_f027.ecf | 44 - .../awips_20km/jgfs_awips_f028.ecf | 44 - .../awips_20km/jgfs_awips_f029.ecf | 44 - .../awips_20km/jgfs_awips_f030.ecf | 44 - .../awips_20km/jgfs_awips_f031.ecf | 44 - .../awips_20km/jgfs_awips_f032.ecf | 44 - .../awips_20km/jgfs_awips_f033.ecf | 44 - .../awips_20km/jgfs_awips_f034.ecf | 44 - .../awips_20km/jgfs_awips_f035.ecf | 44 - .../awips_20km/jgfs_awips_f036.ecf | 44 - .../awips_20km/jgfs_awips_f037.ecf | 44 - .../awips_20km/jgfs_awips_f038.ecf | 44 - .../awips_20km/jgfs_awips_f039.ecf | 44 - .../awips_20km/jgfs_awips_f040.ecf | 44 - .../awips_20km/jgfs_awips_f041.ecf | 44 - .../awips_20km/jgfs_awips_f042.ecf | 44 - .../awips_20km/jgfs_awips_f043.ecf | 44 - .../awips_20km/jgfs_awips_f044.ecf | 44 - .../awips_20km/jgfs_awips_f045.ecf | 44 - .../awips_20km/jgfs_awips_f046.ecf | 44 - .../awips_20km/jgfs_awips_f047.ecf | 44 - .../awips_20km/jgfs_awips_f048.ecf | 44 - .../awips_20km/jgfs_awips_f049.ecf | 44 - .../awips_20km/jgfs_awips_f050.ecf | 44 - .../awips_20km/jgfs_awips_f051.ecf | 44 - .../awips_20km/jgfs_awips_f052.ecf | 44 - .../awips_20km/jgfs_awips_f053.ecf | 44 - .../awips_20km/jgfs_awips_f054.ecf | 44 - .../awips_20km/jgfs_awips_f055.ecf | 44 - .../awips_20km/jgfs_awips_f056.ecf | 44 - .../awips_20km/jgfs_awips_f057.ecf | 44 - .../awips_20km/jgfs_awips_f058.ecf | 44 - .../awips_20km/jgfs_awips_f059.ecf | 44 - .../awips_20km/jgfs_awips_f060.ecf | 44 - .../awips_20km/jgfs_awips_f061.ecf | 44 - .../awips_20km/jgfs_awips_f062.ecf | 44 - .../awips_20km/jgfs_awips_f063.ecf | 44 - .../awips_20km/jgfs_awips_f064.ecf | 44 - .../awips_20km/jgfs_awips_f065.ecf | 44 - .../awips_20km/jgfs_awips_f066.ecf | 44 - .../awips_20km/jgfs_awips_f067.ecf | 44 - .../awips_20km/jgfs_awips_f068.ecf | 44 - .../awips_20km/jgfs_awips_f069.ecf | 44 - .../awips_20km/jgfs_awips_f070.ecf | 44 - .../awips_20km/jgfs_awips_f071.ecf | 44 - .../awips_20km/jgfs_awips_f072.ecf | 44 - .../awips_20km/jgfs_awips_f073.ecf | 44 - .../awips_20km/jgfs_awips_f074.ecf | 44 - .../awips_20km/jgfs_awips_f075.ecf | 44 - .../awips_20km/jgfs_awips_f076.ecf | 44 - .../awips_20km/jgfs_awips_f077.ecf | 44 - .../awips_20km/jgfs_awips_f078.ecf | 44 - .../awips_20km/jgfs_awips_f079.ecf | 44 - .../awips_20km/jgfs_awips_f080.ecf | 44 - .../awips_20km/jgfs_awips_f081.ecf | 44 - .../awips_20km/jgfs_awips_f082.ecf | 44 - .../awips_20km/jgfs_awips_f083.ecf | 44 - .../awips_20km/jgfs_awips_f084.ecf | 44 - .../awips_20km/jgfs_awips_f085.ecf | 44 - .../awips_20km/jgfs_awips_f086.ecf | 44 - .../awips_20km/jgfs_awips_f087.ecf | 44 - .../awips_20km/jgfs_awips_f088.ecf | 44 - .../awips_20km/jgfs_awips_f089.ecf | 44 - .../awips_20km/jgfs_awips_f090.ecf | 44 - .../awips_20km/jgfs_awips_f091.ecf | 44 - .../awips_20km/jgfs_awips_f092.ecf | 44 - .../awips_20km/jgfs_awips_f093.ecf | 44 - .../awips_20km/jgfs_awips_f094.ecf | 44 - .../awips_20km/jgfs_awips_f095.ecf | 44 - .../awips_20km/jgfs_awips_f096.ecf | 44 - .../awips_20km/jgfs_awips_f097.ecf | 44 - .../awips_20km/jgfs_awips_f098.ecf | 44 - .../awips_20km/jgfs_awips_f099.ecf | 44 - .../awips_20km/jgfs_awips_f100.ecf | 44 - .../awips_20km/jgfs_awips_f101.ecf | 44 - .../awips_20km/jgfs_awips_f102.ecf | 44 - .../awips_20km/jgfs_awips_f103.ecf | 44 - .../awips_20km/jgfs_awips_f104.ecf | 44 - .../awips_20km/jgfs_awips_f105.ecf | 44 - .../awips_20km/jgfs_awips_f106.ecf | 44 - .../awips_20km/jgfs_awips_f107.ecf | 44 - .../awips_20km/jgfs_awips_f108.ecf | 44 - .../awips_20km/jgfs_awips_f109.ecf | 44 - .../awips_20km/jgfs_awips_f110.ecf | 44 - .../awips_20km/jgfs_awips_f111.ecf | 44 - .../awips_20km/jgfs_awips_f112.ecf | 44 - .../awips_20km/jgfs_awips_f113.ecf | 44 - .../awips_20km/jgfs_awips_f114.ecf | 44 - .../awips_20km/jgfs_awips_f115.ecf | 44 - .../awips_20km/jgfs_awips_f116.ecf | 44 - .../awips_20km/jgfs_awips_f117.ecf | 44 - .../awips_20km/jgfs_awips_f118.ecf | 44 - .../awips_20km/jgfs_awips_f119.ecf | 44 - .../awips_20km/jgfs_awips_f120.ecf | 44 - .../awips_20km/jgfs_awips_f123.ecf | 44 - .../awips_20km/jgfs_awips_f126.ecf | 44 - .../awips_20km/jgfs_awips_f129.ecf | 44 - .../awips_20km/jgfs_awips_f132.ecf | 44 - .../awips_20km/jgfs_awips_f135.ecf | 44 - .../awips_20km/jgfs_awips_f138.ecf | 44 - .../awips_20km/jgfs_awips_f141.ecf | 44 - .../awips_20km/jgfs_awips_f144.ecf | 44 - .../awips_20km/jgfs_awips_f147.ecf | 44 - .../awips_20km/jgfs_awips_f150.ecf | 44 - .../awips_20km/jgfs_awips_f153.ecf | 44 - .../awips_20km/jgfs_awips_f156.ecf | 44 - .../awips_20km/jgfs_awips_f159.ecf | 44 - .../awips_20km/jgfs_awips_f162.ecf | 44 - .../awips_20km/jgfs_awips_f165.ecf | 44 - .../awips_20km/jgfs_awips_f168.ecf | 44 - .../awips_20km/jgfs_awips_f171.ecf | 44 - .../awips_20km/jgfs_awips_f174.ecf | 44 - .../awips_20km/jgfs_awips_f177.ecf | 44 - .../awips_20km/jgfs_awips_f180.ecf | 44 - .../awips_20km/jgfs_awips_f183.ecf | 44 - .../awips_20km/jgfs_awips_f186.ecf | 44 - .../awips_20km/jgfs_awips_f189.ecf | 44 - .../awips_20km/jgfs_awips_f192.ecf | 44 - .../awips_20km/jgfs_awips_f195.ecf | 44 - .../awips_20km/jgfs_awips_f198.ecf | 44 - .../awips_20km/jgfs_awips_f201.ecf | 44 - .../awips_20km/jgfs_awips_f204.ecf | 44 - .../awips_20km/jgfs_awips_f207.ecf | 44 - .../awips_20km/jgfs_awips_f210.ecf | 44 - .../awips_20km/jgfs_awips_f213.ecf | 44 - .../awips_20km/jgfs_awips_f216.ecf | 44 - .../awips_20km/jgfs_awips_f219.ecf | 44 - .../awips_20km/jgfs_awips_f222.ecf | 44 - .../awips_20km/jgfs_awips_f225.ecf | 44 - .../awips_20km/jgfs_awips_f228.ecf | 44 - .../awips_20km/jgfs_awips_f231.ecf | 44 - .../awips_20km/jgfs_awips_f234.ecf | 44 - .../awips_20km/jgfs_awips_f237.ecf | 44 - .../awips_20km/jgfs_awips_f240.ecf | 44 - .../awips_20km/jgfs_awips_f252.ecf | 44 - .../awips_20km/jgfs_awips_f264.ecf | 44 - .../awips_20km/jgfs_awips_f276.ecf | 44 - .../awips_20km/jgfs_awips_f288.ecf | 44 - .../awips_20km/jgfs_awips_f300.ecf | 44 - .../awips_20km/jgfs_awips_f312.ecf | 44 - .../awips_20km/jgfs_awips_f324.ecf | 44 - .../awips_20km/jgfs_awips_f336.ecf | 44 - .../awips_20km/jgfs_awips_f348.ecf | 44 - .../awips_20km/jgfs_awips_f360.ecf | 44 - .../awips_20km/jgfs_awips_f372.ecf | 44 - .../awips_20km/jgfs_awips_f384.ecf | 44 - .../bufr_sounding/jgfs_postsnd.ecf | 44 - .../bulletins/jgfs_cyclone_tracker.ecf | 44 - .../post_processing/bulletins/jgfs_fbwind.ecf | 44 - .../prod12/gfs/post_processing/dummy.ecf | 44 - .../gfs/post_processing/fax/jgfs_fax_anl.ecf | 44 - .../gfs/post_processing/fax/jgfs_fax_f00.ecf | 44 - .../post_processing/fax/jgfs_fax_wafs_f12.ecf | 44 - .../post_processing/fax/jgfs_fax_wafs_f24.ecf | 44 - .../post_processing/fax/jgfs_fax_wafs_f36.ecf | 44 - .../grib2_wafs/jgfs_wafs_blending.ecf | 44 - .../grib2_wafs/jgfs_wafs_grib2.ecf | 44 - .../grib_awips/jgfs_awips_f00.ecf | 44 - .../grib_awips/jgfs_awips_f01.ecf | 44 - .../grib_awips/jgfs_awips_f02.ecf | 44 - .../grib_awips/jgfs_awips_f03.ecf | 44 - .../grib_awips/jgfs_awips_f04.ecf | 44 - .../grib_awips/jgfs_awips_f05.ecf | 44 - .../grib_awips/jgfs_awips_f06.ecf | 44 - .../grib_awips/jgfs_awips_f07.ecf | 44 - .../grib_awips/jgfs_awips_f08.ecf | 44 - .../grib_awips/jgfs_awips_f09.ecf | 44 - .../grib_awips/jgfs_awips_f10.ecf | 44 - .../grib_awips/jgfs_awips_f100.ecf | 44 - .../grib_awips/jgfs_awips_f101.ecf | 44 - .../grib_awips/jgfs_awips_f102.ecf | 44 - .../grib_awips/jgfs_awips_f103.ecf | 44 - .../grib_awips/jgfs_awips_f104.ecf | 44 - .../grib_awips/jgfs_awips_f105.ecf | 44 - .../grib_awips/jgfs_awips_f106.ecf | 44 - .../grib_awips/jgfs_awips_f107.ecf | 44 - .../grib_awips/jgfs_awips_f108.ecf | 44 - .../grib_awips/jgfs_awips_f109.ecf | 44 - .../grib_awips/jgfs_awips_f11.ecf | 44 - .../grib_awips/jgfs_awips_f110.ecf | 44 - .../grib_awips/jgfs_awips_f111.ecf | 44 - .../grib_awips/jgfs_awips_f112.ecf | 44 - .../grib_awips/jgfs_awips_f113.ecf | 44 - .../grib_awips/jgfs_awips_f114.ecf | 44 - .../grib_awips/jgfs_awips_f115.ecf | 44 - .../grib_awips/jgfs_awips_f116.ecf | 44 - .../grib_awips/jgfs_awips_f117.ecf | 44 - .../grib_awips/jgfs_awips_f118.ecf | 44 - .../grib_awips/jgfs_awips_f119.ecf | 44 - .../grib_awips/jgfs_awips_f12.ecf | 44 - .../grib_awips/jgfs_awips_f120.ecf | 44 - .../grib_awips/jgfs_awips_f123.ecf | 44 - .../grib_awips/jgfs_awips_f126.ecf | 44 - .../grib_awips/jgfs_awips_f129.ecf | 44 - .../grib_awips/jgfs_awips_f13.ecf | 44 - .../grib_awips/jgfs_awips_f132.ecf | 44 - .../grib_awips/jgfs_awips_f135.ecf | 44 - .../grib_awips/jgfs_awips_f138.ecf | 44 - .../grib_awips/jgfs_awips_f14.ecf | 44 - .../grib_awips/jgfs_awips_f141.ecf | 44 - .../grib_awips/jgfs_awips_f144.ecf | 44 - .../grib_awips/jgfs_awips_f147.ecf | 44 - .../grib_awips/jgfs_awips_f15.ecf | 44 - .../grib_awips/jgfs_awips_f150.ecf | 44 - .../grib_awips/jgfs_awips_f153.ecf | 44 - .../grib_awips/jgfs_awips_f156.ecf | 44 - .../grib_awips/jgfs_awips_f159.ecf | 44 - .../grib_awips/jgfs_awips_f16.ecf | 44 - .../grib_awips/jgfs_awips_f162.ecf | 44 - .../grib_awips/jgfs_awips_f165.ecf | 44 - .../grib_awips/jgfs_awips_f168.ecf | 44 - .../grib_awips/jgfs_awips_f17.ecf | 44 - .../grib_awips/jgfs_awips_f171.ecf | 44 - .../grib_awips/jgfs_awips_f174.ecf | 44 - .../grib_awips/jgfs_awips_f177.ecf | 44 - .../grib_awips/jgfs_awips_f18.ecf | 44 - .../grib_awips/jgfs_awips_f180.ecf | 44 - .../grib_awips/jgfs_awips_f183.ecf | 44 - .../grib_awips/jgfs_awips_f186.ecf | 44 - .../grib_awips/jgfs_awips_f189.ecf | 44 - .../grib_awips/jgfs_awips_f19.ecf | 44 - .../grib_awips/jgfs_awips_f192.ecf | 44 - .../grib_awips/jgfs_awips_f195.ecf | 44 - .../grib_awips/jgfs_awips_f198.ecf | 44 - .../grib_awips/jgfs_awips_f20.ecf | 44 - .../grib_awips/jgfs_awips_f201.ecf | 44 - .../grib_awips/jgfs_awips_f204.ecf | 44 - .../grib_awips/jgfs_awips_f207.ecf | 44 - .../grib_awips/jgfs_awips_f21.ecf | 44 - .../grib_awips/jgfs_awips_f210.ecf | 44 - .../grib_awips/jgfs_awips_f213.ecf | 44 - .../grib_awips/jgfs_awips_f216.ecf | 44 - .../grib_awips/jgfs_awips_f219.ecf | 44 - .../grib_awips/jgfs_awips_f22.ecf | 44 - .../grib_awips/jgfs_awips_f222.ecf | 44 - .../grib_awips/jgfs_awips_f225.ecf | 44 - .../grib_awips/jgfs_awips_f228.ecf | 44 - .../grib_awips/jgfs_awips_f23.ecf | 44 - .../grib_awips/jgfs_awips_f231.ecf | 44 - .../grib_awips/jgfs_awips_f234.ecf | 44 - .../grib_awips/jgfs_awips_f237.ecf | 44 - .../grib_awips/jgfs_awips_f24.ecf | 44 - .../grib_awips/jgfs_awips_f240.ecf | 44 - .../grib_awips/jgfs_awips_f25.ecf | 44 - .../grib_awips/jgfs_awips_f252.ecf | 44 - .../grib_awips/jgfs_awips_f26.ecf | 44 - .../grib_awips/jgfs_awips_f264.ecf | 44 - .../grib_awips/jgfs_awips_f27.ecf | 44 - .../grib_awips/jgfs_awips_f276.ecf | 44 - .../grib_awips/jgfs_awips_f28.ecf | 44 - .../grib_awips/jgfs_awips_f288.ecf | 44 - .../grib_awips/jgfs_awips_f29.ecf | 44 - .../grib_awips/jgfs_awips_f30.ecf | 44 - .../grib_awips/jgfs_awips_f300.ecf | 44 - .../grib_awips/jgfs_awips_f31.ecf | 44 - .../grib_awips/jgfs_awips_f312.ecf | 44 - .../grib_awips/jgfs_awips_f32.ecf | 44 - .../grib_awips/jgfs_awips_f324.ecf | 44 - .../grib_awips/jgfs_awips_f33.ecf | 44 - .../grib_awips/jgfs_awips_f336.ecf | 44 - .../grib_awips/jgfs_awips_f34.ecf | 44 - .../grib_awips/jgfs_awips_f348.ecf | 44 - .../grib_awips/jgfs_awips_f35.ecf | 44 - .../grib_awips/jgfs_awips_f36.ecf | 44 - .../grib_awips/jgfs_awips_f360.ecf | 44 - .../grib_awips/jgfs_awips_f37.ecf | 44 - .../grib_awips/jgfs_awips_f372.ecf | 44 - .../grib_awips/jgfs_awips_f38.ecf | 44 - .../grib_awips/jgfs_awips_f384.ecf | 44 - .../grib_awips/jgfs_awips_f39.ecf | 44 - .../grib_awips/jgfs_awips_f40.ecf | 44 - .../grib_awips/jgfs_awips_f41.ecf | 44 - .../grib_awips/jgfs_awips_f42.ecf | 44 - .../grib_awips/jgfs_awips_f43.ecf | 44 - .../grib_awips/jgfs_awips_f44.ecf | 44 - .../grib_awips/jgfs_awips_f45.ecf | 44 - .../grib_awips/jgfs_awips_f46.ecf | 44 - .../grib_awips/jgfs_awips_f47.ecf | 44 - .../grib_awips/jgfs_awips_f48.ecf | 44 - .../grib_awips/jgfs_awips_f49.ecf | 44 - .../grib_awips/jgfs_awips_f50.ecf | 44 - .../grib_awips/jgfs_awips_f51.ecf | 44 - .../grib_awips/jgfs_awips_f52.ecf | 44 - .../grib_awips/jgfs_awips_f53.ecf | 44 - .../grib_awips/jgfs_awips_f54.ecf | 44 - .../grib_awips/jgfs_awips_f55.ecf | 44 - .../grib_awips/jgfs_awips_f56.ecf | 44 - .../grib_awips/jgfs_awips_f57.ecf | 44 - .../grib_awips/jgfs_awips_f58.ecf | 44 - .../grib_awips/jgfs_awips_f59.ecf | 44 - .../grib_awips/jgfs_awips_f60.ecf | 44 - .../grib_awips/jgfs_awips_f61.ecf | 44 - .../grib_awips/jgfs_awips_f62.ecf | 44 - .../grib_awips/jgfs_awips_f63.ecf | 44 - .../grib_awips/jgfs_awips_f64.ecf | 44 - .../grib_awips/jgfs_awips_f65.ecf | 44 - .../grib_awips/jgfs_awips_f66.ecf | 44 - .../grib_awips/jgfs_awips_f67.ecf | 44 - .../grib_awips/jgfs_awips_f68.ecf | 44 - .../grib_awips/jgfs_awips_f69.ecf | 44 - .../grib_awips/jgfs_awips_f70.ecf | 44 - .../grib_awips/jgfs_awips_f71.ecf | 44 - .../grib_awips/jgfs_awips_f72.ecf | 44 - .../grib_awips/jgfs_awips_f73.ecf | 44 - .../grib_awips/jgfs_awips_f74.ecf | 44 - .../grib_awips/jgfs_awips_f75.ecf | 44 - .../grib_awips/jgfs_awips_f76.ecf | 44 - .../grib_awips/jgfs_awips_f77.ecf | 44 - .../grib_awips/jgfs_awips_f78.ecf | 44 - .../grib_awips/jgfs_awips_f79.ecf | 44 - .../grib_awips/jgfs_awips_f80.ecf | 44 - .../grib_awips/jgfs_awips_f81.ecf | 44 - .../grib_awips/jgfs_awips_f82.ecf | 44 - .../grib_awips/jgfs_awips_f83.ecf | 44 - .../grib_awips/jgfs_awips_f84.ecf | 44 - .../grib_awips/jgfs_awips_f85.ecf | 44 - .../grib_awips/jgfs_awips_f86.ecf | 44 - .../grib_awips/jgfs_awips_f87.ecf | 44 - .../grib_awips/jgfs_awips_f88.ecf | 44 - .../grib_awips/jgfs_awips_f89.ecf | 44 - .../grib_awips/jgfs_awips_f90.ecf | 44 - .../grib_awips/jgfs_awips_f91.ecf | 44 - .../grib_awips/jgfs_awips_f92.ecf | 44 - .../grib_awips/jgfs_awips_f93.ecf | 44 - .../grib_awips/jgfs_awips_f94.ecf | 44 - .../grib_awips/jgfs_awips_f95.ecf | 44 - .../grib_awips/jgfs_awips_f96.ecf | 44 - .../grib_awips/jgfs_awips_f97.ecf | 44 - .../grib_awips/jgfs_awips_f98.ecf | 44 - .../grib_awips/jgfs_awips_f99.ecf | 44 - .../grib_wafs/jgfs_wafs_f00.ecf | 44 - .../grib_wafs/jgfs_wafs_f06.ecf | 44 - .../grib_wafs/jgfs_wafs_f102.ecf | 44 - .../grib_wafs/jgfs_wafs_f108.ecf | 44 - .../grib_wafs/jgfs_wafs_f114.ecf | 44 - .../grib_wafs/jgfs_wafs_f12.ecf | 44 - .../grib_wafs/jgfs_wafs_f120.ecf | 44 - .../grib_wafs/jgfs_wafs_f18.ecf | 44 - .../grib_wafs/jgfs_wafs_f24.ecf | 44 - .../grib_wafs/jgfs_wafs_f30.ecf | 44 - .../grib_wafs/jgfs_wafs_f36.ecf | 44 - .../grib_wafs/jgfs_wafs_f42.ecf | 44 - .../grib_wafs/jgfs_wafs_f48.ecf | 44 - .../grib_wafs/jgfs_wafs_f54.ecf | 44 - .../grib_wafs/jgfs_wafs_f60.ecf | 44 - .../grib_wafs/jgfs_wafs_f66.ecf | 44 - .../grib_wafs/jgfs_wafs_f72.ecf | 44 - .../grib_wafs/jgfs_wafs_f78.ecf | 44 - .../grib_wafs/jgfs_wafs_f84.ecf | 44 - .../grib_wafs/jgfs_wafs_f90.ecf | 44 - .../grib_wafs/jgfs_wafs_f96.ecf | 44 - .../gfs/post_processing/jgfs_wafs_gcip.ecf | 33 - .../scripts/prod12/gfs/prdgen/dummy.ecf | 11 - .../prod12/gfs/prdgen/jgfs_pgrb2_anl.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f00.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f01.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f02.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f03.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f04.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f05.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f06.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f07.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f08.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f09.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f10.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f100.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f101.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f102.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f103.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f104.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f105.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f106.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f107.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f108.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f109.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f11.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f110.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f111.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f112.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f113.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f114.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f115.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f116.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f117.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f118.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f119.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f12.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f120.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f123.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f126.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f129.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f13.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f132.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f135.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f138.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f14.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f141.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f144.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f147.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f15.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f150.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f153.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f156.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f159.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f16.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f162.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f165.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f168.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f17.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f171.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f174.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f177.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f18.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f180.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f183.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f186.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f189.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f19.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f192.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f195.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f198.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f20.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f201.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f204.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f207.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f21.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f210.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f213.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f216.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f219.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f22.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f222.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f225.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f228.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f23.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f231.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f234.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f237.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f24.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f240.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f25.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f252.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f26.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f264.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f27.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f276.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f28.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f288.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f29.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f30.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f300.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f31.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f312.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f32.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f324.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f33.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f336.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f34.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f348.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f35.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f36.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f360.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f37.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f372.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f38.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f384.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f39.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f40.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f41.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f42.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f43.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f44.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f45.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f46.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f47.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f48.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f49.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f50.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f51.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f52.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f53.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f54.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f55.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f56.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f57.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f58.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f59.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f60.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f61.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f62.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f63.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f64.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f65.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f66.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f67.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f68.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f69.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f70.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f71.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f72.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f73.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f74.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f75.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f76.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f77.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f78.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f79.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f80.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f81.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f82.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f83.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f84.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f85.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f86.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f87.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f88.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f89.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f90.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f91.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f92.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f93.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f94.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f95.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f96.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f97.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f98.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_f99.ecf | 45 - .../prod12/gfs/prdgen/jgfs_pgrb2_manager.ecf | 44 - .../prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 44 - .../scripts/prod12/gfs/prep/jgfs_prep.ecf | 45 - .../prod12/gfs/prep/jgfs_prep_post.ecf | 44 - .../gfs/sminit_guam/jgfs_sminit_guam_even.ecf | 44 - .../gfs/sminit_guam/jgfs_sminit_guam_odd.ecf | 44 - .../scripts/prod18/cycle_end.ecf | 44 - .../gdas/analysis/jgdas_analysis_high.ecf | 45 - .../scripts/prod18/gdas/dump/jgdas_dump.ecf | 44 - .../scripts/prod18/gdas/dump/jgdas_ics.ecf | 44 - .../gdas/dump/jgdas_tropcy_qc_reloc.ecf | 44 - .../enkf/forecast/jgdas_enkf_fcst_grp1.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp10.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp11.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp12.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp13.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp14.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp15.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp16.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp2.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp3.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp4.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp5.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp6.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp7.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp8.ecf | 45 - .../enkf/forecast/jgdas_enkf_fcst_grp9.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp1.ecf | 45 - .../jgdas_enkf_innovate_obs_grp10.ecf | 45 - .../jgdas_enkf_innovate_obs_grp11.ecf | 45 - .../jgdas_enkf_innovate_obs_grp12.ecf | 45 - .../jgdas_enkf_innovate_obs_grp13.ecf | 45 - .../jgdas_enkf_innovate_obs_grp14.ecf | 45 - .../jgdas_enkf_innovate_obs_grp15.ecf | 45 - .../jgdas_enkf_innovate_obs_grp16.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp2.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp3.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp4.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp5.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp6.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp7.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp8.ecf | 45 - .../innovate/jgdas_enkf_innovate_obs_grp9.ecf | 45 - .../gdas/enkf/jgdas_enkf_inflate_recenter.ecf | 45 - .../prod18/gdas/enkf/jgdas_enkf_post.ecf | 45 - .../gdas/enkf/jgdas_enkf_select_obs.ecf | 45 - .../prod18/gdas/enkf/jgdas_enkf_update.ecf | 45 - .../prod18/gdas/forecast/jgdas_forecast.ecf | 45 - .../prod18/gdas/gempak/jgdas_gempak.ecf | 44 - .../prod18/gdas/gempak/jgdas_gempak_meta.ecf | 44 - .../prod18/gdas/gempak/jgdas_gempak_ncdc.ecf | 44 - .../scripts/prod18/gdas/jgdas_verfrad.ecf | 44 - .../scripts/prod18/gdas/jgdas_vminmon.ecf | 44 - .../scripts/prod18/gdas/post/jgdas_post.ecf | 45 - .../bulletins/jgdas_mknavybulls.ecf | 44 - .../gdas/prep/jgdas_emcsfc_sfc_prep.ecf | 44 - .../scripts/prod18/gdas/prep/jgdas_prep.ecf | 45 - .../prod18/gdas/prep/jgdas_prep_post.ecf | 44 - .../scripts/prod18/gfs/dump/jgfs_dump.ecf | 44 - .../prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf | 44 - .../gfs/forecast/jgfs_forecast_high.ecf | 45 - .../prod18/gfs/forecast/jgfs_forecast_low.ecf | 45 - .../scripts/prod18/gfs/gempak/jgfs_gempak.ecf | 44 - .../prod18/gfs/gempak/jgfs_gempak_meta.ecf | 44 - .../prod18/gfs/gempak/jgfs_gempak_ncdc.ecf | 44 - .../prod18/gfs/gempak/jgfs_gempak_upapgif.ecf | 44 - .../gfs/gempak/jgfs_pgrb2_spec_gempak.ecf | 33 - .../scripts/prod18/gfs/jgfs_analysis.ecf | 45 - .../scripts/prod18/gfs/jgfs_vminmon.ecf | 44 - .../scripts/prod18/gfs/post/dummy.ecf | 11 - .../prod18/gfs/post/jgfs_pgrb2_spec_post.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_anl.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f00.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f01.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f02.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f03.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f04.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f05.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f06.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f07.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f08.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f09.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f10.ecf | 44 - .../prod18/gfs/post/jgfs_post_f100.ecf | 44 - .../prod18/gfs/post/jgfs_post_f101.ecf | 44 - .../prod18/gfs/post/jgfs_post_f102.ecf | 44 - .../prod18/gfs/post/jgfs_post_f103.ecf | 44 - .../prod18/gfs/post/jgfs_post_f104.ecf | 44 - .../prod18/gfs/post/jgfs_post_f105.ecf | 44 - .../prod18/gfs/post/jgfs_post_f106.ecf | 44 - .../prod18/gfs/post/jgfs_post_f107.ecf | 44 - .../prod18/gfs/post/jgfs_post_f108.ecf | 44 - .../prod18/gfs/post/jgfs_post_f109.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f11.ecf | 44 - .../prod18/gfs/post/jgfs_post_f110.ecf | 44 - .../prod18/gfs/post/jgfs_post_f111.ecf | 44 - .../prod18/gfs/post/jgfs_post_f112.ecf | 44 - .../prod18/gfs/post/jgfs_post_f113.ecf | 44 - .../prod18/gfs/post/jgfs_post_f114.ecf | 44 - .../prod18/gfs/post/jgfs_post_f115.ecf | 44 - .../prod18/gfs/post/jgfs_post_f116.ecf | 44 - .../prod18/gfs/post/jgfs_post_f117.ecf | 44 - .../prod18/gfs/post/jgfs_post_f118.ecf | 44 - .../prod18/gfs/post/jgfs_post_f119.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f12.ecf | 44 - .../prod18/gfs/post/jgfs_post_f120.ecf | 44 - .../prod18/gfs/post/jgfs_post_f123.ecf | 44 - .../prod18/gfs/post/jgfs_post_f126.ecf | 44 - .../prod18/gfs/post/jgfs_post_f129.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f13.ecf | 44 - .../prod18/gfs/post/jgfs_post_f132.ecf | 44 - .../prod18/gfs/post/jgfs_post_f135.ecf | 44 - .../prod18/gfs/post/jgfs_post_f138.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f14.ecf | 44 - .../prod18/gfs/post/jgfs_post_f141.ecf | 44 - .../prod18/gfs/post/jgfs_post_f144.ecf | 44 - .../prod18/gfs/post/jgfs_post_f147.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f15.ecf | 44 - .../prod18/gfs/post/jgfs_post_f150.ecf | 44 - .../prod18/gfs/post/jgfs_post_f153.ecf | 44 - .../prod18/gfs/post/jgfs_post_f156.ecf | 44 - .../prod18/gfs/post/jgfs_post_f159.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f16.ecf | 44 - .../prod18/gfs/post/jgfs_post_f162.ecf | 44 - .../prod18/gfs/post/jgfs_post_f165.ecf | 44 - .../prod18/gfs/post/jgfs_post_f168.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f17.ecf | 44 - .../prod18/gfs/post/jgfs_post_f171.ecf | 44 - .../prod18/gfs/post/jgfs_post_f174.ecf | 44 - .../prod18/gfs/post/jgfs_post_f177.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f18.ecf | 44 - .../prod18/gfs/post/jgfs_post_f180.ecf | 44 - .../prod18/gfs/post/jgfs_post_f183.ecf | 44 - .../prod18/gfs/post/jgfs_post_f186.ecf | 44 - .../prod18/gfs/post/jgfs_post_f189.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f19.ecf | 44 - .../prod18/gfs/post/jgfs_post_f192.ecf | 44 - .../prod18/gfs/post/jgfs_post_f195.ecf | 44 - .../prod18/gfs/post/jgfs_post_f198.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f20.ecf | 44 - .../prod18/gfs/post/jgfs_post_f201.ecf | 44 - .../prod18/gfs/post/jgfs_post_f204.ecf | 44 - .../prod18/gfs/post/jgfs_post_f207.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f21.ecf | 44 - .../prod18/gfs/post/jgfs_post_f210.ecf | 44 - .../prod18/gfs/post/jgfs_post_f213.ecf | 44 - .../prod18/gfs/post/jgfs_post_f216.ecf | 44 - .../prod18/gfs/post/jgfs_post_f219.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f22.ecf | 44 - .../prod18/gfs/post/jgfs_post_f222.ecf | 44 - .../prod18/gfs/post/jgfs_post_f225.ecf | 44 - .../prod18/gfs/post/jgfs_post_f228.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f23.ecf | 44 - .../prod18/gfs/post/jgfs_post_f231.ecf | 44 - .../prod18/gfs/post/jgfs_post_f234.ecf | 44 - .../prod18/gfs/post/jgfs_post_f237.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f24.ecf | 44 - .../prod18/gfs/post/jgfs_post_f240.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f25.ecf | 44 - .../prod18/gfs/post/jgfs_post_f252.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f26.ecf | 44 - .../prod18/gfs/post/jgfs_post_f264.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f27.ecf | 44 - .../prod18/gfs/post/jgfs_post_f276.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f28.ecf | 44 - .../prod18/gfs/post/jgfs_post_f288.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f29.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f30.ecf | 44 - .../prod18/gfs/post/jgfs_post_f300.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f31.ecf | 44 - .../prod18/gfs/post/jgfs_post_f312.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f32.ecf | 44 - .../prod18/gfs/post/jgfs_post_f324.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f33.ecf | 44 - .../prod18/gfs/post/jgfs_post_f336.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f34.ecf | 44 - .../prod18/gfs/post/jgfs_post_f348.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f35.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f36.ecf | 44 - .../prod18/gfs/post/jgfs_post_f360.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f37.ecf | 44 - .../prod18/gfs/post/jgfs_post_f372.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f38.ecf | 44 - .../prod18/gfs/post/jgfs_post_f384.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f39.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f40.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f41.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f42.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f43.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f44.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f45.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f46.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f47.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f48.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f49.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f50.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f51.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f52.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f53.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f54.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f55.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f56.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f57.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f58.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f59.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f60.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f61.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f62.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f63.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f64.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f65.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f66.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f67.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f68.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f69.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f70.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f71.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f72.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f73.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f74.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f75.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f76.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f77.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f78.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f79.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f80.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f81.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f82.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f83.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f84.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f85.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f86.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f87.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f88.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f89.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f90.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f91.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f92.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f93.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f94.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f95.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f96.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f97.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f98.ecf | 44 - .../scripts/prod18/gfs/post/jgfs_post_f99.ecf | 44 - .../prod18/gfs/post/jgfs_post_manager.ecf | 44 - .../awips_1p0deg/jgfs_awips_f000.ecf | 44 - .../awips_1p0deg/jgfs_awips_f001.ecf | 44 - .../awips_1p0deg/jgfs_awips_f002.ecf | 44 - .../awips_1p0deg/jgfs_awips_f003.ecf | 44 - .../awips_1p0deg/jgfs_awips_f004.ecf | 44 - .../awips_1p0deg/jgfs_awips_f005.ecf | 44 - .../awips_1p0deg/jgfs_awips_f006.ecf | 44 - .../awips_1p0deg/jgfs_awips_f007.ecf | 44 - .../awips_1p0deg/jgfs_awips_f008.ecf | 44 - .../awips_1p0deg/jgfs_awips_f009.ecf | 44 - .../awips_1p0deg/jgfs_awips_f010.ecf | 44 - .../awips_1p0deg/jgfs_awips_f011.ecf | 44 - .../awips_1p0deg/jgfs_awips_f012.ecf | 44 - .../awips_1p0deg/jgfs_awips_f013.ecf | 44 - .../awips_1p0deg/jgfs_awips_f014.ecf | 44 - .../awips_1p0deg/jgfs_awips_f015.ecf | 44 - .../awips_1p0deg/jgfs_awips_f016.ecf | 44 - .../awips_1p0deg/jgfs_awips_f017.ecf | 44 - .../awips_1p0deg/jgfs_awips_f018.ecf | 44 - .../awips_1p0deg/jgfs_awips_f019.ecf | 44 - .../awips_1p0deg/jgfs_awips_f020.ecf | 44 - .../awips_1p0deg/jgfs_awips_f021.ecf | 44 - .../awips_1p0deg/jgfs_awips_f022.ecf | 44 - .../awips_1p0deg/jgfs_awips_f023.ecf | 44 - .../awips_1p0deg/jgfs_awips_f024.ecf | 44 - .../awips_1p0deg/jgfs_awips_f025.ecf | 44 - .../awips_1p0deg/jgfs_awips_f026.ecf | 44 - .../awips_1p0deg/jgfs_awips_f027.ecf | 44 - .../awips_1p0deg/jgfs_awips_f028.ecf | 44 - .../awips_1p0deg/jgfs_awips_f029.ecf | 44 - .../awips_1p0deg/jgfs_awips_f030.ecf | 44 - .../awips_1p0deg/jgfs_awips_f031.ecf | 44 - .../awips_1p0deg/jgfs_awips_f032.ecf | 44 - .../awips_1p0deg/jgfs_awips_f033.ecf | 44 - .../awips_1p0deg/jgfs_awips_f034.ecf | 44 - .../awips_1p0deg/jgfs_awips_f035.ecf | 44 - .../awips_1p0deg/jgfs_awips_f036.ecf | 44 - .../awips_1p0deg/jgfs_awips_f037.ecf | 44 - .../awips_1p0deg/jgfs_awips_f038.ecf | 44 - .../awips_1p0deg/jgfs_awips_f039.ecf | 44 - .../awips_1p0deg/jgfs_awips_f040.ecf | 44 - .../awips_1p0deg/jgfs_awips_f041.ecf | 44 - .../awips_1p0deg/jgfs_awips_f042.ecf | 44 - .../awips_1p0deg/jgfs_awips_f043.ecf | 44 - .../awips_1p0deg/jgfs_awips_f044.ecf | 44 - .../awips_1p0deg/jgfs_awips_f045.ecf | 44 - .../awips_1p0deg/jgfs_awips_f046.ecf | 44 - .../awips_1p0deg/jgfs_awips_f047.ecf | 44 - .../awips_1p0deg/jgfs_awips_f048.ecf | 44 - .../awips_1p0deg/jgfs_awips_f049.ecf | 44 - .../awips_1p0deg/jgfs_awips_f050.ecf | 44 - .../awips_1p0deg/jgfs_awips_f051.ecf | 44 - .../awips_1p0deg/jgfs_awips_f052.ecf | 44 - .../awips_1p0deg/jgfs_awips_f053.ecf | 44 - .../awips_1p0deg/jgfs_awips_f054.ecf | 44 - .../awips_1p0deg/jgfs_awips_f055.ecf | 44 - .../awips_1p0deg/jgfs_awips_f056.ecf | 44 - .../awips_1p0deg/jgfs_awips_f057.ecf | 44 - .../awips_1p0deg/jgfs_awips_f058.ecf | 44 - .../awips_1p0deg/jgfs_awips_f059.ecf | 44 - .../awips_1p0deg/jgfs_awips_f060.ecf | 44 - .../awips_1p0deg/jgfs_awips_f061.ecf | 44 - .../awips_1p0deg/jgfs_awips_f062.ecf | 44 - .../awips_1p0deg/jgfs_awips_f063.ecf | 44 - .../awips_1p0deg/jgfs_awips_f064.ecf | 44 - .../awips_1p0deg/jgfs_awips_f065.ecf | 44 - .../awips_1p0deg/jgfs_awips_f066.ecf | 44 - .../awips_1p0deg/jgfs_awips_f067.ecf | 44 - .../awips_1p0deg/jgfs_awips_f068.ecf | 44 - .../awips_1p0deg/jgfs_awips_f069.ecf | 44 - .../awips_1p0deg/jgfs_awips_f070.ecf | 44 - .../awips_1p0deg/jgfs_awips_f071.ecf | 44 - .../awips_1p0deg/jgfs_awips_f072.ecf | 44 - .../awips_1p0deg/jgfs_awips_f073.ecf | 44 - .../awips_1p0deg/jgfs_awips_f074.ecf | 44 - .../awips_1p0deg/jgfs_awips_f075.ecf | 44 - .../awips_1p0deg/jgfs_awips_f076.ecf | 44 - .../awips_1p0deg/jgfs_awips_f077.ecf | 44 - .../awips_1p0deg/jgfs_awips_f078.ecf | 44 - .../awips_1p0deg/jgfs_awips_f079.ecf | 44 - .../awips_1p0deg/jgfs_awips_f080.ecf | 44 - .../awips_1p0deg/jgfs_awips_f081.ecf | 44 - .../awips_1p0deg/jgfs_awips_f082.ecf | 44 - .../awips_1p0deg/jgfs_awips_f083.ecf | 44 - .../awips_1p0deg/jgfs_awips_f084.ecf | 44 - .../awips_1p0deg/jgfs_awips_f085.ecf | 44 - .../awips_1p0deg/jgfs_awips_f086.ecf | 44 - .../awips_1p0deg/jgfs_awips_f087.ecf | 44 - .../awips_1p0deg/jgfs_awips_f088.ecf | 44 - .../awips_1p0deg/jgfs_awips_f089.ecf | 44 - .../awips_1p0deg/jgfs_awips_f090.ecf | 44 - .../awips_1p0deg/jgfs_awips_f091.ecf | 44 - .../awips_1p0deg/jgfs_awips_f092.ecf | 44 - .../awips_1p0deg/jgfs_awips_f093.ecf | 44 - .../awips_1p0deg/jgfs_awips_f094.ecf | 44 - .../awips_1p0deg/jgfs_awips_f095.ecf | 44 - .../awips_1p0deg/jgfs_awips_f096.ecf | 44 - .../awips_1p0deg/jgfs_awips_f097.ecf | 44 - .../awips_1p0deg/jgfs_awips_f098.ecf | 44 - .../awips_1p0deg/jgfs_awips_f099.ecf | 44 - .../awips_1p0deg/jgfs_awips_f100.ecf | 44 - .../awips_1p0deg/jgfs_awips_f101.ecf | 44 - .../awips_1p0deg/jgfs_awips_f102.ecf | 44 - .../awips_1p0deg/jgfs_awips_f103.ecf | 44 - .../awips_1p0deg/jgfs_awips_f104.ecf | 44 - .../awips_1p0deg/jgfs_awips_f105.ecf | 44 - .../awips_1p0deg/jgfs_awips_f106.ecf | 44 - .../awips_1p0deg/jgfs_awips_f107.ecf | 44 - .../awips_1p0deg/jgfs_awips_f108.ecf | 44 - .../awips_1p0deg/jgfs_awips_f109.ecf | 44 - .../awips_1p0deg/jgfs_awips_f110.ecf | 44 - .../awips_1p0deg/jgfs_awips_f111.ecf | 44 - .../awips_1p0deg/jgfs_awips_f112.ecf | 44 - .../awips_1p0deg/jgfs_awips_f113.ecf | 44 - .../awips_1p0deg/jgfs_awips_f114.ecf | 44 - .../awips_1p0deg/jgfs_awips_f115.ecf | 44 - .../awips_1p0deg/jgfs_awips_f116.ecf | 44 - .../awips_1p0deg/jgfs_awips_f117.ecf | 44 - .../awips_1p0deg/jgfs_awips_f118.ecf | 44 - .../awips_1p0deg/jgfs_awips_f119.ecf | 44 - .../awips_1p0deg/jgfs_awips_f120.ecf | 44 - .../awips_1p0deg/jgfs_awips_f123.ecf | 44 - .../awips_1p0deg/jgfs_awips_f126.ecf | 44 - .../awips_1p0deg/jgfs_awips_f129.ecf | 44 - .../awips_1p0deg/jgfs_awips_f132.ecf | 44 - .../awips_1p0deg/jgfs_awips_f135.ecf | 44 - .../awips_1p0deg/jgfs_awips_f138.ecf | 44 - .../awips_1p0deg/jgfs_awips_f141.ecf | 44 - .../awips_1p0deg/jgfs_awips_f144.ecf | 44 - .../awips_1p0deg/jgfs_awips_f147.ecf | 44 - .../awips_1p0deg/jgfs_awips_f150.ecf | 44 - .../awips_1p0deg/jgfs_awips_f153.ecf | 44 - .../awips_1p0deg/jgfs_awips_f156.ecf | 44 - .../awips_1p0deg/jgfs_awips_f159.ecf | 44 - .../awips_1p0deg/jgfs_awips_f162.ecf | 44 - .../awips_1p0deg/jgfs_awips_f165.ecf | 44 - .../awips_1p0deg/jgfs_awips_f168.ecf | 44 - .../awips_1p0deg/jgfs_awips_f171.ecf | 44 - .../awips_1p0deg/jgfs_awips_f174.ecf | 44 - .../awips_1p0deg/jgfs_awips_f177.ecf | 44 - .../awips_1p0deg/jgfs_awips_f180.ecf | 44 - .../awips_1p0deg/jgfs_awips_f183.ecf | 44 - .../awips_1p0deg/jgfs_awips_f186.ecf | 44 - .../awips_1p0deg/jgfs_awips_f189.ecf | 44 - .../awips_1p0deg/jgfs_awips_f192.ecf | 44 - .../awips_1p0deg/jgfs_awips_f195.ecf | 44 - .../awips_1p0deg/jgfs_awips_f198.ecf | 44 - .../awips_1p0deg/jgfs_awips_f201.ecf | 44 - .../awips_1p0deg/jgfs_awips_f204.ecf | 44 - .../awips_1p0deg/jgfs_awips_f207.ecf | 44 - .../awips_1p0deg/jgfs_awips_f210.ecf | 44 - .../awips_1p0deg/jgfs_awips_f213.ecf | 44 - .../awips_1p0deg/jgfs_awips_f216.ecf | 44 - .../awips_1p0deg/jgfs_awips_f219.ecf | 44 - .../awips_1p0deg/jgfs_awips_f222.ecf | 44 - .../awips_1p0deg/jgfs_awips_f225.ecf | 44 - .../awips_1p0deg/jgfs_awips_f228.ecf | 44 - .../awips_1p0deg/jgfs_awips_f231.ecf | 44 - .../awips_1p0deg/jgfs_awips_f234.ecf | 44 - .../awips_1p0deg/jgfs_awips_f237.ecf | 44 - .../awips_1p0deg/jgfs_awips_f240.ecf | 44 - .../awips_1p0deg/jgfs_awips_f252.ecf | 44 - .../awips_1p0deg/jgfs_awips_f264.ecf | 44 - .../awips_1p0deg/jgfs_awips_f276.ecf | 44 - .../awips_1p0deg/jgfs_awips_f288.ecf | 44 - .../awips_1p0deg/jgfs_awips_f300.ecf | 44 - .../awips_1p0deg/jgfs_awips_f312.ecf | 44 - .../awips_1p0deg/jgfs_awips_f324.ecf | 44 - .../awips_1p0deg/jgfs_awips_f336.ecf | 44 - .../awips_1p0deg/jgfs_awips_f348.ecf | 44 - .../awips_1p0deg/jgfs_awips_f360.ecf | 44 - .../awips_1p0deg/jgfs_awips_f372.ecf | 44 - .../awips_1p0deg/jgfs_awips_f384.ecf | 44 - .../awips_20km/jgfs_awips_f000.ecf | 44 - .../awips_20km/jgfs_awips_f001.ecf | 44 - .../awips_20km/jgfs_awips_f002.ecf | 44 - .../awips_20km/jgfs_awips_f003.ecf | 44 - .../awips_20km/jgfs_awips_f004.ecf | 44 - .../awips_20km/jgfs_awips_f005.ecf | 44 - .../awips_20km/jgfs_awips_f006.ecf | 44 - .../awips_20km/jgfs_awips_f007.ecf | 44 - .../awips_20km/jgfs_awips_f008.ecf | 44 - .../awips_20km/jgfs_awips_f009.ecf | 44 - .../awips_20km/jgfs_awips_f010.ecf | 44 - .../awips_20km/jgfs_awips_f011.ecf | 44 - .../awips_20km/jgfs_awips_f012.ecf | 44 - .../awips_20km/jgfs_awips_f013.ecf | 44 - .../awips_20km/jgfs_awips_f014.ecf | 44 - .../awips_20km/jgfs_awips_f015.ecf | 44 - .../awips_20km/jgfs_awips_f016.ecf | 44 - .../awips_20km/jgfs_awips_f017.ecf | 44 - .../awips_20km/jgfs_awips_f018.ecf | 44 - .../awips_20km/jgfs_awips_f019.ecf | 44 - .../awips_20km/jgfs_awips_f020.ecf | 44 - .../awips_20km/jgfs_awips_f021.ecf | 44 - .../awips_20km/jgfs_awips_f022.ecf | 44 - .../awips_20km/jgfs_awips_f023.ecf | 44 - .../awips_20km/jgfs_awips_f024.ecf | 44 - .../awips_20km/jgfs_awips_f025.ecf | 44 - .../awips_20km/jgfs_awips_f026.ecf | 44 - .../awips_20km/jgfs_awips_f027.ecf | 44 - .../awips_20km/jgfs_awips_f028.ecf | 44 - .../awips_20km/jgfs_awips_f029.ecf | 44 - .../awips_20km/jgfs_awips_f030.ecf | 44 - .../awips_20km/jgfs_awips_f031.ecf | 44 - .../awips_20km/jgfs_awips_f032.ecf | 44 - .../awips_20km/jgfs_awips_f033.ecf | 44 - .../awips_20km/jgfs_awips_f034.ecf | 44 - .../awips_20km/jgfs_awips_f035.ecf | 44 - .../awips_20km/jgfs_awips_f036.ecf | 44 - .../awips_20km/jgfs_awips_f037.ecf | 44 - .../awips_20km/jgfs_awips_f038.ecf | 44 - .../awips_20km/jgfs_awips_f039.ecf | 44 - .../awips_20km/jgfs_awips_f040.ecf | 44 - .../awips_20km/jgfs_awips_f041.ecf | 44 - .../awips_20km/jgfs_awips_f042.ecf | 44 - .../awips_20km/jgfs_awips_f043.ecf | 44 - .../awips_20km/jgfs_awips_f044.ecf | 44 - .../awips_20km/jgfs_awips_f045.ecf | 44 - .../awips_20km/jgfs_awips_f046.ecf | 44 - .../awips_20km/jgfs_awips_f047.ecf | 44 - .../awips_20km/jgfs_awips_f048.ecf | 44 - .../awips_20km/jgfs_awips_f049.ecf | 44 - .../awips_20km/jgfs_awips_f050.ecf | 44 - .../awips_20km/jgfs_awips_f051.ecf | 44 - .../awips_20km/jgfs_awips_f052.ecf | 44 - .../awips_20km/jgfs_awips_f053.ecf | 44 - .../awips_20km/jgfs_awips_f054.ecf | 44 - .../awips_20km/jgfs_awips_f055.ecf | 44 - .../awips_20km/jgfs_awips_f056.ecf | 44 - .../awips_20km/jgfs_awips_f057.ecf | 44 - .../awips_20km/jgfs_awips_f058.ecf | 44 - .../awips_20km/jgfs_awips_f059.ecf | 44 - .../awips_20km/jgfs_awips_f060.ecf | 44 - .../awips_20km/jgfs_awips_f061.ecf | 44 - .../awips_20km/jgfs_awips_f062.ecf | 44 - .../awips_20km/jgfs_awips_f063.ecf | 44 - .../awips_20km/jgfs_awips_f064.ecf | 44 - .../awips_20km/jgfs_awips_f065.ecf | 44 - .../awips_20km/jgfs_awips_f066.ecf | 44 - .../awips_20km/jgfs_awips_f067.ecf | 44 - .../awips_20km/jgfs_awips_f068.ecf | 44 - .../awips_20km/jgfs_awips_f069.ecf | 44 - .../awips_20km/jgfs_awips_f070.ecf | 44 - .../awips_20km/jgfs_awips_f071.ecf | 44 - .../awips_20km/jgfs_awips_f072.ecf | 44 - .../awips_20km/jgfs_awips_f073.ecf | 44 - .../awips_20km/jgfs_awips_f074.ecf | 44 - .../awips_20km/jgfs_awips_f075.ecf | 44 - .../awips_20km/jgfs_awips_f076.ecf | 44 - .../awips_20km/jgfs_awips_f077.ecf | 44 - .../awips_20km/jgfs_awips_f078.ecf | 44 - .../awips_20km/jgfs_awips_f079.ecf | 44 - .../awips_20km/jgfs_awips_f080.ecf | 44 - .../awips_20km/jgfs_awips_f081.ecf | 44 - .../awips_20km/jgfs_awips_f082.ecf | 44 - .../awips_20km/jgfs_awips_f083.ecf | 44 - .../awips_20km/jgfs_awips_f084.ecf | 44 - .../awips_20km/jgfs_awips_f085.ecf | 44 - .../awips_20km/jgfs_awips_f086.ecf | 44 - .../awips_20km/jgfs_awips_f087.ecf | 44 - .../awips_20km/jgfs_awips_f088.ecf | 44 - .../awips_20km/jgfs_awips_f089.ecf | 44 - .../awips_20km/jgfs_awips_f090.ecf | 44 - .../awips_20km/jgfs_awips_f091.ecf | 44 - .../awips_20km/jgfs_awips_f092.ecf | 44 - .../awips_20km/jgfs_awips_f093.ecf | 44 - .../awips_20km/jgfs_awips_f094.ecf | 44 - .../awips_20km/jgfs_awips_f095.ecf | 44 - .../awips_20km/jgfs_awips_f096.ecf | 44 - .../awips_20km/jgfs_awips_f097.ecf | 44 - .../awips_20km/jgfs_awips_f098.ecf | 44 - .../awips_20km/jgfs_awips_f099.ecf | 44 - .../awips_20km/jgfs_awips_f100.ecf | 44 - .../awips_20km/jgfs_awips_f101.ecf | 44 - .../awips_20km/jgfs_awips_f102.ecf | 44 - .../awips_20km/jgfs_awips_f103.ecf | 44 - .../awips_20km/jgfs_awips_f104.ecf | 44 - .../awips_20km/jgfs_awips_f105.ecf | 44 - .../awips_20km/jgfs_awips_f106.ecf | 44 - .../awips_20km/jgfs_awips_f107.ecf | 44 - .../awips_20km/jgfs_awips_f108.ecf | 44 - .../awips_20km/jgfs_awips_f109.ecf | 44 - .../awips_20km/jgfs_awips_f110.ecf | 44 - .../awips_20km/jgfs_awips_f111.ecf | 44 - .../awips_20km/jgfs_awips_f112.ecf | 44 - .../awips_20km/jgfs_awips_f113.ecf | 44 - .../awips_20km/jgfs_awips_f114.ecf | 44 - .../awips_20km/jgfs_awips_f115.ecf | 44 - .../awips_20km/jgfs_awips_f116.ecf | 44 - .../awips_20km/jgfs_awips_f117.ecf | 44 - .../awips_20km/jgfs_awips_f118.ecf | 44 - .../awips_20km/jgfs_awips_f119.ecf | 44 - .../awips_20km/jgfs_awips_f120.ecf | 44 - .../awips_20km/jgfs_awips_f123.ecf | 44 - .../awips_20km/jgfs_awips_f126.ecf | 44 - .../awips_20km/jgfs_awips_f129.ecf | 44 - .../awips_20km/jgfs_awips_f132.ecf | 44 - .../awips_20km/jgfs_awips_f135.ecf | 44 - .../awips_20km/jgfs_awips_f138.ecf | 44 - .../awips_20km/jgfs_awips_f141.ecf | 44 - .../awips_20km/jgfs_awips_f144.ecf | 44 - .../awips_20km/jgfs_awips_f147.ecf | 44 - .../awips_20km/jgfs_awips_f150.ecf | 44 - .../awips_20km/jgfs_awips_f153.ecf | 44 - .../awips_20km/jgfs_awips_f156.ecf | 44 - .../awips_20km/jgfs_awips_f159.ecf | 44 - .../awips_20km/jgfs_awips_f162.ecf | 44 - .../awips_20km/jgfs_awips_f165.ecf | 44 - .../awips_20km/jgfs_awips_f168.ecf | 44 - .../awips_20km/jgfs_awips_f171.ecf | 44 - .../awips_20km/jgfs_awips_f174.ecf | 44 - .../awips_20km/jgfs_awips_f177.ecf | 44 - .../awips_20km/jgfs_awips_f180.ecf | 44 - .../awips_20km/jgfs_awips_f183.ecf | 44 - .../awips_20km/jgfs_awips_f186.ecf | 44 - .../awips_20km/jgfs_awips_f189.ecf | 44 - .../awips_20km/jgfs_awips_f192.ecf | 44 - .../awips_20km/jgfs_awips_f195.ecf | 44 - .../awips_20km/jgfs_awips_f198.ecf | 44 - .../awips_20km/jgfs_awips_f201.ecf | 44 - .../awips_20km/jgfs_awips_f204.ecf | 44 - .../awips_20km/jgfs_awips_f207.ecf | 44 - .../awips_20km/jgfs_awips_f210.ecf | 44 - .../awips_20km/jgfs_awips_f213.ecf | 44 - .../awips_20km/jgfs_awips_f216.ecf | 44 - .../awips_20km/jgfs_awips_f219.ecf | 44 - .../awips_20km/jgfs_awips_f222.ecf | 44 - .../awips_20km/jgfs_awips_f225.ecf | 44 - .../awips_20km/jgfs_awips_f228.ecf | 44 - .../awips_20km/jgfs_awips_f231.ecf | 44 - .../awips_20km/jgfs_awips_f234.ecf | 44 - .../awips_20km/jgfs_awips_f237.ecf | 44 - .../awips_20km/jgfs_awips_f240.ecf | 44 - .../awips_20km/jgfs_awips_f252.ecf | 44 - .../awips_20km/jgfs_awips_f264.ecf | 44 - .../awips_20km/jgfs_awips_f276.ecf | 44 - .../awips_20km/jgfs_awips_f288.ecf | 44 - .../awips_20km/jgfs_awips_f300.ecf | 44 - .../awips_20km/jgfs_awips_f312.ecf | 44 - .../awips_20km/jgfs_awips_f324.ecf | 44 - .../awips_20km/jgfs_awips_f336.ecf | 44 - .../awips_20km/jgfs_awips_f348.ecf | 44 - .../awips_20km/jgfs_awips_f360.ecf | 44 - .../awips_20km/jgfs_awips_f372.ecf | 44 - .../awips_20km/jgfs_awips_f384.ecf | 44 - .../bufr_sounding/jgfs_postsnd.ecf | 44 - .../bulletins/jgfs_cyclone_tracker.ecf | 44 - .../post_processing/bulletins/jgfs_fbwind.ecf | 44 - .../prod18/gfs/post_processing/dummy.ecf | 44 - .../gfs/post_processing/fax/jgfs_fax_anl.ecf | 44 - .../gfs/post_processing/fax/jgfs_fax_f00.ecf | 44 - .../post_processing/fax/jgfs_fax_wafs_f12.ecf | 44 - .../post_processing/fax/jgfs_fax_wafs_f24.ecf | 44 - .../post_processing/fax/jgfs_fax_wafs_f36.ecf | 44 - .../grib2_wafs/jgfs_wafs_blending.ecf | 44 - .../grib2_wafs/jgfs_wafs_grib2.ecf | 44 - .../grib_awips/jgfs_awips_f00.ecf | 44 - .../grib_awips/jgfs_awips_f01.ecf | 44 - .../grib_awips/jgfs_awips_f02.ecf | 44 - .../grib_awips/jgfs_awips_f03.ecf | 44 - .../grib_awips/jgfs_awips_f04.ecf | 44 - .../grib_awips/jgfs_awips_f05.ecf | 44 - .../grib_awips/jgfs_awips_f06.ecf | 44 - .../grib_awips/jgfs_awips_f07.ecf | 44 - .../grib_awips/jgfs_awips_f08.ecf | 44 - .../grib_awips/jgfs_awips_f09.ecf | 44 - .../grib_awips/jgfs_awips_f10.ecf | 44 - .../grib_awips/jgfs_awips_f100.ecf | 44 - .../grib_awips/jgfs_awips_f101.ecf | 44 - .../grib_awips/jgfs_awips_f102.ecf | 44 - .../grib_awips/jgfs_awips_f103.ecf | 44 - .../grib_awips/jgfs_awips_f104.ecf | 44 - .../grib_awips/jgfs_awips_f105.ecf | 44 - .../grib_awips/jgfs_awips_f106.ecf | 44 - .../grib_awips/jgfs_awips_f107.ecf | 44 - .../grib_awips/jgfs_awips_f108.ecf | 44 - .../grib_awips/jgfs_awips_f109.ecf | 44 - .../grib_awips/jgfs_awips_f11.ecf | 44 - .../grib_awips/jgfs_awips_f110.ecf | 44 - .../grib_awips/jgfs_awips_f111.ecf | 44 - .../grib_awips/jgfs_awips_f112.ecf | 44 - .../grib_awips/jgfs_awips_f113.ecf | 44 - .../grib_awips/jgfs_awips_f114.ecf | 44 - .../grib_awips/jgfs_awips_f115.ecf | 44 - .../grib_awips/jgfs_awips_f116.ecf | 44 - .../grib_awips/jgfs_awips_f117.ecf | 44 - .../grib_awips/jgfs_awips_f118.ecf | 44 - .../grib_awips/jgfs_awips_f119.ecf | 44 - .../grib_awips/jgfs_awips_f12.ecf | 44 - .../grib_awips/jgfs_awips_f120.ecf | 44 - .../grib_awips/jgfs_awips_f123.ecf | 44 - .../grib_awips/jgfs_awips_f126.ecf | 44 - .../grib_awips/jgfs_awips_f129.ecf | 44 - .../grib_awips/jgfs_awips_f13.ecf | 44 - .../grib_awips/jgfs_awips_f132.ecf | 44 - .../grib_awips/jgfs_awips_f135.ecf | 44 - .../grib_awips/jgfs_awips_f138.ecf | 44 - .../grib_awips/jgfs_awips_f14.ecf | 44 - .../grib_awips/jgfs_awips_f141.ecf | 44 - .../grib_awips/jgfs_awips_f144.ecf | 44 - .../grib_awips/jgfs_awips_f147.ecf | 44 - .../grib_awips/jgfs_awips_f15.ecf | 44 - .../grib_awips/jgfs_awips_f150.ecf | 44 - .../grib_awips/jgfs_awips_f153.ecf | 44 - .../grib_awips/jgfs_awips_f156.ecf | 44 - .../grib_awips/jgfs_awips_f159.ecf | 44 - .../grib_awips/jgfs_awips_f16.ecf | 44 - .../grib_awips/jgfs_awips_f162.ecf | 44 - .../grib_awips/jgfs_awips_f165.ecf | 44 - .../grib_awips/jgfs_awips_f168.ecf | 44 - .../grib_awips/jgfs_awips_f17.ecf | 44 - .../grib_awips/jgfs_awips_f171.ecf | 44 - .../grib_awips/jgfs_awips_f174.ecf | 44 - .../grib_awips/jgfs_awips_f177.ecf | 44 - .../grib_awips/jgfs_awips_f18.ecf | 44 - .../grib_awips/jgfs_awips_f180.ecf | 44 - .../grib_awips/jgfs_awips_f183.ecf | 44 - .../grib_awips/jgfs_awips_f186.ecf | 44 - .../grib_awips/jgfs_awips_f189.ecf | 44 - .../grib_awips/jgfs_awips_f19.ecf | 44 - .../grib_awips/jgfs_awips_f192.ecf | 44 - .../grib_awips/jgfs_awips_f195.ecf | 44 - .../grib_awips/jgfs_awips_f198.ecf | 44 - .../grib_awips/jgfs_awips_f20.ecf | 44 - .../grib_awips/jgfs_awips_f201.ecf | 44 - .../grib_awips/jgfs_awips_f204.ecf | 44 - .../grib_awips/jgfs_awips_f207.ecf | 44 - .../grib_awips/jgfs_awips_f21.ecf | 44 - .../grib_awips/jgfs_awips_f210.ecf | 44 - .../grib_awips/jgfs_awips_f213.ecf | 44 - .../grib_awips/jgfs_awips_f216.ecf | 44 - .../grib_awips/jgfs_awips_f219.ecf | 44 - .../grib_awips/jgfs_awips_f22.ecf | 44 - .../grib_awips/jgfs_awips_f222.ecf | 44 - .../grib_awips/jgfs_awips_f225.ecf | 44 - .../grib_awips/jgfs_awips_f228.ecf | 44 - .../grib_awips/jgfs_awips_f23.ecf | 44 - .../grib_awips/jgfs_awips_f231.ecf | 44 - .../grib_awips/jgfs_awips_f234.ecf | 44 - .../grib_awips/jgfs_awips_f237.ecf | 44 - .../grib_awips/jgfs_awips_f24.ecf | 44 - .../grib_awips/jgfs_awips_f240.ecf | 44 - .../grib_awips/jgfs_awips_f25.ecf | 44 - .../grib_awips/jgfs_awips_f252.ecf | 44 - .../grib_awips/jgfs_awips_f26.ecf | 44 - .../grib_awips/jgfs_awips_f264.ecf | 44 - .../grib_awips/jgfs_awips_f27.ecf | 44 - .../grib_awips/jgfs_awips_f276.ecf | 44 - .../grib_awips/jgfs_awips_f28.ecf | 44 - .../grib_awips/jgfs_awips_f288.ecf | 44 - .../grib_awips/jgfs_awips_f29.ecf | 44 - .../grib_awips/jgfs_awips_f30.ecf | 44 - .../grib_awips/jgfs_awips_f300.ecf | 44 - .../grib_awips/jgfs_awips_f31.ecf | 44 - .../grib_awips/jgfs_awips_f312.ecf | 44 - .../grib_awips/jgfs_awips_f32.ecf | 44 - .../grib_awips/jgfs_awips_f324.ecf | 44 - .../grib_awips/jgfs_awips_f33.ecf | 44 - .../grib_awips/jgfs_awips_f336.ecf | 44 - .../grib_awips/jgfs_awips_f34.ecf | 44 - .../grib_awips/jgfs_awips_f348.ecf | 44 - .../grib_awips/jgfs_awips_f35.ecf | 44 - .../grib_awips/jgfs_awips_f36.ecf | 44 - .../grib_awips/jgfs_awips_f360.ecf | 44 - .../grib_awips/jgfs_awips_f37.ecf | 44 - .../grib_awips/jgfs_awips_f372.ecf | 44 - .../grib_awips/jgfs_awips_f38.ecf | 44 - .../grib_awips/jgfs_awips_f384.ecf | 44 - .../grib_awips/jgfs_awips_f39.ecf | 44 - .../grib_awips/jgfs_awips_f40.ecf | 44 - .../grib_awips/jgfs_awips_f41.ecf | 44 - .../grib_awips/jgfs_awips_f42.ecf | 44 - .../grib_awips/jgfs_awips_f43.ecf | 44 - .../grib_awips/jgfs_awips_f44.ecf | 44 - .../grib_awips/jgfs_awips_f45.ecf | 44 - .../grib_awips/jgfs_awips_f46.ecf | 44 - .../grib_awips/jgfs_awips_f47.ecf | 44 - .../grib_awips/jgfs_awips_f48.ecf | 44 - .../grib_awips/jgfs_awips_f49.ecf | 44 - .../grib_awips/jgfs_awips_f50.ecf | 44 - .../grib_awips/jgfs_awips_f51.ecf | 44 - .../grib_awips/jgfs_awips_f52.ecf | 44 - .../grib_awips/jgfs_awips_f53.ecf | 44 - .../grib_awips/jgfs_awips_f54.ecf | 44 - .../grib_awips/jgfs_awips_f55.ecf | 44 - .../grib_awips/jgfs_awips_f56.ecf | 44 - .../grib_awips/jgfs_awips_f57.ecf | 44 - .../grib_awips/jgfs_awips_f58.ecf | 44 - .../grib_awips/jgfs_awips_f59.ecf | 44 - .../grib_awips/jgfs_awips_f60.ecf | 44 - .../grib_awips/jgfs_awips_f61.ecf | 44 - .../grib_awips/jgfs_awips_f62.ecf | 44 - .../grib_awips/jgfs_awips_f63.ecf | 44 - .../grib_awips/jgfs_awips_f64.ecf | 44 - .../grib_awips/jgfs_awips_f65.ecf | 44 - .../grib_awips/jgfs_awips_f66.ecf | 44 - .../grib_awips/jgfs_awips_f67.ecf | 44 - .../grib_awips/jgfs_awips_f68.ecf | 44 - .../grib_awips/jgfs_awips_f69.ecf | 44 - .../grib_awips/jgfs_awips_f70.ecf | 44 - .../grib_awips/jgfs_awips_f71.ecf | 44 - .../grib_awips/jgfs_awips_f72.ecf | 44 - .../grib_awips/jgfs_awips_f73.ecf | 44 - .../grib_awips/jgfs_awips_f74.ecf | 44 - .../grib_awips/jgfs_awips_f75.ecf | 44 - .../grib_awips/jgfs_awips_f76.ecf | 44 - .../grib_awips/jgfs_awips_f77.ecf | 44 - .../grib_awips/jgfs_awips_f78.ecf | 44 - .../grib_awips/jgfs_awips_f79.ecf | 44 - .../grib_awips/jgfs_awips_f80.ecf | 44 - .../grib_awips/jgfs_awips_f81.ecf | 44 - .../grib_awips/jgfs_awips_f82.ecf | 44 - .../grib_awips/jgfs_awips_f83.ecf | 44 - .../grib_awips/jgfs_awips_f84.ecf | 44 - .../grib_awips/jgfs_awips_f85.ecf | 44 - .../grib_awips/jgfs_awips_f86.ecf | 44 - .../grib_awips/jgfs_awips_f87.ecf | 44 - .../grib_awips/jgfs_awips_f88.ecf | 44 - .../grib_awips/jgfs_awips_f89.ecf | 44 - .../grib_awips/jgfs_awips_f90.ecf | 44 - .../grib_awips/jgfs_awips_f91.ecf | 44 - .../grib_awips/jgfs_awips_f92.ecf | 44 - .../grib_awips/jgfs_awips_f93.ecf | 44 - .../grib_awips/jgfs_awips_f94.ecf | 44 - .../grib_awips/jgfs_awips_f95.ecf | 44 - .../grib_awips/jgfs_awips_f96.ecf | 44 - .../grib_awips/jgfs_awips_f97.ecf | 44 - .../grib_awips/jgfs_awips_f98.ecf | 44 - .../grib_awips/jgfs_awips_f99.ecf | 44 - .../grib_wafs/jgfs_wafs_f00.ecf | 44 - .../grib_wafs/jgfs_wafs_f06.ecf | 44 - .../grib_wafs/jgfs_wafs_f102.ecf | 44 - .../grib_wafs/jgfs_wafs_f108.ecf | 44 - .../grib_wafs/jgfs_wafs_f114.ecf | 44 - .../grib_wafs/jgfs_wafs_f12.ecf | 44 - .../grib_wafs/jgfs_wafs_f120.ecf | 44 - .../grib_wafs/jgfs_wafs_f18.ecf | 44 - .../grib_wafs/jgfs_wafs_f24.ecf | 44 - .../grib_wafs/jgfs_wafs_f30.ecf | 44 - .../grib_wafs/jgfs_wafs_f36.ecf | 44 - .../grib_wafs/jgfs_wafs_f42.ecf | 44 - .../grib_wafs/jgfs_wafs_f48.ecf | 44 - .../grib_wafs/jgfs_wafs_f54.ecf | 44 - .../grib_wafs/jgfs_wafs_f60.ecf | 44 - .../grib_wafs/jgfs_wafs_f66.ecf | 44 - .../grib_wafs/jgfs_wafs_f72.ecf | 44 - .../grib_wafs/jgfs_wafs_f78.ecf | 44 - .../grib_wafs/jgfs_wafs_f84.ecf | 44 - .../grib_wafs/jgfs_wafs_f90.ecf | 44 - .../grib_wafs/jgfs_wafs_f96.ecf | 44 - .../gfs/post_processing/jgfs_wafs_gcip.ecf | 33 - .../scripts/prod18/gfs/prdgen/dummy.ecf | 11 - .../prod18/gfs/prdgen/jgfs_pgrb2_anl.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f00.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f01.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f02.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f03.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f04.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f05.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f06.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f07.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f08.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f09.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f10.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f100.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f101.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f102.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f103.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f104.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f105.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f106.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f107.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f108.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f109.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f11.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f110.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f111.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f112.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f113.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f114.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f115.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f116.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f117.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f118.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f119.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f12.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f120.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f123.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f126.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f129.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f13.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f132.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f135.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f138.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f14.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f141.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f144.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f147.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f15.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f150.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f153.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f156.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f159.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f16.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f162.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f165.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f168.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f17.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f171.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f174.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f177.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f18.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f180.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f183.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f186.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f189.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f19.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f192.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f195.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f198.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f20.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f201.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f204.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f207.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f21.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f210.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f213.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f216.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f219.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f22.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f222.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f225.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f228.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f23.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f231.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f234.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f237.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f24.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f240.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f25.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f252.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f26.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f264.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f27.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f276.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f28.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f288.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f29.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f30.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f300.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f31.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f312.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f32.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f324.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f33.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f336.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f34.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f348.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f35.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f36.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f360.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f37.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f372.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f38.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f384.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f39.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f40.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f41.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f42.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f43.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f44.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f45.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f46.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f47.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f48.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f49.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f50.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f51.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f52.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f53.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f54.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f55.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f56.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f57.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f58.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f59.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f60.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f61.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f62.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f63.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f64.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f65.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f66.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f67.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f68.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f69.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f70.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f71.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f72.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f73.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f74.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f75.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f76.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f77.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f78.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f79.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f80.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f81.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f82.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f83.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f84.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f85.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f86.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f87.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f88.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f89.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f90.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f91.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f92.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f93.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f94.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f95.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f96.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f97.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f98.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_f99.ecf | 45 - .../prod18/gfs/prdgen/jgfs_pgrb2_manager.ecf | 44 - .../prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf | 44 - .../scripts/prod18/gfs/prep/jgfs_prep.ecf | 45 - .../prod18/gfs/prep/jgfs_prep_post.ecf | 44 - .../gfs/sminit_guam/jgfs_sminit_guam_even.ecf | 44 - .../gfs/sminit_guam/jgfs_sminit_guam_odd.ecf | 44 - model/ecflow_fv3gfs/settings.yaml | 61 +- model/ecflow_fv3gfs/settings_validator.yaml | 131 + model/ecflow_fv3gfs/suite_def.yaml | 686 ++- model/ecflow_fv3gfs/task.yaml | 148 + model/ecflow_fv3gfs/task_validator.yaml | 75 + model/ecflow_fv3gfs/totality_limit.def | 3 + model/ecflow_fv3gfs/update_ecflow_workflow.sh | 94 + model/ecflow_fv3gfs/worktools.py | 242 + 3924 files changed, 2018 insertions(+), 189535 deletions(-) create mode 100644 model/ecflow_fv3gfs/_main.yaml create mode 100755 model/ecflow_fv3gfs/begin_ecflow_workflow.sh create mode 100644 model/ecflow_fv3gfs/config_files.yaml create mode 100644 model/ecflow_fv3gfs/config_locations.yaml delete mode 100644 model/ecflow_fv3gfs/defs/prod00.def delete mode 100644 model/ecflow_fv3gfs/defs/prod06.def delete mode 100644 model/ecflow_fv3gfs/defs/prod12.def delete mode 100644 model/ecflow_fv3gfs/defs/prod18.def create mode 100644 model/ecflow_fv3gfs/dump_waiter.yaml create mode 100644 model/ecflow_fv3gfs/make_next_cycles.yaml create mode 100644 model/ecflow_fv3gfs/post_manager.yaml create mode 100755 model/ecflow_fv3gfs/remake_ecflow_files_for.sh create mode 100644 model/ecflow_fv3gfs/resources_C192_C192.yaml rename model/ecflow_fv3gfs/{resources.yaml => resources_C768_C384.yaml} (99%) create mode 100644 model/ecflow_fv3gfs/schedulers.yaml delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/cycle_end.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/analysis/jgdas_analysis_high.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/forecast/jgdas_forecast.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_meta.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_ncdc.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/post/jgdas_post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_high.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_low.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_meta.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_ncdc.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_upapgif.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/dummy.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_pgrb2_spec_post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_anl.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f00.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f01.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f02.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f03.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f04.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f05.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f06.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f07.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f08.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f09.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f10.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f100.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f101.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f102.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f103.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f104.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f105.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f106.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f107.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f108.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f109.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f11.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f110.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f111.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f112.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f113.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f114.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f115.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f116.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f117.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f118.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f119.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f12.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f120.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f123.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f126.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f129.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f13.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f132.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f135.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f138.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f14.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f141.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f144.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f147.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f15.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f150.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f153.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f156.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f159.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f16.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f162.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f165.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f168.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f17.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f171.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f174.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f177.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f18.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f180.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f183.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f186.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f189.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f19.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f192.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f195.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f198.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f20.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f201.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f204.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f207.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f21.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f210.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f213.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f216.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f219.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f22.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f222.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f225.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f228.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f23.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f231.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f234.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f237.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f24.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f240.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f25.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f252.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f26.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f264.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f27.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f276.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f28.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f288.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f29.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f30.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f300.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f31.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f312.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f32.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f324.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f33.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f336.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f34.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f348.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f35.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f36.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f360.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f37.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f372.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f38.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f384.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f39.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f40.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f41.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f42.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f43.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f44.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f45.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f46.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f47.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f48.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f49.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f50.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f51.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f52.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f53.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f54.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f55.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f56.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f57.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f58.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f59.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f60.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f61.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f62.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f63.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f64.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f65.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f66.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f67.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f68.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f69.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f70.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f71.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f72.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f73.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f74.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f75.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f76.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f77.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f78.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f79.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f80.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f81.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f82.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f83.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f84.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f85.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f86.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f87.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f88.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f89.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f90.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f91.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f92.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f93.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f94.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f95.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f96.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f97.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f98.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f99.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_manager.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_fbwind.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/dummy.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_anl.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_f00.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/jgfs_wafs_gcip.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/dummy.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_anl.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f00.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f01.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f02.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f03.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f04.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f05.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f06.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f07.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f08.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f09.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f10.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f100.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f101.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f102.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f103.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f104.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f105.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f106.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f107.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f108.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f109.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f11.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f110.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f111.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f112.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f113.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f114.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f115.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f116.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f117.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f118.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f119.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f12.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f120.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f123.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f126.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f129.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f13.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f132.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f135.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f138.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f14.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f141.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f144.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f147.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f15.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f150.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f153.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f156.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f159.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f16.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f162.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f165.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f168.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f17.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f171.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f174.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f177.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f18.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f180.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f183.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f186.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f189.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f19.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f192.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f195.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f198.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f20.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f201.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f204.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f207.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f21.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f210.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f213.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f216.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f219.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f22.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f222.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f225.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f228.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f23.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f231.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f234.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f237.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f24.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f240.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f25.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f252.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f26.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f264.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f27.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f276.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f28.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f288.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f29.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f30.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f300.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f31.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f312.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f32.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f324.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f33.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f336.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f34.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f348.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f35.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f36.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f360.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f37.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f372.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f38.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f384.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f39.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f40.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f41.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f42.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f43.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f44.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f45.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f46.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f47.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f48.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f49.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f50.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f51.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f52.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f53.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f54.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f55.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f56.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f57.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f58.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f59.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f60.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f61.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f62.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f63.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f64.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f65.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f66.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f67.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f68.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f69.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f70.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f71.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f72.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f73.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f74.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f75.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f76.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f77.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f78.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f79.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f80.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f81.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f82.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f83.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f84.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f85.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f86.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f87.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f88.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f89.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f90.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f91.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f92.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f93.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f94.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f95.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f96.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f97.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f98.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f99.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_manager.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_even.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/cycle_end.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/analysis/jgdas_analysis_high.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/forecast/jgdas_forecast.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_meta.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_ncdc.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/post/jgdas_post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_high.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_low.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_meta.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_ncdc.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_upapgif.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/dummy.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_pgrb2_spec_post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_anl.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f00.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f01.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f02.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f03.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f04.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f05.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f06.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f07.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f08.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f09.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f10.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f100.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f101.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f102.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f103.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f104.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f105.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f106.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f107.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f108.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f109.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f11.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f110.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f111.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f112.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f113.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f114.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f115.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f116.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f117.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f118.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f119.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f12.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f120.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f123.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f126.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f129.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f13.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f132.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f135.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f138.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f14.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f141.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f144.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f147.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f15.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f150.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f153.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f156.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f159.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f16.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f162.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f165.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f168.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f17.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f171.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f174.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f177.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f18.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f180.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f183.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f186.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f189.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f19.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f192.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f195.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f198.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f20.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f201.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f204.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f207.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f21.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f210.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f213.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f216.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f219.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f22.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f222.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f225.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f228.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f23.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f231.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f234.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f237.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f24.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f240.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f25.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f252.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f26.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f264.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f27.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f276.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f28.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f288.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f29.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f30.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f300.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f31.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f312.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f32.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f324.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f33.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f336.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f34.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f348.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f35.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f36.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f360.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f37.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f372.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f38.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f384.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f39.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f40.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f41.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f42.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f43.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f44.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f45.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f46.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f47.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f48.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f49.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f50.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f51.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f52.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f53.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f54.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f55.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f56.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f57.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f58.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f59.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f60.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f61.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f62.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f63.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f64.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f65.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f66.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f67.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f68.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f69.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f70.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f71.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f72.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f73.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f74.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f75.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f76.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f77.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f78.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f79.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f80.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f81.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f82.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f83.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f84.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f85.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f86.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f87.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f88.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f89.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f90.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f91.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f92.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f93.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f94.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f95.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f96.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f97.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f98.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f99.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_manager.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_fbwind.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/dummy.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_anl.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_f00.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/jgfs_wafs_gcip.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/dummy.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_anl.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f00.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f01.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f02.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f03.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f04.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f05.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f06.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f07.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f08.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f09.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f10.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f100.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f101.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f102.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f103.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f104.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f105.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f106.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f107.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f108.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f109.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f11.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f110.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f111.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f112.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f113.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f114.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f115.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f116.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f117.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f118.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f119.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f12.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f120.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f123.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f126.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f129.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f13.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f132.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f135.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f138.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f14.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f141.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f144.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f147.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f15.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f150.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f153.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f156.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f159.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f16.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f162.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f165.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f168.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f17.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f171.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f174.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f177.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f18.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f180.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f183.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f186.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f189.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f19.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f192.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f195.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f198.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f20.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f201.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f204.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f207.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f21.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f210.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f213.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f216.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f219.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f22.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f222.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f225.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f228.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f23.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f231.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f234.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f237.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f24.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f240.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f25.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f252.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f26.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f264.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f27.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f276.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f28.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f288.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f29.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f30.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f300.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f31.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f312.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f32.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f324.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f33.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f336.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f34.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f348.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f35.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f36.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f360.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f37.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f372.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f38.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f384.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f39.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f40.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f41.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f42.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f43.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f44.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f45.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f46.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f47.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f48.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f49.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f50.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f51.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f52.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f53.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f54.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f55.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f56.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f57.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f58.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f59.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f60.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f61.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f62.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f63.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f64.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f65.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f66.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f67.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f68.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f69.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f70.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f71.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f72.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f73.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f74.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f75.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f76.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f77.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f78.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f79.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f80.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f81.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f82.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f83.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f84.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f85.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f86.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f87.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f88.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f89.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f90.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f91.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f92.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f93.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f94.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f95.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f96.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f97.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f98.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f99.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_manager.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_even.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/cycle_end.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/analysis/jgdas_analysis_high.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/forecast/jgdas_forecast.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_meta.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_ncdc.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/post/jgdas_post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_high.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_low.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_meta.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_ncdc.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_upapgif.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/dummy.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_pgrb2_spec_post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_anl.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f00.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f01.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f02.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f03.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f04.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f05.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f06.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f07.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f08.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f09.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f10.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f100.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f101.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f102.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f103.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f104.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f105.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f106.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f107.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f108.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f109.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f11.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f110.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f111.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f112.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f113.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f114.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f115.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f116.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f117.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f118.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f119.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f12.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f120.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f123.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f126.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f129.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f13.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f132.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f135.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f138.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f14.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f141.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f144.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f147.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f15.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f150.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f153.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f156.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f159.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f16.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f162.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f165.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f168.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f17.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f171.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f174.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f177.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f18.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f180.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f183.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f186.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f189.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f19.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f192.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f195.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f198.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f20.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f201.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f204.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f207.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f21.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f210.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f213.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f216.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f219.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f22.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f222.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f225.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f228.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f23.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f231.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f234.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f237.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f24.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f240.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f25.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f252.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f26.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f264.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f27.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f276.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f28.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f288.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f29.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f30.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f300.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f31.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f312.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f32.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f324.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f33.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f336.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f34.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f348.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f35.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f36.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f360.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f37.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f372.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f38.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f384.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f39.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f40.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f41.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f42.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f43.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f44.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f45.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f46.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f47.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f48.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f49.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f50.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f51.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f52.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f53.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f54.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f55.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f56.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f57.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f58.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f59.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f60.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f61.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f62.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f63.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f64.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f65.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f66.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f67.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f68.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f69.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f70.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f71.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f72.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f73.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f74.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f75.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f76.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f77.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f78.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f79.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f80.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f81.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f82.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f83.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f84.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f85.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f86.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f87.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f88.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f89.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f90.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f91.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f92.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f93.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f94.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f95.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f96.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f97.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f98.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f99.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_manager.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_fbwind.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/dummy.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_anl.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_f00.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/jgfs_wafs_gcip.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/dummy.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_anl.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f00.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f01.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f02.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f03.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f04.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f05.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f06.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f07.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f08.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f09.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f10.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f100.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f101.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f102.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f103.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f104.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f105.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f106.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f107.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f108.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f109.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f11.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f110.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f111.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f112.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f113.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f114.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f115.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f116.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f117.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f118.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f119.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f12.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f120.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f123.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f126.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f129.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f13.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f132.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f135.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f138.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f14.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f141.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f144.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f147.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f15.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f150.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f153.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f156.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f159.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f16.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f162.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f165.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f168.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f17.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f171.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f174.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f177.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f18.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f180.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f183.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f186.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f189.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f19.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f192.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f195.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f198.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f20.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f201.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f204.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f207.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f21.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f210.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f213.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f216.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f219.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f22.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f222.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f225.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f228.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f23.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f231.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f234.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f237.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f24.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f240.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f25.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f252.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f26.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f264.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f27.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f276.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f28.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f288.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f29.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f30.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f300.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f31.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f312.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f32.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f324.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f33.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f336.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f34.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f348.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f35.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f36.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f360.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f37.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f372.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f38.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f384.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f39.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f40.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f41.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f42.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f43.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f44.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f45.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f46.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f47.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f48.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f49.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f50.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f51.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f52.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f53.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f54.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f55.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f56.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f57.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f58.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f59.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f60.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f61.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f62.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f63.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f64.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f65.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f66.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f67.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f68.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f69.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f70.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f71.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f72.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f73.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f74.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f75.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f76.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f77.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f78.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f79.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f80.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f81.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f82.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f83.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f84.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f85.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f86.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f87.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f88.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f89.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f90.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f91.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f92.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f93.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f94.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f95.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f96.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f97.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f98.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f99.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_manager.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_even.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/cycle_end.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/analysis/jgdas_analysis_high.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/forecast/jgdas_forecast.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_meta.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_ncdc.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/post/jgdas_post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_high.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_low.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_meta.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_ncdc.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_upapgif.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/dummy.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_pgrb2_spec_post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_anl.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f00.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f01.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f02.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f03.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f04.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f05.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f06.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f07.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f08.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f09.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f10.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f100.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f101.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f102.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f103.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f104.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f105.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f106.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f107.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f108.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f109.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f11.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f110.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f111.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f112.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f113.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f114.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f115.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f116.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f117.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f118.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f119.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f12.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f120.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f123.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f126.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f129.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f13.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f132.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f135.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f138.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f14.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f141.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f144.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f147.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f15.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f150.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f153.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f156.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f159.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f16.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f162.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f165.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f168.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f17.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f171.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f174.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f177.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f18.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f180.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f183.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f186.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f189.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f19.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f192.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f195.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f198.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f20.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f201.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f204.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f207.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f21.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f210.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f213.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f216.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f219.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f22.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f222.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f225.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f228.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f23.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f231.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f234.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f237.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f24.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f240.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f25.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f252.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f26.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f264.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f27.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f276.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f28.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f288.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f29.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f30.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f300.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f31.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f312.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f32.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f324.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f33.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f336.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f34.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f348.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f35.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f36.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f360.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f37.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f372.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f38.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f384.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f39.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f40.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f41.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f42.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f43.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f44.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f45.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f46.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f47.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f48.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f49.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f50.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f51.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f52.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f53.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f54.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f55.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f56.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f57.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f58.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f59.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f60.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f61.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f62.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f63.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f64.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f65.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f66.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f67.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f68.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f69.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f70.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f71.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f72.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f73.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f74.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f75.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f76.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f77.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f78.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f79.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f80.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f81.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f82.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f83.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f84.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f85.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f86.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f87.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f88.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f89.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f90.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f91.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f92.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f93.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f94.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f95.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f96.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f97.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f98.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f99.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_manager.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_fbwind.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/dummy.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_anl.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_f00.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/jgfs_wafs_gcip.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/dummy.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_anl.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f00.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f01.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f02.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f03.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f04.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f05.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f06.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f07.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f08.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f09.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f10.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f100.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f101.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f102.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f103.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f104.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f105.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f106.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f107.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f108.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f109.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f11.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f110.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f111.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f112.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f113.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f114.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f115.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f116.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f117.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f118.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f119.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f12.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f120.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f123.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f126.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f129.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f13.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f132.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f135.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f138.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f14.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f141.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f144.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f147.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f15.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f150.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f153.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f156.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f159.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f16.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f162.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f165.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f168.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f17.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f171.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f174.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f177.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f18.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f180.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f183.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f186.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f189.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f19.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f192.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f195.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f198.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f20.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f201.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f204.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f207.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f21.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f210.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f213.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f216.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f219.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f22.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f222.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f225.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f228.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f23.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f231.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f234.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f237.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f24.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f240.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f25.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f252.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f26.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f264.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f27.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f276.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f28.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f288.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f29.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f30.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f300.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f31.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f312.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f32.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f324.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f33.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f336.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f34.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f348.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f35.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f36.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f360.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f37.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f372.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f38.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f384.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f39.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f40.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f41.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f42.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f43.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f44.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f45.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f46.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f47.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f48.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f49.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f50.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f51.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f52.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f53.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f54.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f55.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f56.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f57.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f58.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f59.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f60.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f61.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f62.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f63.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f64.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f65.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f66.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f67.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f68.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f69.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f70.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f71.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f72.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f73.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f74.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f75.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f76.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f77.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f78.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f79.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f80.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f81.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f82.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f83.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f84.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f85.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f86.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f87.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f88.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f89.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f90.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f91.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f92.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f93.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f94.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f95.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f96.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f97.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f98.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f99.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_manager.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_even.ecf delete mode 100644 model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf create mode 100644 model/ecflow_fv3gfs/settings_validator.yaml create mode 100644 model/ecflow_fv3gfs/task.yaml create mode 100644 model/ecflow_fv3gfs/task_validator.yaml create mode 100644 model/ecflow_fv3gfs/totality_limit.def create mode 100755 model/ecflow_fv3gfs/update_ecflow_workflow.sh create mode 100644 model/ecflow_fv3gfs/worktools.py diff --git a/crow/config/__init__.py b/crow/config/__init__.py index 9d41e4f..7803454 100644 --- a/crow/config/__init__.py +++ b/crow/config/__init__.py @@ -57,8 +57,20 @@ def from_file(*args,evaluate_immediates=True,validation_stage=None): return from_string(u'\n\n\n'.join(data), evaluate_immediates=evaluate_immediates, validation_stage=validation_stage) -def validate(obj,stage=''): - if getattr(obj,'_validate'): + +def _recursive_validate(obj,stage,memo=None): + if memo is None: memo=set() + if id(obj) in memo: return + memo.add(id(obj)) + if hasattr(obj,'_validate'): + obj._validate(stage) + for k,v in obj.items(): + _recursive_validate(v,stage,memo) + +def validate(obj,stage='',recurse=False): + if recurse: + _recursive_validate(obj,stage) + elif hasattr(obj,'_validate'): obj._validate(stage) def document_root(obj): diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 2b6ef0a..2d1c326 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -82,7 +82,11 @@ def from_config(key,val,globals,locals,path): result=val._result(globals,locals) return from_config(key,result,globals,locals,path) return val - except(SyntaxError,TypeError,KeyError,NameError,IndexError,AttributeError) as ke: + except(KeyError,NameError,AttributeError) as ae: + raise CalcKeyError(f'{path}: {type(val).__name__} {str(val)[0:80]} - ' + f'{type(ae).__name__} {str(ae)} --- in --- ' + f'{{{", ".join([ k for k in locals.keys() ])}}}') + except(SyntaxError,TypeError,IndexError) as ke: raise CalcKeyError(f'{path}: {type(val).__name__} {str(val)[0:80]} - ' f'{type(ke).__name__} {str(ke)}') except RecursionError as re: @@ -169,6 +173,8 @@ def __copy__(self): return d def _invalidate_cache(self,key=None): + _logger.debug(f'{self._path}: invalidate cache') + self._is_validated=False if key is None: #print(f'{self._path}: reset') self.__cache=copy(self.__child) @@ -230,8 +236,15 @@ def _validate(self,stage,memo=None): self.__is_validated=True # Inherit from other scopes: - if 'Inherit' in self and hasattr(self.Inherit,'_update'): - self.Inherit._update(self,self.__globals,self,stage,memo) + if 'Inherit' in self: + _logger.debug(f'{self._path}: has Inherit') + if hasattr(self.Inherit,'_update'): + self.Inherit._update(self,self.__globals,self,stage,memo) + _logger.debug(f'{self._path}: after inherit, {{{", ".join([k for k in self.keys()])}}}') + else: + _logger.warning(f'{self._path}: Inherit is not an !Inherit. Error?') + else: + _logger.debug(f'{self._path}: no Inherit') # Validate this scope: if 'Template' in self: @@ -255,8 +268,8 @@ def __getitem__(self,key): val=self.__cache[key] if hasattr(val,'_result'): immediate=hasattr(val,'_is_immediate') - val=from_config(key,val,self.__globals,self, - f'{self._path}.{key}') + val=from_config(key=key,val=val,globals=self.__globals,locals=self, + path=f'{self._path}.{key}') self.__cache[key]=val if immediate: self.__child[key]=val @@ -348,6 +361,7 @@ def _deepcopy_privates_from(self,memo,other): self.__globals=deepcopy(other.__globals,memo) self.__cache=deepcopy(other.__cache,memo) def _invalidate_cache(self,index=None): + _logger.debug(f'{self._path}: invalidate cache') if index is None: self.__cache=copy(self.__child) else: diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 8b02793..0c9cdd5 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -242,7 +242,7 @@ def __getitem__(self,key): if key not in self.viewed: raise KeyError(f'{key}: not in {", ".join([k for k in self.keys()])}') val=self.viewed[key] - + if hasattr(val,'_is_suite_view'): return val elif type(val) in SUITE_CLASS_MAP: @@ -439,8 +439,10 @@ def _as_dependency(self,globals,locals,path): result=eval(self,globals,locals) result=as_dependency(result,path) return result - except(ValueError,SyntaxError,TypeError,KeyError,NameError,IndexError,AttributeError) as ke: - raise DependError(f'!Depend {self}: {ke}') + except(AttributeError,KeyError,NameError) as ne: + raise DependError(f'{".".join(path[1:])}@{path[0]}: !Depend {self}: {ne} --- in --- {{{", ".join([k for k in locals.keys()])}}}') + except(ValueError,SyntaxError,TypeError,IndexError) as ke: + raise DependError(f'{path}: !Depend {self}: {ke}') def as_dependency(obj,path=MISSING,state=COMPLETED): """!Converts the containing object to a State. Action objects are @@ -454,6 +456,8 @@ def as_dependency(obj,path=MISSING,state=COMPLETED): return StateDependency(obj,state) elif isinstance(obj,LogicalDependency): return obj + elif obj is None: + return None raise TypeError( f'{type(obj).__name__} is not a valid type for a dependency') diff --git a/crow/config/template.py b/crow/config/template.py index 86717e7..e37a022 100644 --- a/crow/config/template.py +++ b/crow/config/template.py @@ -27,6 +27,7 @@ class Inherit(list_eval): def _update(self,target,globals,locals,stage,memo): errors=list() for scopename,regex in reversed(self): + inherited=False try: scopename=str(scopename) _logger.debug(f'{target._path}: inherit from {scopename}') @@ -36,6 +37,8 @@ def _update(self,target,globals,locals,stage,memo): for key in scope: if key not in IGNORE_WHILE_INHERITING and \ re.search(regex,key) and key not in target: + inherited=True + _logger.debug(f'{target._path}: inherit {key} from {scopename} regex {regex}') target._raw_child()[key]=scope._raw_child()[key] # except (IndexError,AttributeError,TypeError,ValueError) as pye: # msg=f'{target._path}: when including {scope._path}:'\ @@ -45,7 +48,10 @@ def _update(self,target,globals,locals,stage,memo): except TemplateErrors as te: errors.append(f'{target._path}: when including {scope._path}') errors.extend(te.template_errors) + if not inherited: + _logger.debug(f'{target._path}: inherit nothing from {scopename} with regex {regex} keys {{{", ".join([k for k in scope.keys()])}}}') if errors: raise TemplateErrors(errors) + _logger.debug(f'{target._path}: now has keys {{{", ".join([k for k in target.keys()])}}}') class Template(dict_eval): """!Internal implementation of the YAML Template type. Validates a @@ -123,6 +129,7 @@ def _check_scope(self,scope,stage,memo): errors.append(str(ce)) _logger.debug(f'{scope._path}.{var}: {type(ce).__name__}: {ce}',exc_info=True) + # Insert default values for all templates found thus far and # detect any missing, non-optional, variables missing=list() @@ -137,12 +144,13 @@ def _check_scope(self,scope,stage,memo): # Second pass checking for required variables that have no # values. This second pass deals with variables that were # updated by an "override" clause. - still_missing=list() - for var in missing: - if var not in scope: still_missing.append(var) + reported_missing=set(missing) + in_scope=set([k for k in scope.keys()]) + still_missing=reported_missing-in_scope if still_missing: raise VariableMissing(f'{scope._path}: missing: '+ - ', '.join(still_missing)) + ', '.join(still_missing)+' in: '+ + ', '.join([k for k in scope.keys()])) # Check for variables that evaluate to an error for key,expr in scope._raw_child().items(): @@ -228,7 +236,8 @@ def validate_type(path,var,typ,val,allowed): elif result is TYPE_MISMATCH: val_repr='null' if val is None else repr(val) raise InvalidConfigValue( - f'{path}.{var}={val_repr}: not valid for type {typ!r}') + f'{path}.{var}={val_repr}: not valid for type {typ!r}' + '. Should this have been a !calc?') elif result is NOT_ALLOWED: val_repr='null' if val is None else repr(val) raise InvalidConfigValue( diff --git a/crow/config/tools.py b/crow/config/tools.py index db5ed4f..5c2da50 100644 --- a/crow/config/tools.py +++ b/crow/config/tools.py @@ -85,6 +85,15 @@ def indent(prefix,text): def expand(string,**kwargs): return eval(f"f'''{string}'''",{},kwargs) +def uniq(inlist): + outlist=[] + memo=set() + for i in inlist: + if i in memo: continue + memo.add(i) + outlist.append(i) + return outlist + ## The CONFIG_TOOLS contains the tools available to configuration yaml ## "!calc" expressions in their "tools" variable. CONFIG_TOOLS=crow.tools.ImmutableMapping({ @@ -108,6 +117,7 @@ def expand(string,**kwargs): 'exists':os.path.exists, 'strftime':strftime, 'strptime':strptime, + 'uniq':uniq, 'to_timedelta':crow.tools.to_timedelta, 'as_seconds':seconds, 'to_YMDH':to_YMDH, 'from_YMDH':from_YMDH, diff --git a/crow/metascheduler/algebra.py b/crow/metascheduler/algebra.py index b0ef114..455016f 100644 --- a/crow/metascheduler/algebra.py +++ b/crow/metascheduler/algebra.py @@ -22,8 +22,8 @@ def assume(tree,existing_cycles,current_cycle,assume_complete=None, return FALSE_DEPENDENCY elif isinstance(tree,TaskExistsDependency): cycle=current_cycle+tree.view.path[0] - if assume_complete and assume_complete(tree.view) or \ - assume_never_run and assume_never_run(tree.view): + if assume_complete and assume_complete(tree.path) or \ + assume_never_run and assume_never_run(tree.path): return FALSE_DEPENDENCY alarm=tree.view.get_alarm(default=existing_cycles) if cycle in alarm: @@ -33,27 +33,38 @@ def assume(tree,existing_cycles,current_cycle,assume_complete=None, elif isinstance(tree,AndDependency): a=TRUE_DEPENDENCY for d in tree: - a=a & assume(d,existing_cycles,current_cycle) + a=a & assume(d,existing_cycles,current_cycle,assume_complete, + assume_never_run) return a elif isinstance(tree,OrDependency): a=FALSE_DEPENDENCY for d in tree: - a=a | assume(d,existing_cycles,current_cycle) + a=a | assume(d,existing_cycles,current_cycle,assume_complete, + assume_never_run) return a elif isinstance(tree,NotDependency): - return ~assume(tree.depend,existing_cycles,current_cycle) + return ~assume(tree.depend,existing_cycles,current_cycle, + assume_complete,assume_never_run) elif isinstance(tree,StateDependency): if assume_never_run and assume_never_run(tree.path): return FALSE_DEPENDENCY if assume_complete and assume_complete(tree.path): return TRUE_DEPENDENCY if tree.state==COMPLETED \ else FALSE_DEPENDENCY + if current_cycle+tree.path[0] not in existing_cycles: + # Prior cycle tasks will never complete, run, or fail. + return FALSE_DEPENDENCY return tree elif isinstance(tree,EventDependency): if assume_never_run and assume_never_run(tree.event.parent.path): + print(f'{tree.event.path}: event parent is never run so event cannot be set') return FALSE_DEPENDENCY if assume_complete and assume_complete(tree.event.parent.path): - return TRUE_DEPENDENCY + print(f'{tree.event.path}: event parent is always complete so event cannot be set') + return FALSE_DEPENDENCY + if current_cycle+tree.path[0] not in existing_cycles: + # Prior cycle events will never be set. + return FALSE_DEPENDENCY return tree return tree diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py index a5c88da..1b51685 100644 --- a/crow/metascheduler/ecflow.py +++ b/crow/metascheduler/ecflow.py @@ -1,4 +1,4 @@ -import collections, datetime, re +import collections, datetime, re, logging from collections import OrderedDict from io import StringIO @@ -12,12 +12,14 @@ AndDependency, OrDependency, NotDependency, \ StateDependency, Dependable, Taskable, Task, \ Family, Cycle, RUNNING, COMPLETED, FAILED, \ - TRUE_DEPENDENCY, FALSE_DEPENDENCY, SuitePath, \ + TRUE_DEPENDENCY, FALSE_DEPENDENCY, SuitePath, validate, \ CycleExistsDependency, invalidate_cache, EventDependency __all__=['to_ecflow','ToEcflow'] f'This module requires python 3.6 or newer.' +_logger=logging.getLogger('to_ecflow') + ECFLOW_STATE_MAP={ COMPLETED:'complete', RUNNING:'active', FAILED:'aborted' } @@ -172,6 +174,7 @@ def _cycles_to_analyze(self): def _select_cycle(self,cycle): invalidate_cache(self.suite,recurse=True) + validate(self.suite,stage='suite',recurse=True) self.suite.Clock.now = cycle def _foreach_cycle(self,clock): @@ -195,12 +198,15 @@ def _remove_final_task(self): self.graph.force_never_run(self.suite.final.at(dt).path) def _initialize_graph(self): + _logger.info('populate job graph...') self._populate_job_graph() + _logger.info('simplify job graph...') self._remove_final_task() self._simplify_job_graph() def _populate_job_graph(self): for cycle in self._foreach_cycle(self._cycles_to_analyze()): + _logger.info(f'{cycle:%Y%m%d%H%M}: populate job graph...') self.graph.add_cycle(cycle) def _simplify_job_graph(self): @@ -221,6 +227,11 @@ def _make_suite_def(self,cycle): suite_name=cycle.strftime(suite_name_format) undated=OrderedDict() sio=StringIO() + + if 'before_suite_def' in self.suite: + sio.write(self.suite.before_suite_def) + sio.write('\n') + sio.write(f'suite {suite_name}\n') if 'ecflow_def' in self.suite: for line in self.suite.ecflow_def.splitlines(): @@ -248,12 +259,17 @@ def exit_fun(node): for line in node.view.ecflow_def.splitlines(): sio.write(f'{indent1}{line.rstrip()}\n') + if 'Dummy' in node.view and node.view.Dummy: + sio.write(f"{indent1}edit ECF_DUMMY_TASK ''\n") + if node.trigger not in [FALSE_DEPENDENCY,TRUE_DEPENDENCY]: sio.write(f'{indent1}trigger ') + print(f'{node.path}: trigger is {node.trigger}') dep_to_ecflow(sio,node,node.trigger,clock,suite_name_format,undated) sio.write('\n') if node.complete not in [FALSE_DEPENDENCY,TRUE_DEPENDENCY]: sio.write(f'{indent1}complete ') + print(f'{node.path}: complete is {node.complete}') dep_to_ecflow(sio,node,node.complete,clock,suite_name_format,undated) sio.write('\n') if node.time>ZERO_DT: @@ -334,6 +350,7 @@ def to_ecflow(self): ecf_files=collections.defaultdict(dict) self._initialize_graph() for cycle in self._foreach_cycle(self._cycles_to_write()): + _logger.info(f'{cycle:%Y%m%d%H%M}: make suite definition in memory...') # Figure our where we are making the suite definition file: filename=cycle.strftime(self.suite.ecFlow.suite_def_filename) if filename in suite_def_files: @@ -344,6 +361,7 @@ def to_ecflow(self): assert(isinstance(suite_name,str)) assert(isinstance(suite_def,str)) suite_def_files[filename]={ 'name':suite_name, 'def':suite_def } + _logger.info(f'{cycle:%Y%m%d%H%M}: make ecf files in memory...') self._make_ecf_files_for_one_cycle(ecf_files) del self.suite return suite_def_files,ecf_files diff --git a/crow/metascheduler/graph.py b/crow/metascheduler/graph.py index bbbe8f4..71c4382 100644 --- a/crow/metascheduler/graph.py +++ b/crow/metascheduler/graph.py @@ -39,10 +39,8 @@ def __init__(self,view,cycle): self.time=ZERO_DT self.cycle=cycle self.alarm=view.get_alarm() - if 'Trigger' in view and view.Trigger is not None: - self.trigger=view.Trigger.copy_dependencies() - if 'Complete' in view and view.Complete is not None: - self.complete=view.Complete.copy_dependencies() + self.trigger=view.get_trigger_dep().copy_dependencies() + self.complete=view.get_complete_dep().copy_dependencies() if 'Time' in view and view.Time is not None: typecheck('Time',view.Time,datetime.timedelta) self.time=copy.copy(view.Time) @@ -139,29 +137,64 @@ def fun_assume_never_run(path): while changed: changed=False for node in self.__nodes[cycle].values(): + print(f'{node.path}: examine') if node.is_always_complete(): + print(f'{node.path}: already always complete') continue if node.can_never_complete(): + print(f'{node.path}: already can never complete') continue - if node.has_no_dependencies(): + if node.has_no_dependencies() and node.is_task(): + print(f'{node.path}: task with no dependencies') continue if node.assume(self.__clock,fun_assume_complete, fun_assume_never_run): changed=True if node.can_never_complete(): never_run.add(node.path) + print(f'{node.path}: can never run') for descendent in depth_first_traversal(node): never_run.add(descendent.path) descendent.force_never_run() + print(f'{node.path}: {descendent.path}: can never run') changed=True assert(not node.might_complete()) elif node.is_always_complete(): + always_complete.add(node.path) for descendent in depth_first_traversal(node): - always_complete.add(node.path) always_complete.add(descendent.path) descendent.force_always_complete() + print(f'{node.path}: {descendent.path}: can never run') changed=True - + elif node.is_family(): + print(f'{node.path}: check children of family') + n_always_complete=0 + n_never_complete=0 + n=0 + for child in node: + n+=1 + if child.can_never_complete(): + print(f'{node.path}: {child.path} can never complete') + n_never_complete+=1 + if child.is_always_complete(): + print(f'{node.path}: {child.path} is always complete') + n_always_complete+=1 + + if n==n_always_complete: + # entirety of family is always complete so + # family is always complete + changed=True + node.force_always_complete() + print(f'{node.path}: all children are is always complete') + elif n==n_never_complete: + # entirety of family is always complete so + # family is always complete + changed=True + node.force_never_run() + print(f'{node.path}: no children will complete') + else: + print(f'{node.path}: n={n} a={n_always_complete} v={n_never_complete}') + def depth_first_traversal(self,cycle,skip_fun,enter_fun,exit_fun): if cycle not in self.__cycles: raise KeyError(f'{cycle}: have not added this ' diff --git a/model/ecflow_fv3gfs/_main.yaml b/model/ecflow_fv3gfs/_main.yaml new file mode 100644 index 0000000..23c4216 --- /dev/null +++ b/model/ecflow_fv3gfs/_main.yaml @@ -0,0 +1,7 @@ +include: + - "config*.yaml" + - "*_validator.yaml" + - schedulers.yaml + - task.yaml + - "*.yaml" + - suite_def.yaml diff --git a/model/ecflow_fv3gfs/begin_ecflow_workflow.sh b/model/ecflow_fv3gfs/begin_ecflow_workflow.sh new file mode 100755 index 0000000..3ba0db3 --- /dev/null +++ b/model/ecflow_fv3gfs/begin_ecflow_workflow.sh @@ -0,0 +1,156 @@ +#! /bin/bash + +set -ue + +# Get the directory in which this script resides. We'll assume the +# yaml files are there: +dir0=$( dirname "$0" ) +here=$( cd "$dir0" ; pwd -P ) + +export WORKTOOLS_VERBOSE=NO + +crowdir=$( cd ../../ ; pwd -P ) + +# Make sure this directory is in the python path so we find worktools.py: +export PYTHONPATH=$here:$crowdir:${PYTHONPATH:+:$PYTHONPATH} + +# Parse arguments: +if [[ "$1" == "-v" ]] ; then + export WORKTOOLS_VERBOSE=YES + shift 1 +fi +export CONFIGDIR="$1" + +if [[ ! -d /usrx/local || -e /etc/redhat-release ]] ; then + echo "ERROR: This script only runs on WCOSS Cray" 1>&2 + exit 1 +fi + +if ( ! which ecflow_client > /dev/null 2>&1 ) ; then + echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." + exit 1 +fi + +if [[ "${ECF_ROOT:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_ROOT" + exit 1 +fi + +if [[ "${ECF_HOME:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_HOME. I suggest \$ECF_ROOT/submit" + exit 1 +fi + +if [[ "${ECF_HOST:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_HOST." + exit 1 +fi + +if [[ "${ECF_PORT:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_PORT. See /usrx/local/sys/ecflow/assigned_ports.txt" + exit 1 +fi + +export ECF_HOME="${ECF_HOME:-$ECF_ROOT/submit}" + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + echo "begin_ecflow_workflow.sh: verbose mode" +fi + +echo 'ecFlow server settings:' +echo " port: $ECF_PORT" +echo " root: $ECF_ROOT" +echo " home: $ECF_HOME" +echo " host: $ECF_HOST" + +set +e +if ( ! which python3 > /dev/null 2>&1 || \ + ! python3 -c 'import yaml ; f{"1+1"}' > /dev/null 2>&1 ) ; then + python36=/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/python/3.6.1-emc/bin/python3.6 +else + python36="$( which python3 )" +fi +set -e + +tmpfile=${TMPDIR:-/tmp}/find-expdir.$RANDOM.$RANDOM.$$ + +maybe_verbose_source() { + if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + echo "$1: source" + source "$1" + else + source "$1" > /dev/null 2>&1 + fi +} + +make_yaml_files() { + if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + set -x + fi + + # NOTE: Sourcing config.base clobbers the ecflow variables, so we + # must do it in a subshell. + set +ue + maybe_verbose_source "$CONFIGDIR"/config.base + set -ue + + if [[ "$FHMAX_GFS" != 240 ]] ; then + echo "ERROR: This script requires FHMAX_GFS = 240" 1>&2 + exit 1 + fi + + if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + set -x + fi + + echo "EXPDIR=\"$EXPDIR\"" > "$tmpfile" + + set +ue + ( maybe_verbose_source "$CONFIGDIR"/config.earc ; + echo "export NMEM_EARCGRP=\"$NMEM_EARCGRP\"" >> "$tmpfile" ) + ( maybe_verbose_source "$CONFIGDIR"/config.efcs ; + echo "export NMEM_EFCSGRP=\"$NMEM_EFCSGRP\"" >> "$tmpfile" ) + ( maybe_verbose_source "$CONFIGDIR"/config.eobs ; + echo "export NMEM_EOMGGRP=\"$NMEM_EOMGGRP\"" >> "$tmpfile" ) + ( maybe_verbose_source "$CONFIGDIR"/config.fcst ; + echo "export layout_x=\"$layout_x\"" >> "$tmpfile" ; + echo "export layout_y=\"$layout_y\"" >> "$tmpfile" ; + echo "export WRITE_GROUP=\"$WRITE_GROUP\"" >> "$tmpfile" ; + echo "export WRTTASK_PER_GROUP=\"$WRTTASK_PER_GROUP\"" >> "$tmpfile" ) + set -ue + + source "$tmpfile" + + $python36 -c "import worktools ; worktools.make_yaml_files('$here','$EXPDIR')" +} + +if ( ! ( make_yaml_files ) ) ; then + echo "Failed to make YAML files" + exit 1 +fi + +source "$tmpfile" +rm -f "$tmpfile" + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + set -x +fi + +/ecf/devutils/server_check.sh "$ECF_ROOT" "$ECF_PORT" || true + +if ( ! ecflow_client --ping ) ; then + echo "Could not connect to ecflow server. Aborting." + exit 1 +fi + +if ( ! ecflow_client --get=/totality_limit ) ; then + ecflow_client --load ./totality_limit.def +fi + +$python36 -c "import worktools ; worktools.create_and_begin_ecflow_workflow('$EXPDIR')" + + + + + + diff --git a/model/ecflow_fv3gfs/config_files.yaml b/model/ecflow_fv3gfs/config_files.yaml new file mode 100644 index 0000000..158ac60 --- /dev/null +++ b/model/ecflow_fv3gfs/config_files.yaml @@ -0,0 +1,42 @@ +# Variables taken from config.* files: +config_files: + + # config.base: + FHMAX_GFS: !Immediate [ !calc ' int(tools.env("FHMAX_GFS"),10) ' ] + FHMIN_GFS: !Immediate [ !calc ' int(tools.env("FHMIN_GFS"),10) ' ] + FHOUT_GFS: !Immediate [ !calc ' int(tools.env("FHOUT_GFS"),10) ' ] + FHMAX: !Immediate [ !calc ' int(tools.env("FHMAX"),10) ' ] + FHMIN: !Immediate [ !calc ' int(tools.env("FHMIN"),10) ' ] + FHOUT: !Immediate [ !calc ' int(tools.env("FHOUT"),10) ' ] + FHMAX_HF_GFS: !Immediate [ !calc ' int(tools.env("FHMAX_HF_GFS"),10) ' ] + FHOUT_HF_GFS: !Immediate [ !calc ' int(tools.env("FHOUT_HF_GFS"),10) ' ] + PSLOT: !Immediate [ !calc tools.env("PSLOT") ] + EXPDIR: !Immediate [ !calc tools.env("EXPDIR") ] + ROTDIR: !Immediate [ !calc tools.env("ROTDIR") ] + RUNDIR: !Immediate [ !calc tools.env("RUNDIR") ] + HOMEgfs: !Immediate [ !calc tools.env("HOMEgfs") ] + CASE: !Immediate [ !calc tools.env("CASE") ] + CASE_ENKF: !Immediate [ !calc tools.env("CASE_ENKF") ] + SDATE: !Immediate [ !calc tools.env("SDATE") ] + EDATE: !Immediate [ !calc tools.env("EDATE") ] + NMEM_ENKF: !Immediate [ !calc ' int( tools.env("NMEM_ENKF"),10 )'] + DMPDIR: !Immediate [ !calc tools.env("DMPDIR") ] + QUEUE: !Immediate [ !calc tools.env("QUEUE") ] + QUEUE_ARCH: !Immediate [ !calc tools.env("QUEUE_ARCH") ] + ACCOUNT: !Immediate [ !calc tools.env("ACCOUNT") ] + + # config.eobs: + NMEM_EOMGGRP: !Immediate [ !calc ' int(tools.env("NMEM_EOMGGRP"),10) ' ] + + # config.efcs: + NMEM_EFCSGRP: !Immediate [ !calc ' int(tools.env("NMEM_EFCSGRP"),10) ' ] + + # config.earc: + NMEM_EARCGRP: !Immediate [ !calc ' int(tools.env("NMEM_EARCGRP"),10) ' ] + + # config.fcst / config.fv3: + layout_x: !Immediate [ !calc ' int(tools.env("layout_x"),10) ' ] + layout_y: !Immediate [ !calc ' int(tools.env("layout_y"),10) ' ] + WRITE_GROUP: !Immediate [ !calc ' int(tools.env("WRITE_GROUP"),10) ' ] + WRTTASK_PER_GROUP: !Immediate + - !calc int(tools.env("WRITE_GROUP"),10) diff --git a/model/ecflow_fv3gfs/config_locations.yaml b/model/ecflow_fv3gfs/config_locations.yaml new file mode 100644 index 0000000..f6c12b9 --- /dev/null +++ b/model/ecflow_fv3gfs/config_locations.yaml @@ -0,0 +1,7 @@ +## initial_directory: location from which the setup script was run. +initial_directory: !Immediate [ !calc "tools.realpath(tools.abspath('.'))" ] + +# pyYAML cannot correctly write out a document unless it contains +# at least one mapping +workaround_for_bug_in_pyyaml: + xyz: 123 diff --git a/model/ecflow_fv3gfs/defs/prod00.def b/model/ecflow_fv3gfs/defs/prod00.def deleted file mode 100644 index eb167d7..0000000 --- a/model/ecflow_fv3gfs/defs/prod00.def +++ /dev/null @@ -1,4366 +0,0 @@ -extern /prod18/gdas/enkf/jgdas_enkf_post -suite prod00 - repeat day 1 - edit ECF_TRIES '1' - #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' - edit ECF_KILL_CMD 'lkill %ECF_NAME% %ECF_JOBOUT%' - edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs' - #edit ECF_HOME '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3' - edit CYC '00' - edit ENVIR 'prod' - edit PROJ 'GFS-T2O' - edit E 'jecffv3' - #edit QUEUE 'dev' - edit QUEUE 'debug' - edit PROJENVIR 'GFS-T2O' - #edit EMCPEN 'ecfgfsfv3' - edit EMCPEN 'ecfgfsfv3' - #edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' - edit COM '/gpfs/hps2/ptmp/emc.glopara/ecfgfsfv3/com' - edit QUEUESERV 'dev_transfer' - edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/ecfgfsfv3' - edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' - edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' - edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' - edit MODEL_NAME 'gfs' - family gfs - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' - edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' - edit PROJ '%PROJENVIR%' - edit MODEL_NAME 'gfs' - family dump - task jgfs_tropcy_qc_reloc - trigger ./jgfs_dump == complete - event 1 jtwc_bull_email - endtask - task jgfs_dump - event 1 release_sfcprep - endtask - endfamily - family prep - task jgfs_emcsfc_sfc_prep - trigger ../dump/jgfs_dump:release_sfcprep - endtask - task jgfs_prep - trigger ../dump == complete - endtask - task jgfs_prep_post - trigger ../jgfs_analysis == complete - endtask - endfamily - task jgfs_analysis - trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete - endtask - task jgfs_vminmon - trigger ./jgfs_analysis == complete - endtask - family forecast - task jgfs_forecast_high - trigger ../jgfs_analysis == complete - endtask - task jgfs_forecast_low - trigger ./jgfs_forecast_high == complete - endtask - endfamily - family sminit_guam - task jgfs_sminit_guam_even - trigger ../post/jgfs_post_anl == active or ../post/jgfs_post_anl == complete - endtask - task jgfs_sminit_guam_odd - trigger ../post/jgfs_post_anl == active or ../post/jgfs_post_anl == complete - endtask - endfamily - family post_processing - task dummy - trigger ../forecast == complete - endtask - family fax - task jgfs_fax_f00 - edit FCSTHR '00' - trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_anl == complete - endtask - task jgfs_fax_anl - edit FCSTHR 'anl' - trigger ../../prdgen/jgfs_pgrb2_anl == complete - endtask - task jgfs_fax_wafs_f12 - edit FCSTHR '12' - trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask - task jgfs_fax_wafs_f24 - edit FCSTHR '24' - trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask - task jgfs_fax_wafs_f36 - edit FCSTHR '36' - trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask - endfamily - family grib_wafs - task jgfs_wafs_f00 - edit FCSTHR '00' - trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f120 == complete and ../grib2_wafs/jgfs_wafs_grib2 == complete - endtask - task jgfs_wafs_f06 - edit FCSTHR '06' - trigger ../../prdgen/jgfs_pgrb2_f06 == complete and ./jgfs_wafs_f00 == complete - endtask - task jgfs_wafs_f12 - edit FCSTHR '12' - trigger ../../prdgen/jgfs_pgrb2_f12 == complete and ./jgfs_wafs_f06 == complete - endtask - task jgfs_wafs_f18 - edit FCSTHR '18' - trigger ../../prdgen/jgfs_pgrb2_f18 == complete and ./jgfs_wafs_f12 == complete - endtask - task jgfs_wafs_f24 - edit FCSTHR '24' - trigger ../../prdgen/jgfs_pgrb2_f24 == complete and ./jgfs_wafs_f18 == complete - endtask - task jgfs_wafs_f30 - edit FCSTHR '30' - trigger ../../prdgen/jgfs_pgrb2_f30 == complete and ./jgfs_wafs_f24 == complete - endtask - task jgfs_wafs_f36 - edit FCSTHR '36' - trigger ../../prdgen/jgfs_pgrb2_f36 == complete and ./jgfs_wafs_f30 == complete - endtask - task jgfs_wafs_f42 - edit FCSTHR '42' - trigger ../../prdgen/jgfs_pgrb2_f42 == complete and ./jgfs_wafs_f36 == complete - endtask - task jgfs_wafs_f48 - edit FCSTHR '48' - trigger ../../prdgen/jgfs_pgrb2_f48 == complete and ./jgfs_wafs_f42 == complete - endtask - task jgfs_wafs_f54 - edit FCSTHR '54' - trigger ../../prdgen/jgfs_pgrb2_f54 == complete and ./jgfs_wafs_f48 == complete - endtask - task jgfs_wafs_f60 - edit FCSTHR '60' - trigger ../../prdgen/jgfs_pgrb2_f60 == complete and ./jgfs_wafs_f54 == complete - endtask - task jgfs_wafs_f66 - edit FCSTHR '66' - trigger ../../prdgen/jgfs_pgrb2_f66 == complete and ./jgfs_wafs_f60 == complete - endtask - task jgfs_wafs_f72 - edit FCSTHR '72' - trigger ../../prdgen/jgfs_pgrb2_f72 == complete and ./jgfs_wafs_f66 == complete - endtask - task jgfs_wafs_f78 - edit FCSTHR '78' - trigger ../../prdgen/jgfs_pgrb2_f78 == complete and ./jgfs_wafs_f72 == complete - endtask - task jgfs_wafs_f84 - edit FCSTHR '84' - trigger ../../prdgen/jgfs_pgrb2_f84 == complete and ./jgfs_wafs_f78 == complete - endtask - task jgfs_wafs_f90 - edit FCSTHR '90' - trigger ../../prdgen/jgfs_pgrb2_f90 == complete and ./jgfs_wafs_f84 == complete - endtask - task jgfs_wafs_f96 - edit FCSTHR '96' - trigger ../../prdgen/jgfs_pgrb2_f96 == complete and ./jgfs_wafs_f90 == complete - endtask - task jgfs_wafs_f102 - edit FCSTHR '102' - trigger ../../prdgen/jgfs_pgrb2_f102 == complete and ./jgfs_wafs_f96 == complete - endtask - task jgfs_wafs_f108 - edit FCSTHR '108' - trigger ../../prdgen/jgfs_pgrb2_f108 == complete and ./jgfs_wafs_f102 == complete - endtask - task jgfs_wafs_f114 - edit FCSTHR '114' - trigger ../../prdgen/jgfs_pgrb2_f114 == complete and ./jgfs_wafs_f108 == complete - endtask - task jgfs_wafs_f120 - edit FCSTHR '120' - trigger ../../prdgen/jgfs_pgrb2_f120 == complete and ./jgfs_wafs_f114 == complete - endtask - endfamily - family bufr_sounding - task jgfs_postsnd - trigger ../../post/jgfs_post_manager:release_post00 - endtask - endfamily - family bulletins - task jgfs_fbwind - trigger ../../post/jgfs_post_f06 == complete and ../../post/jgfs_post_f12 == complete and ../../post/jgfs_post_f24 == complete - endtask - task jgfs_cyclone_tracker - trigger ../../post/jgfs_post_f00 == complete and ../../post/jgfs_post_f06 == complete and ../../post/jgfs_post_f12 == complete and ../../post/jgfs_post_f18 == complete and ../../post/jgfs_post_f24 == complete and ../../post/jgfs_post_f30 == complete and ../../post/jgfs_post_f36 == complete and ../../post/jgfs_post_f42 == complete and ../../post/jgfs_post_f48 == complete and ../../post/jgfs_post_f54 == complete and ../../post/jgfs_post_f60 == complete and ../../post/jgfs_post_f66 == complete and ../../post/jgfs_post_f72 == complete and ../../post/jgfs_post_f78 == complete and ../../post/jgfs_post_f84 == complete and ../../post/jgfs_post_f90 == complete and ../../post/jgfs_post_f96 == complete and ../../post/jgfs_post_f102 == complete and ../../post/jgfs_post_f108 == complete and ../../post/jgfs_post_f114 == complete and ../../post/jgfs_post_f120 == complete and ../../post/jgfs_post_f126 == complete and ../../post/jgfs_post_f132 == complete and ../../post/jgfs_post_f138 == complete and ../../post/jgfs_post_f144 == complete and ../../post/jgfs_post_f150 == complete and ../../post/jgfs_post_f156 == complete and ../../post/jgfs_post_f162 == complete and ../../post/jgfs_post_f168 == complete and ../../post/jgfs_post_f174 == complete and ../../post/jgfs_post_f180 == complete and ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f06 == complete and ../../prdgen/jgfs_pgrb2_f12 == complete and ../../prdgen/jgfs_pgrb2_f18 == complete and ../../prdgen/jgfs_pgrb2_f24 == complete and ../../prdgen/jgfs_pgrb2_f30 == complete and ../../prdgen/jgfs_pgrb2_f36 == complete and ../../prdgen/jgfs_pgrb2_f42 == complete and ../../prdgen/jgfs_pgrb2_f48 == complete and ../../prdgen/jgfs_pgrb2_f54 == complete and ../../prdgen/jgfs_pgrb2_f60 == complete and ../../prdgen/jgfs_pgrb2_f66 == complete and ../../prdgen/jgfs_pgrb2_f72 == complete and ../../prdgen/jgfs_pgrb2_f78 == complete and ../../prdgen/jgfs_pgrb2_f84 == complete and ../../prdgen/jgfs_pgrb2_f90 == complete and ../../prdgen/jgfs_pgrb2_f96 == complete and ../../prdgen/jgfs_pgrb2_f102 == complete and ../../prdgen/jgfs_pgrb2_f108 == complete and ../../prdgen/jgfs_pgrb2_f114 == complete and ../../prdgen/jgfs_pgrb2_f120 == complete and ../../prdgen/jgfs_pgrb2_f126 == complete and ../../prdgen/jgfs_pgrb2_f132 == complete and ../../prdgen/jgfs_pgrb2_f138 == complete and ../../prdgen/jgfs_pgrb2_f144 == complete and ../../prdgen/jgfs_pgrb2_f150 == complete and ../../prdgen/jgfs_pgrb2_f156 == complete and ../../prdgen/jgfs_pgrb2_f162 == complete and ../../prdgen/jgfs_pgrb2_f168 == complete and ../../prdgen/jgfs_pgrb2_f174 == complete and ../../prdgen/jgfs_pgrb2_f180 == complete - endtask - endfamily - family grib2_wafs - task jgfs_wafs_grib2 - trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask - task jgfs_wafs_blending - trigger ./jgfs_wafs_grib2 == complete - endtask - endfamily - family grib_awips - task jgfs_awips_f00 - edit FCSTHR '00' - trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask - task jgfs_awips_f01 - edit FCSTHR '01' - trigger ../../prdgen/jgfs_pgrb2_f01 == complete - endtask - task jgfs_awips_f02 - edit FCSTHR '02' - trigger ../../prdgen/jgfs_pgrb2_f02 == complete - endtask - task jgfs_awips_f03 - edit FCSTHR '03' - trigger ../../prdgen/jgfs_pgrb2_f03 == complete - endtask - task jgfs_awips_f04 - edit FCSTHR '04' - trigger ../../prdgen/jgfs_pgrb2_f04 == complete - endtask - task jgfs_awips_f05 - edit FCSTHR '05' - trigger ../../prdgen/jgfs_pgrb2_f05 == complete - endtask - task jgfs_awips_f06 - edit FCSTHR '06' - trigger ../../prdgen/jgfs_pgrb2_f06 == complete - endtask - task jgfs_awips_f07 - edit FCSTHR '07' - trigger ../../prdgen/jgfs_pgrb2_f07 == complete - endtask - task jgfs_awips_f08 - edit FCSTHR '08' - trigger ../../prdgen/jgfs_pgrb2_f08 == complete - endtask - task jgfs_awips_f09 - edit FCSTHR '09' - trigger ../../prdgen/jgfs_pgrb2_f09 == complete - endtask - task jgfs_awips_f10 - edit FCSTHR '10' - trigger ../../prdgen/jgfs_pgrb2_f10 == complete - endtask - task jgfs_awips_f11 - edit FCSTHR '11' - trigger ../../prdgen/jgfs_pgrb2_f11 == complete - endtask - task jgfs_awips_f12 - edit FCSTHR '12' - trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask - task jgfs_awips_f13 - edit FCSTHR '13' - trigger ../../prdgen/jgfs_pgrb2_f13 == complete - endtask - task jgfs_awips_f14 - edit FCSTHR '14' - trigger ../../prdgen/jgfs_pgrb2_f14 == complete - endtask - task jgfs_awips_f15 - edit FCSTHR '15' - trigger ../../prdgen/jgfs_pgrb2_f15 == complete - endtask - task jgfs_awips_f16 - edit FCSTHR '16' - trigger ../../prdgen/jgfs_pgrb2_f16 == complete - endtask - task jgfs_awips_f17 - edit FCSTHR '17' - trigger ../../prdgen/jgfs_pgrb2_f17 == complete - endtask - task jgfs_awips_f18 - edit FCSTHR '18' - trigger ../../prdgen/jgfs_pgrb2_f18 == complete - endtask - task jgfs_awips_f19 - edit FCSTHR '19' - trigger ../../prdgen/jgfs_pgrb2_f19 == complete - endtask - task jgfs_awips_f20 - edit FCSTHR '20' - trigger ../../prdgen/jgfs_pgrb2_f20 == complete - endtask - task jgfs_awips_f21 - edit FCSTHR '21' - trigger ../../prdgen/jgfs_pgrb2_f21 == complete - endtask - task jgfs_awips_f22 - edit FCSTHR '22' - trigger ../../prdgen/jgfs_pgrb2_f22 == complete - endtask - task jgfs_awips_f23 - edit FCSTHR '23' - trigger ../../prdgen/jgfs_pgrb2_f23 == complete - endtask - task jgfs_awips_f24 - edit FCSTHR '24' - trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask - task jgfs_awips_f25 - edit FCSTHR '25' - trigger ../../prdgen/jgfs_pgrb2_f25 == complete - endtask - task jgfs_awips_f26 - edit FCSTHR '26' - trigger ../../prdgen/jgfs_pgrb2_f26 == complete - endtask - task jgfs_awips_f27 - edit FCSTHR '27' - trigger ../../prdgen/jgfs_pgrb2_f27 == complete - endtask - task jgfs_awips_f28 - edit FCSTHR '28' - trigger ../../prdgen/jgfs_pgrb2_f28 == complete - endtask - task jgfs_awips_f29 - edit FCSTHR '29' - trigger ../../prdgen/jgfs_pgrb2_f29 == complete - endtask - task jgfs_awips_f30 - edit FCSTHR '30' - trigger ../../prdgen/jgfs_pgrb2_f30 == complete - endtask - task jgfs_awips_f31 - edit FCSTHR '31' - trigger ../../prdgen/jgfs_pgrb2_f31 == complete - endtask - task jgfs_awips_f32 - edit FCSTHR '32' - trigger ../../prdgen/jgfs_pgrb2_f32 == complete - endtask - task jgfs_awips_f33 - edit FCSTHR '33' - trigger ../../prdgen/jgfs_pgrb2_f33 == complete - endtask - task jgfs_awips_f34 - edit FCSTHR '34' - trigger ../../prdgen/jgfs_pgrb2_f34 == complete - endtask - task jgfs_awips_f35 - edit FCSTHR '35' - trigger ../../prdgen/jgfs_pgrb2_f35 == complete - endtask - task jgfs_awips_f36 - edit FCSTHR '36' - trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask - task jgfs_awips_f37 - edit FCSTHR '37' - trigger ../../prdgen/jgfs_pgrb2_f37 == complete - endtask - task jgfs_awips_f38 - edit FCSTHR '38' - trigger ../../prdgen/jgfs_pgrb2_f38 == complete - endtask - task jgfs_awips_f39 - edit FCSTHR '39' - trigger ../../prdgen/jgfs_pgrb2_f39 == complete - endtask - task jgfs_awips_f40 - edit FCSTHR '40' - trigger ../../prdgen/jgfs_pgrb2_f40 == complete - endtask - task jgfs_awips_f41 - edit FCSTHR '41' - trigger ../../prdgen/jgfs_pgrb2_f41 == complete - endtask - task jgfs_awips_f42 - edit FCSTHR '42' - trigger ../../prdgen/jgfs_pgrb2_f42 == complete - endtask - task jgfs_awips_f43 - edit FCSTHR '43' - trigger ../../prdgen/jgfs_pgrb2_f43 == complete - endtask - task jgfs_awips_f44 - edit FCSTHR '44' - trigger ../../prdgen/jgfs_pgrb2_f44 == complete - endtask - task jgfs_awips_f45 - edit FCSTHR '45' - trigger ../../prdgen/jgfs_pgrb2_f45 == complete - endtask - task jgfs_awips_f46 - edit FCSTHR '46' - trigger ../../prdgen/jgfs_pgrb2_f46 == complete - endtask - task jgfs_awips_f47 - edit FCSTHR '47' - trigger ../../prdgen/jgfs_pgrb2_f47 == complete - endtask - task jgfs_awips_f48 - edit FCSTHR '48' - trigger ../../prdgen/jgfs_pgrb2_f48 == complete - endtask - task jgfs_awips_f49 - edit FCSTHR '49' - trigger ../../prdgen/jgfs_pgrb2_f49 == complete - endtask - task jgfs_awips_f50 - edit FCSTHR '50' - trigger ../../prdgen/jgfs_pgrb2_f50 == complete - endtask - task jgfs_awips_f51 - edit FCSTHR '51' - trigger ../../prdgen/jgfs_pgrb2_f51 == complete - endtask - task jgfs_awips_f52 - edit FCSTHR '52' - trigger ../../prdgen/jgfs_pgrb2_f52 == complete - endtask - task jgfs_awips_f53 - edit FCSTHR '53' - trigger ../../prdgen/jgfs_pgrb2_f53 == complete - endtask - task jgfs_awips_f54 - edit FCSTHR '54' - trigger ../../prdgen/jgfs_pgrb2_f54 == complete - endtask - task jgfs_awips_f55 - edit FCSTHR '55' - trigger ../../prdgen/jgfs_pgrb2_f55 == complete - endtask - task jgfs_awips_f56 - edit FCSTHR '56' - trigger ../../prdgen/jgfs_pgrb2_f56 == complete - endtask - task jgfs_awips_f57 - edit FCSTHR '57' - trigger ../../prdgen/jgfs_pgrb2_f57 == complete - endtask - task jgfs_awips_f58 - edit FCSTHR '58' - trigger ../../prdgen/jgfs_pgrb2_f58 == complete - endtask - task jgfs_awips_f59 - edit FCSTHR '59' - trigger ../../prdgen/jgfs_pgrb2_f59 == complete - endtask - task jgfs_awips_f60 - edit FCSTHR '60' - trigger ../../prdgen/jgfs_pgrb2_f60 == complete - endtask - task jgfs_awips_f61 - edit FCSTHR '61' - trigger ../../prdgen/jgfs_pgrb2_f61 == complete - endtask - task jgfs_awips_f62 - edit FCSTHR '62' - trigger ../../prdgen/jgfs_pgrb2_f62 == complete - endtask - task jgfs_awips_f63 - edit FCSTHR '63' - trigger ../../prdgen/jgfs_pgrb2_f63 == complete - endtask - task jgfs_awips_f64 - edit FCSTHR '64' - trigger ../../prdgen/jgfs_pgrb2_f64 == complete - endtask - task jgfs_awips_f65 - edit FCSTHR '65' - trigger ../../prdgen/jgfs_pgrb2_f65 == complete - endtask - task jgfs_awips_f66 - edit FCSTHR '66' - trigger ../../prdgen/jgfs_pgrb2_f66 == complete - endtask - task jgfs_awips_f67 - edit FCSTHR '67' - trigger ../../prdgen/jgfs_pgrb2_f67 == complete - endtask - task jgfs_awips_f68 - edit FCSTHR '68' - trigger ../../prdgen/jgfs_pgrb2_f68 == complete - endtask - task jgfs_awips_f69 - edit FCSTHR '69' - trigger ../../prdgen/jgfs_pgrb2_f69 == complete - endtask - task jgfs_awips_f70 - edit FCSTHR '70' - trigger ../../prdgen/jgfs_pgrb2_f70 == complete - endtask - task jgfs_awips_f71 - edit FCSTHR '71' - trigger ../../prdgen/jgfs_pgrb2_f71 == complete - endtask - task jgfs_awips_f72 - edit FCSTHR '72' - trigger ../../prdgen/jgfs_pgrb2_f72 == complete - endtask - task jgfs_awips_f73 - edit FCSTHR '73' - trigger ../../prdgen/jgfs_pgrb2_f73 == complete - endtask - task jgfs_awips_f74 - edit FCSTHR '74' - trigger ../../prdgen/jgfs_pgrb2_f74 == complete - endtask - task jgfs_awips_f75 - edit FCSTHR '75' - trigger ../../prdgen/jgfs_pgrb2_f75 == complete - endtask - task jgfs_awips_f76 - edit FCSTHR '76' - trigger ../../prdgen/jgfs_pgrb2_f76 == complete - endtask - task jgfs_awips_f77 - edit FCSTHR '77' - trigger ../../prdgen/jgfs_pgrb2_f77 == complete - endtask - task jgfs_awips_f78 - edit FCSTHR '78' - trigger ../../prdgen/jgfs_pgrb2_f78 == complete - endtask - task jgfs_awips_f79 - edit FCSTHR '79' - trigger ../../prdgen/jgfs_pgrb2_f79 == complete - endtask - task jgfs_awips_f80 - edit FCSTHR '80' - trigger ../../prdgen/jgfs_pgrb2_f80 == complete - endtask - task jgfs_awips_f81 - edit FCSTHR '81' - trigger ../../prdgen/jgfs_pgrb2_f81 == complete - endtask - task jgfs_awips_f82 - edit FCSTHR '82' - trigger ../../prdgen/jgfs_pgrb2_f82 == complete - endtask - task jgfs_awips_f83 - edit FCSTHR '83' - trigger ../../prdgen/jgfs_pgrb2_f83 == complete - endtask - task jgfs_awips_f84 - edit FCSTHR '84' - trigger ../../prdgen/jgfs_pgrb2_f84 == complete - endtask - task jgfs_awips_f85 - edit FCSTHR '85' - trigger ../../prdgen/jgfs_pgrb2_f85 == complete - endtask - task jgfs_awips_f86 - edit FCSTHR '86' - trigger ../../prdgen/jgfs_pgrb2_f86 == complete - endtask - task jgfs_awips_f87 - edit FCSTHR '87' - trigger ../../prdgen/jgfs_pgrb2_f87 == complete - endtask - task jgfs_awips_f88 - edit FCSTHR '88' - trigger ../../prdgen/jgfs_pgrb2_f88 == complete - endtask - task jgfs_awips_f89 - edit FCSTHR '89' - trigger ../../prdgen/jgfs_pgrb2_f89 == complete - endtask - task jgfs_awips_f90 - edit FCSTHR '90' - trigger ../../prdgen/jgfs_pgrb2_f90 == complete - endtask - task jgfs_awips_f91 - edit FCSTHR '91' - trigger ../../prdgen/jgfs_pgrb2_f91 == complete - endtask - task jgfs_awips_f92 - edit FCSTHR '92' - trigger ../../prdgen/jgfs_pgrb2_f92 == complete - endtask - task jgfs_awips_f93 - edit FCSTHR '93' - trigger ../../prdgen/jgfs_pgrb2_f93 == complete - endtask - task jgfs_awips_f94 - edit FCSTHR '94' - trigger ../../prdgen/jgfs_pgrb2_f94 == complete - endtask - task jgfs_awips_f95 - edit FCSTHR '95' - trigger ../../prdgen/jgfs_pgrb2_f95 == complete - endtask - task jgfs_awips_f96 - edit FCSTHR '96' - trigger ../../prdgen/jgfs_pgrb2_f96 == complete - endtask - task jgfs_awips_f97 - edit FCSTHR '97' - trigger ../../prdgen/jgfs_pgrb2_f97 == complete - endtask - task jgfs_awips_f98 - edit FCSTHR '98' - trigger ../../prdgen/jgfs_pgrb2_f98 == complete - endtask - task jgfs_awips_f99 - edit FCSTHR '99' - trigger ../../prdgen/jgfs_pgrb2_f99 == complete - endtask - task jgfs_awips_f100 - edit FCSTHR '100' - trigger ../../prdgen/jgfs_pgrb2_f100 == complete - endtask - task jgfs_awips_f101 - edit FCSTHR '101' - trigger ../../prdgen/jgfs_pgrb2_f101 == complete - endtask - task jgfs_awips_f102 - edit FCSTHR '102' - trigger ../../prdgen/jgfs_pgrb2_f102 == complete - endtask - task jgfs_awips_f103 - edit FCSTHR '103' - trigger ../../prdgen/jgfs_pgrb2_f103 == complete - endtask - task jgfs_awips_f104 - edit FCSTHR '104' - trigger ../../prdgen/jgfs_pgrb2_f104 == complete - endtask - task jgfs_awips_f105 - edit FCSTHR '105' - trigger ../../prdgen/jgfs_pgrb2_f105 == complete - endtask - task jgfs_awips_f106 - edit FCSTHR '106' - trigger ../../prdgen/jgfs_pgrb2_f106 == complete - endtask - task jgfs_awips_f107 - edit FCSTHR '107' - trigger ../../prdgen/jgfs_pgrb2_f107 == complete - endtask - task jgfs_awips_f108 - edit FCSTHR '108' - trigger ../../prdgen/jgfs_pgrb2_f108 == complete - endtask - task jgfs_awips_f109 - edit FCSTHR '109' - trigger ../../prdgen/jgfs_pgrb2_f109 == complete - endtask - task jgfs_awips_f110 - edit FCSTHR '110' - trigger ../../prdgen/jgfs_pgrb2_f110 == complete - endtask - task jgfs_awips_f111 - edit FCSTHR '111' - trigger ../../prdgen/jgfs_pgrb2_f111 == complete - endtask - task jgfs_awips_f112 - edit FCSTHR '112' - trigger ../../prdgen/jgfs_pgrb2_f112 == complete - endtask - task jgfs_awips_f113 - edit FCSTHR '113' - trigger ../../prdgen/jgfs_pgrb2_f113 == complete - endtask - task jgfs_awips_f114 - edit FCSTHR '114' - trigger ../../prdgen/jgfs_pgrb2_f114 == complete - endtask - task jgfs_awips_f115 - edit FCSTHR '115' - trigger ../../prdgen/jgfs_pgrb2_f115 == complete - endtask - task jgfs_awips_f116 - edit FCSTHR '116' - trigger ../../prdgen/jgfs_pgrb2_f116 == complete - endtask - task jgfs_awips_f117 - edit FCSTHR '117' - trigger ../../prdgen/jgfs_pgrb2_f117 == complete - endtask - task jgfs_awips_f118 - edit FCSTHR '118' - trigger ../../prdgen/jgfs_pgrb2_f118 == complete - endtask - task jgfs_awips_f119 - edit FCSTHR '119' - trigger ../../prdgen/jgfs_pgrb2_f119 == complete - endtask - task jgfs_awips_f120 - edit FCSTHR '120' - trigger ../../prdgen/jgfs_pgrb2_f120 == complete - endtask - task jgfs_awips_f123 - edit FCSTHR '123' - trigger ../../prdgen/jgfs_pgrb2_f123 == complete - endtask - task jgfs_awips_f126 - edit FCSTHR '126' - trigger ../../prdgen/jgfs_pgrb2_f126 == complete - endtask - task jgfs_awips_f129 - edit FCSTHR '129' - trigger ../../prdgen/jgfs_pgrb2_f129 == complete - endtask - task jgfs_awips_f132 - edit FCSTHR '132' - trigger ../../prdgen/jgfs_pgrb2_f132 == complete - endtask - task jgfs_awips_f135 - edit FCSTHR '135' - trigger ../../prdgen/jgfs_pgrb2_f135 == complete - endtask - task jgfs_awips_f138 - edit FCSTHR '138' - trigger ../../prdgen/jgfs_pgrb2_f138 == complete - endtask - task jgfs_awips_f141 - edit FCSTHR '141' - trigger ../../prdgen/jgfs_pgrb2_f141 == complete - endtask - task jgfs_awips_f144 - edit FCSTHR '144' - trigger ../../prdgen/jgfs_pgrb2_f144 == complete - endtask - task jgfs_awips_f147 - edit FCSTHR '147' - trigger ../../prdgen/jgfs_pgrb2_f147 == complete - endtask - task jgfs_awips_f150 - edit FCSTHR '150' - trigger ../../prdgen/jgfs_pgrb2_f150 == complete - endtask - task jgfs_awips_f153 - edit FCSTHR '153' - trigger ../../prdgen/jgfs_pgrb2_f153 == complete - endtask - task jgfs_awips_f156 - edit FCSTHR '156' - trigger ../../prdgen/jgfs_pgrb2_f156 == complete - endtask - task jgfs_awips_f159 - edit FCSTHR '159' - trigger ../../prdgen/jgfs_pgrb2_f159 == complete - endtask - task jgfs_awips_f162 - edit FCSTHR '162' - trigger ../../prdgen/jgfs_pgrb2_f162 == complete - endtask - task jgfs_awips_f165 - edit FCSTHR '165' - trigger ../../prdgen/jgfs_pgrb2_f165 == complete - endtask - task jgfs_awips_f168 - edit FCSTHR '168' - trigger ../../prdgen/jgfs_pgrb2_f168 == complete - endtask - task jgfs_awips_f171 - edit FCSTHR '171' - trigger ../../prdgen/jgfs_pgrb2_f171 == complete - endtask - task jgfs_awips_f174 - edit FCSTHR '174' - trigger ../../prdgen/jgfs_pgrb2_f174 == complete - endtask - task jgfs_awips_f177 - edit FCSTHR '177' - trigger ../../prdgen/jgfs_pgrb2_f177 == complete - endtask - task jgfs_awips_f180 - edit FCSTHR '180' - trigger ../../prdgen/jgfs_pgrb2_f180 == complete - endtask - task jgfs_awips_f183 - edit FCSTHR '183' - trigger ../../prdgen/jgfs_pgrb2_f183 == complete - endtask - task jgfs_awips_f186 - edit FCSTHR '186' - trigger ../../prdgen/jgfs_pgrb2_f186 == complete - endtask - task jgfs_awips_f189 - edit FCSTHR '189' - trigger ../../prdgen/jgfs_pgrb2_f189 == complete - endtask - task jgfs_awips_f192 - edit FCSTHR '192' - trigger ../../prdgen/jgfs_pgrb2_f192 == complete - endtask - task jgfs_awips_f195 - edit FCSTHR '195' - trigger ../../prdgen/jgfs_pgrb2_f195 == complete - endtask - task jgfs_awips_f198 - edit FCSTHR '198' - trigger ../../prdgen/jgfs_pgrb2_f198 == complete - endtask - task jgfs_awips_f201 - edit FCSTHR '201' - trigger ../../prdgen/jgfs_pgrb2_f201 == complete - endtask - task jgfs_awips_f204 - edit FCSTHR '204' - trigger ../../prdgen/jgfs_pgrb2_f204 == complete - endtask - task jgfs_awips_f207 - edit FCSTHR '207' - trigger ../../prdgen/jgfs_pgrb2_f207 == complete - endtask - task jgfs_awips_f210 - edit FCSTHR '210' - trigger ../../prdgen/jgfs_pgrb2_f210 == complete - endtask - task jgfs_awips_f213 - edit FCSTHR '213' - trigger ../../prdgen/jgfs_pgrb2_f213 == complete - endtask - task jgfs_awips_f216 - edit FCSTHR '216' - trigger ../../prdgen/jgfs_pgrb2_f216 == complete - endtask - task jgfs_awips_f219 - edit FCSTHR '219' - trigger ../../prdgen/jgfs_pgrb2_f219 == complete - endtask - task jgfs_awips_f222 - edit FCSTHR '222' - trigger ../../prdgen/jgfs_pgrb2_f222 == complete - endtask - task jgfs_awips_f225 - edit FCSTHR '225' - trigger ../../prdgen/jgfs_pgrb2_f225 == complete - endtask - task jgfs_awips_f228 - edit FCSTHR '228' - trigger ../../prdgen/jgfs_pgrb2_f228 == complete - endtask - task jgfs_awips_f231 - edit FCSTHR '231' - trigger ../../prdgen/jgfs_pgrb2_f231 == complete - endtask - task jgfs_awips_f234 - edit FCSTHR '234' - trigger ../../prdgen/jgfs_pgrb2_f234 == complete - endtask - task jgfs_awips_f237 - edit FCSTHR '237' - trigger ../../prdgen/jgfs_pgrb2_f237 == complete - endtask - task jgfs_awips_f240 - edit FCSTHR '240' - trigger ../../prdgen/jgfs_pgrb2_f240 == complete - endtask - task jgfs_awips_f252 - edit FCSTHR '252' - trigger ../../prdgen/jgfs_pgrb2_f252 == complete - endtask - task jgfs_awips_f264 - edit FCSTHR '264' - trigger ../../prdgen/jgfs_pgrb2_f264 == complete - endtask - task jgfs_awips_f276 - edit FCSTHR '276' - trigger ../../prdgen/jgfs_pgrb2_f276 == complete - endtask - task jgfs_awips_f288 - edit FCSTHR '288' - trigger ../../prdgen/jgfs_pgrb2_f288 == complete - endtask - task jgfs_awips_f300 - edit FCSTHR '300' - trigger ../../prdgen/jgfs_pgrb2_f300 == complete - endtask - task jgfs_awips_f312 - edit FCSTHR '312' - trigger ../../prdgen/jgfs_pgrb2_f312 == complete - endtask - task jgfs_awips_f324 - edit FCSTHR '324' - trigger ../../prdgen/jgfs_pgrb2_f324 == complete - endtask - task jgfs_awips_f336 - edit FCSTHR '336' - trigger ../../prdgen/jgfs_pgrb2_f336 == complete - endtask - task jgfs_awips_f348 - edit FCSTHR '348' - trigger ../../prdgen/jgfs_pgrb2_f348 == complete - endtask - task jgfs_awips_f360 - edit FCSTHR '360' - trigger ../../prdgen/jgfs_pgrb2_f360 == complete - endtask - task jgfs_awips_f372 - edit FCSTHR '372' - trigger ../../prdgen/jgfs_pgrb2_f372 == complete - endtask - task jgfs_awips_f384 - edit FCSTHR '384' - trigger ../../prdgen/jgfs_pgrb2_f384 == complete - endtask - endfamily - family awips_1p0deg - edit RES '1p0deg' - edit RESC '1P0DEG' - #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - task jgfs_awips_f000 - edit FCSTHR '000' - trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask - task jgfs_awips_f001 - edit FCSTHR '001' - trigger ../../prdgen/jgfs_pgrb2_f01 == complete - endtask - task jgfs_awips_f002 - edit FCSTHR '002' - trigger ../../prdgen/jgfs_pgrb2_f02 == complete - endtask - task jgfs_awips_f003 - edit FCSTHR '003' - trigger ../../prdgen/jgfs_pgrb2_f03 == complete - endtask - task jgfs_awips_f004 - edit FCSTHR '004' - trigger ../../prdgen/jgfs_pgrb2_f04 == complete - endtask - task jgfs_awips_f005 - edit FCSTHR '005' - trigger ../../prdgen/jgfs_pgrb2_f05 == complete - endtask - task jgfs_awips_f006 - edit FCSTHR '006' - trigger ../../prdgen/jgfs_pgrb2_f06 == complete - endtask - task jgfs_awips_f007 - edit FCSTHR '007' - trigger ../../prdgen/jgfs_pgrb2_f07 == complete - endtask - task jgfs_awips_f008 - edit FCSTHR '008' - trigger ../../prdgen/jgfs_pgrb2_f08 == complete - endtask - task jgfs_awips_f009 - edit FCSTHR '009' - trigger ../../prdgen/jgfs_pgrb2_f09 == complete - endtask - task jgfs_awips_f010 - edit FCSTHR '010' - trigger ../../prdgen/jgfs_pgrb2_f10 == complete - endtask - task jgfs_awips_f011 - edit FCSTHR '011' - trigger ../../prdgen/jgfs_pgrb2_f11 == complete - endtask - task jgfs_awips_f012 - edit FCSTHR '012' - trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask - task jgfs_awips_f013 - edit FCSTHR '013' - trigger ../../prdgen/jgfs_pgrb2_f13 == complete - endtask - task jgfs_awips_f014 - edit FCSTHR '014' - trigger ../../prdgen/jgfs_pgrb2_f14 == complete - endtask - task jgfs_awips_f015 - edit FCSTHR '015' - trigger ../../prdgen/jgfs_pgrb2_f15 == complete - endtask - task jgfs_awips_f016 - edit FCSTHR '016' - trigger ../../prdgen/jgfs_pgrb2_f16 == complete - endtask - task jgfs_awips_f017 - edit FCSTHR '017' - trigger ../../prdgen/jgfs_pgrb2_f17 == complete - endtask - task jgfs_awips_f018 - edit FCSTHR '018' - trigger ../../prdgen/jgfs_pgrb2_f18 == complete - endtask - task jgfs_awips_f019 - edit FCSTHR '019' - trigger ../../prdgen/jgfs_pgrb2_f19 == complete - endtask - task jgfs_awips_f020 - edit FCSTHR '020' - trigger ../../prdgen/jgfs_pgrb2_f20 == complete - endtask - task jgfs_awips_f021 - edit FCSTHR '021' - trigger ../../prdgen/jgfs_pgrb2_f21 == complete - endtask - task jgfs_awips_f022 - edit FCSTHR '022' - trigger ../../prdgen/jgfs_pgrb2_f22 == complete - endtask - task jgfs_awips_f023 - edit FCSTHR '023' - trigger ../../prdgen/jgfs_pgrb2_f23 == complete - endtask - task jgfs_awips_f024 - edit FCSTHR '024' - trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask - task jgfs_awips_f025 - edit FCSTHR '025' - trigger ../../prdgen/jgfs_pgrb2_f25 == complete - endtask - task jgfs_awips_f026 - edit FCSTHR '026' - trigger ../../prdgen/jgfs_pgrb2_f26 == complete - endtask - task jgfs_awips_f027 - edit FCSTHR '027' - trigger ../../prdgen/jgfs_pgrb2_f27 == complete - endtask - task jgfs_awips_f028 - edit FCSTHR '028' - trigger ../../prdgen/jgfs_pgrb2_f28 == complete - endtask - task jgfs_awips_f029 - edit FCSTHR '029' - trigger ../../prdgen/jgfs_pgrb2_f29 == complete - endtask - task jgfs_awips_f030 - edit FCSTHR '030' - trigger ../../prdgen/jgfs_pgrb2_f30 == complete - endtask - task jgfs_awips_f031 - edit FCSTHR '031' - trigger ../../prdgen/jgfs_pgrb2_f31 == complete - endtask - task jgfs_awips_f032 - edit FCSTHR '032' - trigger ../../prdgen/jgfs_pgrb2_f32 == complete - endtask - task jgfs_awips_f033 - edit FCSTHR '033' - trigger ../../prdgen/jgfs_pgrb2_f33 == complete - endtask - task jgfs_awips_f034 - edit FCSTHR '034' - trigger ../../prdgen/jgfs_pgrb2_f34 == complete - endtask - task jgfs_awips_f035 - edit FCSTHR '035' - trigger ../../prdgen/jgfs_pgrb2_f35 == complete - endtask - task jgfs_awips_f036 - edit FCSTHR '036' - trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask - task jgfs_awips_f037 - edit FCSTHR '037' - trigger ../../prdgen/jgfs_pgrb2_f37 == complete - endtask - task jgfs_awips_f038 - edit FCSTHR '038' - trigger ../../prdgen/jgfs_pgrb2_f38 == complete - endtask - task jgfs_awips_f039 - edit FCSTHR '039' - trigger ../../prdgen/jgfs_pgrb2_f39 == complete - endtask - task jgfs_awips_f040 - edit FCSTHR '040' - trigger ../../prdgen/jgfs_pgrb2_f40 == complete - endtask - task jgfs_awips_f041 - edit FCSTHR '041' - trigger ../../prdgen/jgfs_pgrb2_f41 == complete - endtask - task jgfs_awips_f042 - edit FCSTHR '042' - trigger ../../prdgen/jgfs_pgrb2_f42 == complete - endtask - task jgfs_awips_f043 - edit FCSTHR '043' - trigger ../../prdgen/jgfs_pgrb2_f43 == complete - endtask - task jgfs_awips_f044 - edit FCSTHR '044' - trigger ../../prdgen/jgfs_pgrb2_f44 == complete - endtask - task jgfs_awips_f045 - edit FCSTHR '045' - trigger ../../prdgen/jgfs_pgrb2_f45 == complete - endtask - task jgfs_awips_f046 - edit FCSTHR '046' - trigger ../../prdgen/jgfs_pgrb2_f46 == complete - endtask - task jgfs_awips_f047 - edit FCSTHR '047' - trigger ../../prdgen/jgfs_pgrb2_f47 == complete - endtask - task jgfs_awips_f048 - edit FCSTHR '048' - trigger ../../prdgen/jgfs_pgrb2_f48 == complete - endtask - task jgfs_awips_f049 - edit FCSTHR '049' - trigger ../../prdgen/jgfs_pgrb2_f49 == complete - endtask - task jgfs_awips_f050 - edit FCSTHR '050' - trigger ../../prdgen/jgfs_pgrb2_f50 == complete - endtask - task jgfs_awips_f051 - edit FCSTHR '051' - trigger ../../prdgen/jgfs_pgrb2_f51 == complete - endtask - task jgfs_awips_f052 - edit FCSTHR '052' - trigger ../../prdgen/jgfs_pgrb2_f52 == complete - endtask - task jgfs_awips_f053 - edit FCSTHR '053' - trigger ../../prdgen/jgfs_pgrb2_f53 == complete - endtask - task jgfs_awips_f054 - edit FCSTHR '054' - trigger ../../prdgen/jgfs_pgrb2_f54 == complete - endtask - task jgfs_awips_f055 - edit FCSTHR '055' - trigger ../../prdgen/jgfs_pgrb2_f55 == complete - endtask - task jgfs_awips_f056 - edit FCSTHR '056' - trigger ../../prdgen/jgfs_pgrb2_f56 == complete - endtask - task jgfs_awips_f057 - edit FCSTHR '057' - trigger ../../prdgen/jgfs_pgrb2_f57 == complete - endtask - task jgfs_awips_f058 - edit FCSTHR '058' - trigger ../../prdgen/jgfs_pgrb2_f58 == complete - endtask - task jgfs_awips_f059 - edit FCSTHR '059' - trigger ../../prdgen/jgfs_pgrb2_f59 == complete - endtask - task jgfs_awips_f060 - edit FCSTHR '060' - trigger ../../prdgen/jgfs_pgrb2_f60 == complete - endtask - task jgfs_awips_f061 - edit FCSTHR '061' - trigger ../../prdgen/jgfs_pgrb2_f61 == complete - endtask - task jgfs_awips_f062 - edit FCSTHR '062' - trigger ../../prdgen/jgfs_pgrb2_f62 == complete - endtask - task jgfs_awips_f063 - edit FCSTHR '063' - trigger ../../prdgen/jgfs_pgrb2_f63 == complete - endtask - task jgfs_awips_f064 - edit FCSTHR '064' - trigger ../../prdgen/jgfs_pgrb2_f64 == complete - endtask - task jgfs_awips_f065 - edit FCSTHR '065' - trigger ../../prdgen/jgfs_pgrb2_f65 == complete - endtask - task jgfs_awips_f066 - edit FCSTHR '066' - trigger ../../prdgen/jgfs_pgrb2_f66 == complete - endtask - task jgfs_awips_f067 - edit FCSTHR '067' - trigger ../../prdgen/jgfs_pgrb2_f67 == complete - endtask - task jgfs_awips_f068 - edit FCSTHR '068' - trigger ../../prdgen/jgfs_pgrb2_f68 == complete - endtask - task jgfs_awips_f069 - edit FCSTHR '069' - trigger ../../prdgen/jgfs_pgrb2_f69 == complete - endtask - task jgfs_awips_f070 - edit FCSTHR '070' - trigger ../../prdgen/jgfs_pgrb2_f70 == complete - endtask - task jgfs_awips_f071 - edit FCSTHR '071' - trigger ../../prdgen/jgfs_pgrb2_f71 == complete - endtask - task jgfs_awips_f072 - edit FCSTHR '072' - trigger ../../prdgen/jgfs_pgrb2_f72 == complete - endtask - task jgfs_awips_f073 - edit FCSTHR '073' - trigger ../../prdgen/jgfs_pgrb2_f73 == complete - endtask - task jgfs_awips_f074 - edit FCSTHR '074' - trigger ../../prdgen/jgfs_pgrb2_f74 == complete - endtask - task jgfs_awips_f075 - edit FCSTHR '075' - trigger ../../prdgen/jgfs_pgrb2_f75 == complete - endtask - task jgfs_awips_f076 - edit FCSTHR '076' - trigger ../../prdgen/jgfs_pgrb2_f76 == complete - endtask - task jgfs_awips_f077 - edit FCSTHR '077' - trigger ../../prdgen/jgfs_pgrb2_f77 == complete - endtask - task jgfs_awips_f078 - edit FCSTHR '078' - trigger ../../prdgen/jgfs_pgrb2_f78 == complete - endtask - task jgfs_awips_f079 - edit FCSTHR '079' - trigger ../../prdgen/jgfs_pgrb2_f79 == complete - endtask - task jgfs_awips_f080 - edit FCSTHR '080' - trigger ../../prdgen/jgfs_pgrb2_f80 == complete - endtask - task jgfs_awips_f081 - edit FCSTHR '081' - trigger ../../prdgen/jgfs_pgrb2_f81 == complete - endtask - task jgfs_awips_f082 - edit FCSTHR '082' - trigger ../../prdgen/jgfs_pgrb2_f82 == complete - endtask - task jgfs_awips_f083 - edit FCSTHR '083' - trigger ../../prdgen/jgfs_pgrb2_f83 == complete - endtask - task jgfs_awips_f084 - edit FCSTHR '084' - trigger ../../prdgen/jgfs_pgrb2_f84 == complete - endtask - task jgfs_awips_f085 - edit FCSTHR '085' - trigger ../../prdgen/jgfs_pgrb2_f85 == complete - endtask - task jgfs_awips_f086 - edit FCSTHR '086' - trigger ../../prdgen/jgfs_pgrb2_f86 == complete - endtask - task jgfs_awips_f087 - edit FCSTHR '087' - trigger ../../prdgen/jgfs_pgrb2_f87 == complete - endtask - task jgfs_awips_f088 - edit FCSTHR '088' - trigger ../../prdgen/jgfs_pgrb2_f88 == complete - endtask - task jgfs_awips_f089 - edit FCSTHR '089' - trigger ../../prdgen/jgfs_pgrb2_f89 == complete - endtask - task jgfs_awips_f090 - edit FCSTHR '090' - trigger ../../prdgen/jgfs_pgrb2_f90 == complete - endtask - task jgfs_awips_f091 - edit FCSTHR '091' - trigger ../../prdgen/jgfs_pgrb2_f91 == complete - endtask - task jgfs_awips_f092 - edit FCSTHR '092' - trigger ../../prdgen/jgfs_pgrb2_f92 == complete - endtask - task jgfs_awips_f093 - edit FCSTHR '093' - trigger ../../prdgen/jgfs_pgrb2_f93 == complete - endtask - task jgfs_awips_f094 - edit FCSTHR '094' - trigger ../../prdgen/jgfs_pgrb2_f94 == complete - endtask - task jgfs_awips_f095 - edit FCSTHR '095' - trigger ../../prdgen/jgfs_pgrb2_f95 == complete - endtask - task jgfs_awips_f096 - edit FCSTHR '096' - trigger ../../prdgen/jgfs_pgrb2_f96 == complete - endtask - task jgfs_awips_f097 - edit FCSTHR '097' - trigger ../../prdgen/jgfs_pgrb2_f97 == complete - endtask - task jgfs_awips_f098 - edit FCSTHR '098' - trigger ../../prdgen/jgfs_pgrb2_f98 == complete - endtask - task jgfs_awips_f099 - edit FCSTHR '099' - trigger ../../prdgen/jgfs_pgrb2_f99 == complete - endtask - task jgfs_awips_f100 - edit FCSTHR '100' - trigger ../../prdgen/jgfs_pgrb2_f100 == complete - endtask - task jgfs_awips_f101 - edit FCSTHR '101' - trigger ../../prdgen/jgfs_pgrb2_f101 == complete - endtask - task jgfs_awips_f102 - edit FCSTHR '102' - trigger ../../prdgen/jgfs_pgrb2_f102 == complete - endtask - task jgfs_awips_f103 - edit FCSTHR '103' - trigger ../../prdgen/jgfs_pgrb2_f103 == complete - endtask - task jgfs_awips_f104 - edit FCSTHR '104' - trigger ../../prdgen/jgfs_pgrb2_f104 == complete - endtask - task jgfs_awips_f105 - edit FCSTHR '105' - trigger ../../prdgen/jgfs_pgrb2_f105 == complete - endtask - task jgfs_awips_f106 - edit FCSTHR '106' - trigger ../../prdgen/jgfs_pgrb2_f106 == complete - endtask - task jgfs_awips_f107 - edit FCSTHR '107' - trigger ../../prdgen/jgfs_pgrb2_f107 == complete - endtask - task jgfs_awips_f108 - edit FCSTHR '108' - trigger ../../prdgen/jgfs_pgrb2_f108 == complete - endtask - task jgfs_awips_f109 - edit FCSTHR '109' - trigger ../../prdgen/jgfs_pgrb2_f109 == complete - endtask - task jgfs_awips_f110 - edit FCSTHR '110' - trigger ../../prdgen/jgfs_pgrb2_f110 == complete - endtask - task jgfs_awips_f111 - edit FCSTHR '111' - trigger ../../prdgen/jgfs_pgrb2_f111 == complete - endtask - task jgfs_awips_f112 - edit FCSTHR '112' - trigger ../../prdgen/jgfs_pgrb2_f112 == complete - endtask - task jgfs_awips_f113 - edit FCSTHR '113' - trigger ../../prdgen/jgfs_pgrb2_f113 == complete - endtask - task jgfs_awips_f114 - edit FCSTHR '114' - trigger ../../prdgen/jgfs_pgrb2_f114 == complete - endtask - task jgfs_awips_f115 - edit FCSTHR '115' - trigger ../../prdgen/jgfs_pgrb2_f115 == complete - endtask - task jgfs_awips_f116 - edit FCSTHR '116' - trigger ../../prdgen/jgfs_pgrb2_f116 == complete - endtask - task jgfs_awips_f117 - edit FCSTHR '117' - trigger ../../prdgen/jgfs_pgrb2_f117 == complete - endtask - task jgfs_awips_f118 - edit FCSTHR '118' - trigger ../../prdgen/jgfs_pgrb2_f118 == complete - endtask - task jgfs_awips_f119 - edit FCSTHR '119' - trigger ../../prdgen/jgfs_pgrb2_f119 == complete - endtask - task jgfs_awips_f120 - edit FCSTHR '120' - trigger ../../prdgen/jgfs_pgrb2_f120 == complete - endtask - task jgfs_awips_f123 - edit FCSTHR '123' - trigger ../../prdgen/jgfs_pgrb2_f123 == complete - endtask - task jgfs_awips_f126 - edit FCSTHR '126' - trigger ../../prdgen/jgfs_pgrb2_f126 == complete - endtask - task jgfs_awips_f129 - edit FCSTHR '129' - trigger ../../prdgen/jgfs_pgrb2_f129 == complete - endtask - task jgfs_awips_f132 - edit FCSTHR '132' - trigger ../../prdgen/jgfs_pgrb2_f132 == complete - endtask - task jgfs_awips_f135 - edit FCSTHR '135' - trigger ../../prdgen/jgfs_pgrb2_f135 == complete - endtask - task jgfs_awips_f138 - edit FCSTHR '138' - trigger ../../prdgen/jgfs_pgrb2_f138 == complete - endtask - task jgfs_awips_f141 - edit FCSTHR '141' - trigger ../../prdgen/jgfs_pgrb2_f141 == complete - endtask - task jgfs_awips_f144 - edit FCSTHR '144' - trigger ../../prdgen/jgfs_pgrb2_f144 == complete - endtask - task jgfs_awips_f147 - edit FCSTHR '147' - trigger ../../prdgen/jgfs_pgrb2_f147 == complete - endtask - task jgfs_awips_f150 - edit FCSTHR '150' - trigger ../../prdgen/jgfs_pgrb2_f150 == complete - endtask - task jgfs_awips_f153 - edit FCSTHR '153' - trigger ../../prdgen/jgfs_pgrb2_f153 == complete - endtask - task jgfs_awips_f156 - edit FCSTHR '156' - trigger ../../prdgen/jgfs_pgrb2_f156 == complete - endtask - task jgfs_awips_f159 - edit FCSTHR '159' - trigger ../../prdgen/jgfs_pgrb2_f159 == complete - endtask - task jgfs_awips_f162 - edit FCSTHR '162' - trigger ../../prdgen/jgfs_pgrb2_f162 == complete - endtask - task jgfs_awips_f165 - edit FCSTHR '165' - trigger ../../prdgen/jgfs_pgrb2_f165 == complete - endtask - task jgfs_awips_f168 - edit FCSTHR '168' - trigger ../../prdgen/jgfs_pgrb2_f168 == complete - endtask - task jgfs_awips_f171 - edit FCSTHR '171' - trigger ../../prdgen/jgfs_pgrb2_f171 == complete - endtask - task jgfs_awips_f174 - edit FCSTHR '174' - trigger ../../prdgen/jgfs_pgrb2_f174 == complete - endtask - task jgfs_awips_f177 - edit FCSTHR '177' - trigger ../../prdgen/jgfs_pgrb2_f177 == complete - endtask - task jgfs_awips_f180 - edit FCSTHR '180' - trigger ../../prdgen/jgfs_pgrb2_f180 == complete - endtask - task jgfs_awips_f183 - edit FCSTHR '183' - trigger ../../prdgen/jgfs_pgrb2_f183 == complete - endtask - task jgfs_awips_f186 - edit FCSTHR '186' - trigger ../../prdgen/jgfs_pgrb2_f186 == complete - endtask - task jgfs_awips_f189 - edit FCSTHR '189' - trigger ../../prdgen/jgfs_pgrb2_f189 == complete - endtask - task jgfs_awips_f192 - edit FCSTHR '192' - trigger ../../prdgen/jgfs_pgrb2_f192 == complete - endtask - task jgfs_awips_f195 - edit FCSTHR '195' - trigger ../../prdgen/jgfs_pgrb2_f195 == complete - endtask - task jgfs_awips_f198 - edit FCSTHR '198' - trigger ../../prdgen/jgfs_pgrb2_f198 == complete - endtask - task jgfs_awips_f201 - edit FCSTHR '201' - trigger ../../prdgen/jgfs_pgrb2_f201 == complete - endtask - task jgfs_awips_f204 - edit FCSTHR '204' - trigger ../../prdgen/jgfs_pgrb2_f204 == complete - endtask - task jgfs_awips_f207 - edit FCSTHR '207' - trigger ../../prdgen/jgfs_pgrb2_f207 == complete - endtask - task jgfs_awips_f210 - edit FCSTHR '210' - trigger ../../prdgen/jgfs_pgrb2_f210 == complete - endtask - task jgfs_awips_f213 - edit FCSTHR '213' - trigger ../../prdgen/jgfs_pgrb2_f213 == complete - endtask - task jgfs_awips_f216 - edit FCSTHR '216' - trigger ../../prdgen/jgfs_pgrb2_f216 == complete - endtask - task jgfs_awips_f219 - edit FCSTHR '219' - trigger ../../prdgen/jgfs_pgrb2_f219 == complete - endtask - task jgfs_awips_f222 - edit FCSTHR '222' - trigger ../../prdgen/jgfs_pgrb2_f222 == complete - endtask - task jgfs_awips_f225 - edit FCSTHR '225' - trigger ../../prdgen/jgfs_pgrb2_f225 == complete - endtask - task jgfs_awips_f228 - edit FCSTHR '228' - trigger ../../prdgen/jgfs_pgrb2_f228 == complete - endtask - task jgfs_awips_f231 - edit FCSTHR '231' - trigger ../../prdgen/jgfs_pgrb2_f231 == complete - endtask - task jgfs_awips_f234 - edit FCSTHR '234' - trigger ../../prdgen/jgfs_pgrb2_f234 == complete - endtask - task jgfs_awips_f237 - edit FCSTHR '237' - trigger ../../prdgen/jgfs_pgrb2_f237 == complete - endtask - task jgfs_awips_f240 - edit FCSTHR '240' - trigger ../../prdgen/jgfs_pgrb2_f240 == complete - endtask - task jgfs_awips_f252 - edit FCSTHR '252' - trigger ../../prdgen/jgfs_pgrb2_f252 == complete - endtask - task jgfs_awips_f264 - edit FCSTHR '264' - trigger ../../prdgen/jgfs_pgrb2_f264 == complete - endtask - task jgfs_awips_f276 - edit FCSTHR '276' - trigger ../../prdgen/jgfs_pgrb2_f276 == complete - endtask - task jgfs_awips_f288 - edit FCSTHR '288' - trigger ../../prdgen/jgfs_pgrb2_f288 == complete - endtask - task jgfs_awips_f300 - edit FCSTHR '300' - trigger ../../prdgen/jgfs_pgrb2_f300 == complete - endtask - task jgfs_awips_f312 - edit FCSTHR '312' - trigger ../../prdgen/jgfs_pgrb2_f312 == complete - endtask - task jgfs_awips_f324 - edit FCSTHR '324' - trigger ../../prdgen/jgfs_pgrb2_f324 == complete - endtask - task jgfs_awips_f336 - edit FCSTHR '336' - trigger ../../prdgen/jgfs_pgrb2_f336 == complete - endtask - task jgfs_awips_f348 - edit FCSTHR '348' - trigger ../../prdgen/jgfs_pgrb2_f348 == complete - endtask - task jgfs_awips_f360 - edit FCSTHR '360' - trigger ../../prdgen/jgfs_pgrb2_f360 == complete - endtask - task jgfs_awips_f372 - edit FCSTHR '372' - trigger ../../prdgen/jgfs_pgrb2_f372 == complete - endtask - task jgfs_awips_f384 - edit FCSTHR '384' - trigger ../../prdgen/jgfs_pgrb2_f384 == complete - endtask - endfamily - family awips_20km - edit RES '20km' - edit RESC '20KM' - #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - task jgfs_awips_f000 - edit FCSTHR '000' - trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask - task jgfs_awips_f001 - edit FCSTHR '001' - trigger ../../prdgen/jgfs_pgrb2_f01 == complete - endtask - task jgfs_awips_f002 - edit FCSTHR '002' - trigger ../../prdgen/jgfs_pgrb2_f02 == complete - endtask - task jgfs_awips_f003 - edit FCSTHR '003' - trigger ../../prdgen/jgfs_pgrb2_f03 == complete - endtask - task jgfs_awips_f004 - edit FCSTHR '004' - trigger ../../prdgen/jgfs_pgrb2_f04 == complete - endtask - task jgfs_awips_f005 - edit FCSTHR '005' - trigger ../../prdgen/jgfs_pgrb2_f05 == complete - endtask - task jgfs_awips_f006 - edit FCSTHR '006' - trigger ../../prdgen/jgfs_pgrb2_f06 == complete - endtask - task jgfs_awips_f007 - edit FCSTHR '007' - trigger ../../prdgen/jgfs_pgrb2_f07 == complete - endtask - task jgfs_awips_f008 - edit FCSTHR '008' - trigger ../../prdgen/jgfs_pgrb2_f08 == complete - endtask - task jgfs_awips_f009 - edit FCSTHR '009' - trigger ../../prdgen/jgfs_pgrb2_f09 == complete - endtask - task jgfs_awips_f010 - edit FCSTHR '010' - trigger ../../prdgen/jgfs_pgrb2_f10 == complete - endtask - task jgfs_awips_f011 - edit FCSTHR '011' - trigger ../../prdgen/jgfs_pgrb2_f11 == complete - endtask - task jgfs_awips_f012 - edit FCSTHR '012' - trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask - task jgfs_awips_f013 - edit FCSTHR '013' - trigger ../../prdgen/jgfs_pgrb2_f13 == complete - endtask - task jgfs_awips_f014 - edit FCSTHR '014' - trigger ../../prdgen/jgfs_pgrb2_f14 == complete - endtask - task jgfs_awips_f015 - edit FCSTHR '015' - trigger ../../prdgen/jgfs_pgrb2_f15 == complete - endtask - task jgfs_awips_f016 - edit FCSTHR '016' - trigger ../../prdgen/jgfs_pgrb2_f16 == complete - endtask - task jgfs_awips_f017 - edit FCSTHR '017' - trigger ../../prdgen/jgfs_pgrb2_f17 == complete - endtask - task jgfs_awips_f018 - edit FCSTHR '018' - trigger ../../prdgen/jgfs_pgrb2_f18 == complete - endtask - task jgfs_awips_f019 - edit FCSTHR '019' - trigger ../../prdgen/jgfs_pgrb2_f19 == complete - endtask - task jgfs_awips_f020 - edit FCSTHR '020' - trigger ../../prdgen/jgfs_pgrb2_f20 == complete - endtask - task jgfs_awips_f021 - edit FCSTHR '021' - trigger ../../prdgen/jgfs_pgrb2_f21 == complete - endtask - task jgfs_awips_f022 - edit FCSTHR '022' - trigger ../../prdgen/jgfs_pgrb2_f22 == complete - endtask - task jgfs_awips_f023 - edit FCSTHR '023' - trigger ../../prdgen/jgfs_pgrb2_f23 == complete - endtask - task jgfs_awips_f024 - edit FCSTHR '024' - trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask - task jgfs_awips_f025 - edit FCSTHR '025' - trigger ../../prdgen/jgfs_pgrb2_f25 == complete - endtask - task jgfs_awips_f026 - edit FCSTHR '026' - trigger ../../prdgen/jgfs_pgrb2_f26 == complete - endtask - task jgfs_awips_f027 - edit FCSTHR '027' - trigger ../../prdgen/jgfs_pgrb2_f27 == complete - endtask - task jgfs_awips_f028 - edit FCSTHR '028' - trigger ../../prdgen/jgfs_pgrb2_f28 == complete - endtask - task jgfs_awips_f029 - edit FCSTHR '029' - trigger ../../prdgen/jgfs_pgrb2_f29 == complete - endtask - task jgfs_awips_f030 - edit FCSTHR '030' - trigger ../../prdgen/jgfs_pgrb2_f30 == complete - endtask - task jgfs_awips_f031 - edit FCSTHR '031' - trigger ../../prdgen/jgfs_pgrb2_f31 == complete - endtask - task jgfs_awips_f032 - edit FCSTHR '032' - trigger ../../prdgen/jgfs_pgrb2_f32 == complete - endtask - task jgfs_awips_f033 - edit FCSTHR '033' - trigger ../../prdgen/jgfs_pgrb2_f33 == complete - endtask - task jgfs_awips_f034 - edit FCSTHR '034' - trigger ../../prdgen/jgfs_pgrb2_f34 == complete - endtask - task jgfs_awips_f035 - edit FCSTHR '035' - trigger ../../prdgen/jgfs_pgrb2_f35 == complete - endtask - task jgfs_awips_f036 - edit FCSTHR '036' - trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask - task jgfs_awips_f037 - edit FCSTHR '037' - trigger ../../prdgen/jgfs_pgrb2_f37 == complete - endtask - task jgfs_awips_f038 - edit FCSTHR '038' - trigger ../../prdgen/jgfs_pgrb2_f38 == complete - endtask - task jgfs_awips_f039 - edit FCSTHR '039' - trigger ../../prdgen/jgfs_pgrb2_f39 == complete - endtask - task jgfs_awips_f040 - edit FCSTHR '040' - trigger ../../prdgen/jgfs_pgrb2_f40 == complete - endtask - task jgfs_awips_f041 - edit FCSTHR '041' - trigger ../../prdgen/jgfs_pgrb2_f41 == complete - endtask - task jgfs_awips_f042 - edit FCSTHR '042' - trigger ../../prdgen/jgfs_pgrb2_f42 == complete - endtask - task jgfs_awips_f043 - edit FCSTHR '043' - trigger ../../prdgen/jgfs_pgrb2_f43 == complete - endtask - task jgfs_awips_f044 - edit FCSTHR '044' - trigger ../../prdgen/jgfs_pgrb2_f44 == complete - endtask - task jgfs_awips_f045 - edit FCSTHR '045' - trigger ../../prdgen/jgfs_pgrb2_f45 == complete - endtask - task jgfs_awips_f046 - edit FCSTHR '046' - trigger ../../prdgen/jgfs_pgrb2_f46 == complete - endtask - task jgfs_awips_f047 - edit FCSTHR '047' - trigger ../../prdgen/jgfs_pgrb2_f47 == complete - endtask - task jgfs_awips_f048 - edit FCSTHR '048' - trigger ../../prdgen/jgfs_pgrb2_f48 == complete - endtask - task jgfs_awips_f049 - edit FCSTHR '049' - trigger ../../prdgen/jgfs_pgrb2_f49 == complete - endtask - task jgfs_awips_f050 - edit FCSTHR '050' - trigger ../../prdgen/jgfs_pgrb2_f50 == complete - endtask - task jgfs_awips_f051 - edit FCSTHR '051' - trigger ../../prdgen/jgfs_pgrb2_f51 == complete - endtask - task jgfs_awips_f052 - edit FCSTHR '052' - trigger ../../prdgen/jgfs_pgrb2_f52 == complete - endtask - task jgfs_awips_f053 - edit FCSTHR '053' - trigger ../../prdgen/jgfs_pgrb2_f53 == complete - endtask - task jgfs_awips_f054 - edit FCSTHR '054' - trigger ../../prdgen/jgfs_pgrb2_f54 == complete - endtask - task jgfs_awips_f055 - edit FCSTHR '055' - trigger ../../prdgen/jgfs_pgrb2_f55 == complete - endtask - task jgfs_awips_f056 - edit FCSTHR '056' - trigger ../../prdgen/jgfs_pgrb2_f56 == complete - endtask - task jgfs_awips_f057 - edit FCSTHR '057' - trigger ../../prdgen/jgfs_pgrb2_f57 == complete - endtask - task jgfs_awips_f058 - edit FCSTHR '058' - trigger ../../prdgen/jgfs_pgrb2_f58 == complete - endtask - task jgfs_awips_f059 - edit FCSTHR '059' - trigger ../../prdgen/jgfs_pgrb2_f59 == complete - endtask - task jgfs_awips_f060 - edit FCSTHR '060' - trigger ../../prdgen/jgfs_pgrb2_f60 == complete - endtask - task jgfs_awips_f061 - edit FCSTHR '061' - trigger ../../prdgen/jgfs_pgrb2_f61 == complete - endtask - task jgfs_awips_f062 - edit FCSTHR '062' - trigger ../../prdgen/jgfs_pgrb2_f62 == complete - endtask - task jgfs_awips_f063 - edit FCSTHR '063' - trigger ../../prdgen/jgfs_pgrb2_f63 == complete - endtask - task jgfs_awips_f064 - edit FCSTHR '064' - trigger ../../prdgen/jgfs_pgrb2_f64 == complete - endtask - task jgfs_awips_f065 - edit FCSTHR '065' - trigger ../../prdgen/jgfs_pgrb2_f65 == complete - endtask - task jgfs_awips_f066 - edit FCSTHR '066' - trigger ../../prdgen/jgfs_pgrb2_f66 == complete - endtask - task jgfs_awips_f067 - edit FCSTHR '067' - trigger ../../prdgen/jgfs_pgrb2_f67 == complete - endtask - task jgfs_awips_f068 - edit FCSTHR '068' - trigger ../../prdgen/jgfs_pgrb2_f68 == complete - endtask - task jgfs_awips_f069 - edit FCSTHR '069' - trigger ../../prdgen/jgfs_pgrb2_f69 == complete - endtask - task jgfs_awips_f070 - edit FCSTHR '070' - trigger ../../prdgen/jgfs_pgrb2_f70 == complete - endtask - task jgfs_awips_f071 - edit FCSTHR '071' - trigger ../../prdgen/jgfs_pgrb2_f71 == complete - endtask - task jgfs_awips_f072 - edit FCSTHR '072' - trigger ../../prdgen/jgfs_pgrb2_f72 == complete - endtask - task jgfs_awips_f073 - edit FCSTHR '073' - trigger ../../prdgen/jgfs_pgrb2_f73 == complete - endtask - task jgfs_awips_f074 - edit FCSTHR '074' - trigger ../../prdgen/jgfs_pgrb2_f74 == complete - endtask - task jgfs_awips_f075 - edit FCSTHR '075' - trigger ../../prdgen/jgfs_pgrb2_f75 == complete - endtask - task jgfs_awips_f076 - edit FCSTHR '076' - trigger ../../prdgen/jgfs_pgrb2_f76 == complete - endtask - task jgfs_awips_f077 - edit FCSTHR '077' - trigger ../../prdgen/jgfs_pgrb2_f77 == complete - endtask - task jgfs_awips_f078 - edit FCSTHR '078' - trigger ../../prdgen/jgfs_pgrb2_f78 == complete - endtask - task jgfs_awips_f079 - edit FCSTHR '079' - trigger ../../prdgen/jgfs_pgrb2_f79 == complete - endtask - task jgfs_awips_f080 - edit FCSTHR '080' - trigger ../../prdgen/jgfs_pgrb2_f80 == complete - endtask - task jgfs_awips_f081 - edit FCSTHR '081' - trigger ../../prdgen/jgfs_pgrb2_f81 == complete - endtask - task jgfs_awips_f082 - edit FCSTHR '082' - trigger ../../prdgen/jgfs_pgrb2_f82 == complete - endtask - task jgfs_awips_f083 - edit FCSTHR '083' - trigger ../../prdgen/jgfs_pgrb2_f83 == complete - endtask - task jgfs_awips_f084 - edit FCSTHR '084' - trigger ../../prdgen/jgfs_pgrb2_f84 == complete - endtask - task jgfs_awips_f085 - edit FCSTHR '085' - trigger ../../prdgen/jgfs_pgrb2_f85 == complete - endtask - task jgfs_awips_f086 - edit FCSTHR '086' - trigger ../../prdgen/jgfs_pgrb2_f86 == complete - endtask - task jgfs_awips_f087 - edit FCSTHR '087' - trigger ../../prdgen/jgfs_pgrb2_f87 == complete - endtask - task jgfs_awips_f088 - edit FCSTHR '088' - trigger ../../prdgen/jgfs_pgrb2_f88 == complete - endtask - task jgfs_awips_f089 - edit FCSTHR '089' - trigger ../../prdgen/jgfs_pgrb2_f89 == complete - endtask - task jgfs_awips_f090 - edit FCSTHR '090' - trigger ../../prdgen/jgfs_pgrb2_f90 == complete - endtask - task jgfs_awips_f091 - edit FCSTHR '091' - trigger ../../prdgen/jgfs_pgrb2_f91 == complete - endtask - task jgfs_awips_f092 - edit FCSTHR '092' - trigger ../../prdgen/jgfs_pgrb2_f92 == complete - endtask - task jgfs_awips_f093 - edit FCSTHR '093' - trigger ../../prdgen/jgfs_pgrb2_f93 == complete - endtask - task jgfs_awips_f094 - edit FCSTHR '094' - trigger ../../prdgen/jgfs_pgrb2_f94 == complete - endtask - task jgfs_awips_f095 - edit FCSTHR '095' - trigger ../../prdgen/jgfs_pgrb2_f95 == complete - endtask - task jgfs_awips_f096 - edit FCSTHR '096' - trigger ../../prdgen/jgfs_pgrb2_f96 == complete - endtask - task jgfs_awips_f097 - edit FCSTHR '097' - trigger ../../prdgen/jgfs_pgrb2_f97 == complete - endtask - task jgfs_awips_f098 - edit FCSTHR '098' - trigger ../../prdgen/jgfs_pgrb2_f98 == complete - endtask - task jgfs_awips_f099 - edit FCSTHR '099' - trigger ../../prdgen/jgfs_pgrb2_f99 == complete - endtask - task jgfs_awips_f100 - edit FCSTHR '100' - trigger ../../prdgen/jgfs_pgrb2_f100 == complete - endtask - task jgfs_awips_f101 - edit FCSTHR '101' - trigger ../../prdgen/jgfs_pgrb2_f101 == complete - endtask - task jgfs_awips_f102 - edit FCSTHR '102' - trigger ../../prdgen/jgfs_pgrb2_f102 == complete - endtask - task jgfs_awips_f103 - edit FCSTHR '103' - trigger ../../prdgen/jgfs_pgrb2_f103 == complete - endtask - task jgfs_awips_f104 - edit FCSTHR '104' - trigger ../../prdgen/jgfs_pgrb2_f104 == complete - endtask - task jgfs_awips_f105 - edit FCSTHR '105' - trigger ../../prdgen/jgfs_pgrb2_f105 == complete - endtask - task jgfs_awips_f106 - edit FCSTHR '106' - trigger ../../prdgen/jgfs_pgrb2_f106 == complete - endtask - task jgfs_awips_f107 - edit FCSTHR '107' - trigger ../../prdgen/jgfs_pgrb2_f107 == complete - endtask - task jgfs_awips_f108 - edit FCSTHR '108' - trigger ../../prdgen/jgfs_pgrb2_f108 == complete - endtask - task jgfs_awips_f109 - edit FCSTHR '109' - trigger ../../prdgen/jgfs_pgrb2_f109 == complete - endtask - task jgfs_awips_f110 - edit FCSTHR '110' - trigger ../../prdgen/jgfs_pgrb2_f110 == complete - endtask - task jgfs_awips_f111 - edit FCSTHR '111' - trigger ../../prdgen/jgfs_pgrb2_f111 == complete - endtask - task jgfs_awips_f112 - edit FCSTHR '112' - trigger ../../prdgen/jgfs_pgrb2_f112 == complete - endtask - task jgfs_awips_f113 - edit FCSTHR '113' - trigger ../../prdgen/jgfs_pgrb2_f113 == complete - endtask - task jgfs_awips_f114 - edit FCSTHR '114' - trigger ../../prdgen/jgfs_pgrb2_f114 == complete - endtask - task jgfs_awips_f115 - edit FCSTHR '115' - trigger ../../prdgen/jgfs_pgrb2_f115 == complete - endtask - task jgfs_awips_f116 - edit FCSTHR '116' - trigger ../../prdgen/jgfs_pgrb2_f116 == complete - endtask - task jgfs_awips_f117 - edit FCSTHR '117' - trigger ../../prdgen/jgfs_pgrb2_f117 == complete - endtask - task jgfs_awips_f118 - edit FCSTHR '118' - trigger ../../prdgen/jgfs_pgrb2_f118 == complete - endtask - task jgfs_awips_f119 - edit FCSTHR '119' - trigger ../../prdgen/jgfs_pgrb2_f119 == complete - endtask - task jgfs_awips_f120 - edit FCSTHR '120' - trigger ../../prdgen/jgfs_pgrb2_f120 == complete - endtask - task jgfs_awips_f123 - edit FCSTHR '123' - trigger ../../prdgen/jgfs_pgrb2_f123 == complete - endtask - task jgfs_awips_f126 - edit FCSTHR '126' - trigger ../../prdgen/jgfs_pgrb2_f126 == complete - endtask - task jgfs_awips_f129 - edit FCSTHR '129' - trigger ../../prdgen/jgfs_pgrb2_f129 == complete - endtask - task jgfs_awips_f132 - edit FCSTHR '132' - trigger ../../prdgen/jgfs_pgrb2_f132 == complete - endtask - task jgfs_awips_f135 - edit FCSTHR '135' - trigger ../../prdgen/jgfs_pgrb2_f135 == complete - endtask - task jgfs_awips_f138 - edit FCSTHR '138' - trigger ../../prdgen/jgfs_pgrb2_f138 == complete - endtask - task jgfs_awips_f141 - edit FCSTHR '141' - trigger ../../prdgen/jgfs_pgrb2_f141 == complete - endtask - task jgfs_awips_f144 - edit FCSTHR '144' - trigger ../../prdgen/jgfs_pgrb2_f144 == complete - endtask - task jgfs_awips_f147 - edit FCSTHR '147' - trigger ../../prdgen/jgfs_pgrb2_f147 == complete - endtask - task jgfs_awips_f150 - edit FCSTHR '150' - trigger ../../prdgen/jgfs_pgrb2_f150 == complete - endtask - task jgfs_awips_f153 - edit FCSTHR '153' - trigger ../../prdgen/jgfs_pgrb2_f153 == complete - endtask - task jgfs_awips_f156 - edit FCSTHR '156' - trigger ../../prdgen/jgfs_pgrb2_f156 == complete - endtask - task jgfs_awips_f159 - edit FCSTHR '159' - trigger ../../prdgen/jgfs_pgrb2_f159 == complete - endtask - task jgfs_awips_f162 - edit FCSTHR '162' - trigger ../../prdgen/jgfs_pgrb2_f162 == complete - endtask - task jgfs_awips_f165 - edit FCSTHR '165' - trigger ../../prdgen/jgfs_pgrb2_f165 == complete - endtask - task jgfs_awips_f168 - edit FCSTHR '168' - trigger ../../prdgen/jgfs_pgrb2_f168 == complete - endtask - task jgfs_awips_f171 - edit FCSTHR '171' - trigger ../../prdgen/jgfs_pgrb2_f171 == complete - endtask - task jgfs_awips_f174 - edit FCSTHR '174' - trigger ../../prdgen/jgfs_pgrb2_f174 == complete - endtask - task jgfs_awips_f177 - edit FCSTHR '177' - trigger ../../prdgen/jgfs_pgrb2_f177 == complete - endtask - task jgfs_awips_f180 - edit FCSTHR '180' - trigger ../../prdgen/jgfs_pgrb2_f180 == complete - endtask - task jgfs_awips_f183 - edit FCSTHR '183' - trigger ../../prdgen/jgfs_pgrb2_f183 == complete - endtask - task jgfs_awips_f186 - edit FCSTHR '186' - trigger ../../prdgen/jgfs_pgrb2_f186 == complete - endtask - task jgfs_awips_f189 - edit FCSTHR '189' - trigger ../../prdgen/jgfs_pgrb2_f189 == complete - endtask - task jgfs_awips_f192 - edit FCSTHR '192' - trigger ../../prdgen/jgfs_pgrb2_f192 == complete - endtask - task jgfs_awips_f195 - edit FCSTHR '195' - trigger ../../prdgen/jgfs_pgrb2_f195 == complete - endtask - task jgfs_awips_f198 - edit FCSTHR '198' - trigger ../../prdgen/jgfs_pgrb2_f198 == complete - endtask - task jgfs_awips_f201 - edit FCSTHR '201' - trigger ../../prdgen/jgfs_pgrb2_f201 == complete - endtask - task jgfs_awips_f204 - edit FCSTHR '204' - trigger ../../prdgen/jgfs_pgrb2_f204 == complete - endtask - task jgfs_awips_f207 - edit FCSTHR '207' - trigger ../../prdgen/jgfs_pgrb2_f207 == complete - endtask - task jgfs_awips_f210 - edit FCSTHR '210' - trigger ../../prdgen/jgfs_pgrb2_f210 == complete - endtask - task jgfs_awips_f213 - edit FCSTHR '213' - trigger ../../prdgen/jgfs_pgrb2_f213 == complete - endtask - task jgfs_awips_f216 - edit FCSTHR '216' - trigger ../../prdgen/jgfs_pgrb2_f216 == complete - endtask - task jgfs_awips_f219 - edit FCSTHR '219' - trigger ../../prdgen/jgfs_pgrb2_f219 == complete - endtask - task jgfs_awips_f222 - edit FCSTHR '222' - trigger ../../prdgen/jgfs_pgrb2_f222 == complete - endtask - task jgfs_awips_f225 - edit FCSTHR '225' - trigger ../../prdgen/jgfs_pgrb2_f225 == complete - endtask - task jgfs_awips_f228 - edit FCSTHR '228' - trigger ../../prdgen/jgfs_pgrb2_f228 == complete - endtask - task jgfs_awips_f231 - edit FCSTHR '231' - trigger ../../prdgen/jgfs_pgrb2_f231 == complete - endtask - task jgfs_awips_f234 - edit FCSTHR '234' - trigger ../../prdgen/jgfs_pgrb2_f234 == complete - endtask - task jgfs_awips_f237 - edit FCSTHR '237' - trigger ../../prdgen/jgfs_pgrb2_f237 == complete - endtask - task jgfs_awips_f240 - edit FCSTHR '240' - trigger ../../prdgen/jgfs_pgrb2_f240 == complete - endtask - task jgfs_awips_f252 - edit FCSTHR '252' - trigger ../../prdgen/jgfs_pgrb2_f252 == complete - endtask - task jgfs_awips_f264 - edit FCSTHR '264' - trigger ../../prdgen/jgfs_pgrb2_f264 == complete - endtask - task jgfs_awips_f276 - edit FCSTHR '276' - trigger ../../prdgen/jgfs_pgrb2_f276 == complete - endtask - task jgfs_awips_f288 - edit FCSTHR '288' - trigger ../../prdgen/jgfs_pgrb2_f288 == complete - endtask - task jgfs_awips_f300 - edit FCSTHR '300' - trigger ../../prdgen/jgfs_pgrb2_f300 == complete - endtask - task jgfs_awips_f312 - edit FCSTHR '312' - trigger ../../prdgen/jgfs_pgrb2_f312 == complete - endtask - task jgfs_awips_f324 - edit FCSTHR '324' - trigger ../../prdgen/jgfs_pgrb2_f324 == complete - endtask - task jgfs_awips_f336 - edit FCSTHR '336' - trigger ../../prdgen/jgfs_pgrb2_f336 == complete - endtask - task jgfs_awips_f348 - edit FCSTHR '348' - trigger ../../prdgen/jgfs_pgrb2_f348 == complete - endtask - task jgfs_awips_f360 - edit FCSTHR '360' - trigger ../../prdgen/jgfs_pgrb2_f360 == complete - endtask - task jgfs_awips_f372 - edit FCSTHR '372' - trigger ../../prdgen/jgfs_pgrb2_f372 == complete - endtask - task jgfs_awips_f384 - edit FCSTHR '384' - trigger ../../prdgen/jgfs_pgrb2_f384 == complete - endtask - endfamily - endfamily - family post - task jgfs_post_anl - edit FHR 'anl' - edit HR 'anl' - trigger ./jgfs_post_manager:release_postanl - event 1 release_pgrb2_anl - endtask - task jgfs_pgrb2_spec_post - trigger ./jgfs_post_f336 == complete and ./jgfs_post_f348 == complete and ./jgfs_post_f360 == complete and ./jgfs_post_f372 == complete and ./jgfs_post_f384 == complete - endtask - task jgfs_post_manager - trigger ../jgfs_analysis == complete and ../forecast == complete - event 1 release_postanl - event 2 release_post00 - event 3 release_post01 - event 4 release_post02 - event 5 release_post03 - event 6 release_post04 - event 7 release_post05 - event 8 release_post06 - event 9 release_post07 - event 10 release_post08 - event 11 release_post09 - event 12 release_post10 - event 13 release_post11 - event 14 release_post12 - event 15 release_post13 - event 16 release_post14 - event 17 release_post15 - event 18 release_post16 - event 19 release_post17 - event 20 release_post18 - event 21 release_post19 - event 22 release_post20 - event 23 release_post21 - event 24 release_post22 - event 25 release_post23 - event 26 release_post24 - event 27 release_post25 - event 28 release_post26 - event 29 release_post27 - event 30 release_post28 - event 31 release_post29 - event 32 release_post30 - event 33 release_post31 - event 34 release_post32 - event 35 release_post33 - event 36 release_post34 - event 37 release_post35 - event 38 release_post36 - event 39 release_post37 - event 40 release_post38 - event 41 release_post39 - event 42 release_post40 - event 43 release_post41 - event 44 release_post42 - event 45 release_post43 - event 46 release_post44 - event 47 release_post45 - event 48 release_post46 - event 49 release_post47 - event 50 release_post48 - event 51 release_post49 - event 52 release_post50 - event 53 release_post51 - event 54 release_post52 - event 55 release_post53 - event 56 release_post54 - event 57 release_post55 - event 58 release_post56 - event 59 release_post57 - event 60 release_post58 - event 61 release_post59 - event 62 release_post60 - event 63 release_post61 - event 64 release_post62 - event 65 release_post63 - event 66 release_post64 - event 67 release_post65 - event 68 release_post66 - event 69 release_post67 - event 70 release_post68 - event 71 release_post69 - event 72 release_post70 - event 73 release_post71 - event 74 release_post72 - event 75 release_post73 - event 76 release_post74 - event 77 release_post75 - event 78 release_post76 - event 79 release_post77 - event 80 release_post78 - event 81 release_post79 - event 82 release_post80 - event 83 release_post81 - event 84 release_post82 - event 85 release_post83 - event 86 release_post84 - event 87 release_post85 - event 88 release_post86 - event 89 release_post87 - event 90 release_post88 - event 91 release_post89 - event 92 release_post90 - event 93 release_post91 - event 94 release_post92 - event 95 release_post93 - event 96 release_post94 - event 97 release_post95 - event 98 release_post96 - event 99 release_post97 - event 100 release_post98 - event 101 release_post99 - event 102 release_post100 - event 103 release_post101 - event 104 release_post102 - event 105 release_post103 - event 106 release_post104 - event 107 release_post105 - event 108 release_post106 - event 109 release_post107 - event 110 release_post108 - event 111 release_post109 - event 112 release_post110 - event 113 release_post111 - event 114 release_post112 - event 115 release_post113 - event 116 release_post114 - event 117 release_post115 - event 118 release_post116 - event 119 release_post117 - event 120 release_post118 - event 121 release_post119 - event 122 release_post120 - event 123 release_post123 - event 124 release_post126 - event 125 release_post129 - event 126 release_post132 - event 127 release_post135 - event 128 release_post138 - event 129 release_post141 - event 130 release_post144 - event 131 release_post147 - event 132 release_post150 - event 133 release_post153 - event 134 release_post156 - event 135 release_post159 - event 136 release_post162 - event 137 release_post165 - event 138 release_post168 - event 139 release_post171 - event 140 release_post174 - event 141 release_post177 - event 142 release_post180 - event 143 release_post183 - event 144 release_post186 - event 145 release_post189 - event 146 release_post192 - event 147 release_post195 - event 148 release_post198 - event 149 release_post201 - event 150 release_post204 - event 151 release_post207 - event 152 release_post210 - event 153 release_post213 - event 154 release_post216 - event 155 release_post219 - event 156 release_post222 - event 157 release_post225 - event 158 release_post228 - event 159 release_post231 - event 160 release_post234 - event 161 release_post237 - event 162 release_post240 - event 163 release_post252 - event 164 release_post264 - event 165 release_post276 - event 166 release_post288 - event 167 release_post300 - event 168 release_post312 - event 169 release_post324 - event 170 release_post336 - event 171 release_post348 - event 172 release_post360 - event 173 release_post372 - event 174 release_post384 - endtask - task jgfs_post_f00 - edit FHR: 'f00' - edit HR: '00' - trigger ./jgfs_post_manager:release_post00 - endtask - task jgfs_post_f01 - edit FHR: 'f01' - edit HR: '01' - trigger ./jgfs_post_manager:release_post01 - endtask - task jgfs_post_f02 - edit FHR: 'f02' - edit HR: '02' - trigger ./jgfs_post_manager:release_post02 - endtask - task jgfs_post_f03 - edit FHR: 'f03' - edit HR: '03' - trigger ./jgfs_post_manager:release_post03 - endtask - task jgfs_post_f04 - edit FHR: 'f04' - edit HR: '04' - trigger ./jgfs_post_manager:release_post04 - endtask - task jgfs_post_f05 - edit FHR: 'f05' - edit HR: '05' - trigger ./jgfs_post_manager:release_post05 - endtask - task jgfs_post_f06 - edit FHR: 'f06' - edit HR: '06' - trigger ./jgfs_post_manager:release_post06 - endtask - task jgfs_post_f07 - edit FHR: 'f07' - edit HR: '07' - trigger ./jgfs_post_manager:release_post07 - endtask - task jgfs_post_f08 - edit FHR: 'f08' - edit HR: '08' - trigger ./jgfs_post_manager:release_post08 - endtask - task jgfs_post_f09 - edit FHR: 'f09' - edit HR: '09' - trigger ./jgfs_post_manager:release_post09 - endtask - task jgfs_post_f10 - edit FHR: 'f10' - edit HR: '10' - trigger ./jgfs_post_manager:release_post10 - endtask - task jgfs_post_f11 - edit FHR: 'f11' - edit HR: '11' - trigger ./jgfs_post_manager:release_post11 - endtask - task jgfs_post_f12 - edit FHR: 'f12' - edit HR: '12' - trigger ./jgfs_post_manager:release_post12 - endtask - task jgfs_post_f13 - edit FHR: 'f13' - edit HR: '13' - trigger ./jgfs_post_manager:release_post13 - endtask - task jgfs_post_f14 - edit FHR: 'f14' - edit HR: '14' - trigger ./jgfs_post_manager:release_post14 - endtask - task jgfs_post_f15 - edit FHR: 'f15' - edit HR: '15' - trigger ./jgfs_post_manager:release_post15 - endtask - task jgfs_post_f16 - edit FHR: 'f16' - edit HR: '16' - trigger ./jgfs_post_manager:release_post16 - endtask - task jgfs_post_f17 - edit FHR: 'f17' - edit HR: '17' - trigger ./jgfs_post_manager:release_post17 - endtask - task jgfs_post_f18 - edit FHR: 'f18' - edit HR: '18' - trigger ./jgfs_post_manager:release_post18 - endtask - task jgfs_post_f19 - edit FHR: 'f19' - edit HR: '19' - trigger ./jgfs_post_manager:release_post19 - endtask - task jgfs_post_f20 - edit FHR: 'f20' - edit HR: '20' - trigger ./jgfs_post_manager:release_post20 - endtask - task jgfs_post_f21 - edit FHR: 'f21' - edit HR: '21' - trigger ./jgfs_post_manager:release_post21 - endtask - task jgfs_post_f22 - edit FHR: 'f22' - edit HR: '22' - trigger ./jgfs_post_manager:release_post22 - endtask - task jgfs_post_f23 - edit FHR: 'f23' - edit HR: '23' - trigger ./jgfs_post_manager:release_post23 - endtask - task jgfs_post_f24 - edit FHR: 'f24' - edit HR: '24' - trigger ./jgfs_post_manager:release_post24 - endtask - task jgfs_post_f25 - edit FHR: 'f25' - edit HR: '25' - trigger ./jgfs_post_manager:release_post25 - endtask - task jgfs_post_f26 - edit FHR: 'f26' - edit HR: '26' - trigger ./jgfs_post_manager:release_post26 - endtask - task jgfs_post_f27 - edit FHR: 'f27' - edit HR: '27' - trigger ./jgfs_post_manager:release_post27 - endtask - task jgfs_post_f28 - edit FHR: 'f28' - edit HR: '28' - trigger ./jgfs_post_manager:release_post28 - endtask - task jgfs_post_f29 - edit FHR: 'f29' - edit HR: '29' - trigger ./jgfs_post_manager:release_post29 - endtask - task jgfs_post_f30 - edit FHR: 'f30' - edit HR: '30' - trigger ./jgfs_post_manager:release_post30 - endtask - task jgfs_post_f31 - edit FHR: 'f31' - edit HR: '31' - trigger ./jgfs_post_manager:release_post31 - endtask - task jgfs_post_f32 - edit FHR: 'f32' - edit HR: '32' - trigger ./jgfs_post_manager:release_post32 - endtask - task jgfs_post_f33 - edit FHR: 'f33' - edit HR: '33' - trigger ./jgfs_post_manager:release_post33 - endtask - task jgfs_post_f34 - edit FHR: 'f34' - edit HR: '34' - trigger ./jgfs_post_manager:release_post34 - endtask - task jgfs_post_f35 - edit FHR: 'f35' - edit HR: '35' - trigger ./jgfs_post_manager:release_post35 - endtask - task jgfs_post_f36 - edit FHR: 'f36' - edit HR: '36' - trigger ./jgfs_post_manager:release_post36 - endtask - task jgfs_post_f37 - edit FHR: 'f37' - edit HR: '37' - trigger ./jgfs_post_manager:release_post37 - endtask - task jgfs_post_f38 - edit FHR: 'f38' - edit HR: '38' - trigger ./jgfs_post_manager:release_post38 - endtask - task jgfs_post_f39 - edit FHR: 'f39' - edit HR: '39' - trigger ./jgfs_post_manager:release_post39 - endtask - task jgfs_post_f40 - edit FHR: 'f40' - edit HR: '40' - trigger ./jgfs_post_manager:release_post40 - endtask - task jgfs_post_f41 - edit FHR: 'f41' - edit HR: '41' - trigger ./jgfs_post_manager:release_post41 - endtask - task jgfs_post_f42 - edit FHR: 'f42' - edit HR: '42' - trigger ./jgfs_post_manager:release_post42 - endtask - task jgfs_post_f43 - edit FHR: 'f43' - edit HR: '43' - trigger ./jgfs_post_manager:release_post43 - endtask - task jgfs_post_f44 - edit FHR: 'f44' - edit HR: '44' - trigger ./jgfs_post_manager:release_post44 - endtask - task jgfs_post_f45 - edit FHR: 'f45' - edit HR: '45' - trigger ./jgfs_post_manager:release_post45 - endtask - task jgfs_post_f46 - edit FHR: 'f46' - edit HR: '46' - trigger ./jgfs_post_manager:release_post46 - endtask - task jgfs_post_f47 - edit FHR: 'f47' - edit HR: '47' - trigger ./jgfs_post_manager:release_post47 - endtask - task jgfs_post_f48 - edit FHR: 'f48' - edit HR: '48' - trigger ./jgfs_post_manager:release_post48 - endtask - task jgfs_post_f49 - edit FHR: 'f49' - edit HR: '49' - trigger ./jgfs_post_manager:release_post49 - endtask - task jgfs_post_f50 - edit FHR: 'f50' - edit HR: '50' - trigger ./jgfs_post_manager:release_post50 - endtask - task jgfs_post_f51 - edit FHR: 'f51' - edit HR: '51' - trigger ./jgfs_post_manager:release_post51 - endtask - task jgfs_post_f52 - edit FHR: 'f52' - edit HR: '52' - trigger ./jgfs_post_manager:release_post52 - endtask - task jgfs_post_f53 - edit FHR: 'f53' - edit HR: '53' - trigger ./jgfs_post_manager:release_post53 - endtask - task jgfs_post_f54 - edit FHR: 'f54' - edit HR: '54' - trigger ./jgfs_post_manager:release_post54 - endtask - task jgfs_post_f55 - edit FHR: 'f55' - edit HR: '55' - trigger ./jgfs_post_manager:release_post55 - endtask - task jgfs_post_f56 - edit FHR: 'f56' - edit HR: '56' - trigger ./jgfs_post_manager:release_post56 - endtask - task jgfs_post_f57 - edit FHR: 'f57' - edit HR: '57' - trigger ./jgfs_post_manager:release_post57 - endtask - task jgfs_post_f58 - edit FHR: 'f58' - edit HR: '58' - trigger ./jgfs_post_manager:release_post58 - endtask - task jgfs_post_f59 - edit FHR: 'f59' - edit HR: '59' - trigger ./jgfs_post_manager:release_post59 - endtask - task jgfs_post_f60 - edit FHR: 'f60' - edit HR: '60' - trigger ./jgfs_post_manager:release_post60 - endtask - task jgfs_post_f61 - edit FHR: 'f61' - edit HR: '61' - trigger ./jgfs_post_manager:release_post61 - endtask - task jgfs_post_f62 - edit FHR: 'f62' - edit HR: '62' - trigger ./jgfs_post_manager:release_post62 - endtask - task jgfs_post_f63 - edit FHR: 'f63' - edit HR: '63' - trigger ./jgfs_post_manager:release_post63 - endtask - task jgfs_post_f64 - edit FHR: 'f64' - edit HR: '64' - trigger ./jgfs_post_manager:release_post64 - endtask - task jgfs_post_f65 - edit FHR: 'f65' - edit HR: '65' - trigger ./jgfs_post_manager:release_post65 - endtask - task jgfs_post_f66 - edit FHR: 'f66' - edit HR: '66' - trigger ./jgfs_post_manager:release_post66 - endtask - task jgfs_post_f67 - edit FHR: 'f67' - edit HR: '67' - trigger ./jgfs_post_manager:release_post67 - endtask - task jgfs_post_f68 - edit FHR: 'f68' - edit HR: '68' - trigger ./jgfs_post_manager:release_post68 - endtask - task jgfs_post_f69 - edit FHR: 'f69' - edit HR: '69' - trigger ./jgfs_post_manager:release_post69 - endtask - task jgfs_post_f70 - edit FHR: 'f70' - edit HR: '70' - trigger ./jgfs_post_manager:release_post70 - endtask - task jgfs_post_f71 - edit FHR: 'f71' - edit HR: '71' - trigger ./jgfs_post_manager:release_post71 - endtask - task jgfs_post_f72 - edit FHR: 'f72' - edit HR: '72' - trigger ./jgfs_post_manager:release_post72 - endtask - task jgfs_post_f73 - edit FHR: 'f73' - edit HR: '73' - trigger ./jgfs_post_manager:release_post73 - endtask - task jgfs_post_f74 - edit FHR: 'f74' - edit HR: '74' - trigger ./jgfs_post_manager:release_post74 - endtask - task jgfs_post_f75 - edit FHR: 'f75' - edit HR: '75' - trigger ./jgfs_post_manager:release_post75 - endtask - task jgfs_post_f76 - edit FHR: 'f76' - edit HR: '76' - trigger ./jgfs_post_manager:release_post76 - endtask - task jgfs_post_f77 - edit FHR: 'f77' - edit HR: '77' - trigger ./jgfs_post_manager:release_post77 - endtask - task jgfs_post_f78 - edit FHR: 'f78' - edit HR: '78' - trigger ./jgfs_post_manager:release_post78 - endtask - task jgfs_post_f79 - edit FHR: 'f79' - edit HR: '79' - trigger ./jgfs_post_manager:release_post79 - endtask - task jgfs_post_f80 - edit FHR: 'f80' - edit HR: '80' - trigger ./jgfs_post_manager:release_post80 - endtask - task jgfs_post_f81 - edit FHR: 'f81' - edit HR: '81' - trigger ./jgfs_post_manager:release_post81 - endtask - task jgfs_post_f82 - edit FHR: 'f82' - edit HR: '82' - trigger ./jgfs_post_manager:release_post82 - endtask - task jgfs_post_f83 - edit FHR: 'f83' - edit HR: '83' - trigger ./jgfs_post_manager:release_post83 - endtask - task jgfs_post_f84 - edit FHR: 'f84' - edit HR: '84' - trigger ./jgfs_post_manager:release_post84 - endtask - task jgfs_post_f85 - edit FHR: 'f85' - edit HR: '85' - trigger ./jgfs_post_manager:release_post85 - endtask - task jgfs_post_f86 - edit FHR: 'f86' - edit HR: '86' - trigger ./jgfs_post_manager:release_post86 - endtask - task jgfs_post_f87 - edit FHR: 'f87' - edit HR: '87' - trigger ./jgfs_post_manager:release_post87 - endtask - task jgfs_post_f88 - edit FHR: 'f88' - edit HR: '88' - trigger ./jgfs_post_manager:release_post88 - endtask - task jgfs_post_f89 - edit FHR: 'f89' - edit HR: '89' - trigger ./jgfs_post_manager:release_post89 - endtask - task jgfs_post_f90 - edit FHR: 'f90' - edit HR: '90' - trigger ./jgfs_post_manager:release_post90 - endtask - task jgfs_post_f91 - edit FHR: 'f91' - edit HR: '91' - trigger ./jgfs_post_manager:release_post91 - endtask - task jgfs_post_f92 - edit FHR: 'f92' - edit HR: '92' - trigger ./jgfs_post_manager:release_post92 - endtask - task jgfs_post_f93 - edit FHR: 'f93' - edit HR: '93' - trigger ./jgfs_post_manager:release_post93 - endtask - task jgfs_post_f94 - edit FHR: 'f94' - edit HR: '94' - trigger ./jgfs_post_manager:release_post94 - endtask - task jgfs_post_f95 - edit FHR: 'f95' - edit HR: '95' - trigger ./jgfs_post_manager:release_post95 - endtask - task jgfs_post_f96 - edit FHR: 'f96' - edit HR: '96' - trigger ./jgfs_post_manager:release_post96 - endtask - task jgfs_post_f97 - edit FHR: 'f97' - edit HR: '97' - trigger ./jgfs_post_manager:release_post97 - endtask - task jgfs_post_f98 - edit FHR: 'f98' - edit HR: '98' - trigger ./jgfs_post_manager:release_post98 - endtask - task jgfs_post_f99 - edit FHR: 'f99' - edit HR: '99' - trigger ./jgfs_post_manager:release_post99 - endtask - task jgfs_post_f100 - edit FHR: 'f100' - edit HR: '100' - trigger ./jgfs_post_manager:release_post100 - endtask - task jgfs_post_f101 - edit FHR: 'f101' - edit HR: '101' - trigger ./jgfs_post_manager:release_post101 - endtask - task jgfs_post_f102 - edit FHR: 'f102' - edit HR: '102' - trigger ./jgfs_post_manager:release_post102 - endtask - task jgfs_post_f103 - edit FHR: 'f103' - edit HR: '103' - trigger ./jgfs_post_manager:release_post103 - endtask - task jgfs_post_f104 - edit FHR: 'f104' - edit HR: '104' - trigger ./jgfs_post_manager:release_post104 - endtask - task jgfs_post_f105 - edit FHR: 'f105' - edit HR: '105' - trigger ./jgfs_post_manager:release_post105 - endtask - task jgfs_post_f106 - edit FHR: 'f106' - edit HR: '106' - trigger ./jgfs_post_manager:release_post106 - endtask - task jgfs_post_f107 - edit FHR: 'f107' - edit HR: '107' - trigger ./jgfs_post_manager:release_post107 - endtask - task jgfs_post_f108 - edit FHR: 'f108' - edit HR: '108' - trigger ./jgfs_post_manager:release_post108 - endtask - task jgfs_post_f109 - edit FHR: 'f109' - edit HR: '109' - trigger ./jgfs_post_manager:release_post109 - endtask - task jgfs_post_f110 - edit FHR: 'f110' - edit HR: '110' - trigger ./jgfs_post_manager:release_post110 - endtask - task jgfs_post_f111 - edit FHR: 'f111' - edit HR: '111' - trigger ./jgfs_post_manager:release_post111 - endtask - task jgfs_post_f112 - edit FHR: 'f112' - edit HR: '112' - trigger ./jgfs_post_manager:release_post112 - endtask - task jgfs_post_f113 - edit FHR: 'f113' - edit HR: '113' - trigger ./jgfs_post_manager:release_post113 - endtask - task jgfs_post_f114 - edit FHR: 'f114' - edit HR: '114' - trigger ./jgfs_post_manager:release_post114 - endtask - task jgfs_post_f115 - edit FHR: 'f115' - edit HR: '115' - trigger ./jgfs_post_manager:release_post115 - endtask - task jgfs_post_f116 - edit FHR: 'f116' - edit HR: '116' - trigger ./jgfs_post_manager:release_post116 - endtask - task jgfs_post_f117 - edit FHR: 'f117' - edit HR: '117' - trigger ./jgfs_post_manager:release_post117 - endtask - task jgfs_post_f118 - edit FHR: 'f118' - edit HR: '118' - trigger ./jgfs_post_manager:release_post118 - endtask - task jgfs_post_f119 - edit FHR: 'f119' - edit HR: '119' - trigger ./jgfs_post_manager:release_post119 - endtask - task jgfs_post_f120 - edit FHR: 'f120' - edit HR: '120' - trigger ./jgfs_post_manager:release_post120 - endtask - task jgfs_post_f123 - edit FHR: 'f123' - edit HR: '123' - trigger ./jgfs_post_manager:release_post123 - endtask - task jgfs_post_f126 - edit FHR: 'f126' - edit HR: '126' - trigger ./jgfs_post_manager:release_post126 - endtask - task jgfs_post_f129 - edit FHR: 'f129' - edit HR: '129' - trigger ./jgfs_post_manager:release_post129 - endtask - task jgfs_post_f132 - edit FHR: 'f132' - edit HR: '132' - trigger ./jgfs_post_manager:release_post132 - endtask - task jgfs_post_f135 - edit FHR: 'f135' - edit HR: '135' - trigger ./jgfs_post_manager:release_post135 - endtask - task jgfs_post_f138 - edit FHR: 'f138' - edit HR: '138' - trigger ./jgfs_post_manager:release_post138 - endtask - task jgfs_post_f141 - edit FHR: 'f141' - edit HR: '141' - trigger ./jgfs_post_manager:release_post141 - endtask - task jgfs_post_f144 - edit FHR: 'f144' - edit HR: '144' - trigger ./jgfs_post_manager:release_post144 - endtask - task jgfs_post_f147 - edit FHR: 'f147' - edit HR: '147' - trigger ./jgfs_post_manager:release_post147 - endtask - task jgfs_post_f150 - edit FHR: 'f150' - edit HR: '150' - trigger ./jgfs_post_manager:release_post150 - endtask - task jgfs_post_f153 - edit FHR: 'f153' - edit HR: '153' - trigger ./jgfs_post_manager:release_post153 - endtask - task jgfs_post_f156 - edit FHR: 'f156' - edit HR: '156' - trigger ./jgfs_post_manager:release_post156 - endtask - task jgfs_post_f159 - edit FHR: 'f159' - edit HR: '159' - trigger ./jgfs_post_manager:release_post159 - endtask - task jgfs_post_f162 - edit FHR: 'f162' - edit HR: '162' - trigger ./jgfs_post_manager:release_post162 - endtask - task jgfs_post_f165 - edit FHR: 'f165' - edit HR: '165' - trigger ./jgfs_post_manager:release_post165 - endtask - task jgfs_post_f168 - edit FHR: 'f168' - edit HR: '168' - trigger ./jgfs_post_manager:release_post168 - endtask - task jgfs_post_f171 - edit FHR: 'f171' - edit HR: '171' - trigger ./jgfs_post_manager:release_post171 - endtask - task jgfs_post_f174 - edit FHR: 'f174' - edit HR: '174' - trigger ./jgfs_post_manager:release_post174 - endtask - task jgfs_post_f177 - edit FHR: 'f177' - edit HR: '177' - trigger ./jgfs_post_manager:release_post177 - endtask - task jgfs_post_f180 - edit FHR: 'f180' - edit HR: '180' - trigger ./jgfs_post_manager:release_post180 - endtask - task jgfs_post_f183 - edit FHR: 'f183' - edit HR: '183' - trigger ./jgfs_post_manager:release_post183 - endtask - task jgfs_post_f186 - edit FHR: 'f186' - edit HR: '186' - trigger ./jgfs_post_manager:release_post186 - endtask - task jgfs_post_f189 - edit FHR: 'f189' - edit HR: '189' - trigger ./jgfs_post_manager:release_post189 - endtask - task jgfs_post_f192 - edit FHR: 'f192' - edit HR: '192' - trigger ./jgfs_post_manager:release_post192 - endtask - task jgfs_post_f195 - edit FHR: 'f195' - edit HR: '195' - trigger ./jgfs_post_manager:release_post195 - endtask - task jgfs_post_f198 - edit FHR: 'f198' - edit HR: '198' - trigger ./jgfs_post_manager:release_post198 - endtask - task jgfs_post_f201 - edit FHR: 'f201' - edit HR: '201' - trigger ./jgfs_post_manager:release_post201 - endtask - task jgfs_post_f204 - edit FHR: 'f204' - edit HR: '204' - trigger ./jgfs_post_manager:release_post204 - endtask - task jgfs_post_f207 - edit FHR: 'f207' - edit HR: '207' - trigger ./jgfs_post_manager:release_post207 - endtask - task jgfs_post_f210 - edit FHR: 'f210' - edit HR: '210' - trigger ./jgfs_post_manager:release_post210 - endtask - task jgfs_post_f213 - edit FHR: 'f213' - edit HR: '213' - trigger ./jgfs_post_manager:release_post213 - endtask - task jgfs_post_f216 - edit FHR: 'f216' - edit HR: '216' - trigger ./jgfs_post_manager:release_post216 - endtask - task jgfs_post_f219 - edit FHR: 'f219' - edit HR: '219' - trigger ./jgfs_post_manager:release_post219 - endtask - task jgfs_post_f222 - edit FHR: 'f222' - edit HR: '222' - trigger ./jgfs_post_manager:release_post222 - endtask - task jgfs_post_f225 - edit FHR: 'f225' - edit HR: '225' - trigger ./jgfs_post_manager:release_post225 - endtask - task jgfs_post_f228 - edit FHR: 'f228' - edit HR: '228' - trigger ./jgfs_post_manager:release_post228 - endtask - task jgfs_post_f231 - edit FHR: 'f231' - edit HR: '231' - trigger ./jgfs_post_manager:release_post231 - endtask - task jgfs_post_f234 - edit FHR: 'f234' - edit HR: '234' - trigger ./jgfs_post_manager:release_post234 - endtask - task jgfs_post_f237 - edit FHR: 'f237' - edit HR: '237' - trigger ./jgfs_post_manager:release_post237 - endtask - task jgfs_post_f240 - edit FHR: 'f240' - edit HR: '240' - trigger ./jgfs_post_manager:release_post240 - endtask - task jgfs_post_f252 - edit FHR: 'f252' - edit HR: '252' - trigger ./jgfs_post_manager:release_post252 - endtask - task jgfs_post_f264 - edit FHR: 'f264' - edit HR: '264' - trigger ./jgfs_post_manager:release_post264 - endtask - task jgfs_post_f276 - edit FHR: 'f276' - edit HR: '276' - trigger ./jgfs_post_manager:release_post276 - endtask - task jgfs_post_f288 - edit FHR: 'f288' - edit HR: '288' - trigger ./jgfs_post_manager:release_post288 - endtask - task jgfs_post_f300 - edit FHR: 'f300' - edit HR: '300' - trigger ./jgfs_post_manager:release_post300 - endtask - task jgfs_post_f312 - edit FHR: 'f312' - edit HR: '312' - trigger ./jgfs_post_manager:release_post312 - endtask - task jgfs_post_f324 - edit FHR: 'f324' - edit HR: '324' - trigger ./jgfs_post_manager:release_post324 - endtask - task jgfs_post_f336 - edit FHR: 'f336' - edit HR: '336' - trigger ./jgfs_post_manager:release_post336 - endtask - task jgfs_post_f348 - edit FHR: 'f348' - edit HR: '348' - trigger ./jgfs_post_manager:release_post348 - endtask - task jgfs_post_f360 - edit FHR: 'f360' - edit HR: '360' - trigger ./jgfs_post_manager:release_post360 - endtask - task jgfs_post_f372 - edit FHR: 'f372' - edit HR: '372' - trigger ./jgfs_post_manager:release_post372 - endtask - task jgfs_post_f384 - edit FHR: 'f384' - edit HR: '384' - trigger ./jgfs_post_manager:release_post384 - endtask - endfamily - family prdgen - task jgfs_pgrb2_anl - edit FHR 'anl' - edit HR 'anl' - endtask - task jgfs_pgrb2_manager - trigger ../post == complete - event 2 release_pgrb2_00 - event 3 release_pgrb2_01 - event 4 release_pgrb2_02 - event 5 release_pgrb2_03 - event 6 release_pgrb2_04 - event 7 release_pgrb2_05 - event 8 release_pgrb2_06 - event 9 release_pgrb2_07 - event 10 release_pgrb2_08 - event 11 release_pgrb2_09 - event 12 release_pgrb2_10 - event 13 release_pgrb2_11 - event 14 release_pgrb2_12 - event 15 release_pgrb2_13 - event 16 release_pgrb2_14 - event 17 release_pgrb2_15 - event 18 release_pgrb2_16 - event 19 release_pgrb2_17 - event 20 release_pgrb2_18 - event 21 release_pgrb2_19 - event 22 release_pgrb2_20 - event 23 release_pgrb2_21 - event 24 release_pgrb2_22 - event 25 release_pgrb2_23 - event 26 release_pgrb2_24 - event 27 release_pgrb2_25 - event 28 release_pgrb2_26 - event 29 release_pgrb2_27 - event 30 release_pgrb2_28 - event 31 release_pgrb2_29 - event 32 release_pgrb2_30 - event 33 release_pgrb2_31 - event 34 release_pgrb2_32 - event 35 release_pgrb2_33 - event 36 release_pgrb2_34 - event 37 release_pgrb2_35 - event 38 release_pgrb2_36 - event 39 release_pgrb2_37 - event 40 release_pgrb2_38 - event 41 release_pgrb2_39 - event 42 release_pgrb2_40 - event 43 release_pgrb2_41 - event 44 release_pgrb2_42 - event 45 release_pgrb2_43 - event 46 release_pgrb2_44 - event 47 release_pgrb2_45 - event 48 release_pgrb2_46 - event 49 release_pgrb2_47 - event 50 release_pgrb2_48 - event 51 release_pgrb2_49 - event 52 release_pgrb2_50 - event 53 release_pgrb2_51 - event 54 release_pgrb2_52 - event 55 release_pgrb2_53 - event 56 release_pgrb2_54 - event 57 release_pgrb2_55 - event 58 release_pgrb2_56 - event 59 release_pgrb2_57 - event 60 release_pgrb2_58 - event 61 release_pgrb2_59 - event 62 release_pgrb2_60 - event 63 release_pgrb2_61 - event 64 release_pgrb2_62 - event 65 release_pgrb2_63 - event 66 release_pgrb2_64 - event 67 release_pgrb2_65 - event 68 release_pgrb2_66 - event 69 release_pgrb2_67 - event 70 release_pgrb2_68 - event 71 release_pgrb2_69 - event 72 release_pgrb2_70 - event 73 release_pgrb2_71 - event 74 release_pgrb2_72 - event 75 release_pgrb2_73 - event 76 release_pgrb2_74 - event 77 release_pgrb2_75 - event 78 release_pgrb2_76 - event 79 release_pgrb2_77 - event 80 release_pgrb2_78 - event 81 release_pgrb2_79 - event 82 release_pgrb2_80 - event 83 release_pgrb2_81 - event 84 release_pgrb2_82 - event 85 release_pgrb2_83 - event 86 release_pgrb2_84 - event 87 release_pgrb2_85 - event 88 release_pgrb2_86 - event 89 release_pgrb2_87 - event 90 release_pgrb2_88 - event 91 release_pgrb2_89 - event 92 release_pgrb2_90 - event 93 release_pgrb2_91 - event 94 release_pgrb2_92 - event 95 release_pgrb2_93 - event 96 release_pgrb2_94 - event 97 release_pgrb2_95 - event 98 release_pgrb2_96 - event 99 release_pgrb2_97 - event 100 release_pgrb2_98 - event 101 release_pgrb2_99 - event 102 release_pgrb2_100 - event 103 release_pgrb2_101 - event 104 release_pgrb2_102 - event 105 release_pgrb2_103 - event 106 release_pgrb2_104 - event 107 release_pgrb2_105 - event 108 release_pgrb2_106 - event 109 release_pgrb2_107 - event 110 release_pgrb2_108 - event 111 release_pgrb2_109 - event 112 release_pgrb2_110 - event 113 release_pgrb2_111 - event 114 release_pgrb2_112 - event 115 release_pgrb2_113 - event 116 release_pgrb2_114 - event 117 release_pgrb2_115 - event 118 release_pgrb2_116 - event 119 release_pgrb2_117 - event 120 release_pgrb2_118 - event 121 release_pgrb2_119 - event 122 release_pgrb2_120 - event 123 release_pgrb2_123 - event 124 release_pgrb2_126 - event 125 release_pgrb2_129 - event 126 release_pgrb2_132 - event 127 release_pgrb2_135 - event 128 release_pgrb2_138 - event 129 release_pgrb2_141 - event 130 release_pgrb2_144 - event 131 release_pgrb2_147 - event 132 release_pgrb2_150 - event 133 release_pgrb2_153 - event 134 release_pgrb2_156 - event 135 release_pgrb2_159 - event 136 release_pgrb2_162 - event 137 release_pgrb2_165 - event 138 release_pgrb2_168 - event 139 release_pgrb2_171 - event 140 release_pgrb2_174 - event 141 release_pgrb2_177 - event 142 release_pgrb2_180 - event 143 release_pgrb2_183 - event 144 release_pgrb2_186 - event 145 release_pgrb2_189 - event 146 release_pgrb2_192 - event 147 release_pgrb2_195 - event 148 release_pgrb2_198 - event 149 release_pgrb2_201 - event 150 release_pgrb2_204 - event 151 release_pgrb2_207 - event 152 release_pgrb2_210 - event 153 release_pgrb2_213 - event 154 release_pgrb2_216 - event 155 release_pgrb2_219 - event 156 release_pgrb2_222 - event 157 release_pgrb2_225 - event 158 release_pgrb2_228 - event 159 release_pgrb2_231 - event 160 release_pgrb2_234 - event 161 release_pgrb2_237 - event 162 release_pgrb2_240 - event 163 release_pgrb2_252 - event 164 release_pgrb2_264 - event 165 release_pgrb2_276 - event 166 release_pgrb2_288 - event 167 release_pgrb2_300 - event 168 release_pgrb2_312 - event 169 release_pgrb2_324 - event 170 release_pgrb2_336 - event 171 release_pgrb2_348 - event 172 release_pgrb2_360 - event 173 release_pgrb2_372 - event 174 release_pgrb2_384 - endtask - task jgfs_pgrb2_f00 - edit FHR '00' - edit HR '00' - endtask - task jgfs_pgrb2_f01 - edit FHR '01' - edit HR '01' - endtask - task jgfs_pgrb2_f02 - edit FHR '02' - edit HR '02' - endtask - task jgfs_pgrb2_f03 - edit FHR '03' - edit HR '03' - endtask - task jgfs_pgrb2_f04 - edit FHR '04' - edit HR '04' - endtask - task jgfs_pgrb2_f05 - edit FHR '05' - edit HR '05' - endtask - task jgfs_pgrb2_f06 - edit FHR '06' - edit HR '06' - endtask - task jgfs_pgrb2_f07 - edit FHR '07' - edit HR '07' - endtask - task jgfs_pgrb2_f08 - edit FHR '08' - edit HR '08' - endtask - task jgfs_pgrb2_f09 - edit FHR '09' - edit HR '09' - endtask - task jgfs_pgrb2_f10 - edit FHR '10' - edit HR '10' - endtask - task jgfs_pgrb2_f11 - edit FHR '11' - edit HR '11' - endtask - task jgfs_pgrb2_f12 - edit FHR '12' - edit HR '12' - endtask - task jgfs_pgrb2_f13 - edit FHR '13' - edit HR '13' - endtask - task jgfs_pgrb2_f14 - edit FHR '14' - edit HR '14' - endtask - task jgfs_pgrb2_f15 - edit FHR '15' - edit HR '15' - endtask - task jgfs_pgrb2_f16 - edit FHR '16' - edit HR '16' - endtask - task jgfs_pgrb2_f17 - edit FHR '17' - edit HR '17' - endtask - task jgfs_pgrb2_f18 - edit FHR '18' - edit HR '18' - endtask - task jgfs_pgrb2_f19 - edit FHR '19' - edit HR '19' - endtask - task jgfs_pgrb2_f20 - edit FHR '20' - edit HR '20' - endtask - task jgfs_pgrb2_f21 - edit FHR '21' - edit HR '21' - endtask - task jgfs_pgrb2_f22 - edit FHR '22' - edit HR '22' - endtask - task jgfs_pgrb2_f23 - edit FHR '23' - edit HR '23' - endtask - task jgfs_pgrb2_f24 - edit FHR '24' - edit HR '24' - endtask - task jgfs_pgrb2_f25 - edit FHR '25' - edit HR '25' - endtask - task jgfs_pgrb2_f26 - edit FHR '26' - edit HR '26' - endtask - task jgfs_pgrb2_f27 - edit FHR '27' - edit HR '27' - endtask - task jgfs_pgrb2_f28 - edit FHR '28' - edit HR '28' - endtask - task jgfs_pgrb2_f29 - edit FHR '29' - edit HR '29' - endtask - task jgfs_pgrb2_f30 - edit FHR '30' - edit HR '30' - endtask - task jgfs_pgrb2_f31 - edit FHR '31' - edit HR '31' - endtask - task jgfs_pgrb2_f32 - edit FHR '32' - edit HR '32' - endtask - task jgfs_pgrb2_f33 - edit FHR '33' - edit HR '33' - endtask - task jgfs_pgrb2_f34 - edit FHR '34' - edit HR '34' - endtask - task jgfs_pgrb2_f35 - edit FHR '35' - edit HR '35' - endtask - task jgfs_pgrb2_f36 - edit FHR '36' - edit HR '36' - endtask - task jgfs_pgrb2_f37 - edit FHR '37' - edit HR '37' - endtask - task jgfs_pgrb2_f38 - edit FHR '38' - edit HR '38' - endtask - task jgfs_pgrb2_f39 - edit FHR '39' - edit HR '39' - endtask - task jgfs_pgrb2_f40 - edit FHR '40' - edit HR '40' - endtask - task jgfs_pgrb2_f41 - edit FHR '41' - edit HR '41' - endtask - task jgfs_pgrb2_f42 - edit FHR '42' - edit HR '42' - endtask - task jgfs_pgrb2_f43 - edit FHR '43' - edit HR '43' - endtask - task jgfs_pgrb2_f44 - edit FHR '44' - edit HR '44' - endtask - task jgfs_pgrb2_f45 - edit FHR '45' - edit HR '45' - endtask - task jgfs_pgrb2_f46 - edit FHR '46' - edit HR '46' - endtask - task jgfs_pgrb2_f47 - edit FHR '47' - edit HR '47' - endtask - task jgfs_pgrb2_f48 - edit FHR '48' - edit HR '48' - endtask - task jgfs_pgrb2_f49 - edit FHR '49' - edit HR '49' - endtask - task jgfs_pgrb2_f50 - edit FHR '50' - edit HR '50' - endtask - task jgfs_pgrb2_f51 - edit FHR '51' - edit HR '51' - endtask - task jgfs_pgrb2_f52 - edit FHR '52' - edit HR '52' - endtask - task jgfs_pgrb2_f53 - edit FHR '53' - edit HR '53' - endtask - task jgfs_pgrb2_f54 - edit FHR '54' - edit HR '54' - endtask - task jgfs_pgrb2_f55 - edit FHR '55' - edit HR '55' - endtask - task jgfs_pgrb2_f56 - edit FHR '56' - edit HR '56' - endtask - task jgfs_pgrb2_f57 - edit FHR '57' - edit HR '57' - endtask - task jgfs_pgrb2_f58 - edit FHR '58' - edit HR '58' - endtask - task jgfs_pgrb2_f59 - edit FHR '59' - edit HR '59' - endtask - task jgfs_pgrb2_f60 - edit FHR '60' - edit HR '60' - endtask - task jgfs_pgrb2_f61 - edit FHR '61' - edit HR '61' - endtask - task jgfs_pgrb2_f62 - edit FHR '62' - edit HR '62' - endtask - task jgfs_pgrb2_f63 - edit FHR '63' - edit HR '63' - endtask - task jgfs_pgrb2_f64 - edit FHR '64' - edit HR '64' - endtask - task jgfs_pgrb2_f65 - edit FHR '65' - edit HR '65' - endtask - task jgfs_pgrb2_f66 - edit FHR '66' - edit HR '66' - endtask - task jgfs_pgrb2_f67 - edit FHR '67' - edit HR '67' - endtask - task jgfs_pgrb2_f68 - edit FHR '68' - edit HR '68' - endtask - task jgfs_pgrb2_f69 - edit FHR '69' - edit HR '69' - endtask - task jgfs_pgrb2_f70 - edit FHR '70' - edit HR '70' - endtask - task jgfs_pgrb2_f71 - edit FHR '71' - edit HR '71' - endtask - task jgfs_pgrb2_f72 - edit FHR '72' - edit HR '72' - endtask - task jgfs_pgrb2_f73 - edit FHR '73' - edit HR '73' - endtask - task jgfs_pgrb2_f74 - edit FHR '74' - edit HR '74' - endtask - task jgfs_pgrb2_f75 - edit FHR '75' - edit HR '75' - endtask - task jgfs_pgrb2_f76 - edit FHR '76' - edit HR '76' - endtask - task jgfs_pgrb2_f77 - edit FHR '77' - edit HR '77' - endtask - task jgfs_pgrb2_f78 - edit FHR '78' - edit HR '78' - endtask - task jgfs_pgrb2_f79 - edit FHR '79' - edit HR '79' - endtask - task jgfs_pgrb2_f80 - edit FHR '80' - edit HR '80' - endtask - task jgfs_pgrb2_f81 - edit FHR '81' - edit HR '81' - endtask - task jgfs_pgrb2_f82 - edit FHR '82' - edit HR '82' - endtask - task jgfs_pgrb2_f83 - edit FHR '83' - edit HR '83' - endtask - task jgfs_pgrb2_f84 - edit FHR '84' - edit HR '84' - endtask - task jgfs_pgrb2_f85 - edit FHR '85' - edit HR '85' - endtask - task jgfs_pgrb2_f86 - edit FHR '86' - edit HR '86' - endtask - task jgfs_pgrb2_f87 - edit FHR '87' - edit HR '87' - endtask - task jgfs_pgrb2_f88 - edit FHR '88' - edit HR '88' - endtask - task jgfs_pgrb2_f89 - edit FHR '89' - edit HR '89' - endtask - task jgfs_pgrb2_f90 - edit FHR '90' - edit HR '90' - endtask - task jgfs_pgrb2_f91 - edit FHR '91' - edit HR '91' - endtask - task jgfs_pgrb2_f92 - edit FHR '92' - edit HR '92' - endtask - task jgfs_pgrb2_f93 - edit FHR '93' - edit HR '93' - endtask - task jgfs_pgrb2_f94 - edit FHR '94' - edit HR '94' - endtask - task jgfs_pgrb2_f95 - edit FHR '95' - edit HR '95' - endtask - task jgfs_pgrb2_f96 - edit FHR '96' - edit HR '96' - endtask - task jgfs_pgrb2_f97 - edit FHR '97' - edit HR '97' - endtask - task jgfs_pgrb2_f98 - edit FHR '98' - edit HR '98' - endtask - task jgfs_pgrb2_f99 - edit FHR '99' - edit HR '99' - endtask - task jgfs_pgrb2_f100 - edit FHR '100' - edit HR '100' - endtask - task jgfs_pgrb2_f101 - edit FHR '101' - edit HR '101' - endtask - task jgfs_pgrb2_f102 - edit FHR '102' - edit HR '102' - endtask - task jgfs_pgrb2_f103 - edit FHR '103' - edit HR '103' - endtask - task jgfs_pgrb2_f104 - edit FHR '104' - edit HR '104' - endtask - task jgfs_pgrb2_f105 - edit FHR '105' - edit HR '105' - endtask - task jgfs_pgrb2_f106 - edit FHR '106' - edit HR '106' - endtask - task jgfs_pgrb2_f107 - edit FHR '107' - edit HR '107' - endtask - task jgfs_pgrb2_f108 - edit FHR '108' - edit HR '108' - endtask - task jgfs_pgrb2_f109 - edit FHR '109' - edit HR '109' - endtask - task jgfs_pgrb2_f110 - edit FHR '110' - edit HR '110' - endtask - task jgfs_pgrb2_f111 - edit FHR '111' - edit HR '111' - endtask - task jgfs_pgrb2_f112 - edit FHR '112' - edit HR '112' - endtask - task jgfs_pgrb2_f113 - edit FHR '113' - edit HR '113' - endtask - task jgfs_pgrb2_f114 - edit FHR '114' - edit HR '114' - endtask - task jgfs_pgrb2_f115 - edit FHR '115' - edit HR '115' - endtask - task jgfs_pgrb2_f116 - edit FHR '116' - edit HR '116' - endtask - task jgfs_pgrb2_f117 - edit FHR '117' - edit HR '117' - endtask - task jgfs_pgrb2_f118 - edit FHR '118' - edit HR '118' - endtask - task jgfs_pgrb2_f119 - edit FHR '119' - edit HR '119' - endtask - task jgfs_pgrb2_f120 - edit FHR '120' - edit HR '120' - endtask - task jgfs_pgrb2_f123 - edit FHR '123' - edit HR '123' - endtask - task jgfs_pgrb2_f126 - edit FHR '126' - edit HR '126' - endtask - task jgfs_pgrb2_f129 - edit FHR '129' - edit HR '129' - endtask - task jgfs_pgrb2_f132 - edit FHR '132' - edit HR '132' - endtask - task jgfs_pgrb2_f135 - edit FHR '135' - edit HR '135' - endtask - task jgfs_pgrb2_f138 - edit FHR '138' - edit HR '138' - endtask - task jgfs_pgrb2_f141 - edit FHR '141' - edit HR '141' - endtask - task jgfs_pgrb2_f144 - edit FHR '144' - edit HR '144' - endtask - task jgfs_pgrb2_f147 - edit FHR '147' - edit HR '147' - endtask - task jgfs_pgrb2_f150 - edit FHR '150' - edit HR '150' - endtask - task jgfs_pgrb2_f153 - edit FHR '153' - edit HR '153' - endtask - task jgfs_pgrb2_f156 - edit FHR '156' - edit HR '156' - endtask - task jgfs_pgrb2_f159 - edit FHR '159' - edit HR '159' - endtask - task jgfs_pgrb2_f162 - edit FHR '162' - edit HR '162' - endtask - task jgfs_pgrb2_f165 - edit FHR '165' - edit HR '165' - endtask - task jgfs_pgrb2_f168 - edit FHR '168' - edit HR '168' - endtask - task jgfs_pgrb2_f171 - edit FHR '171' - edit HR '171' - endtask - task jgfs_pgrb2_f174 - edit FHR '174' - edit HR '174' - endtask - task jgfs_pgrb2_f177 - edit FHR '177' - edit HR '177' - endtask - task jgfs_pgrb2_f180 - edit FHR '180' - edit HR '180' - endtask - task jgfs_pgrb2_f183 - edit FHR '183' - edit HR '183' - endtask - task jgfs_pgrb2_f186 - edit FHR '186' - edit HR '186' - endtask - task jgfs_pgrb2_f189 - edit FHR '189' - edit HR '189' - endtask - task jgfs_pgrb2_f192 - edit FHR '192' - edit HR '192' - endtask - task jgfs_pgrb2_f195 - edit FHR '195' - edit HR '195' - endtask - task jgfs_pgrb2_f198 - edit FHR '198' - edit HR '198' - endtask - task jgfs_pgrb2_f201 - edit FHR '201' - edit HR '201' - endtask - task jgfs_pgrb2_f204 - edit FHR '204' - edit HR '204' - endtask - task jgfs_pgrb2_f207 - edit FHR '207' - edit HR '207' - endtask - task jgfs_pgrb2_f210 - edit FHR '210' - edit HR '210' - endtask - task jgfs_pgrb2_f213 - edit FHR '213' - edit HR '213' - endtask - task jgfs_pgrb2_f216 - edit FHR '216' - edit HR '216' - endtask - task jgfs_pgrb2_f219 - edit FHR '219' - edit HR '219' - endtask - task jgfs_pgrb2_f222 - edit FHR '222' - edit HR '222' - endtask - task jgfs_pgrb2_f225 - edit FHR '225' - edit HR '225' - endtask - task jgfs_pgrb2_f228 - edit FHR '228' - edit HR '228' - endtask - task jgfs_pgrb2_f231 - edit FHR '231' - edit HR '231' - endtask - task jgfs_pgrb2_f234 - edit FHR '234' - edit HR '234' - endtask - task jgfs_pgrb2_f237 - edit FHR '237' - edit HR '237' - endtask - task jgfs_pgrb2_f240 - edit FHR '240' - edit HR '240' - endtask - task jgfs_pgrb2_f252 - edit FHR '252' - edit HR '252' - endtask - task jgfs_pgrb2_f264 - edit FHR '264' - edit HR '264' - endtask - task jgfs_pgrb2_f276 - edit FHR '276' - edit HR '276' - endtask - task jgfs_pgrb2_f288 - edit FHR '288' - edit HR '288' - endtask - task jgfs_pgrb2_f300 - edit FHR '300' - edit HR '300' - endtask - task jgfs_pgrb2_f312 - edit FHR '312' - edit HR '312' - endtask - task jgfs_pgrb2_f324 - edit FHR '324' - edit HR '324' - endtask - task jgfs_pgrb2_f336 - edit FHR '336' - edit HR '336' - endtask - task jgfs_pgrb2_f348 - edit FHR '348' - edit HR '348' - endtask - task jgfs_pgrb2_f360 - edit FHR '360' - edit HR '360' - endtask - task jgfs_pgrb2_f372 - edit FHR '372' - edit HR '372' - endtask - task jgfs_pgrb2_f384 - edit FHR '384' - edit HR '384' - endtask - endfamily - family gempak - task jgfs_gempak_upapgif - trigger ../dump/jgfs_dump == complete - endtask - task jgfs_gempak_ncdc - trigger ./jgfs_gempak == active or ./jgfs_gempak == complete - endtask - task jgfs_gempak - trigger ../jgfs_analysis == complete - endtask - task jgfs_gempak_meta - trigger ../jgfs_analysis == complete - endtask - endfamily - endfamily - family gdas - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - edit PROJ '%PROJENVIR%' - edit MODEL_NAME 'gdas' - task jgdas_verfrad - trigger ./enkf == complete - endtask - task jgdas_vminmon - trigger ./analysis/jgdas_analysis_high == complete - endtask - family dump - task jgdas_ics - event 1 release_gdas00_ics - endtask - task jgdas_tropcy_qc_reloc - trigger ./jgdas_dump == complete - endtask - task jgdas_dump - event 1 release_sfcprep - endtask - endfamily - family prep - task jgdas_emcsfc_sfc_prep - trigger ../dump/jgdas_dump:release_sfcprep - endtask - task jgdas_prep - trigger ../dump/jgdas_dump == complete and ../dump/jgdas_tropcy_qc_reloc == complete - endtask - task jgdas_prep_post - trigger ../analysis/jgdas_analysis_high == complete - endtask - endfamily - family analysis - task jgdas_analysis_high - trigger ../prep/jgdas_prep == complete and ../prep/jgdas_emcsfc_sfc_prep == complete - event 1 release_fcst - endtask - endfamily - family forecast - task jgdas_forecast - trigger ../analysis/jgdas_analysis_high:release_fcst and ../enkf/innovate == complete - event 1 release_fcst - endtask - endfamily - family post_processing - family bulletins - task jgdas_mknavybulls - trigger ../../dump/jgdas_dump == complete - endtask - endfamily - endfamily - family gempak - task jgdas_gempak - trigger ../forecast/jgdas_forecast == complete - endtask - task jgdas_gempak_meta - trigger ./jgdas_gempak == complete - endtask - task jgdas_gempak_ncdc - trigger ./jgdas_gempak == complete - endtask - endfamily - family post - task jgdas_post - trigger ../forecast/jgdas_forecast == complete - endtask - endfamily - family enkf - task jgdas_enkf_select_obs - trigger ../prep/jgdas_prep == complete and /prod18/gdas/enkf/jgdas_enkf_post == complete - endtask - family innovate - trigger ./jgdas_enkf_select_obs == complete - task jgdas_enkf_innovate_obs_grp1 - endtask - task jgdas_enkf_innovate_obs_grp2 - endtask - task jgdas_enkf_innovate_obs_grp3 - endtask - task jgdas_enkf_innovate_obs_grp4 - endtask - task jgdas_enkf_innovate_obs_grp5 - endtask - task jgdas_enkf_innovate_obs_grp6 - endtask - task jgdas_enkf_innovate_obs_grp7 - endtask - task jgdas_enkf_innovate_obs_grp8 - endtask - endfamily - task jgdas_enkf_update - edit ECF_PASS 'FREE' - trigger ./innovate == complete - endtask - task jgdas_enkf_inflate_recenter - trigger ./jgdas_enkf_update == complete and ../analysis/jgdas_analysis_high == complete - endtask - family forecast - trigger ./jgdas_enkf_inflate_recenter == complete - task jgdas_enkf_fcst_grp1 - endtask - task jgdas_enkf_fcst_grp2 - endtask - task jgdas_enkf_fcst_grp3 - endtask - task jgdas_enkf_fcst_grp4 - endtask - task jgdas_enkf_fcst_grp5 - endtask - task jgdas_enkf_fcst_grp6 - endtask - task jgdas_enkf_fcst_grp7 - endtask - task jgdas_enkf_fcst_grp8 - endtask - endfamily - task jgdas_enkf_post - trigger ./forecast == complete - endtask - endfamily - endfamily - task cycle_end - edit ECF_JOB_CMD '%ECF_JOB% 1> %ECF_JOBOUT% 2>&1' - edit ECF_PASS 'FREE' - endtask -endsuite diff --git a/model/ecflow_fv3gfs/defs/prod06.def b/model/ecflow_fv3gfs/defs/prod06.def deleted file mode 100644 index 1834dfb..0000000 --- a/model/ecflow_fv3gfs/defs/prod06.def +++ /dev/null @@ -1,4366 +0,0 @@ -extern /prod00/gdas/enkf/jgdas_enkf_post -suite prod06 - repeat day 1 - edit ECF_TRIES '1' - #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' - edit ECF_KILL_CMD 'lkill %ECF_NAME% %ECF_JOBOUT%' - edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs' - #edit ECF_HOME '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3' - edit CYC '06' - edit ENVIR 'prod' - edit PROJ 'GFS-T2O' - edit E 'jecffv3' - #edit QUEUE 'dev' - edit QUEUE 'debug' - edit PROJENVIR 'GFS-T2O' - #edit EMCPEN 'ecfgfsfv3' - edit EMCPEN 'ecfgfsfv3' - #edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' - edit COM '/gpfs/hps2/ptmp/emc.glopara/ecfgfsfv3/com' - edit QUEUESERV 'dev_transfer' - edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/ecfgfsfv3' - edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' - edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' - edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' - edit MODEL_NAME 'gfs' - family gfs - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' - edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' - edit PROJ '%PROJENVIR%' - edit MODEL_NAME 'gfs' - family dump - task jgfs_tropcy_qc_reloc - trigger ./jgfs_dump == complete - event 1 jtwc_bull_email - endtask - task jgfs_dump - event 1 release_sfcprep - endtask - endfamily - family prep - task jgfs_emcsfc_sfc_prep - trigger ../dump/jgfs_dump:release_sfcprep - endtask - task jgfs_prep - trigger ../dump == complete - endtask - task jgfs_prep_post - trigger ../jgfs_analysis == complete - endtask - endfamily - task jgfs_analysis - trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete - endtask - task jgfs_vminmon - trigger ./jgfs_analysis == complete - endtask - family forecast - task jgfs_forecast_high - trigger ../jgfs_analysis == complete - endtask - task jgfs_forecast_low - trigger ./jgfs_forecast_high == complete - endtask - endfamily - family sminit_guam - task jgfs_sminit_guam_even - trigger ../post/jgfs_post_anl == active or ../post/jgfs_post_anl == complete - endtask - task jgfs_sminit_guam_odd - trigger ../post/jgfs_post_anl == active or ../post/jgfs_post_anl == complete - endtask - endfamily - family post_processing - task dummy - trigger ../forecast == complete - endtask - family fax - task jgfs_fax_f00 - edit FCSTHR '00' - trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_anl == complete - endtask - task jgfs_fax_anl - edit FCSTHR 'anl' - trigger ../../prdgen/jgfs_pgrb2_anl == complete - endtask - task jgfs_fax_wafs_f12 - edit FCSTHR '12' - trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask - task jgfs_fax_wafs_f24 - edit FCSTHR '24' - trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask - task jgfs_fax_wafs_f36 - edit FCSTHR '36' - trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask - endfamily - family grib_wafs - task jgfs_wafs_f00 - edit FCSTHR '00' - trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f120 == complete and ../grib2_wafs/jgfs_wafs_grib2 == complete - endtask - task jgfs_wafs_f06 - edit FCSTHR '06' - trigger ../../prdgen/jgfs_pgrb2_f06 == complete and ./jgfs_wafs_f00 == complete - endtask - task jgfs_wafs_f12 - edit FCSTHR '12' - trigger ../../prdgen/jgfs_pgrb2_f12 == complete and ./jgfs_wafs_f06 == complete - endtask - task jgfs_wafs_f18 - edit FCSTHR '18' - trigger ../../prdgen/jgfs_pgrb2_f18 == complete and ./jgfs_wafs_f12 == complete - endtask - task jgfs_wafs_f24 - edit FCSTHR '24' - trigger ../../prdgen/jgfs_pgrb2_f24 == complete and ./jgfs_wafs_f18 == complete - endtask - task jgfs_wafs_f30 - edit FCSTHR '30' - trigger ../../prdgen/jgfs_pgrb2_f30 == complete and ./jgfs_wafs_f24 == complete - endtask - task jgfs_wafs_f36 - edit FCSTHR '36' - trigger ../../prdgen/jgfs_pgrb2_f36 == complete and ./jgfs_wafs_f30 == complete - endtask - task jgfs_wafs_f42 - edit FCSTHR '42' - trigger ../../prdgen/jgfs_pgrb2_f42 == complete and ./jgfs_wafs_f36 == complete - endtask - task jgfs_wafs_f48 - edit FCSTHR '48' - trigger ../../prdgen/jgfs_pgrb2_f48 == complete and ./jgfs_wafs_f42 == complete - endtask - task jgfs_wafs_f54 - edit FCSTHR '54' - trigger ../../prdgen/jgfs_pgrb2_f54 == complete and ./jgfs_wafs_f48 == complete - endtask - task jgfs_wafs_f60 - edit FCSTHR '60' - trigger ../../prdgen/jgfs_pgrb2_f60 == complete and ./jgfs_wafs_f54 == complete - endtask - task jgfs_wafs_f66 - edit FCSTHR '66' - trigger ../../prdgen/jgfs_pgrb2_f66 == complete and ./jgfs_wafs_f60 == complete - endtask - task jgfs_wafs_f72 - edit FCSTHR '72' - trigger ../../prdgen/jgfs_pgrb2_f72 == complete and ./jgfs_wafs_f66 == complete - endtask - task jgfs_wafs_f78 - edit FCSTHR '78' - trigger ../../prdgen/jgfs_pgrb2_f78 == complete and ./jgfs_wafs_f72 == complete - endtask - task jgfs_wafs_f84 - edit FCSTHR '84' - trigger ../../prdgen/jgfs_pgrb2_f84 == complete and ./jgfs_wafs_f78 == complete - endtask - task jgfs_wafs_f90 - edit FCSTHR '90' - trigger ../../prdgen/jgfs_pgrb2_f90 == complete and ./jgfs_wafs_f84 == complete - endtask - task jgfs_wafs_f96 - edit FCSTHR '96' - trigger ../../prdgen/jgfs_pgrb2_f96 == complete and ./jgfs_wafs_f90 == complete - endtask - task jgfs_wafs_f102 - edit FCSTHR '102' - trigger ../../prdgen/jgfs_pgrb2_f102 == complete and ./jgfs_wafs_f96 == complete - endtask - task jgfs_wafs_f108 - edit FCSTHR '108' - trigger ../../prdgen/jgfs_pgrb2_f108 == complete and ./jgfs_wafs_f102 == complete - endtask - task jgfs_wafs_f114 - edit FCSTHR '114' - trigger ../../prdgen/jgfs_pgrb2_f114 == complete and ./jgfs_wafs_f108 == complete - endtask - task jgfs_wafs_f120 - edit FCSTHR '120' - trigger ../../prdgen/jgfs_pgrb2_f120 == complete and ./jgfs_wafs_f114 == complete - endtask - endfamily - family bufr_sounding - task jgfs_postsnd - trigger ../../post/jgfs_post_manager:release_post00 - endtask - endfamily - family bulletins - task jgfs_fbwind - trigger ../../post/jgfs_post_f06 == complete and ../../post/jgfs_post_f12 == complete and ../../post/jgfs_post_f24 == complete - endtask - task jgfs_cyclone_tracker - trigger ../../post/jgfs_post_f00 == complete and ../../post/jgfs_post_f06 == complete and ../../post/jgfs_post_f12 == complete and ../../post/jgfs_post_f18 == complete and ../../post/jgfs_post_f24 == complete and ../../post/jgfs_post_f30 == complete and ../../post/jgfs_post_f36 == complete and ../../post/jgfs_post_f42 == complete and ../../post/jgfs_post_f48 == complete and ../../post/jgfs_post_f54 == complete and ../../post/jgfs_post_f60 == complete and ../../post/jgfs_post_f66 == complete and ../../post/jgfs_post_f72 == complete and ../../post/jgfs_post_f78 == complete and ../../post/jgfs_post_f84 == complete and ../../post/jgfs_post_f90 == complete and ../../post/jgfs_post_f96 == complete and ../../post/jgfs_post_f102 == complete and ../../post/jgfs_post_f108 == complete and ../../post/jgfs_post_f114 == complete and ../../post/jgfs_post_f120 == complete and ../../post/jgfs_post_f126 == complete and ../../post/jgfs_post_f132 == complete and ../../post/jgfs_post_f138 == complete and ../../post/jgfs_post_f144 == complete and ../../post/jgfs_post_f150 == complete and ../../post/jgfs_post_f156 == complete and ../../post/jgfs_post_f162 == complete and ../../post/jgfs_post_f168 == complete and ../../post/jgfs_post_f174 == complete and ../../post/jgfs_post_f180 == complete and ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f06 == complete and ../../prdgen/jgfs_pgrb2_f12 == complete and ../../prdgen/jgfs_pgrb2_f18 == complete and ../../prdgen/jgfs_pgrb2_f24 == complete and ../../prdgen/jgfs_pgrb2_f30 == complete and ../../prdgen/jgfs_pgrb2_f36 == complete and ../../prdgen/jgfs_pgrb2_f42 == complete and ../../prdgen/jgfs_pgrb2_f48 == complete and ../../prdgen/jgfs_pgrb2_f54 == complete and ../../prdgen/jgfs_pgrb2_f60 == complete and ../../prdgen/jgfs_pgrb2_f66 == complete and ../../prdgen/jgfs_pgrb2_f72 == complete and ../../prdgen/jgfs_pgrb2_f78 == complete and ../../prdgen/jgfs_pgrb2_f84 == complete and ../../prdgen/jgfs_pgrb2_f90 == complete and ../../prdgen/jgfs_pgrb2_f96 == complete and ../../prdgen/jgfs_pgrb2_f102 == complete and ../../prdgen/jgfs_pgrb2_f108 == complete and ../../prdgen/jgfs_pgrb2_f114 == complete and ../../prdgen/jgfs_pgrb2_f120 == complete and ../../prdgen/jgfs_pgrb2_f126 == complete and ../../prdgen/jgfs_pgrb2_f132 == complete and ../../prdgen/jgfs_pgrb2_f138 == complete and ../../prdgen/jgfs_pgrb2_f144 == complete and ../../prdgen/jgfs_pgrb2_f150 == complete and ../../prdgen/jgfs_pgrb2_f156 == complete and ../../prdgen/jgfs_pgrb2_f162 == complete and ../../prdgen/jgfs_pgrb2_f168 == complete and ../../prdgen/jgfs_pgrb2_f174 == complete and ../../prdgen/jgfs_pgrb2_f180 == complete - endtask - endfamily - family grib2_wafs - task jgfs_wafs_grib2 - trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask - task jgfs_wafs_blending - trigger ./jgfs_wafs_grib2 == complete - endtask - endfamily - family grib_awips - task jgfs_awips_f00 - edit FCSTHR '00' - trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask - task jgfs_awips_f01 - edit FCSTHR '01' - trigger ../../prdgen/jgfs_pgrb2_f01 == complete - endtask - task jgfs_awips_f02 - edit FCSTHR '02' - trigger ../../prdgen/jgfs_pgrb2_f02 == complete - endtask - task jgfs_awips_f03 - edit FCSTHR '03' - trigger ../../prdgen/jgfs_pgrb2_f03 == complete - endtask - task jgfs_awips_f04 - edit FCSTHR '04' - trigger ../../prdgen/jgfs_pgrb2_f04 == complete - endtask - task jgfs_awips_f05 - edit FCSTHR '05' - trigger ../../prdgen/jgfs_pgrb2_f05 == complete - endtask - task jgfs_awips_f06 - edit FCSTHR '06' - trigger ../../prdgen/jgfs_pgrb2_f06 == complete - endtask - task jgfs_awips_f07 - edit FCSTHR '07' - trigger ../../prdgen/jgfs_pgrb2_f07 == complete - endtask - task jgfs_awips_f08 - edit FCSTHR '08' - trigger ../../prdgen/jgfs_pgrb2_f08 == complete - endtask - task jgfs_awips_f09 - edit FCSTHR '09' - trigger ../../prdgen/jgfs_pgrb2_f09 == complete - endtask - task jgfs_awips_f10 - edit FCSTHR '10' - trigger ../../prdgen/jgfs_pgrb2_f10 == complete - endtask - task jgfs_awips_f11 - edit FCSTHR '11' - trigger ../../prdgen/jgfs_pgrb2_f11 == complete - endtask - task jgfs_awips_f12 - edit FCSTHR '12' - trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask - task jgfs_awips_f13 - edit FCSTHR '13' - trigger ../../prdgen/jgfs_pgrb2_f13 == complete - endtask - task jgfs_awips_f14 - edit FCSTHR '14' - trigger ../../prdgen/jgfs_pgrb2_f14 == complete - endtask - task jgfs_awips_f15 - edit FCSTHR '15' - trigger ../../prdgen/jgfs_pgrb2_f15 == complete - endtask - task jgfs_awips_f16 - edit FCSTHR '16' - trigger ../../prdgen/jgfs_pgrb2_f16 == complete - endtask - task jgfs_awips_f17 - edit FCSTHR '17' - trigger ../../prdgen/jgfs_pgrb2_f17 == complete - endtask - task jgfs_awips_f18 - edit FCSTHR '18' - trigger ../../prdgen/jgfs_pgrb2_f18 == complete - endtask - task jgfs_awips_f19 - edit FCSTHR '19' - trigger ../../prdgen/jgfs_pgrb2_f19 == complete - endtask - task jgfs_awips_f20 - edit FCSTHR '20' - trigger ../../prdgen/jgfs_pgrb2_f20 == complete - endtask - task jgfs_awips_f21 - edit FCSTHR '21' - trigger ../../prdgen/jgfs_pgrb2_f21 == complete - endtask - task jgfs_awips_f22 - edit FCSTHR '22' - trigger ../../prdgen/jgfs_pgrb2_f22 == complete - endtask - task jgfs_awips_f23 - edit FCSTHR '23' - trigger ../../prdgen/jgfs_pgrb2_f23 == complete - endtask - task jgfs_awips_f24 - edit FCSTHR '24' - trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask - task jgfs_awips_f25 - edit FCSTHR '25' - trigger ../../prdgen/jgfs_pgrb2_f25 == complete - endtask - task jgfs_awips_f26 - edit FCSTHR '26' - trigger ../../prdgen/jgfs_pgrb2_f26 == complete - endtask - task jgfs_awips_f27 - edit FCSTHR '27' - trigger ../../prdgen/jgfs_pgrb2_f27 == complete - endtask - task jgfs_awips_f28 - edit FCSTHR '28' - trigger ../../prdgen/jgfs_pgrb2_f28 == complete - endtask - task jgfs_awips_f29 - edit FCSTHR '29' - trigger ../../prdgen/jgfs_pgrb2_f29 == complete - endtask - task jgfs_awips_f30 - edit FCSTHR '30' - trigger ../../prdgen/jgfs_pgrb2_f30 == complete - endtask - task jgfs_awips_f31 - edit FCSTHR '31' - trigger ../../prdgen/jgfs_pgrb2_f31 == complete - endtask - task jgfs_awips_f32 - edit FCSTHR '32' - trigger ../../prdgen/jgfs_pgrb2_f32 == complete - endtask - task jgfs_awips_f33 - edit FCSTHR '33' - trigger ../../prdgen/jgfs_pgrb2_f33 == complete - endtask - task jgfs_awips_f34 - edit FCSTHR '34' - trigger ../../prdgen/jgfs_pgrb2_f34 == complete - endtask - task jgfs_awips_f35 - edit FCSTHR '35' - trigger ../../prdgen/jgfs_pgrb2_f35 == complete - endtask - task jgfs_awips_f36 - edit FCSTHR '36' - trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask - task jgfs_awips_f37 - edit FCSTHR '37' - trigger ../../prdgen/jgfs_pgrb2_f37 == complete - endtask - task jgfs_awips_f38 - edit FCSTHR '38' - trigger ../../prdgen/jgfs_pgrb2_f38 == complete - endtask - task jgfs_awips_f39 - edit FCSTHR '39' - trigger ../../prdgen/jgfs_pgrb2_f39 == complete - endtask - task jgfs_awips_f40 - edit FCSTHR '40' - trigger ../../prdgen/jgfs_pgrb2_f40 == complete - endtask - task jgfs_awips_f41 - edit FCSTHR '41' - trigger ../../prdgen/jgfs_pgrb2_f41 == complete - endtask - task jgfs_awips_f42 - edit FCSTHR '42' - trigger ../../prdgen/jgfs_pgrb2_f42 == complete - endtask - task jgfs_awips_f43 - edit FCSTHR '43' - trigger ../../prdgen/jgfs_pgrb2_f43 == complete - endtask - task jgfs_awips_f44 - edit FCSTHR '44' - trigger ../../prdgen/jgfs_pgrb2_f44 == complete - endtask - task jgfs_awips_f45 - edit FCSTHR '45' - trigger ../../prdgen/jgfs_pgrb2_f45 == complete - endtask - task jgfs_awips_f46 - edit FCSTHR '46' - trigger ../../prdgen/jgfs_pgrb2_f46 == complete - endtask - task jgfs_awips_f47 - edit FCSTHR '47' - trigger ../../prdgen/jgfs_pgrb2_f47 == complete - endtask - task jgfs_awips_f48 - edit FCSTHR '48' - trigger ../../prdgen/jgfs_pgrb2_f48 == complete - endtask - task jgfs_awips_f49 - edit FCSTHR '49' - trigger ../../prdgen/jgfs_pgrb2_f49 == complete - endtask - task jgfs_awips_f50 - edit FCSTHR '50' - trigger ../../prdgen/jgfs_pgrb2_f50 == complete - endtask - task jgfs_awips_f51 - edit FCSTHR '51' - trigger ../../prdgen/jgfs_pgrb2_f51 == complete - endtask - task jgfs_awips_f52 - edit FCSTHR '52' - trigger ../../prdgen/jgfs_pgrb2_f52 == complete - endtask - task jgfs_awips_f53 - edit FCSTHR '53' - trigger ../../prdgen/jgfs_pgrb2_f53 == complete - endtask - task jgfs_awips_f54 - edit FCSTHR '54' - trigger ../../prdgen/jgfs_pgrb2_f54 == complete - endtask - task jgfs_awips_f55 - edit FCSTHR '55' - trigger ../../prdgen/jgfs_pgrb2_f55 == complete - endtask - task jgfs_awips_f56 - edit FCSTHR '56' - trigger ../../prdgen/jgfs_pgrb2_f56 == complete - endtask - task jgfs_awips_f57 - edit FCSTHR '57' - trigger ../../prdgen/jgfs_pgrb2_f57 == complete - endtask - task jgfs_awips_f58 - edit FCSTHR '58' - trigger ../../prdgen/jgfs_pgrb2_f58 == complete - endtask - task jgfs_awips_f59 - edit FCSTHR '59' - trigger ../../prdgen/jgfs_pgrb2_f59 == complete - endtask - task jgfs_awips_f60 - edit FCSTHR '60' - trigger ../../prdgen/jgfs_pgrb2_f60 == complete - endtask - task jgfs_awips_f61 - edit FCSTHR '61' - trigger ../../prdgen/jgfs_pgrb2_f61 == complete - endtask - task jgfs_awips_f62 - edit FCSTHR '62' - trigger ../../prdgen/jgfs_pgrb2_f62 == complete - endtask - task jgfs_awips_f63 - edit FCSTHR '63' - trigger ../../prdgen/jgfs_pgrb2_f63 == complete - endtask - task jgfs_awips_f64 - edit FCSTHR '64' - trigger ../../prdgen/jgfs_pgrb2_f64 == complete - endtask - task jgfs_awips_f65 - edit FCSTHR '65' - trigger ../../prdgen/jgfs_pgrb2_f65 == complete - endtask - task jgfs_awips_f66 - edit FCSTHR '66' - trigger ../../prdgen/jgfs_pgrb2_f66 == complete - endtask - task jgfs_awips_f67 - edit FCSTHR '67' - trigger ../../prdgen/jgfs_pgrb2_f67 == complete - endtask - task jgfs_awips_f68 - edit FCSTHR '68' - trigger ../../prdgen/jgfs_pgrb2_f68 == complete - endtask - task jgfs_awips_f69 - edit FCSTHR '69' - trigger ../../prdgen/jgfs_pgrb2_f69 == complete - endtask - task jgfs_awips_f70 - edit FCSTHR '70' - trigger ../../prdgen/jgfs_pgrb2_f70 == complete - endtask - task jgfs_awips_f71 - edit FCSTHR '71' - trigger ../../prdgen/jgfs_pgrb2_f71 == complete - endtask - task jgfs_awips_f72 - edit FCSTHR '72' - trigger ../../prdgen/jgfs_pgrb2_f72 == complete - endtask - task jgfs_awips_f73 - edit FCSTHR '73' - trigger ../../prdgen/jgfs_pgrb2_f73 == complete - endtask - task jgfs_awips_f74 - edit FCSTHR '74' - trigger ../../prdgen/jgfs_pgrb2_f74 == complete - endtask - task jgfs_awips_f75 - edit FCSTHR '75' - trigger ../../prdgen/jgfs_pgrb2_f75 == complete - endtask - task jgfs_awips_f76 - edit FCSTHR '76' - trigger ../../prdgen/jgfs_pgrb2_f76 == complete - endtask - task jgfs_awips_f77 - edit FCSTHR '77' - trigger ../../prdgen/jgfs_pgrb2_f77 == complete - endtask - task jgfs_awips_f78 - edit FCSTHR '78' - trigger ../../prdgen/jgfs_pgrb2_f78 == complete - endtask - task jgfs_awips_f79 - edit FCSTHR '79' - trigger ../../prdgen/jgfs_pgrb2_f79 == complete - endtask - task jgfs_awips_f80 - edit FCSTHR '80' - trigger ../../prdgen/jgfs_pgrb2_f80 == complete - endtask - task jgfs_awips_f81 - edit FCSTHR '81' - trigger ../../prdgen/jgfs_pgrb2_f81 == complete - endtask - task jgfs_awips_f82 - edit FCSTHR '82' - trigger ../../prdgen/jgfs_pgrb2_f82 == complete - endtask - task jgfs_awips_f83 - edit FCSTHR '83' - trigger ../../prdgen/jgfs_pgrb2_f83 == complete - endtask - task jgfs_awips_f84 - edit FCSTHR '84' - trigger ../../prdgen/jgfs_pgrb2_f84 == complete - endtask - task jgfs_awips_f85 - edit FCSTHR '85' - trigger ../../prdgen/jgfs_pgrb2_f85 == complete - endtask - task jgfs_awips_f86 - edit FCSTHR '86' - trigger ../../prdgen/jgfs_pgrb2_f86 == complete - endtask - task jgfs_awips_f87 - edit FCSTHR '87' - trigger ../../prdgen/jgfs_pgrb2_f87 == complete - endtask - task jgfs_awips_f88 - edit FCSTHR '88' - trigger ../../prdgen/jgfs_pgrb2_f88 == complete - endtask - task jgfs_awips_f89 - edit FCSTHR '89' - trigger ../../prdgen/jgfs_pgrb2_f89 == complete - endtask - task jgfs_awips_f90 - edit FCSTHR '90' - trigger ../../prdgen/jgfs_pgrb2_f90 == complete - endtask - task jgfs_awips_f91 - edit FCSTHR '91' - trigger ../../prdgen/jgfs_pgrb2_f91 == complete - endtask - task jgfs_awips_f92 - edit FCSTHR '92' - trigger ../../prdgen/jgfs_pgrb2_f92 == complete - endtask - task jgfs_awips_f93 - edit FCSTHR '93' - trigger ../../prdgen/jgfs_pgrb2_f93 == complete - endtask - task jgfs_awips_f94 - edit FCSTHR '94' - trigger ../../prdgen/jgfs_pgrb2_f94 == complete - endtask - task jgfs_awips_f95 - edit FCSTHR '95' - trigger ../../prdgen/jgfs_pgrb2_f95 == complete - endtask - task jgfs_awips_f96 - edit FCSTHR '96' - trigger ../../prdgen/jgfs_pgrb2_f96 == complete - endtask - task jgfs_awips_f97 - edit FCSTHR '97' - trigger ../../prdgen/jgfs_pgrb2_f97 == complete - endtask - task jgfs_awips_f98 - edit FCSTHR '98' - trigger ../../prdgen/jgfs_pgrb2_f98 == complete - endtask - task jgfs_awips_f99 - edit FCSTHR '99' - trigger ../../prdgen/jgfs_pgrb2_f99 == complete - endtask - task jgfs_awips_f100 - edit FCSTHR '100' - trigger ../../prdgen/jgfs_pgrb2_f100 == complete - endtask - task jgfs_awips_f101 - edit FCSTHR '101' - trigger ../../prdgen/jgfs_pgrb2_f101 == complete - endtask - task jgfs_awips_f102 - edit FCSTHR '102' - trigger ../../prdgen/jgfs_pgrb2_f102 == complete - endtask - task jgfs_awips_f103 - edit FCSTHR '103' - trigger ../../prdgen/jgfs_pgrb2_f103 == complete - endtask - task jgfs_awips_f104 - edit FCSTHR '104' - trigger ../../prdgen/jgfs_pgrb2_f104 == complete - endtask - task jgfs_awips_f105 - edit FCSTHR '105' - trigger ../../prdgen/jgfs_pgrb2_f105 == complete - endtask - task jgfs_awips_f106 - edit FCSTHR '106' - trigger ../../prdgen/jgfs_pgrb2_f106 == complete - endtask - task jgfs_awips_f107 - edit FCSTHR '107' - trigger ../../prdgen/jgfs_pgrb2_f107 == complete - endtask - task jgfs_awips_f108 - edit FCSTHR '108' - trigger ../../prdgen/jgfs_pgrb2_f108 == complete - endtask - task jgfs_awips_f109 - edit FCSTHR '109' - trigger ../../prdgen/jgfs_pgrb2_f109 == complete - endtask - task jgfs_awips_f110 - edit FCSTHR '110' - trigger ../../prdgen/jgfs_pgrb2_f110 == complete - endtask - task jgfs_awips_f111 - edit FCSTHR '111' - trigger ../../prdgen/jgfs_pgrb2_f111 == complete - endtask - task jgfs_awips_f112 - edit FCSTHR '112' - trigger ../../prdgen/jgfs_pgrb2_f112 == complete - endtask - task jgfs_awips_f113 - edit FCSTHR '113' - trigger ../../prdgen/jgfs_pgrb2_f113 == complete - endtask - task jgfs_awips_f114 - edit FCSTHR '114' - trigger ../../prdgen/jgfs_pgrb2_f114 == complete - endtask - task jgfs_awips_f115 - edit FCSTHR '115' - trigger ../../prdgen/jgfs_pgrb2_f115 == complete - endtask - task jgfs_awips_f116 - edit FCSTHR '116' - trigger ../../prdgen/jgfs_pgrb2_f116 == complete - endtask - task jgfs_awips_f117 - edit FCSTHR '117' - trigger ../../prdgen/jgfs_pgrb2_f117 == complete - endtask - task jgfs_awips_f118 - edit FCSTHR '118' - trigger ../../prdgen/jgfs_pgrb2_f118 == complete - endtask - task jgfs_awips_f119 - edit FCSTHR '119' - trigger ../../prdgen/jgfs_pgrb2_f119 == complete - endtask - task jgfs_awips_f120 - edit FCSTHR '120' - trigger ../../prdgen/jgfs_pgrb2_f120 == complete - endtask - task jgfs_awips_f123 - edit FCSTHR '123' - trigger ../../prdgen/jgfs_pgrb2_f123 == complete - endtask - task jgfs_awips_f126 - edit FCSTHR '126' - trigger ../../prdgen/jgfs_pgrb2_f126 == complete - endtask - task jgfs_awips_f129 - edit FCSTHR '129' - trigger ../../prdgen/jgfs_pgrb2_f129 == complete - endtask - task jgfs_awips_f132 - edit FCSTHR '132' - trigger ../../prdgen/jgfs_pgrb2_f132 == complete - endtask - task jgfs_awips_f135 - edit FCSTHR '135' - trigger ../../prdgen/jgfs_pgrb2_f135 == complete - endtask - task jgfs_awips_f138 - edit FCSTHR '138' - trigger ../../prdgen/jgfs_pgrb2_f138 == complete - endtask - task jgfs_awips_f141 - edit FCSTHR '141' - trigger ../../prdgen/jgfs_pgrb2_f141 == complete - endtask - task jgfs_awips_f144 - edit FCSTHR '144' - trigger ../../prdgen/jgfs_pgrb2_f144 == complete - endtask - task jgfs_awips_f147 - edit FCSTHR '147' - trigger ../../prdgen/jgfs_pgrb2_f147 == complete - endtask - task jgfs_awips_f150 - edit FCSTHR '150' - trigger ../../prdgen/jgfs_pgrb2_f150 == complete - endtask - task jgfs_awips_f153 - edit FCSTHR '153' - trigger ../../prdgen/jgfs_pgrb2_f153 == complete - endtask - task jgfs_awips_f156 - edit FCSTHR '156' - trigger ../../prdgen/jgfs_pgrb2_f156 == complete - endtask - task jgfs_awips_f159 - edit FCSTHR '159' - trigger ../../prdgen/jgfs_pgrb2_f159 == complete - endtask - task jgfs_awips_f162 - edit FCSTHR '162' - trigger ../../prdgen/jgfs_pgrb2_f162 == complete - endtask - task jgfs_awips_f165 - edit FCSTHR '165' - trigger ../../prdgen/jgfs_pgrb2_f165 == complete - endtask - task jgfs_awips_f168 - edit FCSTHR '168' - trigger ../../prdgen/jgfs_pgrb2_f168 == complete - endtask - task jgfs_awips_f171 - edit FCSTHR '171' - trigger ../../prdgen/jgfs_pgrb2_f171 == complete - endtask - task jgfs_awips_f174 - edit FCSTHR '174' - trigger ../../prdgen/jgfs_pgrb2_f174 == complete - endtask - task jgfs_awips_f177 - edit FCSTHR '177' - trigger ../../prdgen/jgfs_pgrb2_f177 == complete - endtask - task jgfs_awips_f180 - edit FCSTHR '180' - trigger ../../prdgen/jgfs_pgrb2_f180 == complete - endtask - task jgfs_awips_f183 - edit FCSTHR '183' - trigger ../../prdgen/jgfs_pgrb2_f183 == complete - endtask - task jgfs_awips_f186 - edit FCSTHR '186' - trigger ../../prdgen/jgfs_pgrb2_f186 == complete - endtask - task jgfs_awips_f189 - edit FCSTHR '189' - trigger ../../prdgen/jgfs_pgrb2_f189 == complete - endtask - task jgfs_awips_f192 - edit FCSTHR '192' - trigger ../../prdgen/jgfs_pgrb2_f192 == complete - endtask - task jgfs_awips_f195 - edit FCSTHR '195' - trigger ../../prdgen/jgfs_pgrb2_f195 == complete - endtask - task jgfs_awips_f198 - edit FCSTHR '198' - trigger ../../prdgen/jgfs_pgrb2_f198 == complete - endtask - task jgfs_awips_f201 - edit FCSTHR '201' - trigger ../../prdgen/jgfs_pgrb2_f201 == complete - endtask - task jgfs_awips_f204 - edit FCSTHR '204' - trigger ../../prdgen/jgfs_pgrb2_f204 == complete - endtask - task jgfs_awips_f207 - edit FCSTHR '207' - trigger ../../prdgen/jgfs_pgrb2_f207 == complete - endtask - task jgfs_awips_f210 - edit FCSTHR '210' - trigger ../../prdgen/jgfs_pgrb2_f210 == complete - endtask - task jgfs_awips_f213 - edit FCSTHR '213' - trigger ../../prdgen/jgfs_pgrb2_f213 == complete - endtask - task jgfs_awips_f216 - edit FCSTHR '216' - trigger ../../prdgen/jgfs_pgrb2_f216 == complete - endtask - task jgfs_awips_f219 - edit FCSTHR '219' - trigger ../../prdgen/jgfs_pgrb2_f219 == complete - endtask - task jgfs_awips_f222 - edit FCSTHR '222' - trigger ../../prdgen/jgfs_pgrb2_f222 == complete - endtask - task jgfs_awips_f225 - edit FCSTHR '225' - trigger ../../prdgen/jgfs_pgrb2_f225 == complete - endtask - task jgfs_awips_f228 - edit FCSTHR '228' - trigger ../../prdgen/jgfs_pgrb2_f228 == complete - endtask - task jgfs_awips_f231 - edit FCSTHR '231' - trigger ../../prdgen/jgfs_pgrb2_f231 == complete - endtask - task jgfs_awips_f234 - edit FCSTHR '234' - trigger ../../prdgen/jgfs_pgrb2_f234 == complete - endtask - task jgfs_awips_f237 - edit FCSTHR '237' - trigger ../../prdgen/jgfs_pgrb2_f237 == complete - endtask - task jgfs_awips_f240 - edit FCSTHR '240' - trigger ../../prdgen/jgfs_pgrb2_f240 == complete - endtask - task jgfs_awips_f252 - edit FCSTHR '252' - trigger ../../prdgen/jgfs_pgrb2_f252 == complete - endtask - task jgfs_awips_f264 - edit FCSTHR '264' - trigger ../../prdgen/jgfs_pgrb2_f264 == complete - endtask - task jgfs_awips_f276 - edit FCSTHR '276' - trigger ../../prdgen/jgfs_pgrb2_f276 == complete - endtask - task jgfs_awips_f288 - edit FCSTHR '288' - trigger ../../prdgen/jgfs_pgrb2_f288 == complete - endtask - task jgfs_awips_f300 - edit FCSTHR '300' - trigger ../../prdgen/jgfs_pgrb2_f300 == complete - endtask - task jgfs_awips_f312 - edit FCSTHR '312' - trigger ../../prdgen/jgfs_pgrb2_f312 == complete - endtask - task jgfs_awips_f324 - edit FCSTHR '324' - trigger ../../prdgen/jgfs_pgrb2_f324 == complete - endtask - task jgfs_awips_f336 - edit FCSTHR '336' - trigger ../../prdgen/jgfs_pgrb2_f336 == complete - endtask - task jgfs_awips_f348 - edit FCSTHR '348' - trigger ../../prdgen/jgfs_pgrb2_f348 == complete - endtask - task jgfs_awips_f360 - edit FCSTHR '360' - trigger ../../prdgen/jgfs_pgrb2_f360 == complete - endtask - task jgfs_awips_f372 - edit FCSTHR '372' - trigger ../../prdgen/jgfs_pgrb2_f372 == complete - endtask - task jgfs_awips_f384 - edit FCSTHR '384' - trigger ../../prdgen/jgfs_pgrb2_f384 == complete - endtask - endfamily - family awips_1p0deg - edit RES '1p0deg' - edit RESC '1P0DEG' - #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - task jgfs_awips_f000 - edit FCSTHR '000' - trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask - task jgfs_awips_f001 - edit FCSTHR '001' - trigger ../../prdgen/jgfs_pgrb2_f01 == complete - endtask - task jgfs_awips_f002 - edit FCSTHR '002' - trigger ../../prdgen/jgfs_pgrb2_f02 == complete - endtask - task jgfs_awips_f003 - edit FCSTHR '003' - trigger ../../prdgen/jgfs_pgrb2_f03 == complete - endtask - task jgfs_awips_f004 - edit FCSTHR '004' - trigger ../../prdgen/jgfs_pgrb2_f04 == complete - endtask - task jgfs_awips_f005 - edit FCSTHR '005' - trigger ../../prdgen/jgfs_pgrb2_f05 == complete - endtask - task jgfs_awips_f006 - edit FCSTHR '006' - trigger ../../prdgen/jgfs_pgrb2_f06 == complete - endtask - task jgfs_awips_f007 - edit FCSTHR '007' - trigger ../../prdgen/jgfs_pgrb2_f07 == complete - endtask - task jgfs_awips_f008 - edit FCSTHR '008' - trigger ../../prdgen/jgfs_pgrb2_f08 == complete - endtask - task jgfs_awips_f009 - edit FCSTHR '009' - trigger ../../prdgen/jgfs_pgrb2_f09 == complete - endtask - task jgfs_awips_f010 - edit FCSTHR '010' - trigger ../../prdgen/jgfs_pgrb2_f10 == complete - endtask - task jgfs_awips_f011 - edit FCSTHR '011' - trigger ../../prdgen/jgfs_pgrb2_f11 == complete - endtask - task jgfs_awips_f012 - edit FCSTHR '012' - trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask - task jgfs_awips_f013 - edit FCSTHR '013' - trigger ../../prdgen/jgfs_pgrb2_f13 == complete - endtask - task jgfs_awips_f014 - edit FCSTHR '014' - trigger ../../prdgen/jgfs_pgrb2_f14 == complete - endtask - task jgfs_awips_f015 - edit FCSTHR '015' - trigger ../../prdgen/jgfs_pgrb2_f15 == complete - endtask - task jgfs_awips_f016 - edit FCSTHR '016' - trigger ../../prdgen/jgfs_pgrb2_f16 == complete - endtask - task jgfs_awips_f017 - edit FCSTHR '017' - trigger ../../prdgen/jgfs_pgrb2_f17 == complete - endtask - task jgfs_awips_f018 - edit FCSTHR '018' - trigger ../../prdgen/jgfs_pgrb2_f18 == complete - endtask - task jgfs_awips_f019 - edit FCSTHR '019' - trigger ../../prdgen/jgfs_pgrb2_f19 == complete - endtask - task jgfs_awips_f020 - edit FCSTHR '020' - trigger ../../prdgen/jgfs_pgrb2_f20 == complete - endtask - task jgfs_awips_f021 - edit FCSTHR '021' - trigger ../../prdgen/jgfs_pgrb2_f21 == complete - endtask - task jgfs_awips_f022 - edit FCSTHR '022' - trigger ../../prdgen/jgfs_pgrb2_f22 == complete - endtask - task jgfs_awips_f023 - edit FCSTHR '023' - trigger ../../prdgen/jgfs_pgrb2_f23 == complete - endtask - task jgfs_awips_f024 - edit FCSTHR '024' - trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask - task jgfs_awips_f025 - edit FCSTHR '025' - trigger ../../prdgen/jgfs_pgrb2_f25 == complete - endtask - task jgfs_awips_f026 - edit FCSTHR '026' - trigger ../../prdgen/jgfs_pgrb2_f26 == complete - endtask - task jgfs_awips_f027 - edit FCSTHR '027' - trigger ../../prdgen/jgfs_pgrb2_f27 == complete - endtask - task jgfs_awips_f028 - edit FCSTHR '028' - trigger ../../prdgen/jgfs_pgrb2_f28 == complete - endtask - task jgfs_awips_f029 - edit FCSTHR '029' - trigger ../../prdgen/jgfs_pgrb2_f29 == complete - endtask - task jgfs_awips_f030 - edit FCSTHR '030' - trigger ../../prdgen/jgfs_pgrb2_f30 == complete - endtask - task jgfs_awips_f031 - edit FCSTHR '031' - trigger ../../prdgen/jgfs_pgrb2_f31 == complete - endtask - task jgfs_awips_f032 - edit FCSTHR '032' - trigger ../../prdgen/jgfs_pgrb2_f32 == complete - endtask - task jgfs_awips_f033 - edit FCSTHR '033' - trigger ../../prdgen/jgfs_pgrb2_f33 == complete - endtask - task jgfs_awips_f034 - edit FCSTHR '034' - trigger ../../prdgen/jgfs_pgrb2_f34 == complete - endtask - task jgfs_awips_f035 - edit FCSTHR '035' - trigger ../../prdgen/jgfs_pgrb2_f35 == complete - endtask - task jgfs_awips_f036 - edit FCSTHR '036' - trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask - task jgfs_awips_f037 - edit FCSTHR '037' - trigger ../../prdgen/jgfs_pgrb2_f37 == complete - endtask - task jgfs_awips_f038 - edit FCSTHR '038' - trigger ../../prdgen/jgfs_pgrb2_f38 == complete - endtask - task jgfs_awips_f039 - edit FCSTHR '039' - trigger ../../prdgen/jgfs_pgrb2_f39 == complete - endtask - task jgfs_awips_f040 - edit FCSTHR '040' - trigger ../../prdgen/jgfs_pgrb2_f40 == complete - endtask - task jgfs_awips_f041 - edit FCSTHR '041' - trigger ../../prdgen/jgfs_pgrb2_f41 == complete - endtask - task jgfs_awips_f042 - edit FCSTHR '042' - trigger ../../prdgen/jgfs_pgrb2_f42 == complete - endtask - task jgfs_awips_f043 - edit FCSTHR '043' - trigger ../../prdgen/jgfs_pgrb2_f43 == complete - endtask - task jgfs_awips_f044 - edit FCSTHR '044' - trigger ../../prdgen/jgfs_pgrb2_f44 == complete - endtask - task jgfs_awips_f045 - edit FCSTHR '045' - trigger ../../prdgen/jgfs_pgrb2_f45 == complete - endtask - task jgfs_awips_f046 - edit FCSTHR '046' - trigger ../../prdgen/jgfs_pgrb2_f46 == complete - endtask - task jgfs_awips_f047 - edit FCSTHR '047' - trigger ../../prdgen/jgfs_pgrb2_f47 == complete - endtask - task jgfs_awips_f048 - edit FCSTHR '048' - trigger ../../prdgen/jgfs_pgrb2_f48 == complete - endtask - task jgfs_awips_f049 - edit FCSTHR '049' - trigger ../../prdgen/jgfs_pgrb2_f49 == complete - endtask - task jgfs_awips_f050 - edit FCSTHR '050' - trigger ../../prdgen/jgfs_pgrb2_f50 == complete - endtask - task jgfs_awips_f051 - edit FCSTHR '051' - trigger ../../prdgen/jgfs_pgrb2_f51 == complete - endtask - task jgfs_awips_f052 - edit FCSTHR '052' - trigger ../../prdgen/jgfs_pgrb2_f52 == complete - endtask - task jgfs_awips_f053 - edit FCSTHR '053' - trigger ../../prdgen/jgfs_pgrb2_f53 == complete - endtask - task jgfs_awips_f054 - edit FCSTHR '054' - trigger ../../prdgen/jgfs_pgrb2_f54 == complete - endtask - task jgfs_awips_f055 - edit FCSTHR '055' - trigger ../../prdgen/jgfs_pgrb2_f55 == complete - endtask - task jgfs_awips_f056 - edit FCSTHR '056' - trigger ../../prdgen/jgfs_pgrb2_f56 == complete - endtask - task jgfs_awips_f057 - edit FCSTHR '057' - trigger ../../prdgen/jgfs_pgrb2_f57 == complete - endtask - task jgfs_awips_f058 - edit FCSTHR '058' - trigger ../../prdgen/jgfs_pgrb2_f58 == complete - endtask - task jgfs_awips_f059 - edit FCSTHR '059' - trigger ../../prdgen/jgfs_pgrb2_f59 == complete - endtask - task jgfs_awips_f060 - edit FCSTHR '060' - trigger ../../prdgen/jgfs_pgrb2_f60 == complete - endtask - task jgfs_awips_f061 - edit FCSTHR '061' - trigger ../../prdgen/jgfs_pgrb2_f61 == complete - endtask - task jgfs_awips_f062 - edit FCSTHR '062' - trigger ../../prdgen/jgfs_pgrb2_f62 == complete - endtask - task jgfs_awips_f063 - edit FCSTHR '063' - trigger ../../prdgen/jgfs_pgrb2_f63 == complete - endtask - task jgfs_awips_f064 - edit FCSTHR '064' - trigger ../../prdgen/jgfs_pgrb2_f64 == complete - endtask - task jgfs_awips_f065 - edit FCSTHR '065' - trigger ../../prdgen/jgfs_pgrb2_f65 == complete - endtask - task jgfs_awips_f066 - edit FCSTHR '066' - trigger ../../prdgen/jgfs_pgrb2_f66 == complete - endtask - task jgfs_awips_f067 - edit FCSTHR '067' - trigger ../../prdgen/jgfs_pgrb2_f67 == complete - endtask - task jgfs_awips_f068 - edit FCSTHR '068' - trigger ../../prdgen/jgfs_pgrb2_f68 == complete - endtask - task jgfs_awips_f069 - edit FCSTHR '069' - trigger ../../prdgen/jgfs_pgrb2_f69 == complete - endtask - task jgfs_awips_f070 - edit FCSTHR '070' - trigger ../../prdgen/jgfs_pgrb2_f70 == complete - endtask - task jgfs_awips_f071 - edit FCSTHR '071' - trigger ../../prdgen/jgfs_pgrb2_f71 == complete - endtask - task jgfs_awips_f072 - edit FCSTHR '072' - trigger ../../prdgen/jgfs_pgrb2_f72 == complete - endtask - task jgfs_awips_f073 - edit FCSTHR '073' - trigger ../../prdgen/jgfs_pgrb2_f73 == complete - endtask - task jgfs_awips_f074 - edit FCSTHR '074' - trigger ../../prdgen/jgfs_pgrb2_f74 == complete - endtask - task jgfs_awips_f075 - edit FCSTHR '075' - trigger ../../prdgen/jgfs_pgrb2_f75 == complete - endtask - task jgfs_awips_f076 - edit FCSTHR '076' - trigger ../../prdgen/jgfs_pgrb2_f76 == complete - endtask - task jgfs_awips_f077 - edit FCSTHR '077' - trigger ../../prdgen/jgfs_pgrb2_f77 == complete - endtask - task jgfs_awips_f078 - edit FCSTHR '078' - trigger ../../prdgen/jgfs_pgrb2_f78 == complete - endtask - task jgfs_awips_f079 - edit FCSTHR '079' - trigger ../../prdgen/jgfs_pgrb2_f79 == complete - endtask - task jgfs_awips_f080 - edit FCSTHR '080' - trigger ../../prdgen/jgfs_pgrb2_f80 == complete - endtask - task jgfs_awips_f081 - edit FCSTHR '081' - trigger ../../prdgen/jgfs_pgrb2_f81 == complete - endtask - task jgfs_awips_f082 - edit FCSTHR '082' - trigger ../../prdgen/jgfs_pgrb2_f82 == complete - endtask - task jgfs_awips_f083 - edit FCSTHR '083' - trigger ../../prdgen/jgfs_pgrb2_f83 == complete - endtask - task jgfs_awips_f084 - edit FCSTHR '084' - trigger ../../prdgen/jgfs_pgrb2_f84 == complete - endtask - task jgfs_awips_f085 - edit FCSTHR '085' - trigger ../../prdgen/jgfs_pgrb2_f85 == complete - endtask - task jgfs_awips_f086 - edit FCSTHR '086' - trigger ../../prdgen/jgfs_pgrb2_f86 == complete - endtask - task jgfs_awips_f087 - edit FCSTHR '087' - trigger ../../prdgen/jgfs_pgrb2_f87 == complete - endtask - task jgfs_awips_f088 - edit FCSTHR '088' - trigger ../../prdgen/jgfs_pgrb2_f88 == complete - endtask - task jgfs_awips_f089 - edit FCSTHR '089' - trigger ../../prdgen/jgfs_pgrb2_f89 == complete - endtask - task jgfs_awips_f090 - edit FCSTHR '090' - trigger ../../prdgen/jgfs_pgrb2_f90 == complete - endtask - task jgfs_awips_f091 - edit FCSTHR '091' - trigger ../../prdgen/jgfs_pgrb2_f91 == complete - endtask - task jgfs_awips_f092 - edit FCSTHR '092' - trigger ../../prdgen/jgfs_pgrb2_f92 == complete - endtask - task jgfs_awips_f093 - edit FCSTHR '093' - trigger ../../prdgen/jgfs_pgrb2_f93 == complete - endtask - task jgfs_awips_f094 - edit FCSTHR '094' - trigger ../../prdgen/jgfs_pgrb2_f94 == complete - endtask - task jgfs_awips_f095 - edit FCSTHR '095' - trigger ../../prdgen/jgfs_pgrb2_f95 == complete - endtask - task jgfs_awips_f096 - edit FCSTHR '096' - trigger ../../prdgen/jgfs_pgrb2_f96 == complete - endtask - task jgfs_awips_f097 - edit FCSTHR '097' - trigger ../../prdgen/jgfs_pgrb2_f97 == complete - endtask - task jgfs_awips_f098 - edit FCSTHR '098' - trigger ../../prdgen/jgfs_pgrb2_f98 == complete - endtask - task jgfs_awips_f099 - edit FCSTHR '099' - trigger ../../prdgen/jgfs_pgrb2_f99 == complete - endtask - task jgfs_awips_f100 - edit FCSTHR '100' - trigger ../../prdgen/jgfs_pgrb2_f100 == complete - endtask - task jgfs_awips_f101 - edit FCSTHR '101' - trigger ../../prdgen/jgfs_pgrb2_f101 == complete - endtask - task jgfs_awips_f102 - edit FCSTHR '102' - trigger ../../prdgen/jgfs_pgrb2_f102 == complete - endtask - task jgfs_awips_f103 - edit FCSTHR '103' - trigger ../../prdgen/jgfs_pgrb2_f103 == complete - endtask - task jgfs_awips_f104 - edit FCSTHR '104' - trigger ../../prdgen/jgfs_pgrb2_f104 == complete - endtask - task jgfs_awips_f105 - edit FCSTHR '105' - trigger ../../prdgen/jgfs_pgrb2_f105 == complete - endtask - task jgfs_awips_f106 - edit FCSTHR '106' - trigger ../../prdgen/jgfs_pgrb2_f106 == complete - endtask - task jgfs_awips_f107 - edit FCSTHR '107' - trigger ../../prdgen/jgfs_pgrb2_f107 == complete - endtask - task jgfs_awips_f108 - edit FCSTHR '108' - trigger ../../prdgen/jgfs_pgrb2_f108 == complete - endtask - task jgfs_awips_f109 - edit FCSTHR '109' - trigger ../../prdgen/jgfs_pgrb2_f109 == complete - endtask - task jgfs_awips_f110 - edit FCSTHR '110' - trigger ../../prdgen/jgfs_pgrb2_f110 == complete - endtask - task jgfs_awips_f111 - edit FCSTHR '111' - trigger ../../prdgen/jgfs_pgrb2_f111 == complete - endtask - task jgfs_awips_f112 - edit FCSTHR '112' - trigger ../../prdgen/jgfs_pgrb2_f112 == complete - endtask - task jgfs_awips_f113 - edit FCSTHR '113' - trigger ../../prdgen/jgfs_pgrb2_f113 == complete - endtask - task jgfs_awips_f114 - edit FCSTHR '114' - trigger ../../prdgen/jgfs_pgrb2_f114 == complete - endtask - task jgfs_awips_f115 - edit FCSTHR '115' - trigger ../../prdgen/jgfs_pgrb2_f115 == complete - endtask - task jgfs_awips_f116 - edit FCSTHR '116' - trigger ../../prdgen/jgfs_pgrb2_f116 == complete - endtask - task jgfs_awips_f117 - edit FCSTHR '117' - trigger ../../prdgen/jgfs_pgrb2_f117 == complete - endtask - task jgfs_awips_f118 - edit FCSTHR '118' - trigger ../../prdgen/jgfs_pgrb2_f118 == complete - endtask - task jgfs_awips_f119 - edit FCSTHR '119' - trigger ../../prdgen/jgfs_pgrb2_f119 == complete - endtask - task jgfs_awips_f120 - edit FCSTHR '120' - trigger ../../prdgen/jgfs_pgrb2_f120 == complete - endtask - task jgfs_awips_f123 - edit FCSTHR '123' - trigger ../../prdgen/jgfs_pgrb2_f123 == complete - endtask - task jgfs_awips_f126 - edit FCSTHR '126' - trigger ../../prdgen/jgfs_pgrb2_f126 == complete - endtask - task jgfs_awips_f129 - edit FCSTHR '129' - trigger ../../prdgen/jgfs_pgrb2_f129 == complete - endtask - task jgfs_awips_f132 - edit FCSTHR '132' - trigger ../../prdgen/jgfs_pgrb2_f132 == complete - endtask - task jgfs_awips_f135 - edit FCSTHR '135' - trigger ../../prdgen/jgfs_pgrb2_f135 == complete - endtask - task jgfs_awips_f138 - edit FCSTHR '138' - trigger ../../prdgen/jgfs_pgrb2_f138 == complete - endtask - task jgfs_awips_f141 - edit FCSTHR '141' - trigger ../../prdgen/jgfs_pgrb2_f141 == complete - endtask - task jgfs_awips_f144 - edit FCSTHR '144' - trigger ../../prdgen/jgfs_pgrb2_f144 == complete - endtask - task jgfs_awips_f147 - edit FCSTHR '147' - trigger ../../prdgen/jgfs_pgrb2_f147 == complete - endtask - task jgfs_awips_f150 - edit FCSTHR '150' - trigger ../../prdgen/jgfs_pgrb2_f150 == complete - endtask - task jgfs_awips_f153 - edit FCSTHR '153' - trigger ../../prdgen/jgfs_pgrb2_f153 == complete - endtask - task jgfs_awips_f156 - edit FCSTHR '156' - trigger ../../prdgen/jgfs_pgrb2_f156 == complete - endtask - task jgfs_awips_f159 - edit FCSTHR '159' - trigger ../../prdgen/jgfs_pgrb2_f159 == complete - endtask - task jgfs_awips_f162 - edit FCSTHR '162' - trigger ../../prdgen/jgfs_pgrb2_f162 == complete - endtask - task jgfs_awips_f165 - edit FCSTHR '165' - trigger ../../prdgen/jgfs_pgrb2_f165 == complete - endtask - task jgfs_awips_f168 - edit FCSTHR '168' - trigger ../../prdgen/jgfs_pgrb2_f168 == complete - endtask - task jgfs_awips_f171 - edit FCSTHR '171' - trigger ../../prdgen/jgfs_pgrb2_f171 == complete - endtask - task jgfs_awips_f174 - edit FCSTHR '174' - trigger ../../prdgen/jgfs_pgrb2_f174 == complete - endtask - task jgfs_awips_f177 - edit FCSTHR '177' - trigger ../../prdgen/jgfs_pgrb2_f177 == complete - endtask - task jgfs_awips_f180 - edit FCSTHR '180' - trigger ../../prdgen/jgfs_pgrb2_f180 == complete - endtask - task jgfs_awips_f183 - edit FCSTHR '183' - trigger ../../prdgen/jgfs_pgrb2_f183 == complete - endtask - task jgfs_awips_f186 - edit FCSTHR '186' - trigger ../../prdgen/jgfs_pgrb2_f186 == complete - endtask - task jgfs_awips_f189 - edit FCSTHR '189' - trigger ../../prdgen/jgfs_pgrb2_f189 == complete - endtask - task jgfs_awips_f192 - edit FCSTHR '192' - trigger ../../prdgen/jgfs_pgrb2_f192 == complete - endtask - task jgfs_awips_f195 - edit FCSTHR '195' - trigger ../../prdgen/jgfs_pgrb2_f195 == complete - endtask - task jgfs_awips_f198 - edit FCSTHR '198' - trigger ../../prdgen/jgfs_pgrb2_f198 == complete - endtask - task jgfs_awips_f201 - edit FCSTHR '201' - trigger ../../prdgen/jgfs_pgrb2_f201 == complete - endtask - task jgfs_awips_f204 - edit FCSTHR '204' - trigger ../../prdgen/jgfs_pgrb2_f204 == complete - endtask - task jgfs_awips_f207 - edit FCSTHR '207' - trigger ../../prdgen/jgfs_pgrb2_f207 == complete - endtask - task jgfs_awips_f210 - edit FCSTHR '210' - trigger ../../prdgen/jgfs_pgrb2_f210 == complete - endtask - task jgfs_awips_f213 - edit FCSTHR '213' - trigger ../../prdgen/jgfs_pgrb2_f213 == complete - endtask - task jgfs_awips_f216 - edit FCSTHR '216' - trigger ../../prdgen/jgfs_pgrb2_f216 == complete - endtask - task jgfs_awips_f219 - edit FCSTHR '219' - trigger ../../prdgen/jgfs_pgrb2_f219 == complete - endtask - task jgfs_awips_f222 - edit FCSTHR '222' - trigger ../../prdgen/jgfs_pgrb2_f222 == complete - endtask - task jgfs_awips_f225 - edit FCSTHR '225' - trigger ../../prdgen/jgfs_pgrb2_f225 == complete - endtask - task jgfs_awips_f228 - edit FCSTHR '228' - trigger ../../prdgen/jgfs_pgrb2_f228 == complete - endtask - task jgfs_awips_f231 - edit FCSTHR '231' - trigger ../../prdgen/jgfs_pgrb2_f231 == complete - endtask - task jgfs_awips_f234 - edit FCSTHR '234' - trigger ../../prdgen/jgfs_pgrb2_f234 == complete - endtask - task jgfs_awips_f237 - edit FCSTHR '237' - trigger ../../prdgen/jgfs_pgrb2_f237 == complete - endtask - task jgfs_awips_f240 - edit FCSTHR '240' - trigger ../../prdgen/jgfs_pgrb2_f240 == complete - endtask - task jgfs_awips_f252 - edit FCSTHR '252' - trigger ../../prdgen/jgfs_pgrb2_f252 == complete - endtask - task jgfs_awips_f264 - edit FCSTHR '264' - trigger ../../prdgen/jgfs_pgrb2_f264 == complete - endtask - task jgfs_awips_f276 - edit FCSTHR '276' - trigger ../../prdgen/jgfs_pgrb2_f276 == complete - endtask - task jgfs_awips_f288 - edit FCSTHR '288' - trigger ../../prdgen/jgfs_pgrb2_f288 == complete - endtask - task jgfs_awips_f300 - edit FCSTHR '300' - trigger ../../prdgen/jgfs_pgrb2_f300 == complete - endtask - task jgfs_awips_f312 - edit FCSTHR '312' - trigger ../../prdgen/jgfs_pgrb2_f312 == complete - endtask - task jgfs_awips_f324 - edit FCSTHR '324' - trigger ../../prdgen/jgfs_pgrb2_f324 == complete - endtask - task jgfs_awips_f336 - edit FCSTHR '336' - trigger ../../prdgen/jgfs_pgrb2_f336 == complete - endtask - task jgfs_awips_f348 - edit FCSTHR '348' - trigger ../../prdgen/jgfs_pgrb2_f348 == complete - endtask - task jgfs_awips_f360 - edit FCSTHR '360' - trigger ../../prdgen/jgfs_pgrb2_f360 == complete - endtask - task jgfs_awips_f372 - edit FCSTHR '372' - trigger ../../prdgen/jgfs_pgrb2_f372 == complete - endtask - task jgfs_awips_f384 - edit FCSTHR '384' - trigger ../../prdgen/jgfs_pgrb2_f384 == complete - endtask - endfamily - family awips_20km - edit RES '20km' - edit RESC '20KM' - #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - task jgfs_awips_f000 - edit FCSTHR '000' - trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask - task jgfs_awips_f001 - edit FCSTHR '001' - trigger ../../prdgen/jgfs_pgrb2_f01 == complete - endtask - task jgfs_awips_f002 - edit FCSTHR '002' - trigger ../../prdgen/jgfs_pgrb2_f02 == complete - endtask - task jgfs_awips_f003 - edit FCSTHR '003' - trigger ../../prdgen/jgfs_pgrb2_f03 == complete - endtask - task jgfs_awips_f004 - edit FCSTHR '004' - trigger ../../prdgen/jgfs_pgrb2_f04 == complete - endtask - task jgfs_awips_f005 - edit FCSTHR '005' - trigger ../../prdgen/jgfs_pgrb2_f05 == complete - endtask - task jgfs_awips_f006 - edit FCSTHR '006' - trigger ../../prdgen/jgfs_pgrb2_f06 == complete - endtask - task jgfs_awips_f007 - edit FCSTHR '007' - trigger ../../prdgen/jgfs_pgrb2_f07 == complete - endtask - task jgfs_awips_f008 - edit FCSTHR '008' - trigger ../../prdgen/jgfs_pgrb2_f08 == complete - endtask - task jgfs_awips_f009 - edit FCSTHR '009' - trigger ../../prdgen/jgfs_pgrb2_f09 == complete - endtask - task jgfs_awips_f010 - edit FCSTHR '010' - trigger ../../prdgen/jgfs_pgrb2_f10 == complete - endtask - task jgfs_awips_f011 - edit FCSTHR '011' - trigger ../../prdgen/jgfs_pgrb2_f11 == complete - endtask - task jgfs_awips_f012 - edit FCSTHR '012' - trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask - task jgfs_awips_f013 - edit FCSTHR '013' - trigger ../../prdgen/jgfs_pgrb2_f13 == complete - endtask - task jgfs_awips_f014 - edit FCSTHR '014' - trigger ../../prdgen/jgfs_pgrb2_f14 == complete - endtask - task jgfs_awips_f015 - edit FCSTHR '015' - trigger ../../prdgen/jgfs_pgrb2_f15 == complete - endtask - task jgfs_awips_f016 - edit FCSTHR '016' - trigger ../../prdgen/jgfs_pgrb2_f16 == complete - endtask - task jgfs_awips_f017 - edit FCSTHR '017' - trigger ../../prdgen/jgfs_pgrb2_f17 == complete - endtask - task jgfs_awips_f018 - edit FCSTHR '018' - trigger ../../prdgen/jgfs_pgrb2_f18 == complete - endtask - task jgfs_awips_f019 - edit FCSTHR '019' - trigger ../../prdgen/jgfs_pgrb2_f19 == complete - endtask - task jgfs_awips_f020 - edit FCSTHR '020' - trigger ../../prdgen/jgfs_pgrb2_f20 == complete - endtask - task jgfs_awips_f021 - edit FCSTHR '021' - trigger ../../prdgen/jgfs_pgrb2_f21 == complete - endtask - task jgfs_awips_f022 - edit FCSTHR '022' - trigger ../../prdgen/jgfs_pgrb2_f22 == complete - endtask - task jgfs_awips_f023 - edit FCSTHR '023' - trigger ../../prdgen/jgfs_pgrb2_f23 == complete - endtask - task jgfs_awips_f024 - edit FCSTHR '024' - trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask - task jgfs_awips_f025 - edit FCSTHR '025' - trigger ../../prdgen/jgfs_pgrb2_f25 == complete - endtask - task jgfs_awips_f026 - edit FCSTHR '026' - trigger ../../prdgen/jgfs_pgrb2_f26 == complete - endtask - task jgfs_awips_f027 - edit FCSTHR '027' - trigger ../../prdgen/jgfs_pgrb2_f27 == complete - endtask - task jgfs_awips_f028 - edit FCSTHR '028' - trigger ../../prdgen/jgfs_pgrb2_f28 == complete - endtask - task jgfs_awips_f029 - edit FCSTHR '029' - trigger ../../prdgen/jgfs_pgrb2_f29 == complete - endtask - task jgfs_awips_f030 - edit FCSTHR '030' - trigger ../../prdgen/jgfs_pgrb2_f30 == complete - endtask - task jgfs_awips_f031 - edit FCSTHR '031' - trigger ../../prdgen/jgfs_pgrb2_f31 == complete - endtask - task jgfs_awips_f032 - edit FCSTHR '032' - trigger ../../prdgen/jgfs_pgrb2_f32 == complete - endtask - task jgfs_awips_f033 - edit FCSTHR '033' - trigger ../../prdgen/jgfs_pgrb2_f33 == complete - endtask - task jgfs_awips_f034 - edit FCSTHR '034' - trigger ../../prdgen/jgfs_pgrb2_f34 == complete - endtask - task jgfs_awips_f035 - edit FCSTHR '035' - trigger ../../prdgen/jgfs_pgrb2_f35 == complete - endtask - task jgfs_awips_f036 - edit FCSTHR '036' - trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask - task jgfs_awips_f037 - edit FCSTHR '037' - trigger ../../prdgen/jgfs_pgrb2_f37 == complete - endtask - task jgfs_awips_f038 - edit FCSTHR '038' - trigger ../../prdgen/jgfs_pgrb2_f38 == complete - endtask - task jgfs_awips_f039 - edit FCSTHR '039' - trigger ../../prdgen/jgfs_pgrb2_f39 == complete - endtask - task jgfs_awips_f040 - edit FCSTHR '040' - trigger ../../prdgen/jgfs_pgrb2_f40 == complete - endtask - task jgfs_awips_f041 - edit FCSTHR '041' - trigger ../../prdgen/jgfs_pgrb2_f41 == complete - endtask - task jgfs_awips_f042 - edit FCSTHR '042' - trigger ../../prdgen/jgfs_pgrb2_f42 == complete - endtask - task jgfs_awips_f043 - edit FCSTHR '043' - trigger ../../prdgen/jgfs_pgrb2_f43 == complete - endtask - task jgfs_awips_f044 - edit FCSTHR '044' - trigger ../../prdgen/jgfs_pgrb2_f44 == complete - endtask - task jgfs_awips_f045 - edit FCSTHR '045' - trigger ../../prdgen/jgfs_pgrb2_f45 == complete - endtask - task jgfs_awips_f046 - edit FCSTHR '046' - trigger ../../prdgen/jgfs_pgrb2_f46 == complete - endtask - task jgfs_awips_f047 - edit FCSTHR '047' - trigger ../../prdgen/jgfs_pgrb2_f47 == complete - endtask - task jgfs_awips_f048 - edit FCSTHR '048' - trigger ../../prdgen/jgfs_pgrb2_f48 == complete - endtask - task jgfs_awips_f049 - edit FCSTHR '049' - trigger ../../prdgen/jgfs_pgrb2_f49 == complete - endtask - task jgfs_awips_f050 - edit FCSTHR '050' - trigger ../../prdgen/jgfs_pgrb2_f50 == complete - endtask - task jgfs_awips_f051 - edit FCSTHR '051' - trigger ../../prdgen/jgfs_pgrb2_f51 == complete - endtask - task jgfs_awips_f052 - edit FCSTHR '052' - trigger ../../prdgen/jgfs_pgrb2_f52 == complete - endtask - task jgfs_awips_f053 - edit FCSTHR '053' - trigger ../../prdgen/jgfs_pgrb2_f53 == complete - endtask - task jgfs_awips_f054 - edit FCSTHR '054' - trigger ../../prdgen/jgfs_pgrb2_f54 == complete - endtask - task jgfs_awips_f055 - edit FCSTHR '055' - trigger ../../prdgen/jgfs_pgrb2_f55 == complete - endtask - task jgfs_awips_f056 - edit FCSTHR '056' - trigger ../../prdgen/jgfs_pgrb2_f56 == complete - endtask - task jgfs_awips_f057 - edit FCSTHR '057' - trigger ../../prdgen/jgfs_pgrb2_f57 == complete - endtask - task jgfs_awips_f058 - edit FCSTHR '058' - trigger ../../prdgen/jgfs_pgrb2_f58 == complete - endtask - task jgfs_awips_f059 - edit FCSTHR '059' - trigger ../../prdgen/jgfs_pgrb2_f59 == complete - endtask - task jgfs_awips_f060 - edit FCSTHR '060' - trigger ../../prdgen/jgfs_pgrb2_f60 == complete - endtask - task jgfs_awips_f061 - edit FCSTHR '061' - trigger ../../prdgen/jgfs_pgrb2_f61 == complete - endtask - task jgfs_awips_f062 - edit FCSTHR '062' - trigger ../../prdgen/jgfs_pgrb2_f62 == complete - endtask - task jgfs_awips_f063 - edit FCSTHR '063' - trigger ../../prdgen/jgfs_pgrb2_f63 == complete - endtask - task jgfs_awips_f064 - edit FCSTHR '064' - trigger ../../prdgen/jgfs_pgrb2_f64 == complete - endtask - task jgfs_awips_f065 - edit FCSTHR '065' - trigger ../../prdgen/jgfs_pgrb2_f65 == complete - endtask - task jgfs_awips_f066 - edit FCSTHR '066' - trigger ../../prdgen/jgfs_pgrb2_f66 == complete - endtask - task jgfs_awips_f067 - edit FCSTHR '067' - trigger ../../prdgen/jgfs_pgrb2_f67 == complete - endtask - task jgfs_awips_f068 - edit FCSTHR '068' - trigger ../../prdgen/jgfs_pgrb2_f68 == complete - endtask - task jgfs_awips_f069 - edit FCSTHR '069' - trigger ../../prdgen/jgfs_pgrb2_f69 == complete - endtask - task jgfs_awips_f070 - edit FCSTHR '070' - trigger ../../prdgen/jgfs_pgrb2_f70 == complete - endtask - task jgfs_awips_f071 - edit FCSTHR '071' - trigger ../../prdgen/jgfs_pgrb2_f71 == complete - endtask - task jgfs_awips_f072 - edit FCSTHR '072' - trigger ../../prdgen/jgfs_pgrb2_f72 == complete - endtask - task jgfs_awips_f073 - edit FCSTHR '073' - trigger ../../prdgen/jgfs_pgrb2_f73 == complete - endtask - task jgfs_awips_f074 - edit FCSTHR '074' - trigger ../../prdgen/jgfs_pgrb2_f74 == complete - endtask - task jgfs_awips_f075 - edit FCSTHR '075' - trigger ../../prdgen/jgfs_pgrb2_f75 == complete - endtask - task jgfs_awips_f076 - edit FCSTHR '076' - trigger ../../prdgen/jgfs_pgrb2_f76 == complete - endtask - task jgfs_awips_f077 - edit FCSTHR '077' - trigger ../../prdgen/jgfs_pgrb2_f77 == complete - endtask - task jgfs_awips_f078 - edit FCSTHR '078' - trigger ../../prdgen/jgfs_pgrb2_f78 == complete - endtask - task jgfs_awips_f079 - edit FCSTHR '079' - trigger ../../prdgen/jgfs_pgrb2_f79 == complete - endtask - task jgfs_awips_f080 - edit FCSTHR '080' - trigger ../../prdgen/jgfs_pgrb2_f80 == complete - endtask - task jgfs_awips_f081 - edit FCSTHR '081' - trigger ../../prdgen/jgfs_pgrb2_f81 == complete - endtask - task jgfs_awips_f082 - edit FCSTHR '082' - trigger ../../prdgen/jgfs_pgrb2_f82 == complete - endtask - task jgfs_awips_f083 - edit FCSTHR '083' - trigger ../../prdgen/jgfs_pgrb2_f83 == complete - endtask - task jgfs_awips_f084 - edit FCSTHR '084' - trigger ../../prdgen/jgfs_pgrb2_f84 == complete - endtask - task jgfs_awips_f085 - edit FCSTHR '085' - trigger ../../prdgen/jgfs_pgrb2_f85 == complete - endtask - task jgfs_awips_f086 - edit FCSTHR '086' - trigger ../../prdgen/jgfs_pgrb2_f86 == complete - endtask - task jgfs_awips_f087 - edit FCSTHR '087' - trigger ../../prdgen/jgfs_pgrb2_f87 == complete - endtask - task jgfs_awips_f088 - edit FCSTHR '088' - trigger ../../prdgen/jgfs_pgrb2_f88 == complete - endtask - task jgfs_awips_f089 - edit FCSTHR '089' - trigger ../../prdgen/jgfs_pgrb2_f89 == complete - endtask - task jgfs_awips_f090 - edit FCSTHR '090' - trigger ../../prdgen/jgfs_pgrb2_f90 == complete - endtask - task jgfs_awips_f091 - edit FCSTHR '091' - trigger ../../prdgen/jgfs_pgrb2_f91 == complete - endtask - task jgfs_awips_f092 - edit FCSTHR '092' - trigger ../../prdgen/jgfs_pgrb2_f92 == complete - endtask - task jgfs_awips_f093 - edit FCSTHR '093' - trigger ../../prdgen/jgfs_pgrb2_f93 == complete - endtask - task jgfs_awips_f094 - edit FCSTHR '094' - trigger ../../prdgen/jgfs_pgrb2_f94 == complete - endtask - task jgfs_awips_f095 - edit FCSTHR '095' - trigger ../../prdgen/jgfs_pgrb2_f95 == complete - endtask - task jgfs_awips_f096 - edit FCSTHR '096' - trigger ../../prdgen/jgfs_pgrb2_f96 == complete - endtask - task jgfs_awips_f097 - edit FCSTHR '097' - trigger ../../prdgen/jgfs_pgrb2_f97 == complete - endtask - task jgfs_awips_f098 - edit FCSTHR '098' - trigger ../../prdgen/jgfs_pgrb2_f98 == complete - endtask - task jgfs_awips_f099 - edit FCSTHR '099' - trigger ../../prdgen/jgfs_pgrb2_f99 == complete - endtask - task jgfs_awips_f100 - edit FCSTHR '100' - trigger ../../prdgen/jgfs_pgrb2_f100 == complete - endtask - task jgfs_awips_f101 - edit FCSTHR '101' - trigger ../../prdgen/jgfs_pgrb2_f101 == complete - endtask - task jgfs_awips_f102 - edit FCSTHR '102' - trigger ../../prdgen/jgfs_pgrb2_f102 == complete - endtask - task jgfs_awips_f103 - edit FCSTHR '103' - trigger ../../prdgen/jgfs_pgrb2_f103 == complete - endtask - task jgfs_awips_f104 - edit FCSTHR '104' - trigger ../../prdgen/jgfs_pgrb2_f104 == complete - endtask - task jgfs_awips_f105 - edit FCSTHR '105' - trigger ../../prdgen/jgfs_pgrb2_f105 == complete - endtask - task jgfs_awips_f106 - edit FCSTHR '106' - trigger ../../prdgen/jgfs_pgrb2_f106 == complete - endtask - task jgfs_awips_f107 - edit FCSTHR '107' - trigger ../../prdgen/jgfs_pgrb2_f107 == complete - endtask - task jgfs_awips_f108 - edit FCSTHR '108' - trigger ../../prdgen/jgfs_pgrb2_f108 == complete - endtask - task jgfs_awips_f109 - edit FCSTHR '109' - trigger ../../prdgen/jgfs_pgrb2_f109 == complete - endtask - task jgfs_awips_f110 - edit FCSTHR '110' - trigger ../../prdgen/jgfs_pgrb2_f110 == complete - endtask - task jgfs_awips_f111 - edit FCSTHR '111' - trigger ../../prdgen/jgfs_pgrb2_f111 == complete - endtask - task jgfs_awips_f112 - edit FCSTHR '112' - trigger ../../prdgen/jgfs_pgrb2_f112 == complete - endtask - task jgfs_awips_f113 - edit FCSTHR '113' - trigger ../../prdgen/jgfs_pgrb2_f113 == complete - endtask - task jgfs_awips_f114 - edit FCSTHR '114' - trigger ../../prdgen/jgfs_pgrb2_f114 == complete - endtask - task jgfs_awips_f115 - edit FCSTHR '115' - trigger ../../prdgen/jgfs_pgrb2_f115 == complete - endtask - task jgfs_awips_f116 - edit FCSTHR '116' - trigger ../../prdgen/jgfs_pgrb2_f116 == complete - endtask - task jgfs_awips_f117 - edit FCSTHR '117' - trigger ../../prdgen/jgfs_pgrb2_f117 == complete - endtask - task jgfs_awips_f118 - edit FCSTHR '118' - trigger ../../prdgen/jgfs_pgrb2_f118 == complete - endtask - task jgfs_awips_f119 - edit FCSTHR '119' - trigger ../../prdgen/jgfs_pgrb2_f119 == complete - endtask - task jgfs_awips_f120 - edit FCSTHR '120' - trigger ../../prdgen/jgfs_pgrb2_f120 == complete - endtask - task jgfs_awips_f123 - edit FCSTHR '123' - trigger ../../prdgen/jgfs_pgrb2_f123 == complete - endtask - task jgfs_awips_f126 - edit FCSTHR '126' - trigger ../../prdgen/jgfs_pgrb2_f126 == complete - endtask - task jgfs_awips_f129 - edit FCSTHR '129' - trigger ../../prdgen/jgfs_pgrb2_f129 == complete - endtask - task jgfs_awips_f132 - edit FCSTHR '132' - trigger ../../prdgen/jgfs_pgrb2_f132 == complete - endtask - task jgfs_awips_f135 - edit FCSTHR '135' - trigger ../../prdgen/jgfs_pgrb2_f135 == complete - endtask - task jgfs_awips_f138 - edit FCSTHR '138' - trigger ../../prdgen/jgfs_pgrb2_f138 == complete - endtask - task jgfs_awips_f141 - edit FCSTHR '141' - trigger ../../prdgen/jgfs_pgrb2_f141 == complete - endtask - task jgfs_awips_f144 - edit FCSTHR '144' - trigger ../../prdgen/jgfs_pgrb2_f144 == complete - endtask - task jgfs_awips_f147 - edit FCSTHR '147' - trigger ../../prdgen/jgfs_pgrb2_f147 == complete - endtask - task jgfs_awips_f150 - edit FCSTHR '150' - trigger ../../prdgen/jgfs_pgrb2_f150 == complete - endtask - task jgfs_awips_f153 - edit FCSTHR '153' - trigger ../../prdgen/jgfs_pgrb2_f153 == complete - endtask - task jgfs_awips_f156 - edit FCSTHR '156' - trigger ../../prdgen/jgfs_pgrb2_f156 == complete - endtask - task jgfs_awips_f159 - edit FCSTHR '159' - trigger ../../prdgen/jgfs_pgrb2_f159 == complete - endtask - task jgfs_awips_f162 - edit FCSTHR '162' - trigger ../../prdgen/jgfs_pgrb2_f162 == complete - endtask - task jgfs_awips_f165 - edit FCSTHR '165' - trigger ../../prdgen/jgfs_pgrb2_f165 == complete - endtask - task jgfs_awips_f168 - edit FCSTHR '168' - trigger ../../prdgen/jgfs_pgrb2_f168 == complete - endtask - task jgfs_awips_f171 - edit FCSTHR '171' - trigger ../../prdgen/jgfs_pgrb2_f171 == complete - endtask - task jgfs_awips_f174 - edit FCSTHR '174' - trigger ../../prdgen/jgfs_pgrb2_f174 == complete - endtask - task jgfs_awips_f177 - edit FCSTHR '177' - trigger ../../prdgen/jgfs_pgrb2_f177 == complete - endtask - task jgfs_awips_f180 - edit FCSTHR '180' - trigger ../../prdgen/jgfs_pgrb2_f180 == complete - endtask - task jgfs_awips_f183 - edit FCSTHR '183' - trigger ../../prdgen/jgfs_pgrb2_f183 == complete - endtask - task jgfs_awips_f186 - edit FCSTHR '186' - trigger ../../prdgen/jgfs_pgrb2_f186 == complete - endtask - task jgfs_awips_f189 - edit FCSTHR '189' - trigger ../../prdgen/jgfs_pgrb2_f189 == complete - endtask - task jgfs_awips_f192 - edit FCSTHR '192' - trigger ../../prdgen/jgfs_pgrb2_f192 == complete - endtask - task jgfs_awips_f195 - edit FCSTHR '195' - trigger ../../prdgen/jgfs_pgrb2_f195 == complete - endtask - task jgfs_awips_f198 - edit FCSTHR '198' - trigger ../../prdgen/jgfs_pgrb2_f198 == complete - endtask - task jgfs_awips_f201 - edit FCSTHR '201' - trigger ../../prdgen/jgfs_pgrb2_f201 == complete - endtask - task jgfs_awips_f204 - edit FCSTHR '204' - trigger ../../prdgen/jgfs_pgrb2_f204 == complete - endtask - task jgfs_awips_f207 - edit FCSTHR '207' - trigger ../../prdgen/jgfs_pgrb2_f207 == complete - endtask - task jgfs_awips_f210 - edit FCSTHR '210' - trigger ../../prdgen/jgfs_pgrb2_f210 == complete - endtask - task jgfs_awips_f213 - edit FCSTHR '213' - trigger ../../prdgen/jgfs_pgrb2_f213 == complete - endtask - task jgfs_awips_f216 - edit FCSTHR '216' - trigger ../../prdgen/jgfs_pgrb2_f216 == complete - endtask - task jgfs_awips_f219 - edit FCSTHR '219' - trigger ../../prdgen/jgfs_pgrb2_f219 == complete - endtask - task jgfs_awips_f222 - edit FCSTHR '222' - trigger ../../prdgen/jgfs_pgrb2_f222 == complete - endtask - task jgfs_awips_f225 - edit FCSTHR '225' - trigger ../../prdgen/jgfs_pgrb2_f225 == complete - endtask - task jgfs_awips_f228 - edit FCSTHR '228' - trigger ../../prdgen/jgfs_pgrb2_f228 == complete - endtask - task jgfs_awips_f231 - edit FCSTHR '231' - trigger ../../prdgen/jgfs_pgrb2_f231 == complete - endtask - task jgfs_awips_f234 - edit FCSTHR '234' - trigger ../../prdgen/jgfs_pgrb2_f234 == complete - endtask - task jgfs_awips_f237 - edit FCSTHR '237' - trigger ../../prdgen/jgfs_pgrb2_f237 == complete - endtask - task jgfs_awips_f240 - edit FCSTHR '240' - trigger ../../prdgen/jgfs_pgrb2_f240 == complete - endtask - task jgfs_awips_f252 - edit FCSTHR '252' - trigger ../../prdgen/jgfs_pgrb2_f252 == complete - endtask - task jgfs_awips_f264 - edit FCSTHR '264' - trigger ../../prdgen/jgfs_pgrb2_f264 == complete - endtask - task jgfs_awips_f276 - edit FCSTHR '276' - trigger ../../prdgen/jgfs_pgrb2_f276 == complete - endtask - task jgfs_awips_f288 - edit FCSTHR '288' - trigger ../../prdgen/jgfs_pgrb2_f288 == complete - endtask - task jgfs_awips_f300 - edit FCSTHR '300' - trigger ../../prdgen/jgfs_pgrb2_f300 == complete - endtask - task jgfs_awips_f312 - edit FCSTHR '312' - trigger ../../prdgen/jgfs_pgrb2_f312 == complete - endtask - task jgfs_awips_f324 - edit FCSTHR '324' - trigger ../../prdgen/jgfs_pgrb2_f324 == complete - endtask - task jgfs_awips_f336 - edit FCSTHR '336' - trigger ../../prdgen/jgfs_pgrb2_f336 == complete - endtask - task jgfs_awips_f348 - edit FCSTHR '348' - trigger ../../prdgen/jgfs_pgrb2_f348 == complete - endtask - task jgfs_awips_f360 - edit FCSTHR '360' - trigger ../../prdgen/jgfs_pgrb2_f360 == complete - endtask - task jgfs_awips_f372 - edit FCSTHR '372' - trigger ../../prdgen/jgfs_pgrb2_f372 == complete - endtask - task jgfs_awips_f384 - edit FCSTHR '384' - trigger ../../prdgen/jgfs_pgrb2_f384 == complete - endtask - endfamily - endfamily - family post - task jgfs_post_anl - edit FHR 'anl' - edit HR 'anl' - trigger ./jgfs_post_manager:release_postanl - event 1 release_pgrb2_anl - endtask - task jgfs_pgrb2_spec_post - trigger ./jgfs_post_f336 == complete and ./jgfs_post_f348 == complete and ./jgfs_post_f360 == complete and ./jgfs_post_f372 == complete and ./jgfs_post_f384 == complete - endtask - task jgfs_post_manager - trigger ../jgfs_analysis == complete and ../forecast == complete - event 1 release_postanl - event 2 release_post00 - event 3 release_post01 - event 4 release_post02 - event 5 release_post03 - event 6 release_post04 - event 7 release_post05 - event 8 release_post06 - event 9 release_post07 - event 10 release_post08 - event 11 release_post09 - event 12 release_post10 - event 13 release_post11 - event 14 release_post12 - event 15 release_post13 - event 16 release_post14 - event 17 release_post15 - event 18 release_post16 - event 19 release_post17 - event 20 release_post18 - event 21 release_post19 - event 22 release_post20 - event 23 release_post21 - event 24 release_post22 - event 25 release_post23 - event 26 release_post24 - event 27 release_post25 - event 28 release_post26 - event 29 release_post27 - event 30 release_post28 - event 31 release_post29 - event 32 release_post30 - event 33 release_post31 - event 34 release_post32 - event 35 release_post33 - event 36 release_post34 - event 37 release_post35 - event 38 release_post36 - event 39 release_post37 - event 40 release_post38 - event 41 release_post39 - event 42 release_post40 - event 43 release_post41 - event 44 release_post42 - event 45 release_post43 - event 46 release_post44 - event 47 release_post45 - event 48 release_post46 - event 49 release_post47 - event 50 release_post48 - event 51 release_post49 - event 52 release_post50 - event 53 release_post51 - event 54 release_post52 - event 55 release_post53 - event 56 release_post54 - event 57 release_post55 - event 58 release_post56 - event 59 release_post57 - event 60 release_post58 - event 61 release_post59 - event 62 release_post60 - event 63 release_post61 - event 64 release_post62 - event 65 release_post63 - event 66 release_post64 - event 67 release_post65 - event 68 release_post66 - event 69 release_post67 - event 70 release_post68 - event 71 release_post69 - event 72 release_post70 - event 73 release_post71 - event 74 release_post72 - event 75 release_post73 - event 76 release_post74 - event 77 release_post75 - event 78 release_post76 - event 79 release_post77 - event 80 release_post78 - event 81 release_post79 - event 82 release_post80 - event 83 release_post81 - event 84 release_post82 - event 85 release_post83 - event 86 release_post84 - event 87 release_post85 - event 88 release_post86 - event 89 release_post87 - event 90 release_post88 - event 91 release_post89 - event 92 release_post90 - event 93 release_post91 - event 94 release_post92 - event 95 release_post93 - event 96 release_post94 - event 97 release_post95 - event 98 release_post96 - event 99 release_post97 - event 100 release_post98 - event 101 release_post99 - event 102 release_post100 - event 103 release_post101 - event 104 release_post102 - event 105 release_post103 - event 106 release_post104 - event 107 release_post105 - event 108 release_post106 - event 109 release_post107 - event 110 release_post108 - event 111 release_post109 - event 112 release_post110 - event 113 release_post111 - event 114 release_post112 - event 115 release_post113 - event 116 release_post114 - event 117 release_post115 - event 118 release_post116 - event 119 release_post117 - event 120 release_post118 - event 121 release_post119 - event 122 release_post120 - event 123 release_post123 - event 124 release_post126 - event 125 release_post129 - event 126 release_post132 - event 127 release_post135 - event 128 release_post138 - event 129 release_post141 - event 130 release_post144 - event 131 release_post147 - event 132 release_post150 - event 133 release_post153 - event 134 release_post156 - event 135 release_post159 - event 136 release_post162 - event 137 release_post165 - event 138 release_post168 - event 139 release_post171 - event 140 release_post174 - event 141 release_post177 - event 142 release_post180 - event 143 release_post183 - event 144 release_post186 - event 145 release_post189 - event 146 release_post192 - event 147 release_post195 - event 148 release_post198 - event 149 release_post201 - event 150 release_post204 - event 151 release_post207 - event 152 release_post210 - event 153 release_post213 - event 154 release_post216 - event 155 release_post219 - event 156 release_post222 - event 157 release_post225 - event 158 release_post228 - event 159 release_post231 - event 160 release_post234 - event 161 release_post237 - event 162 release_post240 - event 163 release_post252 - event 164 release_post264 - event 165 release_post276 - event 166 release_post288 - event 167 release_post300 - event 168 release_post312 - event 169 release_post324 - event 170 release_post336 - event 171 release_post348 - event 172 release_post360 - event 173 release_post372 - event 174 release_post384 - endtask - task jgfs_post_f00 - edit FHR: 'f00' - edit HR: '00' - trigger ./jgfs_post_manager:release_post00 - endtask - task jgfs_post_f01 - edit FHR: 'f01' - edit HR: '01' - trigger ./jgfs_post_manager:release_post01 - endtask - task jgfs_post_f02 - edit FHR: 'f02' - edit HR: '02' - trigger ./jgfs_post_manager:release_post02 - endtask - task jgfs_post_f03 - edit FHR: 'f03' - edit HR: '03' - trigger ./jgfs_post_manager:release_post03 - endtask - task jgfs_post_f04 - edit FHR: 'f04' - edit HR: '04' - trigger ./jgfs_post_manager:release_post04 - endtask - task jgfs_post_f05 - edit FHR: 'f05' - edit HR: '05' - trigger ./jgfs_post_manager:release_post05 - endtask - task jgfs_post_f06 - edit FHR: 'f06' - edit HR: '06' - trigger ./jgfs_post_manager:release_post06 - endtask - task jgfs_post_f07 - edit FHR: 'f07' - edit HR: '07' - trigger ./jgfs_post_manager:release_post07 - endtask - task jgfs_post_f08 - edit FHR: 'f08' - edit HR: '08' - trigger ./jgfs_post_manager:release_post08 - endtask - task jgfs_post_f09 - edit FHR: 'f09' - edit HR: '09' - trigger ./jgfs_post_manager:release_post09 - endtask - task jgfs_post_f10 - edit FHR: 'f10' - edit HR: '10' - trigger ./jgfs_post_manager:release_post10 - endtask - task jgfs_post_f11 - edit FHR: 'f11' - edit HR: '11' - trigger ./jgfs_post_manager:release_post11 - endtask - task jgfs_post_f12 - edit FHR: 'f12' - edit HR: '12' - trigger ./jgfs_post_manager:release_post12 - endtask - task jgfs_post_f13 - edit FHR: 'f13' - edit HR: '13' - trigger ./jgfs_post_manager:release_post13 - endtask - task jgfs_post_f14 - edit FHR: 'f14' - edit HR: '14' - trigger ./jgfs_post_manager:release_post14 - endtask - task jgfs_post_f15 - edit FHR: 'f15' - edit HR: '15' - trigger ./jgfs_post_manager:release_post15 - endtask - task jgfs_post_f16 - edit FHR: 'f16' - edit HR: '16' - trigger ./jgfs_post_manager:release_post16 - endtask - task jgfs_post_f17 - edit FHR: 'f17' - edit HR: '17' - trigger ./jgfs_post_manager:release_post17 - endtask - task jgfs_post_f18 - edit FHR: 'f18' - edit HR: '18' - trigger ./jgfs_post_manager:release_post18 - endtask - task jgfs_post_f19 - edit FHR: 'f19' - edit HR: '19' - trigger ./jgfs_post_manager:release_post19 - endtask - task jgfs_post_f20 - edit FHR: 'f20' - edit HR: '20' - trigger ./jgfs_post_manager:release_post20 - endtask - task jgfs_post_f21 - edit FHR: 'f21' - edit HR: '21' - trigger ./jgfs_post_manager:release_post21 - endtask - task jgfs_post_f22 - edit FHR: 'f22' - edit HR: '22' - trigger ./jgfs_post_manager:release_post22 - endtask - task jgfs_post_f23 - edit FHR: 'f23' - edit HR: '23' - trigger ./jgfs_post_manager:release_post23 - endtask - task jgfs_post_f24 - edit FHR: 'f24' - edit HR: '24' - trigger ./jgfs_post_manager:release_post24 - endtask - task jgfs_post_f25 - edit FHR: 'f25' - edit HR: '25' - trigger ./jgfs_post_manager:release_post25 - endtask - task jgfs_post_f26 - edit FHR: 'f26' - edit HR: '26' - trigger ./jgfs_post_manager:release_post26 - endtask - task jgfs_post_f27 - edit FHR: 'f27' - edit HR: '27' - trigger ./jgfs_post_manager:release_post27 - endtask - task jgfs_post_f28 - edit FHR: 'f28' - edit HR: '28' - trigger ./jgfs_post_manager:release_post28 - endtask - task jgfs_post_f29 - edit FHR: 'f29' - edit HR: '29' - trigger ./jgfs_post_manager:release_post29 - endtask - task jgfs_post_f30 - edit FHR: 'f30' - edit HR: '30' - trigger ./jgfs_post_manager:release_post30 - endtask - task jgfs_post_f31 - edit FHR: 'f31' - edit HR: '31' - trigger ./jgfs_post_manager:release_post31 - endtask - task jgfs_post_f32 - edit FHR: 'f32' - edit HR: '32' - trigger ./jgfs_post_manager:release_post32 - endtask - task jgfs_post_f33 - edit FHR: 'f33' - edit HR: '33' - trigger ./jgfs_post_manager:release_post33 - endtask - task jgfs_post_f34 - edit FHR: 'f34' - edit HR: '34' - trigger ./jgfs_post_manager:release_post34 - endtask - task jgfs_post_f35 - edit FHR: 'f35' - edit HR: '35' - trigger ./jgfs_post_manager:release_post35 - endtask - task jgfs_post_f36 - edit FHR: 'f36' - edit HR: '36' - trigger ./jgfs_post_manager:release_post36 - endtask - task jgfs_post_f37 - edit FHR: 'f37' - edit HR: '37' - trigger ./jgfs_post_manager:release_post37 - endtask - task jgfs_post_f38 - edit FHR: 'f38' - edit HR: '38' - trigger ./jgfs_post_manager:release_post38 - endtask - task jgfs_post_f39 - edit FHR: 'f39' - edit HR: '39' - trigger ./jgfs_post_manager:release_post39 - endtask - task jgfs_post_f40 - edit FHR: 'f40' - edit HR: '40' - trigger ./jgfs_post_manager:release_post40 - endtask - task jgfs_post_f41 - edit FHR: 'f41' - edit HR: '41' - trigger ./jgfs_post_manager:release_post41 - endtask - task jgfs_post_f42 - edit FHR: 'f42' - edit HR: '42' - trigger ./jgfs_post_manager:release_post42 - endtask - task jgfs_post_f43 - edit FHR: 'f43' - edit HR: '43' - trigger ./jgfs_post_manager:release_post43 - endtask - task jgfs_post_f44 - edit FHR: 'f44' - edit HR: '44' - trigger ./jgfs_post_manager:release_post44 - endtask - task jgfs_post_f45 - edit FHR: 'f45' - edit HR: '45' - trigger ./jgfs_post_manager:release_post45 - endtask - task jgfs_post_f46 - edit FHR: 'f46' - edit HR: '46' - trigger ./jgfs_post_manager:release_post46 - endtask - task jgfs_post_f47 - edit FHR: 'f47' - edit HR: '47' - trigger ./jgfs_post_manager:release_post47 - endtask - task jgfs_post_f48 - edit FHR: 'f48' - edit HR: '48' - trigger ./jgfs_post_manager:release_post48 - endtask - task jgfs_post_f49 - edit FHR: 'f49' - edit HR: '49' - trigger ./jgfs_post_manager:release_post49 - endtask - task jgfs_post_f50 - edit FHR: 'f50' - edit HR: '50' - trigger ./jgfs_post_manager:release_post50 - endtask - task jgfs_post_f51 - edit FHR: 'f51' - edit HR: '51' - trigger ./jgfs_post_manager:release_post51 - endtask - task jgfs_post_f52 - edit FHR: 'f52' - edit HR: '52' - trigger ./jgfs_post_manager:release_post52 - endtask - task jgfs_post_f53 - edit FHR: 'f53' - edit HR: '53' - trigger ./jgfs_post_manager:release_post53 - endtask - task jgfs_post_f54 - edit FHR: 'f54' - edit HR: '54' - trigger ./jgfs_post_manager:release_post54 - endtask - task jgfs_post_f55 - edit FHR: 'f55' - edit HR: '55' - trigger ./jgfs_post_manager:release_post55 - endtask - task jgfs_post_f56 - edit FHR: 'f56' - edit HR: '56' - trigger ./jgfs_post_manager:release_post56 - endtask - task jgfs_post_f57 - edit FHR: 'f57' - edit HR: '57' - trigger ./jgfs_post_manager:release_post57 - endtask - task jgfs_post_f58 - edit FHR: 'f58' - edit HR: '58' - trigger ./jgfs_post_manager:release_post58 - endtask - task jgfs_post_f59 - edit FHR: 'f59' - edit HR: '59' - trigger ./jgfs_post_manager:release_post59 - endtask - task jgfs_post_f60 - edit FHR: 'f60' - edit HR: '60' - trigger ./jgfs_post_manager:release_post60 - endtask - task jgfs_post_f61 - edit FHR: 'f61' - edit HR: '61' - trigger ./jgfs_post_manager:release_post61 - endtask - task jgfs_post_f62 - edit FHR: 'f62' - edit HR: '62' - trigger ./jgfs_post_manager:release_post62 - endtask - task jgfs_post_f63 - edit FHR: 'f63' - edit HR: '63' - trigger ./jgfs_post_manager:release_post63 - endtask - task jgfs_post_f64 - edit FHR: 'f64' - edit HR: '64' - trigger ./jgfs_post_manager:release_post64 - endtask - task jgfs_post_f65 - edit FHR: 'f65' - edit HR: '65' - trigger ./jgfs_post_manager:release_post65 - endtask - task jgfs_post_f66 - edit FHR: 'f66' - edit HR: '66' - trigger ./jgfs_post_manager:release_post66 - endtask - task jgfs_post_f67 - edit FHR: 'f67' - edit HR: '67' - trigger ./jgfs_post_manager:release_post67 - endtask - task jgfs_post_f68 - edit FHR: 'f68' - edit HR: '68' - trigger ./jgfs_post_manager:release_post68 - endtask - task jgfs_post_f69 - edit FHR: 'f69' - edit HR: '69' - trigger ./jgfs_post_manager:release_post69 - endtask - task jgfs_post_f70 - edit FHR: 'f70' - edit HR: '70' - trigger ./jgfs_post_manager:release_post70 - endtask - task jgfs_post_f71 - edit FHR: 'f71' - edit HR: '71' - trigger ./jgfs_post_manager:release_post71 - endtask - task jgfs_post_f72 - edit FHR: 'f72' - edit HR: '72' - trigger ./jgfs_post_manager:release_post72 - endtask - task jgfs_post_f73 - edit FHR: 'f73' - edit HR: '73' - trigger ./jgfs_post_manager:release_post73 - endtask - task jgfs_post_f74 - edit FHR: 'f74' - edit HR: '74' - trigger ./jgfs_post_manager:release_post74 - endtask - task jgfs_post_f75 - edit FHR: 'f75' - edit HR: '75' - trigger ./jgfs_post_manager:release_post75 - endtask - task jgfs_post_f76 - edit FHR: 'f76' - edit HR: '76' - trigger ./jgfs_post_manager:release_post76 - endtask - task jgfs_post_f77 - edit FHR: 'f77' - edit HR: '77' - trigger ./jgfs_post_manager:release_post77 - endtask - task jgfs_post_f78 - edit FHR: 'f78' - edit HR: '78' - trigger ./jgfs_post_manager:release_post78 - endtask - task jgfs_post_f79 - edit FHR: 'f79' - edit HR: '79' - trigger ./jgfs_post_manager:release_post79 - endtask - task jgfs_post_f80 - edit FHR: 'f80' - edit HR: '80' - trigger ./jgfs_post_manager:release_post80 - endtask - task jgfs_post_f81 - edit FHR: 'f81' - edit HR: '81' - trigger ./jgfs_post_manager:release_post81 - endtask - task jgfs_post_f82 - edit FHR: 'f82' - edit HR: '82' - trigger ./jgfs_post_manager:release_post82 - endtask - task jgfs_post_f83 - edit FHR: 'f83' - edit HR: '83' - trigger ./jgfs_post_manager:release_post83 - endtask - task jgfs_post_f84 - edit FHR: 'f84' - edit HR: '84' - trigger ./jgfs_post_manager:release_post84 - endtask - task jgfs_post_f85 - edit FHR: 'f85' - edit HR: '85' - trigger ./jgfs_post_manager:release_post85 - endtask - task jgfs_post_f86 - edit FHR: 'f86' - edit HR: '86' - trigger ./jgfs_post_manager:release_post86 - endtask - task jgfs_post_f87 - edit FHR: 'f87' - edit HR: '87' - trigger ./jgfs_post_manager:release_post87 - endtask - task jgfs_post_f88 - edit FHR: 'f88' - edit HR: '88' - trigger ./jgfs_post_manager:release_post88 - endtask - task jgfs_post_f89 - edit FHR: 'f89' - edit HR: '89' - trigger ./jgfs_post_manager:release_post89 - endtask - task jgfs_post_f90 - edit FHR: 'f90' - edit HR: '90' - trigger ./jgfs_post_manager:release_post90 - endtask - task jgfs_post_f91 - edit FHR: 'f91' - edit HR: '91' - trigger ./jgfs_post_manager:release_post91 - endtask - task jgfs_post_f92 - edit FHR: 'f92' - edit HR: '92' - trigger ./jgfs_post_manager:release_post92 - endtask - task jgfs_post_f93 - edit FHR: 'f93' - edit HR: '93' - trigger ./jgfs_post_manager:release_post93 - endtask - task jgfs_post_f94 - edit FHR: 'f94' - edit HR: '94' - trigger ./jgfs_post_manager:release_post94 - endtask - task jgfs_post_f95 - edit FHR: 'f95' - edit HR: '95' - trigger ./jgfs_post_manager:release_post95 - endtask - task jgfs_post_f96 - edit FHR: 'f96' - edit HR: '96' - trigger ./jgfs_post_manager:release_post96 - endtask - task jgfs_post_f97 - edit FHR: 'f97' - edit HR: '97' - trigger ./jgfs_post_manager:release_post97 - endtask - task jgfs_post_f98 - edit FHR: 'f98' - edit HR: '98' - trigger ./jgfs_post_manager:release_post98 - endtask - task jgfs_post_f99 - edit FHR: 'f99' - edit HR: '99' - trigger ./jgfs_post_manager:release_post99 - endtask - task jgfs_post_f100 - edit FHR: 'f100' - edit HR: '100' - trigger ./jgfs_post_manager:release_post100 - endtask - task jgfs_post_f101 - edit FHR: 'f101' - edit HR: '101' - trigger ./jgfs_post_manager:release_post101 - endtask - task jgfs_post_f102 - edit FHR: 'f102' - edit HR: '102' - trigger ./jgfs_post_manager:release_post102 - endtask - task jgfs_post_f103 - edit FHR: 'f103' - edit HR: '103' - trigger ./jgfs_post_manager:release_post103 - endtask - task jgfs_post_f104 - edit FHR: 'f104' - edit HR: '104' - trigger ./jgfs_post_manager:release_post104 - endtask - task jgfs_post_f105 - edit FHR: 'f105' - edit HR: '105' - trigger ./jgfs_post_manager:release_post105 - endtask - task jgfs_post_f106 - edit FHR: 'f106' - edit HR: '106' - trigger ./jgfs_post_manager:release_post106 - endtask - task jgfs_post_f107 - edit FHR: 'f107' - edit HR: '107' - trigger ./jgfs_post_manager:release_post107 - endtask - task jgfs_post_f108 - edit FHR: 'f108' - edit HR: '108' - trigger ./jgfs_post_manager:release_post108 - endtask - task jgfs_post_f109 - edit FHR: 'f109' - edit HR: '109' - trigger ./jgfs_post_manager:release_post109 - endtask - task jgfs_post_f110 - edit FHR: 'f110' - edit HR: '110' - trigger ./jgfs_post_manager:release_post110 - endtask - task jgfs_post_f111 - edit FHR: 'f111' - edit HR: '111' - trigger ./jgfs_post_manager:release_post111 - endtask - task jgfs_post_f112 - edit FHR: 'f112' - edit HR: '112' - trigger ./jgfs_post_manager:release_post112 - endtask - task jgfs_post_f113 - edit FHR: 'f113' - edit HR: '113' - trigger ./jgfs_post_manager:release_post113 - endtask - task jgfs_post_f114 - edit FHR: 'f114' - edit HR: '114' - trigger ./jgfs_post_manager:release_post114 - endtask - task jgfs_post_f115 - edit FHR: 'f115' - edit HR: '115' - trigger ./jgfs_post_manager:release_post115 - endtask - task jgfs_post_f116 - edit FHR: 'f116' - edit HR: '116' - trigger ./jgfs_post_manager:release_post116 - endtask - task jgfs_post_f117 - edit FHR: 'f117' - edit HR: '117' - trigger ./jgfs_post_manager:release_post117 - endtask - task jgfs_post_f118 - edit FHR: 'f118' - edit HR: '118' - trigger ./jgfs_post_manager:release_post118 - endtask - task jgfs_post_f119 - edit FHR: 'f119' - edit HR: '119' - trigger ./jgfs_post_manager:release_post119 - endtask - task jgfs_post_f120 - edit FHR: 'f120' - edit HR: '120' - trigger ./jgfs_post_manager:release_post120 - endtask - task jgfs_post_f123 - edit FHR: 'f123' - edit HR: '123' - trigger ./jgfs_post_manager:release_post123 - endtask - task jgfs_post_f126 - edit FHR: 'f126' - edit HR: '126' - trigger ./jgfs_post_manager:release_post126 - endtask - task jgfs_post_f129 - edit FHR: 'f129' - edit HR: '129' - trigger ./jgfs_post_manager:release_post129 - endtask - task jgfs_post_f132 - edit FHR: 'f132' - edit HR: '132' - trigger ./jgfs_post_manager:release_post132 - endtask - task jgfs_post_f135 - edit FHR: 'f135' - edit HR: '135' - trigger ./jgfs_post_manager:release_post135 - endtask - task jgfs_post_f138 - edit FHR: 'f138' - edit HR: '138' - trigger ./jgfs_post_manager:release_post138 - endtask - task jgfs_post_f141 - edit FHR: 'f141' - edit HR: '141' - trigger ./jgfs_post_manager:release_post141 - endtask - task jgfs_post_f144 - edit FHR: 'f144' - edit HR: '144' - trigger ./jgfs_post_manager:release_post144 - endtask - task jgfs_post_f147 - edit FHR: 'f147' - edit HR: '147' - trigger ./jgfs_post_manager:release_post147 - endtask - task jgfs_post_f150 - edit FHR: 'f150' - edit HR: '150' - trigger ./jgfs_post_manager:release_post150 - endtask - task jgfs_post_f153 - edit FHR: 'f153' - edit HR: '153' - trigger ./jgfs_post_manager:release_post153 - endtask - task jgfs_post_f156 - edit FHR: 'f156' - edit HR: '156' - trigger ./jgfs_post_manager:release_post156 - endtask - task jgfs_post_f159 - edit FHR: 'f159' - edit HR: '159' - trigger ./jgfs_post_manager:release_post159 - endtask - task jgfs_post_f162 - edit FHR: 'f162' - edit HR: '162' - trigger ./jgfs_post_manager:release_post162 - endtask - task jgfs_post_f165 - edit FHR: 'f165' - edit HR: '165' - trigger ./jgfs_post_manager:release_post165 - endtask - task jgfs_post_f168 - edit FHR: 'f168' - edit HR: '168' - trigger ./jgfs_post_manager:release_post168 - endtask - task jgfs_post_f171 - edit FHR: 'f171' - edit HR: '171' - trigger ./jgfs_post_manager:release_post171 - endtask - task jgfs_post_f174 - edit FHR: 'f174' - edit HR: '174' - trigger ./jgfs_post_manager:release_post174 - endtask - task jgfs_post_f177 - edit FHR: 'f177' - edit HR: '177' - trigger ./jgfs_post_manager:release_post177 - endtask - task jgfs_post_f180 - edit FHR: 'f180' - edit HR: '180' - trigger ./jgfs_post_manager:release_post180 - endtask - task jgfs_post_f183 - edit FHR: 'f183' - edit HR: '183' - trigger ./jgfs_post_manager:release_post183 - endtask - task jgfs_post_f186 - edit FHR: 'f186' - edit HR: '186' - trigger ./jgfs_post_manager:release_post186 - endtask - task jgfs_post_f189 - edit FHR: 'f189' - edit HR: '189' - trigger ./jgfs_post_manager:release_post189 - endtask - task jgfs_post_f192 - edit FHR: 'f192' - edit HR: '192' - trigger ./jgfs_post_manager:release_post192 - endtask - task jgfs_post_f195 - edit FHR: 'f195' - edit HR: '195' - trigger ./jgfs_post_manager:release_post195 - endtask - task jgfs_post_f198 - edit FHR: 'f198' - edit HR: '198' - trigger ./jgfs_post_manager:release_post198 - endtask - task jgfs_post_f201 - edit FHR: 'f201' - edit HR: '201' - trigger ./jgfs_post_manager:release_post201 - endtask - task jgfs_post_f204 - edit FHR: 'f204' - edit HR: '204' - trigger ./jgfs_post_manager:release_post204 - endtask - task jgfs_post_f207 - edit FHR: 'f207' - edit HR: '207' - trigger ./jgfs_post_manager:release_post207 - endtask - task jgfs_post_f210 - edit FHR: 'f210' - edit HR: '210' - trigger ./jgfs_post_manager:release_post210 - endtask - task jgfs_post_f213 - edit FHR: 'f213' - edit HR: '213' - trigger ./jgfs_post_manager:release_post213 - endtask - task jgfs_post_f216 - edit FHR: 'f216' - edit HR: '216' - trigger ./jgfs_post_manager:release_post216 - endtask - task jgfs_post_f219 - edit FHR: 'f219' - edit HR: '219' - trigger ./jgfs_post_manager:release_post219 - endtask - task jgfs_post_f222 - edit FHR: 'f222' - edit HR: '222' - trigger ./jgfs_post_manager:release_post222 - endtask - task jgfs_post_f225 - edit FHR: 'f225' - edit HR: '225' - trigger ./jgfs_post_manager:release_post225 - endtask - task jgfs_post_f228 - edit FHR: 'f228' - edit HR: '228' - trigger ./jgfs_post_manager:release_post228 - endtask - task jgfs_post_f231 - edit FHR: 'f231' - edit HR: '231' - trigger ./jgfs_post_manager:release_post231 - endtask - task jgfs_post_f234 - edit FHR: 'f234' - edit HR: '234' - trigger ./jgfs_post_manager:release_post234 - endtask - task jgfs_post_f237 - edit FHR: 'f237' - edit HR: '237' - trigger ./jgfs_post_manager:release_post237 - endtask - task jgfs_post_f240 - edit FHR: 'f240' - edit HR: '240' - trigger ./jgfs_post_manager:release_post240 - endtask - task jgfs_post_f252 - edit FHR: 'f252' - edit HR: '252' - trigger ./jgfs_post_manager:release_post252 - endtask - task jgfs_post_f264 - edit FHR: 'f264' - edit HR: '264' - trigger ./jgfs_post_manager:release_post264 - endtask - task jgfs_post_f276 - edit FHR: 'f276' - edit HR: '276' - trigger ./jgfs_post_manager:release_post276 - endtask - task jgfs_post_f288 - edit FHR: 'f288' - edit HR: '288' - trigger ./jgfs_post_manager:release_post288 - endtask - task jgfs_post_f300 - edit FHR: 'f300' - edit HR: '300' - trigger ./jgfs_post_manager:release_post300 - endtask - task jgfs_post_f312 - edit FHR: 'f312' - edit HR: '312' - trigger ./jgfs_post_manager:release_post312 - endtask - task jgfs_post_f324 - edit FHR: 'f324' - edit HR: '324' - trigger ./jgfs_post_manager:release_post324 - endtask - task jgfs_post_f336 - edit FHR: 'f336' - edit HR: '336' - trigger ./jgfs_post_manager:release_post336 - endtask - task jgfs_post_f348 - edit FHR: 'f348' - edit HR: '348' - trigger ./jgfs_post_manager:release_post348 - endtask - task jgfs_post_f360 - edit FHR: 'f360' - edit HR: '360' - trigger ./jgfs_post_manager:release_post360 - endtask - task jgfs_post_f372 - edit FHR: 'f372' - edit HR: '372' - trigger ./jgfs_post_manager:release_post372 - endtask - task jgfs_post_f384 - edit FHR: 'f384' - edit HR: '384' - trigger ./jgfs_post_manager:release_post384 - endtask - endfamily - family prdgen - task jgfs_pgrb2_anl - edit FHR 'anl' - edit HR 'anl' - endtask - task jgfs_pgrb2_manager - trigger ../post == complete - event 2 release_pgrb2_00 - event 3 release_pgrb2_01 - event 4 release_pgrb2_02 - event 5 release_pgrb2_03 - event 6 release_pgrb2_04 - event 7 release_pgrb2_05 - event 8 release_pgrb2_06 - event 9 release_pgrb2_07 - event 10 release_pgrb2_08 - event 11 release_pgrb2_09 - event 12 release_pgrb2_10 - event 13 release_pgrb2_11 - event 14 release_pgrb2_12 - event 15 release_pgrb2_13 - event 16 release_pgrb2_14 - event 17 release_pgrb2_15 - event 18 release_pgrb2_16 - event 19 release_pgrb2_17 - event 20 release_pgrb2_18 - event 21 release_pgrb2_19 - event 22 release_pgrb2_20 - event 23 release_pgrb2_21 - event 24 release_pgrb2_22 - event 25 release_pgrb2_23 - event 26 release_pgrb2_24 - event 27 release_pgrb2_25 - event 28 release_pgrb2_26 - event 29 release_pgrb2_27 - event 30 release_pgrb2_28 - event 31 release_pgrb2_29 - event 32 release_pgrb2_30 - event 33 release_pgrb2_31 - event 34 release_pgrb2_32 - event 35 release_pgrb2_33 - event 36 release_pgrb2_34 - event 37 release_pgrb2_35 - event 38 release_pgrb2_36 - event 39 release_pgrb2_37 - event 40 release_pgrb2_38 - event 41 release_pgrb2_39 - event 42 release_pgrb2_40 - event 43 release_pgrb2_41 - event 44 release_pgrb2_42 - event 45 release_pgrb2_43 - event 46 release_pgrb2_44 - event 47 release_pgrb2_45 - event 48 release_pgrb2_46 - event 49 release_pgrb2_47 - event 50 release_pgrb2_48 - event 51 release_pgrb2_49 - event 52 release_pgrb2_50 - event 53 release_pgrb2_51 - event 54 release_pgrb2_52 - event 55 release_pgrb2_53 - event 56 release_pgrb2_54 - event 57 release_pgrb2_55 - event 58 release_pgrb2_56 - event 59 release_pgrb2_57 - event 60 release_pgrb2_58 - event 61 release_pgrb2_59 - event 62 release_pgrb2_60 - event 63 release_pgrb2_61 - event 64 release_pgrb2_62 - event 65 release_pgrb2_63 - event 66 release_pgrb2_64 - event 67 release_pgrb2_65 - event 68 release_pgrb2_66 - event 69 release_pgrb2_67 - event 70 release_pgrb2_68 - event 71 release_pgrb2_69 - event 72 release_pgrb2_70 - event 73 release_pgrb2_71 - event 74 release_pgrb2_72 - event 75 release_pgrb2_73 - event 76 release_pgrb2_74 - event 77 release_pgrb2_75 - event 78 release_pgrb2_76 - event 79 release_pgrb2_77 - event 80 release_pgrb2_78 - event 81 release_pgrb2_79 - event 82 release_pgrb2_80 - event 83 release_pgrb2_81 - event 84 release_pgrb2_82 - event 85 release_pgrb2_83 - event 86 release_pgrb2_84 - event 87 release_pgrb2_85 - event 88 release_pgrb2_86 - event 89 release_pgrb2_87 - event 90 release_pgrb2_88 - event 91 release_pgrb2_89 - event 92 release_pgrb2_90 - event 93 release_pgrb2_91 - event 94 release_pgrb2_92 - event 95 release_pgrb2_93 - event 96 release_pgrb2_94 - event 97 release_pgrb2_95 - event 98 release_pgrb2_96 - event 99 release_pgrb2_97 - event 100 release_pgrb2_98 - event 101 release_pgrb2_99 - event 102 release_pgrb2_100 - event 103 release_pgrb2_101 - event 104 release_pgrb2_102 - event 105 release_pgrb2_103 - event 106 release_pgrb2_104 - event 107 release_pgrb2_105 - event 108 release_pgrb2_106 - event 109 release_pgrb2_107 - event 110 release_pgrb2_108 - event 111 release_pgrb2_109 - event 112 release_pgrb2_110 - event 113 release_pgrb2_111 - event 114 release_pgrb2_112 - event 115 release_pgrb2_113 - event 116 release_pgrb2_114 - event 117 release_pgrb2_115 - event 118 release_pgrb2_116 - event 119 release_pgrb2_117 - event 120 release_pgrb2_118 - event 121 release_pgrb2_119 - event 122 release_pgrb2_120 - event 123 release_pgrb2_123 - event 124 release_pgrb2_126 - event 125 release_pgrb2_129 - event 126 release_pgrb2_132 - event 127 release_pgrb2_135 - event 128 release_pgrb2_138 - event 129 release_pgrb2_141 - event 130 release_pgrb2_144 - event 131 release_pgrb2_147 - event 132 release_pgrb2_150 - event 133 release_pgrb2_153 - event 134 release_pgrb2_156 - event 135 release_pgrb2_159 - event 136 release_pgrb2_162 - event 137 release_pgrb2_165 - event 138 release_pgrb2_168 - event 139 release_pgrb2_171 - event 140 release_pgrb2_174 - event 141 release_pgrb2_177 - event 142 release_pgrb2_180 - event 143 release_pgrb2_183 - event 144 release_pgrb2_186 - event 145 release_pgrb2_189 - event 146 release_pgrb2_192 - event 147 release_pgrb2_195 - event 148 release_pgrb2_198 - event 149 release_pgrb2_201 - event 150 release_pgrb2_204 - event 151 release_pgrb2_207 - event 152 release_pgrb2_210 - event 153 release_pgrb2_213 - event 154 release_pgrb2_216 - event 155 release_pgrb2_219 - event 156 release_pgrb2_222 - event 157 release_pgrb2_225 - event 158 release_pgrb2_228 - event 159 release_pgrb2_231 - event 160 release_pgrb2_234 - event 161 release_pgrb2_237 - event 162 release_pgrb2_240 - event 163 release_pgrb2_252 - event 164 release_pgrb2_264 - event 165 release_pgrb2_276 - event 166 release_pgrb2_288 - event 167 release_pgrb2_300 - event 168 release_pgrb2_312 - event 169 release_pgrb2_324 - event 170 release_pgrb2_336 - event 171 release_pgrb2_348 - event 172 release_pgrb2_360 - event 173 release_pgrb2_372 - event 174 release_pgrb2_384 - endtask - task jgfs_pgrb2_f00 - edit FHR '00' - edit HR '00' - endtask - task jgfs_pgrb2_f01 - edit FHR '01' - edit HR '01' - endtask - task jgfs_pgrb2_f02 - edit FHR '02' - edit HR '02' - endtask - task jgfs_pgrb2_f03 - edit FHR '03' - edit HR '03' - endtask - task jgfs_pgrb2_f04 - edit FHR '04' - edit HR '04' - endtask - task jgfs_pgrb2_f05 - edit FHR '05' - edit HR '05' - endtask - task jgfs_pgrb2_f06 - edit FHR '06' - edit HR '06' - endtask - task jgfs_pgrb2_f07 - edit FHR '07' - edit HR '07' - endtask - task jgfs_pgrb2_f08 - edit FHR '08' - edit HR '08' - endtask - task jgfs_pgrb2_f09 - edit FHR '09' - edit HR '09' - endtask - task jgfs_pgrb2_f10 - edit FHR '10' - edit HR '10' - endtask - task jgfs_pgrb2_f11 - edit FHR '11' - edit HR '11' - endtask - task jgfs_pgrb2_f12 - edit FHR '12' - edit HR '12' - endtask - task jgfs_pgrb2_f13 - edit FHR '13' - edit HR '13' - endtask - task jgfs_pgrb2_f14 - edit FHR '14' - edit HR '14' - endtask - task jgfs_pgrb2_f15 - edit FHR '15' - edit HR '15' - endtask - task jgfs_pgrb2_f16 - edit FHR '16' - edit HR '16' - endtask - task jgfs_pgrb2_f17 - edit FHR '17' - edit HR '17' - endtask - task jgfs_pgrb2_f18 - edit FHR '18' - edit HR '18' - endtask - task jgfs_pgrb2_f19 - edit FHR '19' - edit HR '19' - endtask - task jgfs_pgrb2_f20 - edit FHR '20' - edit HR '20' - endtask - task jgfs_pgrb2_f21 - edit FHR '21' - edit HR '21' - endtask - task jgfs_pgrb2_f22 - edit FHR '22' - edit HR '22' - endtask - task jgfs_pgrb2_f23 - edit FHR '23' - edit HR '23' - endtask - task jgfs_pgrb2_f24 - edit FHR '24' - edit HR '24' - endtask - task jgfs_pgrb2_f25 - edit FHR '25' - edit HR '25' - endtask - task jgfs_pgrb2_f26 - edit FHR '26' - edit HR '26' - endtask - task jgfs_pgrb2_f27 - edit FHR '27' - edit HR '27' - endtask - task jgfs_pgrb2_f28 - edit FHR '28' - edit HR '28' - endtask - task jgfs_pgrb2_f29 - edit FHR '29' - edit HR '29' - endtask - task jgfs_pgrb2_f30 - edit FHR '30' - edit HR '30' - endtask - task jgfs_pgrb2_f31 - edit FHR '31' - edit HR '31' - endtask - task jgfs_pgrb2_f32 - edit FHR '32' - edit HR '32' - endtask - task jgfs_pgrb2_f33 - edit FHR '33' - edit HR '33' - endtask - task jgfs_pgrb2_f34 - edit FHR '34' - edit HR '34' - endtask - task jgfs_pgrb2_f35 - edit FHR '35' - edit HR '35' - endtask - task jgfs_pgrb2_f36 - edit FHR '36' - edit HR '36' - endtask - task jgfs_pgrb2_f37 - edit FHR '37' - edit HR '37' - endtask - task jgfs_pgrb2_f38 - edit FHR '38' - edit HR '38' - endtask - task jgfs_pgrb2_f39 - edit FHR '39' - edit HR '39' - endtask - task jgfs_pgrb2_f40 - edit FHR '40' - edit HR '40' - endtask - task jgfs_pgrb2_f41 - edit FHR '41' - edit HR '41' - endtask - task jgfs_pgrb2_f42 - edit FHR '42' - edit HR '42' - endtask - task jgfs_pgrb2_f43 - edit FHR '43' - edit HR '43' - endtask - task jgfs_pgrb2_f44 - edit FHR '44' - edit HR '44' - endtask - task jgfs_pgrb2_f45 - edit FHR '45' - edit HR '45' - endtask - task jgfs_pgrb2_f46 - edit FHR '46' - edit HR '46' - endtask - task jgfs_pgrb2_f47 - edit FHR '47' - edit HR '47' - endtask - task jgfs_pgrb2_f48 - edit FHR '48' - edit HR '48' - endtask - task jgfs_pgrb2_f49 - edit FHR '49' - edit HR '49' - endtask - task jgfs_pgrb2_f50 - edit FHR '50' - edit HR '50' - endtask - task jgfs_pgrb2_f51 - edit FHR '51' - edit HR '51' - endtask - task jgfs_pgrb2_f52 - edit FHR '52' - edit HR '52' - endtask - task jgfs_pgrb2_f53 - edit FHR '53' - edit HR '53' - endtask - task jgfs_pgrb2_f54 - edit FHR '54' - edit HR '54' - endtask - task jgfs_pgrb2_f55 - edit FHR '55' - edit HR '55' - endtask - task jgfs_pgrb2_f56 - edit FHR '56' - edit HR '56' - endtask - task jgfs_pgrb2_f57 - edit FHR '57' - edit HR '57' - endtask - task jgfs_pgrb2_f58 - edit FHR '58' - edit HR '58' - endtask - task jgfs_pgrb2_f59 - edit FHR '59' - edit HR '59' - endtask - task jgfs_pgrb2_f60 - edit FHR '60' - edit HR '60' - endtask - task jgfs_pgrb2_f61 - edit FHR '61' - edit HR '61' - endtask - task jgfs_pgrb2_f62 - edit FHR '62' - edit HR '62' - endtask - task jgfs_pgrb2_f63 - edit FHR '63' - edit HR '63' - endtask - task jgfs_pgrb2_f64 - edit FHR '64' - edit HR '64' - endtask - task jgfs_pgrb2_f65 - edit FHR '65' - edit HR '65' - endtask - task jgfs_pgrb2_f66 - edit FHR '66' - edit HR '66' - endtask - task jgfs_pgrb2_f67 - edit FHR '67' - edit HR '67' - endtask - task jgfs_pgrb2_f68 - edit FHR '68' - edit HR '68' - endtask - task jgfs_pgrb2_f69 - edit FHR '69' - edit HR '69' - endtask - task jgfs_pgrb2_f70 - edit FHR '70' - edit HR '70' - endtask - task jgfs_pgrb2_f71 - edit FHR '71' - edit HR '71' - endtask - task jgfs_pgrb2_f72 - edit FHR '72' - edit HR '72' - endtask - task jgfs_pgrb2_f73 - edit FHR '73' - edit HR '73' - endtask - task jgfs_pgrb2_f74 - edit FHR '74' - edit HR '74' - endtask - task jgfs_pgrb2_f75 - edit FHR '75' - edit HR '75' - endtask - task jgfs_pgrb2_f76 - edit FHR '76' - edit HR '76' - endtask - task jgfs_pgrb2_f77 - edit FHR '77' - edit HR '77' - endtask - task jgfs_pgrb2_f78 - edit FHR '78' - edit HR '78' - endtask - task jgfs_pgrb2_f79 - edit FHR '79' - edit HR '79' - endtask - task jgfs_pgrb2_f80 - edit FHR '80' - edit HR '80' - endtask - task jgfs_pgrb2_f81 - edit FHR '81' - edit HR '81' - endtask - task jgfs_pgrb2_f82 - edit FHR '82' - edit HR '82' - endtask - task jgfs_pgrb2_f83 - edit FHR '83' - edit HR '83' - endtask - task jgfs_pgrb2_f84 - edit FHR '84' - edit HR '84' - endtask - task jgfs_pgrb2_f85 - edit FHR '85' - edit HR '85' - endtask - task jgfs_pgrb2_f86 - edit FHR '86' - edit HR '86' - endtask - task jgfs_pgrb2_f87 - edit FHR '87' - edit HR '87' - endtask - task jgfs_pgrb2_f88 - edit FHR '88' - edit HR '88' - endtask - task jgfs_pgrb2_f89 - edit FHR '89' - edit HR '89' - endtask - task jgfs_pgrb2_f90 - edit FHR '90' - edit HR '90' - endtask - task jgfs_pgrb2_f91 - edit FHR '91' - edit HR '91' - endtask - task jgfs_pgrb2_f92 - edit FHR '92' - edit HR '92' - endtask - task jgfs_pgrb2_f93 - edit FHR '93' - edit HR '93' - endtask - task jgfs_pgrb2_f94 - edit FHR '94' - edit HR '94' - endtask - task jgfs_pgrb2_f95 - edit FHR '95' - edit HR '95' - endtask - task jgfs_pgrb2_f96 - edit FHR '96' - edit HR '96' - endtask - task jgfs_pgrb2_f97 - edit FHR '97' - edit HR '97' - endtask - task jgfs_pgrb2_f98 - edit FHR '98' - edit HR '98' - endtask - task jgfs_pgrb2_f99 - edit FHR '99' - edit HR '99' - endtask - task jgfs_pgrb2_f100 - edit FHR '100' - edit HR '100' - endtask - task jgfs_pgrb2_f101 - edit FHR '101' - edit HR '101' - endtask - task jgfs_pgrb2_f102 - edit FHR '102' - edit HR '102' - endtask - task jgfs_pgrb2_f103 - edit FHR '103' - edit HR '103' - endtask - task jgfs_pgrb2_f104 - edit FHR '104' - edit HR '104' - endtask - task jgfs_pgrb2_f105 - edit FHR '105' - edit HR '105' - endtask - task jgfs_pgrb2_f106 - edit FHR '106' - edit HR '106' - endtask - task jgfs_pgrb2_f107 - edit FHR '107' - edit HR '107' - endtask - task jgfs_pgrb2_f108 - edit FHR '108' - edit HR '108' - endtask - task jgfs_pgrb2_f109 - edit FHR '109' - edit HR '109' - endtask - task jgfs_pgrb2_f110 - edit FHR '110' - edit HR '110' - endtask - task jgfs_pgrb2_f111 - edit FHR '111' - edit HR '111' - endtask - task jgfs_pgrb2_f112 - edit FHR '112' - edit HR '112' - endtask - task jgfs_pgrb2_f113 - edit FHR '113' - edit HR '113' - endtask - task jgfs_pgrb2_f114 - edit FHR '114' - edit HR '114' - endtask - task jgfs_pgrb2_f115 - edit FHR '115' - edit HR '115' - endtask - task jgfs_pgrb2_f116 - edit FHR '116' - edit HR '116' - endtask - task jgfs_pgrb2_f117 - edit FHR '117' - edit HR '117' - endtask - task jgfs_pgrb2_f118 - edit FHR '118' - edit HR '118' - endtask - task jgfs_pgrb2_f119 - edit FHR '119' - edit HR '119' - endtask - task jgfs_pgrb2_f120 - edit FHR '120' - edit HR '120' - endtask - task jgfs_pgrb2_f123 - edit FHR '123' - edit HR '123' - endtask - task jgfs_pgrb2_f126 - edit FHR '126' - edit HR '126' - endtask - task jgfs_pgrb2_f129 - edit FHR '129' - edit HR '129' - endtask - task jgfs_pgrb2_f132 - edit FHR '132' - edit HR '132' - endtask - task jgfs_pgrb2_f135 - edit FHR '135' - edit HR '135' - endtask - task jgfs_pgrb2_f138 - edit FHR '138' - edit HR '138' - endtask - task jgfs_pgrb2_f141 - edit FHR '141' - edit HR '141' - endtask - task jgfs_pgrb2_f144 - edit FHR '144' - edit HR '144' - endtask - task jgfs_pgrb2_f147 - edit FHR '147' - edit HR '147' - endtask - task jgfs_pgrb2_f150 - edit FHR '150' - edit HR '150' - endtask - task jgfs_pgrb2_f153 - edit FHR '153' - edit HR '153' - endtask - task jgfs_pgrb2_f156 - edit FHR '156' - edit HR '156' - endtask - task jgfs_pgrb2_f159 - edit FHR '159' - edit HR '159' - endtask - task jgfs_pgrb2_f162 - edit FHR '162' - edit HR '162' - endtask - task jgfs_pgrb2_f165 - edit FHR '165' - edit HR '165' - endtask - task jgfs_pgrb2_f168 - edit FHR '168' - edit HR '168' - endtask - task jgfs_pgrb2_f171 - edit FHR '171' - edit HR '171' - endtask - task jgfs_pgrb2_f174 - edit FHR '174' - edit HR '174' - endtask - task jgfs_pgrb2_f177 - edit FHR '177' - edit HR '177' - endtask - task jgfs_pgrb2_f180 - edit FHR '180' - edit HR '180' - endtask - task jgfs_pgrb2_f183 - edit FHR '183' - edit HR '183' - endtask - task jgfs_pgrb2_f186 - edit FHR '186' - edit HR '186' - endtask - task jgfs_pgrb2_f189 - edit FHR '189' - edit HR '189' - endtask - task jgfs_pgrb2_f192 - edit FHR '192' - edit HR '192' - endtask - task jgfs_pgrb2_f195 - edit FHR '195' - edit HR '195' - endtask - task jgfs_pgrb2_f198 - edit FHR '198' - edit HR '198' - endtask - task jgfs_pgrb2_f201 - edit FHR '201' - edit HR '201' - endtask - task jgfs_pgrb2_f204 - edit FHR '204' - edit HR '204' - endtask - task jgfs_pgrb2_f207 - edit FHR '207' - edit HR '207' - endtask - task jgfs_pgrb2_f210 - edit FHR '210' - edit HR '210' - endtask - task jgfs_pgrb2_f213 - edit FHR '213' - edit HR '213' - endtask - task jgfs_pgrb2_f216 - edit FHR '216' - edit HR '216' - endtask - task jgfs_pgrb2_f219 - edit FHR '219' - edit HR '219' - endtask - task jgfs_pgrb2_f222 - edit FHR '222' - edit HR '222' - endtask - task jgfs_pgrb2_f225 - edit FHR '225' - edit HR '225' - endtask - task jgfs_pgrb2_f228 - edit FHR '228' - edit HR '228' - endtask - task jgfs_pgrb2_f231 - edit FHR '231' - edit HR '231' - endtask - task jgfs_pgrb2_f234 - edit FHR '234' - edit HR '234' - endtask - task jgfs_pgrb2_f237 - edit FHR '237' - edit HR '237' - endtask - task jgfs_pgrb2_f240 - edit FHR '240' - edit HR '240' - endtask - task jgfs_pgrb2_f252 - edit FHR '252' - edit HR '252' - endtask - task jgfs_pgrb2_f264 - edit FHR '264' - edit HR '264' - endtask - task jgfs_pgrb2_f276 - edit FHR '276' - edit HR '276' - endtask - task jgfs_pgrb2_f288 - edit FHR '288' - edit HR '288' - endtask - task jgfs_pgrb2_f300 - edit FHR '300' - edit HR '300' - endtask - task jgfs_pgrb2_f312 - edit FHR '312' - edit HR '312' - endtask - task jgfs_pgrb2_f324 - edit FHR '324' - edit HR '324' - endtask - task jgfs_pgrb2_f336 - edit FHR '336' - edit HR '336' - endtask - task jgfs_pgrb2_f348 - edit FHR '348' - edit HR '348' - endtask - task jgfs_pgrb2_f360 - edit FHR '360' - edit HR '360' - endtask - task jgfs_pgrb2_f372 - edit FHR '372' - edit HR '372' - endtask - task jgfs_pgrb2_f384 - edit FHR '384' - edit HR '384' - endtask - endfamily - family gempak - task jgfs_gempak_upapgif - trigger ../dump/jgfs_dump == complete - endtask - task jgfs_gempak_ncdc - trigger ./jgfs_gempak == active or ./jgfs_gempak == complete - endtask - task jgfs_gempak - trigger ../jgfs_analysis == complete - endtask - task jgfs_gempak_meta - trigger ../jgfs_analysis == complete - endtask - endfamily - endfamily - family gdas - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - edit PROJ '%PROJENVIR%' - edit MODEL_NAME 'gdas' - task jgdas_verfrad - trigger ./enkf == complete - endtask - task jgdas_vminmon - trigger ./analysis/jgdas_analysis_high == complete - endtask - family dump - task jgdas_ics - event 1 release_gdas00_ics - endtask - task jgdas_tropcy_qc_reloc - trigger ./jgdas_dump == complete - endtask - task jgdas_dump - event 1 release_sfcprep - endtask - endfamily - family prep - task jgdas_emcsfc_sfc_prep - trigger ../dump/jgdas_dump:release_sfcprep - endtask - task jgdas_prep - trigger ../dump/jgdas_dump == complete and ../dump/jgdas_tropcy_qc_reloc == complete - endtask - task jgdas_prep_post - trigger ../analysis/jgdas_analysis_high == complete - endtask - endfamily - family analysis - task jgdas_analysis_high - trigger ../prep/jgdas_prep == complete and ../prep/jgdas_emcsfc_sfc_prep == complete - event 1 release_fcst - endtask - endfamily - family forecast - task jgdas_forecast - trigger ../analysis/jgdas_analysis_high:release_fcst and ../enkf/innovate == complete - event 1 release_fcst - endtask - endfamily - family post_processing - family bulletins - task jgdas_mknavybulls - trigger ../../dump/jgdas_dump == complete - endtask - endfamily - endfamily - family gempak - task jgdas_gempak - trigger ../forecast/jgdas_forecast == complete - endtask - task jgdas_gempak_meta - trigger ./jgdas_gempak == complete - endtask - task jgdas_gempak_ncdc - trigger ./jgdas_gempak == complete - endtask - endfamily - family post - task jgdas_post - trigger ../forecast/jgdas_forecast == complete - endtask - endfamily - family enkf - task jgdas_enkf_select_obs - trigger ../prep/jgdas_prep == complete and /prod00/gdas/enkf/jgdas_enkf_post == complete - endtask - family innovate - trigger ./jgdas_enkf_select_obs == complete - task jgdas_enkf_innovate_obs_grp1 - endtask - task jgdas_enkf_innovate_obs_grp2 - endtask - task jgdas_enkf_innovate_obs_grp3 - endtask - task jgdas_enkf_innovate_obs_grp4 - endtask - task jgdas_enkf_innovate_obs_grp5 - endtask - task jgdas_enkf_innovate_obs_grp6 - endtask - task jgdas_enkf_innovate_obs_grp7 - endtask - task jgdas_enkf_innovate_obs_grp8 - endtask - endfamily - task jgdas_enkf_update - edit ECF_PASS 'FREE' - trigger ./innovate == complete - endtask - task jgdas_enkf_inflate_recenter - trigger ./jgdas_enkf_update == complete and ../analysis/jgdas_analysis_high == complete - endtask - family forecast - trigger ./jgdas_enkf_inflate_recenter == complete - task jgdas_enkf_fcst_grp1 - endtask - task jgdas_enkf_fcst_grp2 - endtask - task jgdas_enkf_fcst_grp3 - endtask - task jgdas_enkf_fcst_grp4 - endtask - task jgdas_enkf_fcst_grp5 - endtask - task jgdas_enkf_fcst_grp6 - endtask - task jgdas_enkf_fcst_grp7 - endtask - task jgdas_enkf_fcst_grp8 - endtask - endfamily - task jgdas_enkf_post - trigger ./forecast == complete - endtask - endfamily - endfamily - task cycle_end - edit ECF_JOB_CMD '%ECF_JOB% 1> %ECF_JOBOUT% 2>&1' - edit ECF_PASS 'FREE' - endtask -endsuite diff --git a/model/ecflow_fv3gfs/defs/prod12.def b/model/ecflow_fv3gfs/defs/prod12.def deleted file mode 100644 index 7c90390..0000000 --- a/model/ecflow_fv3gfs/defs/prod12.def +++ /dev/null @@ -1,4366 +0,0 @@ -extern /prod06/gdas/enkf/jgdas_enkf_post -suite prod12 - repeat day 1 - edit ECF_TRIES '1' - #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' - edit ECF_KILL_CMD 'lkill %ECF_NAME% %ECF_JOBOUT%' - edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs' - #edit ECF_HOME '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3' - edit CYC '12' - edit ENVIR 'prod' - edit PROJ 'GFS-T2O' - edit E 'jecffv3' - #edit QUEUE 'dev' - edit QUEUE 'debug' - edit PROJENVIR 'GFS-T2O' - #edit EMCPEN 'ecfgfsfv3' - edit EMCPEN 'ecfgfsfv3' - #edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' - edit COM '/gpfs/hps2/ptmp/emc.glopara/ecfgfsfv3/com' - edit QUEUESERV 'dev_transfer' - edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/ecfgfsfv3' - edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' - edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' - edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' - edit MODEL_NAME 'gfs' - family gfs - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' - edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' - edit PROJ '%PROJENVIR%' - edit MODEL_NAME 'gfs' - family dump - task jgfs_tropcy_qc_reloc - trigger ./jgfs_dump == complete - event 1 jtwc_bull_email - endtask - task jgfs_dump - event 1 release_sfcprep - endtask - endfamily - family prep - task jgfs_emcsfc_sfc_prep - trigger ../dump/jgfs_dump:release_sfcprep - endtask - task jgfs_prep - trigger ../dump == complete - endtask - task jgfs_prep_post - trigger ../jgfs_analysis == complete - endtask - endfamily - task jgfs_analysis - trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete - endtask - task jgfs_vminmon - trigger ./jgfs_analysis == complete - endtask - family forecast - task jgfs_forecast_high - trigger ../jgfs_analysis == complete - endtask - task jgfs_forecast_low - trigger ./jgfs_forecast_high == complete - endtask - endfamily - family sminit_guam - task jgfs_sminit_guam_even - trigger ../post/jgfs_post_anl == active or ../post/jgfs_post_anl == complete - endtask - task jgfs_sminit_guam_odd - trigger ../post/jgfs_post_anl == active or ../post/jgfs_post_anl == complete - endtask - endfamily - family post_processing - task dummy - trigger ../forecast == complete - endtask - family fax - task jgfs_fax_f00 - edit FCSTHR '00' - trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_anl == complete - endtask - task jgfs_fax_anl - edit FCSTHR 'anl' - trigger ../../prdgen/jgfs_pgrb2_anl == complete - endtask - task jgfs_fax_wafs_f12 - edit FCSTHR '12' - trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask - task jgfs_fax_wafs_f24 - edit FCSTHR '24' - trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask - task jgfs_fax_wafs_f36 - edit FCSTHR '36' - trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask - endfamily - family grib_wafs - task jgfs_wafs_f00 - edit FCSTHR '00' - trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f120 == complete and ../grib2_wafs/jgfs_wafs_grib2 == complete - endtask - task jgfs_wafs_f06 - edit FCSTHR '06' - trigger ../../prdgen/jgfs_pgrb2_f06 == complete and ./jgfs_wafs_f00 == complete - endtask - task jgfs_wafs_f12 - edit FCSTHR '12' - trigger ../../prdgen/jgfs_pgrb2_f12 == complete and ./jgfs_wafs_f06 == complete - endtask - task jgfs_wafs_f18 - edit FCSTHR '18' - trigger ../../prdgen/jgfs_pgrb2_f18 == complete and ./jgfs_wafs_f12 == complete - endtask - task jgfs_wafs_f24 - edit FCSTHR '24' - trigger ../../prdgen/jgfs_pgrb2_f24 == complete and ./jgfs_wafs_f18 == complete - endtask - task jgfs_wafs_f30 - edit FCSTHR '30' - trigger ../../prdgen/jgfs_pgrb2_f30 == complete and ./jgfs_wafs_f24 == complete - endtask - task jgfs_wafs_f36 - edit FCSTHR '36' - trigger ../../prdgen/jgfs_pgrb2_f36 == complete and ./jgfs_wafs_f30 == complete - endtask - task jgfs_wafs_f42 - edit FCSTHR '42' - trigger ../../prdgen/jgfs_pgrb2_f42 == complete and ./jgfs_wafs_f36 == complete - endtask - task jgfs_wafs_f48 - edit FCSTHR '48' - trigger ../../prdgen/jgfs_pgrb2_f48 == complete and ./jgfs_wafs_f42 == complete - endtask - task jgfs_wafs_f54 - edit FCSTHR '54' - trigger ../../prdgen/jgfs_pgrb2_f54 == complete and ./jgfs_wafs_f48 == complete - endtask - task jgfs_wafs_f60 - edit FCSTHR '60' - trigger ../../prdgen/jgfs_pgrb2_f60 == complete and ./jgfs_wafs_f54 == complete - endtask - task jgfs_wafs_f66 - edit FCSTHR '66' - trigger ../../prdgen/jgfs_pgrb2_f66 == complete and ./jgfs_wafs_f60 == complete - endtask - task jgfs_wafs_f72 - edit FCSTHR '72' - trigger ../../prdgen/jgfs_pgrb2_f72 == complete and ./jgfs_wafs_f66 == complete - endtask - task jgfs_wafs_f78 - edit FCSTHR '78' - trigger ../../prdgen/jgfs_pgrb2_f78 == complete and ./jgfs_wafs_f72 == complete - endtask - task jgfs_wafs_f84 - edit FCSTHR '84' - trigger ../../prdgen/jgfs_pgrb2_f84 == complete and ./jgfs_wafs_f78 == complete - endtask - task jgfs_wafs_f90 - edit FCSTHR '90' - trigger ../../prdgen/jgfs_pgrb2_f90 == complete and ./jgfs_wafs_f84 == complete - endtask - task jgfs_wafs_f96 - edit FCSTHR '96' - trigger ../../prdgen/jgfs_pgrb2_f96 == complete and ./jgfs_wafs_f90 == complete - endtask - task jgfs_wafs_f102 - edit FCSTHR '102' - trigger ../../prdgen/jgfs_pgrb2_f102 == complete and ./jgfs_wafs_f96 == complete - endtask - task jgfs_wafs_f108 - edit FCSTHR '108' - trigger ../../prdgen/jgfs_pgrb2_f108 == complete and ./jgfs_wafs_f102 == complete - endtask - task jgfs_wafs_f114 - edit FCSTHR '114' - trigger ../../prdgen/jgfs_pgrb2_f114 == complete and ./jgfs_wafs_f108 == complete - endtask - task jgfs_wafs_f120 - edit FCSTHR '120' - trigger ../../prdgen/jgfs_pgrb2_f120 == complete and ./jgfs_wafs_f114 == complete - endtask - endfamily - family bufr_sounding - task jgfs_postsnd - trigger ../../post/jgfs_post_manager:release_post00 - endtask - endfamily - family bulletins - task jgfs_fbwind - trigger ../../post/jgfs_post_f06 == complete and ../../post/jgfs_post_f12 == complete and ../../post/jgfs_post_f24 == complete - endtask - task jgfs_cyclone_tracker - trigger ../../post/jgfs_post_f00 == complete and ../../post/jgfs_post_f06 == complete and ../../post/jgfs_post_f12 == complete and ../../post/jgfs_post_f18 == complete and ../../post/jgfs_post_f24 == complete and ../../post/jgfs_post_f30 == complete and ../../post/jgfs_post_f36 == complete and ../../post/jgfs_post_f42 == complete and ../../post/jgfs_post_f48 == complete and ../../post/jgfs_post_f54 == complete and ../../post/jgfs_post_f60 == complete and ../../post/jgfs_post_f66 == complete and ../../post/jgfs_post_f72 == complete and ../../post/jgfs_post_f78 == complete and ../../post/jgfs_post_f84 == complete and ../../post/jgfs_post_f90 == complete and ../../post/jgfs_post_f96 == complete and ../../post/jgfs_post_f102 == complete and ../../post/jgfs_post_f108 == complete and ../../post/jgfs_post_f114 == complete and ../../post/jgfs_post_f120 == complete and ../../post/jgfs_post_f126 == complete and ../../post/jgfs_post_f132 == complete and ../../post/jgfs_post_f138 == complete and ../../post/jgfs_post_f144 == complete and ../../post/jgfs_post_f150 == complete and ../../post/jgfs_post_f156 == complete and ../../post/jgfs_post_f162 == complete and ../../post/jgfs_post_f168 == complete and ../../post/jgfs_post_f174 == complete and ../../post/jgfs_post_f180 == complete and ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f06 == complete and ../../prdgen/jgfs_pgrb2_f12 == complete and ../../prdgen/jgfs_pgrb2_f18 == complete and ../../prdgen/jgfs_pgrb2_f24 == complete and ../../prdgen/jgfs_pgrb2_f30 == complete and ../../prdgen/jgfs_pgrb2_f36 == complete and ../../prdgen/jgfs_pgrb2_f42 == complete and ../../prdgen/jgfs_pgrb2_f48 == complete and ../../prdgen/jgfs_pgrb2_f54 == complete and ../../prdgen/jgfs_pgrb2_f60 == complete and ../../prdgen/jgfs_pgrb2_f66 == complete and ../../prdgen/jgfs_pgrb2_f72 == complete and ../../prdgen/jgfs_pgrb2_f78 == complete and ../../prdgen/jgfs_pgrb2_f84 == complete and ../../prdgen/jgfs_pgrb2_f90 == complete and ../../prdgen/jgfs_pgrb2_f96 == complete and ../../prdgen/jgfs_pgrb2_f102 == complete and ../../prdgen/jgfs_pgrb2_f108 == complete and ../../prdgen/jgfs_pgrb2_f114 == complete and ../../prdgen/jgfs_pgrb2_f120 == complete and ../../prdgen/jgfs_pgrb2_f126 == complete and ../../prdgen/jgfs_pgrb2_f132 == complete and ../../prdgen/jgfs_pgrb2_f138 == complete and ../../prdgen/jgfs_pgrb2_f144 == complete and ../../prdgen/jgfs_pgrb2_f150 == complete and ../../prdgen/jgfs_pgrb2_f156 == complete and ../../prdgen/jgfs_pgrb2_f162 == complete and ../../prdgen/jgfs_pgrb2_f168 == complete and ../../prdgen/jgfs_pgrb2_f174 == complete and ../../prdgen/jgfs_pgrb2_f180 == complete - endtask - endfamily - family grib2_wafs - task jgfs_wafs_grib2 - trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask - task jgfs_wafs_blending - trigger ./jgfs_wafs_grib2 == complete - endtask - endfamily - family grib_awips - task jgfs_awips_f00 - edit FCSTHR '00' - trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask - task jgfs_awips_f01 - edit FCSTHR '01' - trigger ../../prdgen/jgfs_pgrb2_f01 == complete - endtask - task jgfs_awips_f02 - edit FCSTHR '02' - trigger ../../prdgen/jgfs_pgrb2_f02 == complete - endtask - task jgfs_awips_f03 - edit FCSTHR '03' - trigger ../../prdgen/jgfs_pgrb2_f03 == complete - endtask - task jgfs_awips_f04 - edit FCSTHR '04' - trigger ../../prdgen/jgfs_pgrb2_f04 == complete - endtask - task jgfs_awips_f05 - edit FCSTHR '05' - trigger ../../prdgen/jgfs_pgrb2_f05 == complete - endtask - task jgfs_awips_f06 - edit FCSTHR '06' - trigger ../../prdgen/jgfs_pgrb2_f06 == complete - endtask - task jgfs_awips_f07 - edit FCSTHR '07' - trigger ../../prdgen/jgfs_pgrb2_f07 == complete - endtask - task jgfs_awips_f08 - edit FCSTHR '08' - trigger ../../prdgen/jgfs_pgrb2_f08 == complete - endtask - task jgfs_awips_f09 - edit FCSTHR '09' - trigger ../../prdgen/jgfs_pgrb2_f09 == complete - endtask - task jgfs_awips_f10 - edit FCSTHR '10' - trigger ../../prdgen/jgfs_pgrb2_f10 == complete - endtask - task jgfs_awips_f11 - edit FCSTHR '11' - trigger ../../prdgen/jgfs_pgrb2_f11 == complete - endtask - task jgfs_awips_f12 - edit FCSTHR '12' - trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask - task jgfs_awips_f13 - edit FCSTHR '13' - trigger ../../prdgen/jgfs_pgrb2_f13 == complete - endtask - task jgfs_awips_f14 - edit FCSTHR '14' - trigger ../../prdgen/jgfs_pgrb2_f14 == complete - endtask - task jgfs_awips_f15 - edit FCSTHR '15' - trigger ../../prdgen/jgfs_pgrb2_f15 == complete - endtask - task jgfs_awips_f16 - edit FCSTHR '16' - trigger ../../prdgen/jgfs_pgrb2_f16 == complete - endtask - task jgfs_awips_f17 - edit FCSTHR '17' - trigger ../../prdgen/jgfs_pgrb2_f17 == complete - endtask - task jgfs_awips_f18 - edit FCSTHR '18' - trigger ../../prdgen/jgfs_pgrb2_f18 == complete - endtask - task jgfs_awips_f19 - edit FCSTHR '19' - trigger ../../prdgen/jgfs_pgrb2_f19 == complete - endtask - task jgfs_awips_f20 - edit FCSTHR '20' - trigger ../../prdgen/jgfs_pgrb2_f20 == complete - endtask - task jgfs_awips_f21 - edit FCSTHR '21' - trigger ../../prdgen/jgfs_pgrb2_f21 == complete - endtask - task jgfs_awips_f22 - edit FCSTHR '22' - trigger ../../prdgen/jgfs_pgrb2_f22 == complete - endtask - task jgfs_awips_f23 - edit FCSTHR '23' - trigger ../../prdgen/jgfs_pgrb2_f23 == complete - endtask - task jgfs_awips_f24 - edit FCSTHR '24' - trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask - task jgfs_awips_f25 - edit FCSTHR '25' - trigger ../../prdgen/jgfs_pgrb2_f25 == complete - endtask - task jgfs_awips_f26 - edit FCSTHR '26' - trigger ../../prdgen/jgfs_pgrb2_f26 == complete - endtask - task jgfs_awips_f27 - edit FCSTHR '27' - trigger ../../prdgen/jgfs_pgrb2_f27 == complete - endtask - task jgfs_awips_f28 - edit FCSTHR '28' - trigger ../../prdgen/jgfs_pgrb2_f28 == complete - endtask - task jgfs_awips_f29 - edit FCSTHR '29' - trigger ../../prdgen/jgfs_pgrb2_f29 == complete - endtask - task jgfs_awips_f30 - edit FCSTHR '30' - trigger ../../prdgen/jgfs_pgrb2_f30 == complete - endtask - task jgfs_awips_f31 - edit FCSTHR '31' - trigger ../../prdgen/jgfs_pgrb2_f31 == complete - endtask - task jgfs_awips_f32 - edit FCSTHR '32' - trigger ../../prdgen/jgfs_pgrb2_f32 == complete - endtask - task jgfs_awips_f33 - edit FCSTHR '33' - trigger ../../prdgen/jgfs_pgrb2_f33 == complete - endtask - task jgfs_awips_f34 - edit FCSTHR '34' - trigger ../../prdgen/jgfs_pgrb2_f34 == complete - endtask - task jgfs_awips_f35 - edit FCSTHR '35' - trigger ../../prdgen/jgfs_pgrb2_f35 == complete - endtask - task jgfs_awips_f36 - edit FCSTHR '36' - trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask - task jgfs_awips_f37 - edit FCSTHR '37' - trigger ../../prdgen/jgfs_pgrb2_f37 == complete - endtask - task jgfs_awips_f38 - edit FCSTHR '38' - trigger ../../prdgen/jgfs_pgrb2_f38 == complete - endtask - task jgfs_awips_f39 - edit FCSTHR '39' - trigger ../../prdgen/jgfs_pgrb2_f39 == complete - endtask - task jgfs_awips_f40 - edit FCSTHR '40' - trigger ../../prdgen/jgfs_pgrb2_f40 == complete - endtask - task jgfs_awips_f41 - edit FCSTHR '41' - trigger ../../prdgen/jgfs_pgrb2_f41 == complete - endtask - task jgfs_awips_f42 - edit FCSTHR '42' - trigger ../../prdgen/jgfs_pgrb2_f42 == complete - endtask - task jgfs_awips_f43 - edit FCSTHR '43' - trigger ../../prdgen/jgfs_pgrb2_f43 == complete - endtask - task jgfs_awips_f44 - edit FCSTHR '44' - trigger ../../prdgen/jgfs_pgrb2_f44 == complete - endtask - task jgfs_awips_f45 - edit FCSTHR '45' - trigger ../../prdgen/jgfs_pgrb2_f45 == complete - endtask - task jgfs_awips_f46 - edit FCSTHR '46' - trigger ../../prdgen/jgfs_pgrb2_f46 == complete - endtask - task jgfs_awips_f47 - edit FCSTHR '47' - trigger ../../prdgen/jgfs_pgrb2_f47 == complete - endtask - task jgfs_awips_f48 - edit FCSTHR '48' - trigger ../../prdgen/jgfs_pgrb2_f48 == complete - endtask - task jgfs_awips_f49 - edit FCSTHR '49' - trigger ../../prdgen/jgfs_pgrb2_f49 == complete - endtask - task jgfs_awips_f50 - edit FCSTHR '50' - trigger ../../prdgen/jgfs_pgrb2_f50 == complete - endtask - task jgfs_awips_f51 - edit FCSTHR '51' - trigger ../../prdgen/jgfs_pgrb2_f51 == complete - endtask - task jgfs_awips_f52 - edit FCSTHR '52' - trigger ../../prdgen/jgfs_pgrb2_f52 == complete - endtask - task jgfs_awips_f53 - edit FCSTHR '53' - trigger ../../prdgen/jgfs_pgrb2_f53 == complete - endtask - task jgfs_awips_f54 - edit FCSTHR '54' - trigger ../../prdgen/jgfs_pgrb2_f54 == complete - endtask - task jgfs_awips_f55 - edit FCSTHR '55' - trigger ../../prdgen/jgfs_pgrb2_f55 == complete - endtask - task jgfs_awips_f56 - edit FCSTHR '56' - trigger ../../prdgen/jgfs_pgrb2_f56 == complete - endtask - task jgfs_awips_f57 - edit FCSTHR '57' - trigger ../../prdgen/jgfs_pgrb2_f57 == complete - endtask - task jgfs_awips_f58 - edit FCSTHR '58' - trigger ../../prdgen/jgfs_pgrb2_f58 == complete - endtask - task jgfs_awips_f59 - edit FCSTHR '59' - trigger ../../prdgen/jgfs_pgrb2_f59 == complete - endtask - task jgfs_awips_f60 - edit FCSTHR '60' - trigger ../../prdgen/jgfs_pgrb2_f60 == complete - endtask - task jgfs_awips_f61 - edit FCSTHR '61' - trigger ../../prdgen/jgfs_pgrb2_f61 == complete - endtask - task jgfs_awips_f62 - edit FCSTHR '62' - trigger ../../prdgen/jgfs_pgrb2_f62 == complete - endtask - task jgfs_awips_f63 - edit FCSTHR '63' - trigger ../../prdgen/jgfs_pgrb2_f63 == complete - endtask - task jgfs_awips_f64 - edit FCSTHR '64' - trigger ../../prdgen/jgfs_pgrb2_f64 == complete - endtask - task jgfs_awips_f65 - edit FCSTHR '65' - trigger ../../prdgen/jgfs_pgrb2_f65 == complete - endtask - task jgfs_awips_f66 - edit FCSTHR '66' - trigger ../../prdgen/jgfs_pgrb2_f66 == complete - endtask - task jgfs_awips_f67 - edit FCSTHR '67' - trigger ../../prdgen/jgfs_pgrb2_f67 == complete - endtask - task jgfs_awips_f68 - edit FCSTHR '68' - trigger ../../prdgen/jgfs_pgrb2_f68 == complete - endtask - task jgfs_awips_f69 - edit FCSTHR '69' - trigger ../../prdgen/jgfs_pgrb2_f69 == complete - endtask - task jgfs_awips_f70 - edit FCSTHR '70' - trigger ../../prdgen/jgfs_pgrb2_f70 == complete - endtask - task jgfs_awips_f71 - edit FCSTHR '71' - trigger ../../prdgen/jgfs_pgrb2_f71 == complete - endtask - task jgfs_awips_f72 - edit FCSTHR '72' - trigger ../../prdgen/jgfs_pgrb2_f72 == complete - endtask - task jgfs_awips_f73 - edit FCSTHR '73' - trigger ../../prdgen/jgfs_pgrb2_f73 == complete - endtask - task jgfs_awips_f74 - edit FCSTHR '74' - trigger ../../prdgen/jgfs_pgrb2_f74 == complete - endtask - task jgfs_awips_f75 - edit FCSTHR '75' - trigger ../../prdgen/jgfs_pgrb2_f75 == complete - endtask - task jgfs_awips_f76 - edit FCSTHR '76' - trigger ../../prdgen/jgfs_pgrb2_f76 == complete - endtask - task jgfs_awips_f77 - edit FCSTHR '77' - trigger ../../prdgen/jgfs_pgrb2_f77 == complete - endtask - task jgfs_awips_f78 - edit FCSTHR '78' - trigger ../../prdgen/jgfs_pgrb2_f78 == complete - endtask - task jgfs_awips_f79 - edit FCSTHR '79' - trigger ../../prdgen/jgfs_pgrb2_f79 == complete - endtask - task jgfs_awips_f80 - edit FCSTHR '80' - trigger ../../prdgen/jgfs_pgrb2_f80 == complete - endtask - task jgfs_awips_f81 - edit FCSTHR '81' - trigger ../../prdgen/jgfs_pgrb2_f81 == complete - endtask - task jgfs_awips_f82 - edit FCSTHR '82' - trigger ../../prdgen/jgfs_pgrb2_f82 == complete - endtask - task jgfs_awips_f83 - edit FCSTHR '83' - trigger ../../prdgen/jgfs_pgrb2_f83 == complete - endtask - task jgfs_awips_f84 - edit FCSTHR '84' - trigger ../../prdgen/jgfs_pgrb2_f84 == complete - endtask - task jgfs_awips_f85 - edit FCSTHR '85' - trigger ../../prdgen/jgfs_pgrb2_f85 == complete - endtask - task jgfs_awips_f86 - edit FCSTHR '86' - trigger ../../prdgen/jgfs_pgrb2_f86 == complete - endtask - task jgfs_awips_f87 - edit FCSTHR '87' - trigger ../../prdgen/jgfs_pgrb2_f87 == complete - endtask - task jgfs_awips_f88 - edit FCSTHR '88' - trigger ../../prdgen/jgfs_pgrb2_f88 == complete - endtask - task jgfs_awips_f89 - edit FCSTHR '89' - trigger ../../prdgen/jgfs_pgrb2_f89 == complete - endtask - task jgfs_awips_f90 - edit FCSTHR '90' - trigger ../../prdgen/jgfs_pgrb2_f90 == complete - endtask - task jgfs_awips_f91 - edit FCSTHR '91' - trigger ../../prdgen/jgfs_pgrb2_f91 == complete - endtask - task jgfs_awips_f92 - edit FCSTHR '92' - trigger ../../prdgen/jgfs_pgrb2_f92 == complete - endtask - task jgfs_awips_f93 - edit FCSTHR '93' - trigger ../../prdgen/jgfs_pgrb2_f93 == complete - endtask - task jgfs_awips_f94 - edit FCSTHR '94' - trigger ../../prdgen/jgfs_pgrb2_f94 == complete - endtask - task jgfs_awips_f95 - edit FCSTHR '95' - trigger ../../prdgen/jgfs_pgrb2_f95 == complete - endtask - task jgfs_awips_f96 - edit FCSTHR '96' - trigger ../../prdgen/jgfs_pgrb2_f96 == complete - endtask - task jgfs_awips_f97 - edit FCSTHR '97' - trigger ../../prdgen/jgfs_pgrb2_f97 == complete - endtask - task jgfs_awips_f98 - edit FCSTHR '98' - trigger ../../prdgen/jgfs_pgrb2_f98 == complete - endtask - task jgfs_awips_f99 - edit FCSTHR '99' - trigger ../../prdgen/jgfs_pgrb2_f99 == complete - endtask - task jgfs_awips_f100 - edit FCSTHR '100' - trigger ../../prdgen/jgfs_pgrb2_f100 == complete - endtask - task jgfs_awips_f101 - edit FCSTHR '101' - trigger ../../prdgen/jgfs_pgrb2_f101 == complete - endtask - task jgfs_awips_f102 - edit FCSTHR '102' - trigger ../../prdgen/jgfs_pgrb2_f102 == complete - endtask - task jgfs_awips_f103 - edit FCSTHR '103' - trigger ../../prdgen/jgfs_pgrb2_f103 == complete - endtask - task jgfs_awips_f104 - edit FCSTHR '104' - trigger ../../prdgen/jgfs_pgrb2_f104 == complete - endtask - task jgfs_awips_f105 - edit FCSTHR '105' - trigger ../../prdgen/jgfs_pgrb2_f105 == complete - endtask - task jgfs_awips_f106 - edit FCSTHR '106' - trigger ../../prdgen/jgfs_pgrb2_f106 == complete - endtask - task jgfs_awips_f107 - edit FCSTHR '107' - trigger ../../prdgen/jgfs_pgrb2_f107 == complete - endtask - task jgfs_awips_f108 - edit FCSTHR '108' - trigger ../../prdgen/jgfs_pgrb2_f108 == complete - endtask - task jgfs_awips_f109 - edit FCSTHR '109' - trigger ../../prdgen/jgfs_pgrb2_f109 == complete - endtask - task jgfs_awips_f110 - edit FCSTHR '110' - trigger ../../prdgen/jgfs_pgrb2_f110 == complete - endtask - task jgfs_awips_f111 - edit FCSTHR '111' - trigger ../../prdgen/jgfs_pgrb2_f111 == complete - endtask - task jgfs_awips_f112 - edit FCSTHR '112' - trigger ../../prdgen/jgfs_pgrb2_f112 == complete - endtask - task jgfs_awips_f113 - edit FCSTHR '113' - trigger ../../prdgen/jgfs_pgrb2_f113 == complete - endtask - task jgfs_awips_f114 - edit FCSTHR '114' - trigger ../../prdgen/jgfs_pgrb2_f114 == complete - endtask - task jgfs_awips_f115 - edit FCSTHR '115' - trigger ../../prdgen/jgfs_pgrb2_f115 == complete - endtask - task jgfs_awips_f116 - edit FCSTHR '116' - trigger ../../prdgen/jgfs_pgrb2_f116 == complete - endtask - task jgfs_awips_f117 - edit FCSTHR '117' - trigger ../../prdgen/jgfs_pgrb2_f117 == complete - endtask - task jgfs_awips_f118 - edit FCSTHR '118' - trigger ../../prdgen/jgfs_pgrb2_f118 == complete - endtask - task jgfs_awips_f119 - edit FCSTHR '119' - trigger ../../prdgen/jgfs_pgrb2_f119 == complete - endtask - task jgfs_awips_f120 - edit FCSTHR '120' - trigger ../../prdgen/jgfs_pgrb2_f120 == complete - endtask - task jgfs_awips_f123 - edit FCSTHR '123' - trigger ../../prdgen/jgfs_pgrb2_f123 == complete - endtask - task jgfs_awips_f126 - edit FCSTHR '126' - trigger ../../prdgen/jgfs_pgrb2_f126 == complete - endtask - task jgfs_awips_f129 - edit FCSTHR '129' - trigger ../../prdgen/jgfs_pgrb2_f129 == complete - endtask - task jgfs_awips_f132 - edit FCSTHR '132' - trigger ../../prdgen/jgfs_pgrb2_f132 == complete - endtask - task jgfs_awips_f135 - edit FCSTHR '135' - trigger ../../prdgen/jgfs_pgrb2_f135 == complete - endtask - task jgfs_awips_f138 - edit FCSTHR '138' - trigger ../../prdgen/jgfs_pgrb2_f138 == complete - endtask - task jgfs_awips_f141 - edit FCSTHR '141' - trigger ../../prdgen/jgfs_pgrb2_f141 == complete - endtask - task jgfs_awips_f144 - edit FCSTHR '144' - trigger ../../prdgen/jgfs_pgrb2_f144 == complete - endtask - task jgfs_awips_f147 - edit FCSTHR '147' - trigger ../../prdgen/jgfs_pgrb2_f147 == complete - endtask - task jgfs_awips_f150 - edit FCSTHR '150' - trigger ../../prdgen/jgfs_pgrb2_f150 == complete - endtask - task jgfs_awips_f153 - edit FCSTHR '153' - trigger ../../prdgen/jgfs_pgrb2_f153 == complete - endtask - task jgfs_awips_f156 - edit FCSTHR '156' - trigger ../../prdgen/jgfs_pgrb2_f156 == complete - endtask - task jgfs_awips_f159 - edit FCSTHR '159' - trigger ../../prdgen/jgfs_pgrb2_f159 == complete - endtask - task jgfs_awips_f162 - edit FCSTHR '162' - trigger ../../prdgen/jgfs_pgrb2_f162 == complete - endtask - task jgfs_awips_f165 - edit FCSTHR '165' - trigger ../../prdgen/jgfs_pgrb2_f165 == complete - endtask - task jgfs_awips_f168 - edit FCSTHR '168' - trigger ../../prdgen/jgfs_pgrb2_f168 == complete - endtask - task jgfs_awips_f171 - edit FCSTHR '171' - trigger ../../prdgen/jgfs_pgrb2_f171 == complete - endtask - task jgfs_awips_f174 - edit FCSTHR '174' - trigger ../../prdgen/jgfs_pgrb2_f174 == complete - endtask - task jgfs_awips_f177 - edit FCSTHR '177' - trigger ../../prdgen/jgfs_pgrb2_f177 == complete - endtask - task jgfs_awips_f180 - edit FCSTHR '180' - trigger ../../prdgen/jgfs_pgrb2_f180 == complete - endtask - task jgfs_awips_f183 - edit FCSTHR '183' - trigger ../../prdgen/jgfs_pgrb2_f183 == complete - endtask - task jgfs_awips_f186 - edit FCSTHR '186' - trigger ../../prdgen/jgfs_pgrb2_f186 == complete - endtask - task jgfs_awips_f189 - edit FCSTHR '189' - trigger ../../prdgen/jgfs_pgrb2_f189 == complete - endtask - task jgfs_awips_f192 - edit FCSTHR '192' - trigger ../../prdgen/jgfs_pgrb2_f192 == complete - endtask - task jgfs_awips_f195 - edit FCSTHR '195' - trigger ../../prdgen/jgfs_pgrb2_f195 == complete - endtask - task jgfs_awips_f198 - edit FCSTHR '198' - trigger ../../prdgen/jgfs_pgrb2_f198 == complete - endtask - task jgfs_awips_f201 - edit FCSTHR '201' - trigger ../../prdgen/jgfs_pgrb2_f201 == complete - endtask - task jgfs_awips_f204 - edit FCSTHR '204' - trigger ../../prdgen/jgfs_pgrb2_f204 == complete - endtask - task jgfs_awips_f207 - edit FCSTHR '207' - trigger ../../prdgen/jgfs_pgrb2_f207 == complete - endtask - task jgfs_awips_f210 - edit FCSTHR '210' - trigger ../../prdgen/jgfs_pgrb2_f210 == complete - endtask - task jgfs_awips_f213 - edit FCSTHR '213' - trigger ../../prdgen/jgfs_pgrb2_f213 == complete - endtask - task jgfs_awips_f216 - edit FCSTHR '216' - trigger ../../prdgen/jgfs_pgrb2_f216 == complete - endtask - task jgfs_awips_f219 - edit FCSTHR '219' - trigger ../../prdgen/jgfs_pgrb2_f219 == complete - endtask - task jgfs_awips_f222 - edit FCSTHR '222' - trigger ../../prdgen/jgfs_pgrb2_f222 == complete - endtask - task jgfs_awips_f225 - edit FCSTHR '225' - trigger ../../prdgen/jgfs_pgrb2_f225 == complete - endtask - task jgfs_awips_f228 - edit FCSTHR '228' - trigger ../../prdgen/jgfs_pgrb2_f228 == complete - endtask - task jgfs_awips_f231 - edit FCSTHR '231' - trigger ../../prdgen/jgfs_pgrb2_f231 == complete - endtask - task jgfs_awips_f234 - edit FCSTHR '234' - trigger ../../prdgen/jgfs_pgrb2_f234 == complete - endtask - task jgfs_awips_f237 - edit FCSTHR '237' - trigger ../../prdgen/jgfs_pgrb2_f237 == complete - endtask - task jgfs_awips_f240 - edit FCSTHR '240' - trigger ../../prdgen/jgfs_pgrb2_f240 == complete - endtask - task jgfs_awips_f252 - edit FCSTHR '252' - trigger ../../prdgen/jgfs_pgrb2_f252 == complete - endtask - task jgfs_awips_f264 - edit FCSTHR '264' - trigger ../../prdgen/jgfs_pgrb2_f264 == complete - endtask - task jgfs_awips_f276 - edit FCSTHR '276' - trigger ../../prdgen/jgfs_pgrb2_f276 == complete - endtask - task jgfs_awips_f288 - edit FCSTHR '288' - trigger ../../prdgen/jgfs_pgrb2_f288 == complete - endtask - task jgfs_awips_f300 - edit FCSTHR '300' - trigger ../../prdgen/jgfs_pgrb2_f300 == complete - endtask - task jgfs_awips_f312 - edit FCSTHR '312' - trigger ../../prdgen/jgfs_pgrb2_f312 == complete - endtask - task jgfs_awips_f324 - edit FCSTHR '324' - trigger ../../prdgen/jgfs_pgrb2_f324 == complete - endtask - task jgfs_awips_f336 - edit FCSTHR '336' - trigger ../../prdgen/jgfs_pgrb2_f336 == complete - endtask - task jgfs_awips_f348 - edit FCSTHR '348' - trigger ../../prdgen/jgfs_pgrb2_f348 == complete - endtask - task jgfs_awips_f360 - edit FCSTHR '360' - trigger ../../prdgen/jgfs_pgrb2_f360 == complete - endtask - task jgfs_awips_f372 - edit FCSTHR '372' - trigger ../../prdgen/jgfs_pgrb2_f372 == complete - endtask - task jgfs_awips_f384 - edit FCSTHR '384' - trigger ../../prdgen/jgfs_pgrb2_f384 == complete - endtask - endfamily - family awips_1p0deg - edit RES '1p0deg' - edit RESC '1P0DEG' - #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - task jgfs_awips_f000 - edit FCSTHR '000' - trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask - task jgfs_awips_f001 - edit FCSTHR '001' - trigger ../../prdgen/jgfs_pgrb2_f01 == complete - endtask - task jgfs_awips_f002 - edit FCSTHR '002' - trigger ../../prdgen/jgfs_pgrb2_f02 == complete - endtask - task jgfs_awips_f003 - edit FCSTHR '003' - trigger ../../prdgen/jgfs_pgrb2_f03 == complete - endtask - task jgfs_awips_f004 - edit FCSTHR '004' - trigger ../../prdgen/jgfs_pgrb2_f04 == complete - endtask - task jgfs_awips_f005 - edit FCSTHR '005' - trigger ../../prdgen/jgfs_pgrb2_f05 == complete - endtask - task jgfs_awips_f006 - edit FCSTHR '006' - trigger ../../prdgen/jgfs_pgrb2_f06 == complete - endtask - task jgfs_awips_f007 - edit FCSTHR '007' - trigger ../../prdgen/jgfs_pgrb2_f07 == complete - endtask - task jgfs_awips_f008 - edit FCSTHR '008' - trigger ../../prdgen/jgfs_pgrb2_f08 == complete - endtask - task jgfs_awips_f009 - edit FCSTHR '009' - trigger ../../prdgen/jgfs_pgrb2_f09 == complete - endtask - task jgfs_awips_f010 - edit FCSTHR '010' - trigger ../../prdgen/jgfs_pgrb2_f10 == complete - endtask - task jgfs_awips_f011 - edit FCSTHR '011' - trigger ../../prdgen/jgfs_pgrb2_f11 == complete - endtask - task jgfs_awips_f012 - edit FCSTHR '012' - trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask - task jgfs_awips_f013 - edit FCSTHR '013' - trigger ../../prdgen/jgfs_pgrb2_f13 == complete - endtask - task jgfs_awips_f014 - edit FCSTHR '014' - trigger ../../prdgen/jgfs_pgrb2_f14 == complete - endtask - task jgfs_awips_f015 - edit FCSTHR '015' - trigger ../../prdgen/jgfs_pgrb2_f15 == complete - endtask - task jgfs_awips_f016 - edit FCSTHR '016' - trigger ../../prdgen/jgfs_pgrb2_f16 == complete - endtask - task jgfs_awips_f017 - edit FCSTHR '017' - trigger ../../prdgen/jgfs_pgrb2_f17 == complete - endtask - task jgfs_awips_f018 - edit FCSTHR '018' - trigger ../../prdgen/jgfs_pgrb2_f18 == complete - endtask - task jgfs_awips_f019 - edit FCSTHR '019' - trigger ../../prdgen/jgfs_pgrb2_f19 == complete - endtask - task jgfs_awips_f020 - edit FCSTHR '020' - trigger ../../prdgen/jgfs_pgrb2_f20 == complete - endtask - task jgfs_awips_f021 - edit FCSTHR '021' - trigger ../../prdgen/jgfs_pgrb2_f21 == complete - endtask - task jgfs_awips_f022 - edit FCSTHR '022' - trigger ../../prdgen/jgfs_pgrb2_f22 == complete - endtask - task jgfs_awips_f023 - edit FCSTHR '023' - trigger ../../prdgen/jgfs_pgrb2_f23 == complete - endtask - task jgfs_awips_f024 - edit FCSTHR '024' - trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask - task jgfs_awips_f025 - edit FCSTHR '025' - trigger ../../prdgen/jgfs_pgrb2_f25 == complete - endtask - task jgfs_awips_f026 - edit FCSTHR '026' - trigger ../../prdgen/jgfs_pgrb2_f26 == complete - endtask - task jgfs_awips_f027 - edit FCSTHR '027' - trigger ../../prdgen/jgfs_pgrb2_f27 == complete - endtask - task jgfs_awips_f028 - edit FCSTHR '028' - trigger ../../prdgen/jgfs_pgrb2_f28 == complete - endtask - task jgfs_awips_f029 - edit FCSTHR '029' - trigger ../../prdgen/jgfs_pgrb2_f29 == complete - endtask - task jgfs_awips_f030 - edit FCSTHR '030' - trigger ../../prdgen/jgfs_pgrb2_f30 == complete - endtask - task jgfs_awips_f031 - edit FCSTHR '031' - trigger ../../prdgen/jgfs_pgrb2_f31 == complete - endtask - task jgfs_awips_f032 - edit FCSTHR '032' - trigger ../../prdgen/jgfs_pgrb2_f32 == complete - endtask - task jgfs_awips_f033 - edit FCSTHR '033' - trigger ../../prdgen/jgfs_pgrb2_f33 == complete - endtask - task jgfs_awips_f034 - edit FCSTHR '034' - trigger ../../prdgen/jgfs_pgrb2_f34 == complete - endtask - task jgfs_awips_f035 - edit FCSTHR '035' - trigger ../../prdgen/jgfs_pgrb2_f35 == complete - endtask - task jgfs_awips_f036 - edit FCSTHR '036' - trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask - task jgfs_awips_f037 - edit FCSTHR '037' - trigger ../../prdgen/jgfs_pgrb2_f37 == complete - endtask - task jgfs_awips_f038 - edit FCSTHR '038' - trigger ../../prdgen/jgfs_pgrb2_f38 == complete - endtask - task jgfs_awips_f039 - edit FCSTHR '039' - trigger ../../prdgen/jgfs_pgrb2_f39 == complete - endtask - task jgfs_awips_f040 - edit FCSTHR '040' - trigger ../../prdgen/jgfs_pgrb2_f40 == complete - endtask - task jgfs_awips_f041 - edit FCSTHR '041' - trigger ../../prdgen/jgfs_pgrb2_f41 == complete - endtask - task jgfs_awips_f042 - edit FCSTHR '042' - trigger ../../prdgen/jgfs_pgrb2_f42 == complete - endtask - task jgfs_awips_f043 - edit FCSTHR '043' - trigger ../../prdgen/jgfs_pgrb2_f43 == complete - endtask - task jgfs_awips_f044 - edit FCSTHR '044' - trigger ../../prdgen/jgfs_pgrb2_f44 == complete - endtask - task jgfs_awips_f045 - edit FCSTHR '045' - trigger ../../prdgen/jgfs_pgrb2_f45 == complete - endtask - task jgfs_awips_f046 - edit FCSTHR '046' - trigger ../../prdgen/jgfs_pgrb2_f46 == complete - endtask - task jgfs_awips_f047 - edit FCSTHR '047' - trigger ../../prdgen/jgfs_pgrb2_f47 == complete - endtask - task jgfs_awips_f048 - edit FCSTHR '048' - trigger ../../prdgen/jgfs_pgrb2_f48 == complete - endtask - task jgfs_awips_f049 - edit FCSTHR '049' - trigger ../../prdgen/jgfs_pgrb2_f49 == complete - endtask - task jgfs_awips_f050 - edit FCSTHR '050' - trigger ../../prdgen/jgfs_pgrb2_f50 == complete - endtask - task jgfs_awips_f051 - edit FCSTHR '051' - trigger ../../prdgen/jgfs_pgrb2_f51 == complete - endtask - task jgfs_awips_f052 - edit FCSTHR '052' - trigger ../../prdgen/jgfs_pgrb2_f52 == complete - endtask - task jgfs_awips_f053 - edit FCSTHR '053' - trigger ../../prdgen/jgfs_pgrb2_f53 == complete - endtask - task jgfs_awips_f054 - edit FCSTHR '054' - trigger ../../prdgen/jgfs_pgrb2_f54 == complete - endtask - task jgfs_awips_f055 - edit FCSTHR '055' - trigger ../../prdgen/jgfs_pgrb2_f55 == complete - endtask - task jgfs_awips_f056 - edit FCSTHR '056' - trigger ../../prdgen/jgfs_pgrb2_f56 == complete - endtask - task jgfs_awips_f057 - edit FCSTHR '057' - trigger ../../prdgen/jgfs_pgrb2_f57 == complete - endtask - task jgfs_awips_f058 - edit FCSTHR '058' - trigger ../../prdgen/jgfs_pgrb2_f58 == complete - endtask - task jgfs_awips_f059 - edit FCSTHR '059' - trigger ../../prdgen/jgfs_pgrb2_f59 == complete - endtask - task jgfs_awips_f060 - edit FCSTHR '060' - trigger ../../prdgen/jgfs_pgrb2_f60 == complete - endtask - task jgfs_awips_f061 - edit FCSTHR '061' - trigger ../../prdgen/jgfs_pgrb2_f61 == complete - endtask - task jgfs_awips_f062 - edit FCSTHR '062' - trigger ../../prdgen/jgfs_pgrb2_f62 == complete - endtask - task jgfs_awips_f063 - edit FCSTHR '063' - trigger ../../prdgen/jgfs_pgrb2_f63 == complete - endtask - task jgfs_awips_f064 - edit FCSTHR '064' - trigger ../../prdgen/jgfs_pgrb2_f64 == complete - endtask - task jgfs_awips_f065 - edit FCSTHR '065' - trigger ../../prdgen/jgfs_pgrb2_f65 == complete - endtask - task jgfs_awips_f066 - edit FCSTHR '066' - trigger ../../prdgen/jgfs_pgrb2_f66 == complete - endtask - task jgfs_awips_f067 - edit FCSTHR '067' - trigger ../../prdgen/jgfs_pgrb2_f67 == complete - endtask - task jgfs_awips_f068 - edit FCSTHR '068' - trigger ../../prdgen/jgfs_pgrb2_f68 == complete - endtask - task jgfs_awips_f069 - edit FCSTHR '069' - trigger ../../prdgen/jgfs_pgrb2_f69 == complete - endtask - task jgfs_awips_f070 - edit FCSTHR '070' - trigger ../../prdgen/jgfs_pgrb2_f70 == complete - endtask - task jgfs_awips_f071 - edit FCSTHR '071' - trigger ../../prdgen/jgfs_pgrb2_f71 == complete - endtask - task jgfs_awips_f072 - edit FCSTHR '072' - trigger ../../prdgen/jgfs_pgrb2_f72 == complete - endtask - task jgfs_awips_f073 - edit FCSTHR '073' - trigger ../../prdgen/jgfs_pgrb2_f73 == complete - endtask - task jgfs_awips_f074 - edit FCSTHR '074' - trigger ../../prdgen/jgfs_pgrb2_f74 == complete - endtask - task jgfs_awips_f075 - edit FCSTHR '075' - trigger ../../prdgen/jgfs_pgrb2_f75 == complete - endtask - task jgfs_awips_f076 - edit FCSTHR '076' - trigger ../../prdgen/jgfs_pgrb2_f76 == complete - endtask - task jgfs_awips_f077 - edit FCSTHR '077' - trigger ../../prdgen/jgfs_pgrb2_f77 == complete - endtask - task jgfs_awips_f078 - edit FCSTHR '078' - trigger ../../prdgen/jgfs_pgrb2_f78 == complete - endtask - task jgfs_awips_f079 - edit FCSTHR '079' - trigger ../../prdgen/jgfs_pgrb2_f79 == complete - endtask - task jgfs_awips_f080 - edit FCSTHR '080' - trigger ../../prdgen/jgfs_pgrb2_f80 == complete - endtask - task jgfs_awips_f081 - edit FCSTHR '081' - trigger ../../prdgen/jgfs_pgrb2_f81 == complete - endtask - task jgfs_awips_f082 - edit FCSTHR '082' - trigger ../../prdgen/jgfs_pgrb2_f82 == complete - endtask - task jgfs_awips_f083 - edit FCSTHR '083' - trigger ../../prdgen/jgfs_pgrb2_f83 == complete - endtask - task jgfs_awips_f084 - edit FCSTHR '084' - trigger ../../prdgen/jgfs_pgrb2_f84 == complete - endtask - task jgfs_awips_f085 - edit FCSTHR '085' - trigger ../../prdgen/jgfs_pgrb2_f85 == complete - endtask - task jgfs_awips_f086 - edit FCSTHR '086' - trigger ../../prdgen/jgfs_pgrb2_f86 == complete - endtask - task jgfs_awips_f087 - edit FCSTHR '087' - trigger ../../prdgen/jgfs_pgrb2_f87 == complete - endtask - task jgfs_awips_f088 - edit FCSTHR '088' - trigger ../../prdgen/jgfs_pgrb2_f88 == complete - endtask - task jgfs_awips_f089 - edit FCSTHR '089' - trigger ../../prdgen/jgfs_pgrb2_f89 == complete - endtask - task jgfs_awips_f090 - edit FCSTHR '090' - trigger ../../prdgen/jgfs_pgrb2_f90 == complete - endtask - task jgfs_awips_f091 - edit FCSTHR '091' - trigger ../../prdgen/jgfs_pgrb2_f91 == complete - endtask - task jgfs_awips_f092 - edit FCSTHR '092' - trigger ../../prdgen/jgfs_pgrb2_f92 == complete - endtask - task jgfs_awips_f093 - edit FCSTHR '093' - trigger ../../prdgen/jgfs_pgrb2_f93 == complete - endtask - task jgfs_awips_f094 - edit FCSTHR '094' - trigger ../../prdgen/jgfs_pgrb2_f94 == complete - endtask - task jgfs_awips_f095 - edit FCSTHR '095' - trigger ../../prdgen/jgfs_pgrb2_f95 == complete - endtask - task jgfs_awips_f096 - edit FCSTHR '096' - trigger ../../prdgen/jgfs_pgrb2_f96 == complete - endtask - task jgfs_awips_f097 - edit FCSTHR '097' - trigger ../../prdgen/jgfs_pgrb2_f97 == complete - endtask - task jgfs_awips_f098 - edit FCSTHR '098' - trigger ../../prdgen/jgfs_pgrb2_f98 == complete - endtask - task jgfs_awips_f099 - edit FCSTHR '099' - trigger ../../prdgen/jgfs_pgrb2_f99 == complete - endtask - task jgfs_awips_f100 - edit FCSTHR '100' - trigger ../../prdgen/jgfs_pgrb2_f100 == complete - endtask - task jgfs_awips_f101 - edit FCSTHR '101' - trigger ../../prdgen/jgfs_pgrb2_f101 == complete - endtask - task jgfs_awips_f102 - edit FCSTHR '102' - trigger ../../prdgen/jgfs_pgrb2_f102 == complete - endtask - task jgfs_awips_f103 - edit FCSTHR '103' - trigger ../../prdgen/jgfs_pgrb2_f103 == complete - endtask - task jgfs_awips_f104 - edit FCSTHR '104' - trigger ../../prdgen/jgfs_pgrb2_f104 == complete - endtask - task jgfs_awips_f105 - edit FCSTHR '105' - trigger ../../prdgen/jgfs_pgrb2_f105 == complete - endtask - task jgfs_awips_f106 - edit FCSTHR '106' - trigger ../../prdgen/jgfs_pgrb2_f106 == complete - endtask - task jgfs_awips_f107 - edit FCSTHR '107' - trigger ../../prdgen/jgfs_pgrb2_f107 == complete - endtask - task jgfs_awips_f108 - edit FCSTHR '108' - trigger ../../prdgen/jgfs_pgrb2_f108 == complete - endtask - task jgfs_awips_f109 - edit FCSTHR '109' - trigger ../../prdgen/jgfs_pgrb2_f109 == complete - endtask - task jgfs_awips_f110 - edit FCSTHR '110' - trigger ../../prdgen/jgfs_pgrb2_f110 == complete - endtask - task jgfs_awips_f111 - edit FCSTHR '111' - trigger ../../prdgen/jgfs_pgrb2_f111 == complete - endtask - task jgfs_awips_f112 - edit FCSTHR '112' - trigger ../../prdgen/jgfs_pgrb2_f112 == complete - endtask - task jgfs_awips_f113 - edit FCSTHR '113' - trigger ../../prdgen/jgfs_pgrb2_f113 == complete - endtask - task jgfs_awips_f114 - edit FCSTHR '114' - trigger ../../prdgen/jgfs_pgrb2_f114 == complete - endtask - task jgfs_awips_f115 - edit FCSTHR '115' - trigger ../../prdgen/jgfs_pgrb2_f115 == complete - endtask - task jgfs_awips_f116 - edit FCSTHR '116' - trigger ../../prdgen/jgfs_pgrb2_f116 == complete - endtask - task jgfs_awips_f117 - edit FCSTHR '117' - trigger ../../prdgen/jgfs_pgrb2_f117 == complete - endtask - task jgfs_awips_f118 - edit FCSTHR '118' - trigger ../../prdgen/jgfs_pgrb2_f118 == complete - endtask - task jgfs_awips_f119 - edit FCSTHR '119' - trigger ../../prdgen/jgfs_pgrb2_f119 == complete - endtask - task jgfs_awips_f120 - edit FCSTHR '120' - trigger ../../prdgen/jgfs_pgrb2_f120 == complete - endtask - task jgfs_awips_f123 - edit FCSTHR '123' - trigger ../../prdgen/jgfs_pgrb2_f123 == complete - endtask - task jgfs_awips_f126 - edit FCSTHR '126' - trigger ../../prdgen/jgfs_pgrb2_f126 == complete - endtask - task jgfs_awips_f129 - edit FCSTHR '129' - trigger ../../prdgen/jgfs_pgrb2_f129 == complete - endtask - task jgfs_awips_f132 - edit FCSTHR '132' - trigger ../../prdgen/jgfs_pgrb2_f132 == complete - endtask - task jgfs_awips_f135 - edit FCSTHR '135' - trigger ../../prdgen/jgfs_pgrb2_f135 == complete - endtask - task jgfs_awips_f138 - edit FCSTHR '138' - trigger ../../prdgen/jgfs_pgrb2_f138 == complete - endtask - task jgfs_awips_f141 - edit FCSTHR '141' - trigger ../../prdgen/jgfs_pgrb2_f141 == complete - endtask - task jgfs_awips_f144 - edit FCSTHR '144' - trigger ../../prdgen/jgfs_pgrb2_f144 == complete - endtask - task jgfs_awips_f147 - edit FCSTHR '147' - trigger ../../prdgen/jgfs_pgrb2_f147 == complete - endtask - task jgfs_awips_f150 - edit FCSTHR '150' - trigger ../../prdgen/jgfs_pgrb2_f150 == complete - endtask - task jgfs_awips_f153 - edit FCSTHR '153' - trigger ../../prdgen/jgfs_pgrb2_f153 == complete - endtask - task jgfs_awips_f156 - edit FCSTHR '156' - trigger ../../prdgen/jgfs_pgrb2_f156 == complete - endtask - task jgfs_awips_f159 - edit FCSTHR '159' - trigger ../../prdgen/jgfs_pgrb2_f159 == complete - endtask - task jgfs_awips_f162 - edit FCSTHR '162' - trigger ../../prdgen/jgfs_pgrb2_f162 == complete - endtask - task jgfs_awips_f165 - edit FCSTHR '165' - trigger ../../prdgen/jgfs_pgrb2_f165 == complete - endtask - task jgfs_awips_f168 - edit FCSTHR '168' - trigger ../../prdgen/jgfs_pgrb2_f168 == complete - endtask - task jgfs_awips_f171 - edit FCSTHR '171' - trigger ../../prdgen/jgfs_pgrb2_f171 == complete - endtask - task jgfs_awips_f174 - edit FCSTHR '174' - trigger ../../prdgen/jgfs_pgrb2_f174 == complete - endtask - task jgfs_awips_f177 - edit FCSTHR '177' - trigger ../../prdgen/jgfs_pgrb2_f177 == complete - endtask - task jgfs_awips_f180 - edit FCSTHR '180' - trigger ../../prdgen/jgfs_pgrb2_f180 == complete - endtask - task jgfs_awips_f183 - edit FCSTHR '183' - trigger ../../prdgen/jgfs_pgrb2_f183 == complete - endtask - task jgfs_awips_f186 - edit FCSTHR '186' - trigger ../../prdgen/jgfs_pgrb2_f186 == complete - endtask - task jgfs_awips_f189 - edit FCSTHR '189' - trigger ../../prdgen/jgfs_pgrb2_f189 == complete - endtask - task jgfs_awips_f192 - edit FCSTHR '192' - trigger ../../prdgen/jgfs_pgrb2_f192 == complete - endtask - task jgfs_awips_f195 - edit FCSTHR '195' - trigger ../../prdgen/jgfs_pgrb2_f195 == complete - endtask - task jgfs_awips_f198 - edit FCSTHR '198' - trigger ../../prdgen/jgfs_pgrb2_f198 == complete - endtask - task jgfs_awips_f201 - edit FCSTHR '201' - trigger ../../prdgen/jgfs_pgrb2_f201 == complete - endtask - task jgfs_awips_f204 - edit FCSTHR '204' - trigger ../../prdgen/jgfs_pgrb2_f204 == complete - endtask - task jgfs_awips_f207 - edit FCSTHR '207' - trigger ../../prdgen/jgfs_pgrb2_f207 == complete - endtask - task jgfs_awips_f210 - edit FCSTHR '210' - trigger ../../prdgen/jgfs_pgrb2_f210 == complete - endtask - task jgfs_awips_f213 - edit FCSTHR '213' - trigger ../../prdgen/jgfs_pgrb2_f213 == complete - endtask - task jgfs_awips_f216 - edit FCSTHR '216' - trigger ../../prdgen/jgfs_pgrb2_f216 == complete - endtask - task jgfs_awips_f219 - edit FCSTHR '219' - trigger ../../prdgen/jgfs_pgrb2_f219 == complete - endtask - task jgfs_awips_f222 - edit FCSTHR '222' - trigger ../../prdgen/jgfs_pgrb2_f222 == complete - endtask - task jgfs_awips_f225 - edit FCSTHR '225' - trigger ../../prdgen/jgfs_pgrb2_f225 == complete - endtask - task jgfs_awips_f228 - edit FCSTHR '228' - trigger ../../prdgen/jgfs_pgrb2_f228 == complete - endtask - task jgfs_awips_f231 - edit FCSTHR '231' - trigger ../../prdgen/jgfs_pgrb2_f231 == complete - endtask - task jgfs_awips_f234 - edit FCSTHR '234' - trigger ../../prdgen/jgfs_pgrb2_f234 == complete - endtask - task jgfs_awips_f237 - edit FCSTHR '237' - trigger ../../prdgen/jgfs_pgrb2_f237 == complete - endtask - task jgfs_awips_f240 - edit FCSTHR '240' - trigger ../../prdgen/jgfs_pgrb2_f240 == complete - endtask - task jgfs_awips_f252 - edit FCSTHR '252' - trigger ../../prdgen/jgfs_pgrb2_f252 == complete - endtask - task jgfs_awips_f264 - edit FCSTHR '264' - trigger ../../prdgen/jgfs_pgrb2_f264 == complete - endtask - task jgfs_awips_f276 - edit FCSTHR '276' - trigger ../../prdgen/jgfs_pgrb2_f276 == complete - endtask - task jgfs_awips_f288 - edit FCSTHR '288' - trigger ../../prdgen/jgfs_pgrb2_f288 == complete - endtask - task jgfs_awips_f300 - edit FCSTHR '300' - trigger ../../prdgen/jgfs_pgrb2_f300 == complete - endtask - task jgfs_awips_f312 - edit FCSTHR '312' - trigger ../../prdgen/jgfs_pgrb2_f312 == complete - endtask - task jgfs_awips_f324 - edit FCSTHR '324' - trigger ../../prdgen/jgfs_pgrb2_f324 == complete - endtask - task jgfs_awips_f336 - edit FCSTHR '336' - trigger ../../prdgen/jgfs_pgrb2_f336 == complete - endtask - task jgfs_awips_f348 - edit FCSTHR '348' - trigger ../../prdgen/jgfs_pgrb2_f348 == complete - endtask - task jgfs_awips_f360 - edit FCSTHR '360' - trigger ../../prdgen/jgfs_pgrb2_f360 == complete - endtask - task jgfs_awips_f372 - edit FCSTHR '372' - trigger ../../prdgen/jgfs_pgrb2_f372 == complete - endtask - task jgfs_awips_f384 - edit FCSTHR '384' - trigger ../../prdgen/jgfs_pgrb2_f384 == complete - endtask - endfamily - family awips_20km - edit RES '20km' - edit RESC '20KM' - #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - task jgfs_awips_f000 - edit FCSTHR '000' - trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask - task jgfs_awips_f001 - edit FCSTHR '001' - trigger ../../prdgen/jgfs_pgrb2_f01 == complete - endtask - task jgfs_awips_f002 - edit FCSTHR '002' - trigger ../../prdgen/jgfs_pgrb2_f02 == complete - endtask - task jgfs_awips_f003 - edit FCSTHR '003' - trigger ../../prdgen/jgfs_pgrb2_f03 == complete - endtask - task jgfs_awips_f004 - edit FCSTHR '004' - trigger ../../prdgen/jgfs_pgrb2_f04 == complete - endtask - task jgfs_awips_f005 - edit FCSTHR '005' - trigger ../../prdgen/jgfs_pgrb2_f05 == complete - endtask - task jgfs_awips_f006 - edit FCSTHR '006' - trigger ../../prdgen/jgfs_pgrb2_f06 == complete - endtask - task jgfs_awips_f007 - edit FCSTHR '007' - trigger ../../prdgen/jgfs_pgrb2_f07 == complete - endtask - task jgfs_awips_f008 - edit FCSTHR '008' - trigger ../../prdgen/jgfs_pgrb2_f08 == complete - endtask - task jgfs_awips_f009 - edit FCSTHR '009' - trigger ../../prdgen/jgfs_pgrb2_f09 == complete - endtask - task jgfs_awips_f010 - edit FCSTHR '010' - trigger ../../prdgen/jgfs_pgrb2_f10 == complete - endtask - task jgfs_awips_f011 - edit FCSTHR '011' - trigger ../../prdgen/jgfs_pgrb2_f11 == complete - endtask - task jgfs_awips_f012 - edit FCSTHR '012' - trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask - task jgfs_awips_f013 - edit FCSTHR '013' - trigger ../../prdgen/jgfs_pgrb2_f13 == complete - endtask - task jgfs_awips_f014 - edit FCSTHR '014' - trigger ../../prdgen/jgfs_pgrb2_f14 == complete - endtask - task jgfs_awips_f015 - edit FCSTHR '015' - trigger ../../prdgen/jgfs_pgrb2_f15 == complete - endtask - task jgfs_awips_f016 - edit FCSTHR '016' - trigger ../../prdgen/jgfs_pgrb2_f16 == complete - endtask - task jgfs_awips_f017 - edit FCSTHR '017' - trigger ../../prdgen/jgfs_pgrb2_f17 == complete - endtask - task jgfs_awips_f018 - edit FCSTHR '018' - trigger ../../prdgen/jgfs_pgrb2_f18 == complete - endtask - task jgfs_awips_f019 - edit FCSTHR '019' - trigger ../../prdgen/jgfs_pgrb2_f19 == complete - endtask - task jgfs_awips_f020 - edit FCSTHR '020' - trigger ../../prdgen/jgfs_pgrb2_f20 == complete - endtask - task jgfs_awips_f021 - edit FCSTHR '021' - trigger ../../prdgen/jgfs_pgrb2_f21 == complete - endtask - task jgfs_awips_f022 - edit FCSTHR '022' - trigger ../../prdgen/jgfs_pgrb2_f22 == complete - endtask - task jgfs_awips_f023 - edit FCSTHR '023' - trigger ../../prdgen/jgfs_pgrb2_f23 == complete - endtask - task jgfs_awips_f024 - edit FCSTHR '024' - trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask - task jgfs_awips_f025 - edit FCSTHR '025' - trigger ../../prdgen/jgfs_pgrb2_f25 == complete - endtask - task jgfs_awips_f026 - edit FCSTHR '026' - trigger ../../prdgen/jgfs_pgrb2_f26 == complete - endtask - task jgfs_awips_f027 - edit FCSTHR '027' - trigger ../../prdgen/jgfs_pgrb2_f27 == complete - endtask - task jgfs_awips_f028 - edit FCSTHR '028' - trigger ../../prdgen/jgfs_pgrb2_f28 == complete - endtask - task jgfs_awips_f029 - edit FCSTHR '029' - trigger ../../prdgen/jgfs_pgrb2_f29 == complete - endtask - task jgfs_awips_f030 - edit FCSTHR '030' - trigger ../../prdgen/jgfs_pgrb2_f30 == complete - endtask - task jgfs_awips_f031 - edit FCSTHR '031' - trigger ../../prdgen/jgfs_pgrb2_f31 == complete - endtask - task jgfs_awips_f032 - edit FCSTHR '032' - trigger ../../prdgen/jgfs_pgrb2_f32 == complete - endtask - task jgfs_awips_f033 - edit FCSTHR '033' - trigger ../../prdgen/jgfs_pgrb2_f33 == complete - endtask - task jgfs_awips_f034 - edit FCSTHR '034' - trigger ../../prdgen/jgfs_pgrb2_f34 == complete - endtask - task jgfs_awips_f035 - edit FCSTHR '035' - trigger ../../prdgen/jgfs_pgrb2_f35 == complete - endtask - task jgfs_awips_f036 - edit FCSTHR '036' - trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask - task jgfs_awips_f037 - edit FCSTHR '037' - trigger ../../prdgen/jgfs_pgrb2_f37 == complete - endtask - task jgfs_awips_f038 - edit FCSTHR '038' - trigger ../../prdgen/jgfs_pgrb2_f38 == complete - endtask - task jgfs_awips_f039 - edit FCSTHR '039' - trigger ../../prdgen/jgfs_pgrb2_f39 == complete - endtask - task jgfs_awips_f040 - edit FCSTHR '040' - trigger ../../prdgen/jgfs_pgrb2_f40 == complete - endtask - task jgfs_awips_f041 - edit FCSTHR '041' - trigger ../../prdgen/jgfs_pgrb2_f41 == complete - endtask - task jgfs_awips_f042 - edit FCSTHR '042' - trigger ../../prdgen/jgfs_pgrb2_f42 == complete - endtask - task jgfs_awips_f043 - edit FCSTHR '043' - trigger ../../prdgen/jgfs_pgrb2_f43 == complete - endtask - task jgfs_awips_f044 - edit FCSTHR '044' - trigger ../../prdgen/jgfs_pgrb2_f44 == complete - endtask - task jgfs_awips_f045 - edit FCSTHR '045' - trigger ../../prdgen/jgfs_pgrb2_f45 == complete - endtask - task jgfs_awips_f046 - edit FCSTHR '046' - trigger ../../prdgen/jgfs_pgrb2_f46 == complete - endtask - task jgfs_awips_f047 - edit FCSTHR '047' - trigger ../../prdgen/jgfs_pgrb2_f47 == complete - endtask - task jgfs_awips_f048 - edit FCSTHR '048' - trigger ../../prdgen/jgfs_pgrb2_f48 == complete - endtask - task jgfs_awips_f049 - edit FCSTHR '049' - trigger ../../prdgen/jgfs_pgrb2_f49 == complete - endtask - task jgfs_awips_f050 - edit FCSTHR '050' - trigger ../../prdgen/jgfs_pgrb2_f50 == complete - endtask - task jgfs_awips_f051 - edit FCSTHR '051' - trigger ../../prdgen/jgfs_pgrb2_f51 == complete - endtask - task jgfs_awips_f052 - edit FCSTHR '052' - trigger ../../prdgen/jgfs_pgrb2_f52 == complete - endtask - task jgfs_awips_f053 - edit FCSTHR '053' - trigger ../../prdgen/jgfs_pgrb2_f53 == complete - endtask - task jgfs_awips_f054 - edit FCSTHR '054' - trigger ../../prdgen/jgfs_pgrb2_f54 == complete - endtask - task jgfs_awips_f055 - edit FCSTHR '055' - trigger ../../prdgen/jgfs_pgrb2_f55 == complete - endtask - task jgfs_awips_f056 - edit FCSTHR '056' - trigger ../../prdgen/jgfs_pgrb2_f56 == complete - endtask - task jgfs_awips_f057 - edit FCSTHR '057' - trigger ../../prdgen/jgfs_pgrb2_f57 == complete - endtask - task jgfs_awips_f058 - edit FCSTHR '058' - trigger ../../prdgen/jgfs_pgrb2_f58 == complete - endtask - task jgfs_awips_f059 - edit FCSTHR '059' - trigger ../../prdgen/jgfs_pgrb2_f59 == complete - endtask - task jgfs_awips_f060 - edit FCSTHR '060' - trigger ../../prdgen/jgfs_pgrb2_f60 == complete - endtask - task jgfs_awips_f061 - edit FCSTHR '061' - trigger ../../prdgen/jgfs_pgrb2_f61 == complete - endtask - task jgfs_awips_f062 - edit FCSTHR '062' - trigger ../../prdgen/jgfs_pgrb2_f62 == complete - endtask - task jgfs_awips_f063 - edit FCSTHR '063' - trigger ../../prdgen/jgfs_pgrb2_f63 == complete - endtask - task jgfs_awips_f064 - edit FCSTHR '064' - trigger ../../prdgen/jgfs_pgrb2_f64 == complete - endtask - task jgfs_awips_f065 - edit FCSTHR '065' - trigger ../../prdgen/jgfs_pgrb2_f65 == complete - endtask - task jgfs_awips_f066 - edit FCSTHR '066' - trigger ../../prdgen/jgfs_pgrb2_f66 == complete - endtask - task jgfs_awips_f067 - edit FCSTHR '067' - trigger ../../prdgen/jgfs_pgrb2_f67 == complete - endtask - task jgfs_awips_f068 - edit FCSTHR '068' - trigger ../../prdgen/jgfs_pgrb2_f68 == complete - endtask - task jgfs_awips_f069 - edit FCSTHR '069' - trigger ../../prdgen/jgfs_pgrb2_f69 == complete - endtask - task jgfs_awips_f070 - edit FCSTHR '070' - trigger ../../prdgen/jgfs_pgrb2_f70 == complete - endtask - task jgfs_awips_f071 - edit FCSTHR '071' - trigger ../../prdgen/jgfs_pgrb2_f71 == complete - endtask - task jgfs_awips_f072 - edit FCSTHR '072' - trigger ../../prdgen/jgfs_pgrb2_f72 == complete - endtask - task jgfs_awips_f073 - edit FCSTHR '073' - trigger ../../prdgen/jgfs_pgrb2_f73 == complete - endtask - task jgfs_awips_f074 - edit FCSTHR '074' - trigger ../../prdgen/jgfs_pgrb2_f74 == complete - endtask - task jgfs_awips_f075 - edit FCSTHR '075' - trigger ../../prdgen/jgfs_pgrb2_f75 == complete - endtask - task jgfs_awips_f076 - edit FCSTHR '076' - trigger ../../prdgen/jgfs_pgrb2_f76 == complete - endtask - task jgfs_awips_f077 - edit FCSTHR '077' - trigger ../../prdgen/jgfs_pgrb2_f77 == complete - endtask - task jgfs_awips_f078 - edit FCSTHR '078' - trigger ../../prdgen/jgfs_pgrb2_f78 == complete - endtask - task jgfs_awips_f079 - edit FCSTHR '079' - trigger ../../prdgen/jgfs_pgrb2_f79 == complete - endtask - task jgfs_awips_f080 - edit FCSTHR '080' - trigger ../../prdgen/jgfs_pgrb2_f80 == complete - endtask - task jgfs_awips_f081 - edit FCSTHR '081' - trigger ../../prdgen/jgfs_pgrb2_f81 == complete - endtask - task jgfs_awips_f082 - edit FCSTHR '082' - trigger ../../prdgen/jgfs_pgrb2_f82 == complete - endtask - task jgfs_awips_f083 - edit FCSTHR '083' - trigger ../../prdgen/jgfs_pgrb2_f83 == complete - endtask - task jgfs_awips_f084 - edit FCSTHR '084' - trigger ../../prdgen/jgfs_pgrb2_f84 == complete - endtask - task jgfs_awips_f085 - edit FCSTHR '085' - trigger ../../prdgen/jgfs_pgrb2_f85 == complete - endtask - task jgfs_awips_f086 - edit FCSTHR '086' - trigger ../../prdgen/jgfs_pgrb2_f86 == complete - endtask - task jgfs_awips_f087 - edit FCSTHR '087' - trigger ../../prdgen/jgfs_pgrb2_f87 == complete - endtask - task jgfs_awips_f088 - edit FCSTHR '088' - trigger ../../prdgen/jgfs_pgrb2_f88 == complete - endtask - task jgfs_awips_f089 - edit FCSTHR '089' - trigger ../../prdgen/jgfs_pgrb2_f89 == complete - endtask - task jgfs_awips_f090 - edit FCSTHR '090' - trigger ../../prdgen/jgfs_pgrb2_f90 == complete - endtask - task jgfs_awips_f091 - edit FCSTHR '091' - trigger ../../prdgen/jgfs_pgrb2_f91 == complete - endtask - task jgfs_awips_f092 - edit FCSTHR '092' - trigger ../../prdgen/jgfs_pgrb2_f92 == complete - endtask - task jgfs_awips_f093 - edit FCSTHR '093' - trigger ../../prdgen/jgfs_pgrb2_f93 == complete - endtask - task jgfs_awips_f094 - edit FCSTHR '094' - trigger ../../prdgen/jgfs_pgrb2_f94 == complete - endtask - task jgfs_awips_f095 - edit FCSTHR '095' - trigger ../../prdgen/jgfs_pgrb2_f95 == complete - endtask - task jgfs_awips_f096 - edit FCSTHR '096' - trigger ../../prdgen/jgfs_pgrb2_f96 == complete - endtask - task jgfs_awips_f097 - edit FCSTHR '097' - trigger ../../prdgen/jgfs_pgrb2_f97 == complete - endtask - task jgfs_awips_f098 - edit FCSTHR '098' - trigger ../../prdgen/jgfs_pgrb2_f98 == complete - endtask - task jgfs_awips_f099 - edit FCSTHR '099' - trigger ../../prdgen/jgfs_pgrb2_f99 == complete - endtask - task jgfs_awips_f100 - edit FCSTHR '100' - trigger ../../prdgen/jgfs_pgrb2_f100 == complete - endtask - task jgfs_awips_f101 - edit FCSTHR '101' - trigger ../../prdgen/jgfs_pgrb2_f101 == complete - endtask - task jgfs_awips_f102 - edit FCSTHR '102' - trigger ../../prdgen/jgfs_pgrb2_f102 == complete - endtask - task jgfs_awips_f103 - edit FCSTHR '103' - trigger ../../prdgen/jgfs_pgrb2_f103 == complete - endtask - task jgfs_awips_f104 - edit FCSTHR '104' - trigger ../../prdgen/jgfs_pgrb2_f104 == complete - endtask - task jgfs_awips_f105 - edit FCSTHR '105' - trigger ../../prdgen/jgfs_pgrb2_f105 == complete - endtask - task jgfs_awips_f106 - edit FCSTHR '106' - trigger ../../prdgen/jgfs_pgrb2_f106 == complete - endtask - task jgfs_awips_f107 - edit FCSTHR '107' - trigger ../../prdgen/jgfs_pgrb2_f107 == complete - endtask - task jgfs_awips_f108 - edit FCSTHR '108' - trigger ../../prdgen/jgfs_pgrb2_f108 == complete - endtask - task jgfs_awips_f109 - edit FCSTHR '109' - trigger ../../prdgen/jgfs_pgrb2_f109 == complete - endtask - task jgfs_awips_f110 - edit FCSTHR '110' - trigger ../../prdgen/jgfs_pgrb2_f110 == complete - endtask - task jgfs_awips_f111 - edit FCSTHR '111' - trigger ../../prdgen/jgfs_pgrb2_f111 == complete - endtask - task jgfs_awips_f112 - edit FCSTHR '112' - trigger ../../prdgen/jgfs_pgrb2_f112 == complete - endtask - task jgfs_awips_f113 - edit FCSTHR '113' - trigger ../../prdgen/jgfs_pgrb2_f113 == complete - endtask - task jgfs_awips_f114 - edit FCSTHR '114' - trigger ../../prdgen/jgfs_pgrb2_f114 == complete - endtask - task jgfs_awips_f115 - edit FCSTHR '115' - trigger ../../prdgen/jgfs_pgrb2_f115 == complete - endtask - task jgfs_awips_f116 - edit FCSTHR '116' - trigger ../../prdgen/jgfs_pgrb2_f116 == complete - endtask - task jgfs_awips_f117 - edit FCSTHR '117' - trigger ../../prdgen/jgfs_pgrb2_f117 == complete - endtask - task jgfs_awips_f118 - edit FCSTHR '118' - trigger ../../prdgen/jgfs_pgrb2_f118 == complete - endtask - task jgfs_awips_f119 - edit FCSTHR '119' - trigger ../../prdgen/jgfs_pgrb2_f119 == complete - endtask - task jgfs_awips_f120 - edit FCSTHR '120' - trigger ../../prdgen/jgfs_pgrb2_f120 == complete - endtask - task jgfs_awips_f123 - edit FCSTHR '123' - trigger ../../prdgen/jgfs_pgrb2_f123 == complete - endtask - task jgfs_awips_f126 - edit FCSTHR '126' - trigger ../../prdgen/jgfs_pgrb2_f126 == complete - endtask - task jgfs_awips_f129 - edit FCSTHR '129' - trigger ../../prdgen/jgfs_pgrb2_f129 == complete - endtask - task jgfs_awips_f132 - edit FCSTHR '132' - trigger ../../prdgen/jgfs_pgrb2_f132 == complete - endtask - task jgfs_awips_f135 - edit FCSTHR '135' - trigger ../../prdgen/jgfs_pgrb2_f135 == complete - endtask - task jgfs_awips_f138 - edit FCSTHR '138' - trigger ../../prdgen/jgfs_pgrb2_f138 == complete - endtask - task jgfs_awips_f141 - edit FCSTHR '141' - trigger ../../prdgen/jgfs_pgrb2_f141 == complete - endtask - task jgfs_awips_f144 - edit FCSTHR '144' - trigger ../../prdgen/jgfs_pgrb2_f144 == complete - endtask - task jgfs_awips_f147 - edit FCSTHR '147' - trigger ../../prdgen/jgfs_pgrb2_f147 == complete - endtask - task jgfs_awips_f150 - edit FCSTHR '150' - trigger ../../prdgen/jgfs_pgrb2_f150 == complete - endtask - task jgfs_awips_f153 - edit FCSTHR '153' - trigger ../../prdgen/jgfs_pgrb2_f153 == complete - endtask - task jgfs_awips_f156 - edit FCSTHR '156' - trigger ../../prdgen/jgfs_pgrb2_f156 == complete - endtask - task jgfs_awips_f159 - edit FCSTHR '159' - trigger ../../prdgen/jgfs_pgrb2_f159 == complete - endtask - task jgfs_awips_f162 - edit FCSTHR '162' - trigger ../../prdgen/jgfs_pgrb2_f162 == complete - endtask - task jgfs_awips_f165 - edit FCSTHR '165' - trigger ../../prdgen/jgfs_pgrb2_f165 == complete - endtask - task jgfs_awips_f168 - edit FCSTHR '168' - trigger ../../prdgen/jgfs_pgrb2_f168 == complete - endtask - task jgfs_awips_f171 - edit FCSTHR '171' - trigger ../../prdgen/jgfs_pgrb2_f171 == complete - endtask - task jgfs_awips_f174 - edit FCSTHR '174' - trigger ../../prdgen/jgfs_pgrb2_f174 == complete - endtask - task jgfs_awips_f177 - edit FCSTHR '177' - trigger ../../prdgen/jgfs_pgrb2_f177 == complete - endtask - task jgfs_awips_f180 - edit FCSTHR '180' - trigger ../../prdgen/jgfs_pgrb2_f180 == complete - endtask - task jgfs_awips_f183 - edit FCSTHR '183' - trigger ../../prdgen/jgfs_pgrb2_f183 == complete - endtask - task jgfs_awips_f186 - edit FCSTHR '186' - trigger ../../prdgen/jgfs_pgrb2_f186 == complete - endtask - task jgfs_awips_f189 - edit FCSTHR '189' - trigger ../../prdgen/jgfs_pgrb2_f189 == complete - endtask - task jgfs_awips_f192 - edit FCSTHR '192' - trigger ../../prdgen/jgfs_pgrb2_f192 == complete - endtask - task jgfs_awips_f195 - edit FCSTHR '195' - trigger ../../prdgen/jgfs_pgrb2_f195 == complete - endtask - task jgfs_awips_f198 - edit FCSTHR '198' - trigger ../../prdgen/jgfs_pgrb2_f198 == complete - endtask - task jgfs_awips_f201 - edit FCSTHR '201' - trigger ../../prdgen/jgfs_pgrb2_f201 == complete - endtask - task jgfs_awips_f204 - edit FCSTHR '204' - trigger ../../prdgen/jgfs_pgrb2_f204 == complete - endtask - task jgfs_awips_f207 - edit FCSTHR '207' - trigger ../../prdgen/jgfs_pgrb2_f207 == complete - endtask - task jgfs_awips_f210 - edit FCSTHR '210' - trigger ../../prdgen/jgfs_pgrb2_f210 == complete - endtask - task jgfs_awips_f213 - edit FCSTHR '213' - trigger ../../prdgen/jgfs_pgrb2_f213 == complete - endtask - task jgfs_awips_f216 - edit FCSTHR '216' - trigger ../../prdgen/jgfs_pgrb2_f216 == complete - endtask - task jgfs_awips_f219 - edit FCSTHR '219' - trigger ../../prdgen/jgfs_pgrb2_f219 == complete - endtask - task jgfs_awips_f222 - edit FCSTHR '222' - trigger ../../prdgen/jgfs_pgrb2_f222 == complete - endtask - task jgfs_awips_f225 - edit FCSTHR '225' - trigger ../../prdgen/jgfs_pgrb2_f225 == complete - endtask - task jgfs_awips_f228 - edit FCSTHR '228' - trigger ../../prdgen/jgfs_pgrb2_f228 == complete - endtask - task jgfs_awips_f231 - edit FCSTHR '231' - trigger ../../prdgen/jgfs_pgrb2_f231 == complete - endtask - task jgfs_awips_f234 - edit FCSTHR '234' - trigger ../../prdgen/jgfs_pgrb2_f234 == complete - endtask - task jgfs_awips_f237 - edit FCSTHR '237' - trigger ../../prdgen/jgfs_pgrb2_f237 == complete - endtask - task jgfs_awips_f240 - edit FCSTHR '240' - trigger ../../prdgen/jgfs_pgrb2_f240 == complete - endtask - task jgfs_awips_f252 - edit FCSTHR '252' - trigger ../../prdgen/jgfs_pgrb2_f252 == complete - endtask - task jgfs_awips_f264 - edit FCSTHR '264' - trigger ../../prdgen/jgfs_pgrb2_f264 == complete - endtask - task jgfs_awips_f276 - edit FCSTHR '276' - trigger ../../prdgen/jgfs_pgrb2_f276 == complete - endtask - task jgfs_awips_f288 - edit FCSTHR '288' - trigger ../../prdgen/jgfs_pgrb2_f288 == complete - endtask - task jgfs_awips_f300 - edit FCSTHR '300' - trigger ../../prdgen/jgfs_pgrb2_f300 == complete - endtask - task jgfs_awips_f312 - edit FCSTHR '312' - trigger ../../prdgen/jgfs_pgrb2_f312 == complete - endtask - task jgfs_awips_f324 - edit FCSTHR '324' - trigger ../../prdgen/jgfs_pgrb2_f324 == complete - endtask - task jgfs_awips_f336 - edit FCSTHR '336' - trigger ../../prdgen/jgfs_pgrb2_f336 == complete - endtask - task jgfs_awips_f348 - edit FCSTHR '348' - trigger ../../prdgen/jgfs_pgrb2_f348 == complete - endtask - task jgfs_awips_f360 - edit FCSTHR '360' - trigger ../../prdgen/jgfs_pgrb2_f360 == complete - endtask - task jgfs_awips_f372 - edit FCSTHR '372' - trigger ../../prdgen/jgfs_pgrb2_f372 == complete - endtask - task jgfs_awips_f384 - edit FCSTHR '384' - trigger ../../prdgen/jgfs_pgrb2_f384 == complete - endtask - endfamily - endfamily - family post - task jgfs_post_anl - edit FHR 'anl' - edit HR 'anl' - trigger ./jgfs_post_manager:release_postanl - event 1 release_pgrb2_anl - endtask - task jgfs_pgrb2_spec_post - trigger ./jgfs_post_f336 == complete and ./jgfs_post_f348 == complete and ./jgfs_post_f360 == complete and ./jgfs_post_f372 == complete and ./jgfs_post_f384 == complete - endtask - task jgfs_post_manager - trigger ../jgfs_analysis == complete and ../forecast == complete - event 1 release_postanl - event 2 release_post00 - event 3 release_post01 - event 4 release_post02 - event 5 release_post03 - event 6 release_post04 - event 7 release_post05 - event 8 release_post06 - event 9 release_post07 - event 10 release_post08 - event 11 release_post09 - event 12 release_post10 - event 13 release_post11 - event 14 release_post12 - event 15 release_post13 - event 16 release_post14 - event 17 release_post15 - event 18 release_post16 - event 19 release_post17 - event 20 release_post18 - event 21 release_post19 - event 22 release_post20 - event 23 release_post21 - event 24 release_post22 - event 25 release_post23 - event 26 release_post24 - event 27 release_post25 - event 28 release_post26 - event 29 release_post27 - event 30 release_post28 - event 31 release_post29 - event 32 release_post30 - event 33 release_post31 - event 34 release_post32 - event 35 release_post33 - event 36 release_post34 - event 37 release_post35 - event 38 release_post36 - event 39 release_post37 - event 40 release_post38 - event 41 release_post39 - event 42 release_post40 - event 43 release_post41 - event 44 release_post42 - event 45 release_post43 - event 46 release_post44 - event 47 release_post45 - event 48 release_post46 - event 49 release_post47 - event 50 release_post48 - event 51 release_post49 - event 52 release_post50 - event 53 release_post51 - event 54 release_post52 - event 55 release_post53 - event 56 release_post54 - event 57 release_post55 - event 58 release_post56 - event 59 release_post57 - event 60 release_post58 - event 61 release_post59 - event 62 release_post60 - event 63 release_post61 - event 64 release_post62 - event 65 release_post63 - event 66 release_post64 - event 67 release_post65 - event 68 release_post66 - event 69 release_post67 - event 70 release_post68 - event 71 release_post69 - event 72 release_post70 - event 73 release_post71 - event 74 release_post72 - event 75 release_post73 - event 76 release_post74 - event 77 release_post75 - event 78 release_post76 - event 79 release_post77 - event 80 release_post78 - event 81 release_post79 - event 82 release_post80 - event 83 release_post81 - event 84 release_post82 - event 85 release_post83 - event 86 release_post84 - event 87 release_post85 - event 88 release_post86 - event 89 release_post87 - event 90 release_post88 - event 91 release_post89 - event 92 release_post90 - event 93 release_post91 - event 94 release_post92 - event 95 release_post93 - event 96 release_post94 - event 97 release_post95 - event 98 release_post96 - event 99 release_post97 - event 100 release_post98 - event 101 release_post99 - event 102 release_post100 - event 103 release_post101 - event 104 release_post102 - event 105 release_post103 - event 106 release_post104 - event 107 release_post105 - event 108 release_post106 - event 109 release_post107 - event 110 release_post108 - event 111 release_post109 - event 112 release_post110 - event 113 release_post111 - event 114 release_post112 - event 115 release_post113 - event 116 release_post114 - event 117 release_post115 - event 118 release_post116 - event 119 release_post117 - event 120 release_post118 - event 121 release_post119 - event 122 release_post120 - event 123 release_post123 - event 124 release_post126 - event 125 release_post129 - event 126 release_post132 - event 127 release_post135 - event 128 release_post138 - event 129 release_post141 - event 130 release_post144 - event 131 release_post147 - event 132 release_post150 - event 133 release_post153 - event 134 release_post156 - event 135 release_post159 - event 136 release_post162 - event 137 release_post165 - event 138 release_post168 - event 139 release_post171 - event 140 release_post174 - event 141 release_post177 - event 142 release_post180 - event 143 release_post183 - event 144 release_post186 - event 145 release_post189 - event 146 release_post192 - event 147 release_post195 - event 148 release_post198 - event 149 release_post201 - event 150 release_post204 - event 151 release_post207 - event 152 release_post210 - event 153 release_post213 - event 154 release_post216 - event 155 release_post219 - event 156 release_post222 - event 157 release_post225 - event 158 release_post228 - event 159 release_post231 - event 160 release_post234 - event 161 release_post237 - event 162 release_post240 - event 163 release_post252 - event 164 release_post264 - event 165 release_post276 - event 166 release_post288 - event 167 release_post300 - event 168 release_post312 - event 169 release_post324 - event 170 release_post336 - event 171 release_post348 - event 172 release_post360 - event 173 release_post372 - event 174 release_post384 - endtask - task jgfs_post_f00 - edit FHR: 'f00' - edit HR: '00' - trigger ./jgfs_post_manager:release_post00 - endtask - task jgfs_post_f01 - edit FHR: 'f01' - edit HR: '01' - trigger ./jgfs_post_manager:release_post01 - endtask - task jgfs_post_f02 - edit FHR: 'f02' - edit HR: '02' - trigger ./jgfs_post_manager:release_post02 - endtask - task jgfs_post_f03 - edit FHR: 'f03' - edit HR: '03' - trigger ./jgfs_post_manager:release_post03 - endtask - task jgfs_post_f04 - edit FHR: 'f04' - edit HR: '04' - trigger ./jgfs_post_manager:release_post04 - endtask - task jgfs_post_f05 - edit FHR: 'f05' - edit HR: '05' - trigger ./jgfs_post_manager:release_post05 - endtask - task jgfs_post_f06 - edit FHR: 'f06' - edit HR: '06' - trigger ./jgfs_post_manager:release_post06 - endtask - task jgfs_post_f07 - edit FHR: 'f07' - edit HR: '07' - trigger ./jgfs_post_manager:release_post07 - endtask - task jgfs_post_f08 - edit FHR: 'f08' - edit HR: '08' - trigger ./jgfs_post_manager:release_post08 - endtask - task jgfs_post_f09 - edit FHR: 'f09' - edit HR: '09' - trigger ./jgfs_post_manager:release_post09 - endtask - task jgfs_post_f10 - edit FHR: 'f10' - edit HR: '10' - trigger ./jgfs_post_manager:release_post10 - endtask - task jgfs_post_f11 - edit FHR: 'f11' - edit HR: '11' - trigger ./jgfs_post_manager:release_post11 - endtask - task jgfs_post_f12 - edit FHR: 'f12' - edit HR: '12' - trigger ./jgfs_post_manager:release_post12 - endtask - task jgfs_post_f13 - edit FHR: 'f13' - edit HR: '13' - trigger ./jgfs_post_manager:release_post13 - endtask - task jgfs_post_f14 - edit FHR: 'f14' - edit HR: '14' - trigger ./jgfs_post_manager:release_post14 - endtask - task jgfs_post_f15 - edit FHR: 'f15' - edit HR: '15' - trigger ./jgfs_post_manager:release_post15 - endtask - task jgfs_post_f16 - edit FHR: 'f16' - edit HR: '16' - trigger ./jgfs_post_manager:release_post16 - endtask - task jgfs_post_f17 - edit FHR: 'f17' - edit HR: '17' - trigger ./jgfs_post_manager:release_post17 - endtask - task jgfs_post_f18 - edit FHR: 'f18' - edit HR: '18' - trigger ./jgfs_post_manager:release_post18 - endtask - task jgfs_post_f19 - edit FHR: 'f19' - edit HR: '19' - trigger ./jgfs_post_manager:release_post19 - endtask - task jgfs_post_f20 - edit FHR: 'f20' - edit HR: '20' - trigger ./jgfs_post_manager:release_post20 - endtask - task jgfs_post_f21 - edit FHR: 'f21' - edit HR: '21' - trigger ./jgfs_post_manager:release_post21 - endtask - task jgfs_post_f22 - edit FHR: 'f22' - edit HR: '22' - trigger ./jgfs_post_manager:release_post22 - endtask - task jgfs_post_f23 - edit FHR: 'f23' - edit HR: '23' - trigger ./jgfs_post_manager:release_post23 - endtask - task jgfs_post_f24 - edit FHR: 'f24' - edit HR: '24' - trigger ./jgfs_post_manager:release_post24 - endtask - task jgfs_post_f25 - edit FHR: 'f25' - edit HR: '25' - trigger ./jgfs_post_manager:release_post25 - endtask - task jgfs_post_f26 - edit FHR: 'f26' - edit HR: '26' - trigger ./jgfs_post_manager:release_post26 - endtask - task jgfs_post_f27 - edit FHR: 'f27' - edit HR: '27' - trigger ./jgfs_post_manager:release_post27 - endtask - task jgfs_post_f28 - edit FHR: 'f28' - edit HR: '28' - trigger ./jgfs_post_manager:release_post28 - endtask - task jgfs_post_f29 - edit FHR: 'f29' - edit HR: '29' - trigger ./jgfs_post_manager:release_post29 - endtask - task jgfs_post_f30 - edit FHR: 'f30' - edit HR: '30' - trigger ./jgfs_post_manager:release_post30 - endtask - task jgfs_post_f31 - edit FHR: 'f31' - edit HR: '31' - trigger ./jgfs_post_manager:release_post31 - endtask - task jgfs_post_f32 - edit FHR: 'f32' - edit HR: '32' - trigger ./jgfs_post_manager:release_post32 - endtask - task jgfs_post_f33 - edit FHR: 'f33' - edit HR: '33' - trigger ./jgfs_post_manager:release_post33 - endtask - task jgfs_post_f34 - edit FHR: 'f34' - edit HR: '34' - trigger ./jgfs_post_manager:release_post34 - endtask - task jgfs_post_f35 - edit FHR: 'f35' - edit HR: '35' - trigger ./jgfs_post_manager:release_post35 - endtask - task jgfs_post_f36 - edit FHR: 'f36' - edit HR: '36' - trigger ./jgfs_post_manager:release_post36 - endtask - task jgfs_post_f37 - edit FHR: 'f37' - edit HR: '37' - trigger ./jgfs_post_manager:release_post37 - endtask - task jgfs_post_f38 - edit FHR: 'f38' - edit HR: '38' - trigger ./jgfs_post_manager:release_post38 - endtask - task jgfs_post_f39 - edit FHR: 'f39' - edit HR: '39' - trigger ./jgfs_post_manager:release_post39 - endtask - task jgfs_post_f40 - edit FHR: 'f40' - edit HR: '40' - trigger ./jgfs_post_manager:release_post40 - endtask - task jgfs_post_f41 - edit FHR: 'f41' - edit HR: '41' - trigger ./jgfs_post_manager:release_post41 - endtask - task jgfs_post_f42 - edit FHR: 'f42' - edit HR: '42' - trigger ./jgfs_post_manager:release_post42 - endtask - task jgfs_post_f43 - edit FHR: 'f43' - edit HR: '43' - trigger ./jgfs_post_manager:release_post43 - endtask - task jgfs_post_f44 - edit FHR: 'f44' - edit HR: '44' - trigger ./jgfs_post_manager:release_post44 - endtask - task jgfs_post_f45 - edit FHR: 'f45' - edit HR: '45' - trigger ./jgfs_post_manager:release_post45 - endtask - task jgfs_post_f46 - edit FHR: 'f46' - edit HR: '46' - trigger ./jgfs_post_manager:release_post46 - endtask - task jgfs_post_f47 - edit FHR: 'f47' - edit HR: '47' - trigger ./jgfs_post_manager:release_post47 - endtask - task jgfs_post_f48 - edit FHR: 'f48' - edit HR: '48' - trigger ./jgfs_post_manager:release_post48 - endtask - task jgfs_post_f49 - edit FHR: 'f49' - edit HR: '49' - trigger ./jgfs_post_manager:release_post49 - endtask - task jgfs_post_f50 - edit FHR: 'f50' - edit HR: '50' - trigger ./jgfs_post_manager:release_post50 - endtask - task jgfs_post_f51 - edit FHR: 'f51' - edit HR: '51' - trigger ./jgfs_post_manager:release_post51 - endtask - task jgfs_post_f52 - edit FHR: 'f52' - edit HR: '52' - trigger ./jgfs_post_manager:release_post52 - endtask - task jgfs_post_f53 - edit FHR: 'f53' - edit HR: '53' - trigger ./jgfs_post_manager:release_post53 - endtask - task jgfs_post_f54 - edit FHR: 'f54' - edit HR: '54' - trigger ./jgfs_post_manager:release_post54 - endtask - task jgfs_post_f55 - edit FHR: 'f55' - edit HR: '55' - trigger ./jgfs_post_manager:release_post55 - endtask - task jgfs_post_f56 - edit FHR: 'f56' - edit HR: '56' - trigger ./jgfs_post_manager:release_post56 - endtask - task jgfs_post_f57 - edit FHR: 'f57' - edit HR: '57' - trigger ./jgfs_post_manager:release_post57 - endtask - task jgfs_post_f58 - edit FHR: 'f58' - edit HR: '58' - trigger ./jgfs_post_manager:release_post58 - endtask - task jgfs_post_f59 - edit FHR: 'f59' - edit HR: '59' - trigger ./jgfs_post_manager:release_post59 - endtask - task jgfs_post_f60 - edit FHR: 'f60' - edit HR: '60' - trigger ./jgfs_post_manager:release_post60 - endtask - task jgfs_post_f61 - edit FHR: 'f61' - edit HR: '61' - trigger ./jgfs_post_manager:release_post61 - endtask - task jgfs_post_f62 - edit FHR: 'f62' - edit HR: '62' - trigger ./jgfs_post_manager:release_post62 - endtask - task jgfs_post_f63 - edit FHR: 'f63' - edit HR: '63' - trigger ./jgfs_post_manager:release_post63 - endtask - task jgfs_post_f64 - edit FHR: 'f64' - edit HR: '64' - trigger ./jgfs_post_manager:release_post64 - endtask - task jgfs_post_f65 - edit FHR: 'f65' - edit HR: '65' - trigger ./jgfs_post_manager:release_post65 - endtask - task jgfs_post_f66 - edit FHR: 'f66' - edit HR: '66' - trigger ./jgfs_post_manager:release_post66 - endtask - task jgfs_post_f67 - edit FHR: 'f67' - edit HR: '67' - trigger ./jgfs_post_manager:release_post67 - endtask - task jgfs_post_f68 - edit FHR: 'f68' - edit HR: '68' - trigger ./jgfs_post_manager:release_post68 - endtask - task jgfs_post_f69 - edit FHR: 'f69' - edit HR: '69' - trigger ./jgfs_post_manager:release_post69 - endtask - task jgfs_post_f70 - edit FHR: 'f70' - edit HR: '70' - trigger ./jgfs_post_manager:release_post70 - endtask - task jgfs_post_f71 - edit FHR: 'f71' - edit HR: '71' - trigger ./jgfs_post_manager:release_post71 - endtask - task jgfs_post_f72 - edit FHR: 'f72' - edit HR: '72' - trigger ./jgfs_post_manager:release_post72 - endtask - task jgfs_post_f73 - edit FHR: 'f73' - edit HR: '73' - trigger ./jgfs_post_manager:release_post73 - endtask - task jgfs_post_f74 - edit FHR: 'f74' - edit HR: '74' - trigger ./jgfs_post_manager:release_post74 - endtask - task jgfs_post_f75 - edit FHR: 'f75' - edit HR: '75' - trigger ./jgfs_post_manager:release_post75 - endtask - task jgfs_post_f76 - edit FHR: 'f76' - edit HR: '76' - trigger ./jgfs_post_manager:release_post76 - endtask - task jgfs_post_f77 - edit FHR: 'f77' - edit HR: '77' - trigger ./jgfs_post_manager:release_post77 - endtask - task jgfs_post_f78 - edit FHR: 'f78' - edit HR: '78' - trigger ./jgfs_post_manager:release_post78 - endtask - task jgfs_post_f79 - edit FHR: 'f79' - edit HR: '79' - trigger ./jgfs_post_manager:release_post79 - endtask - task jgfs_post_f80 - edit FHR: 'f80' - edit HR: '80' - trigger ./jgfs_post_manager:release_post80 - endtask - task jgfs_post_f81 - edit FHR: 'f81' - edit HR: '81' - trigger ./jgfs_post_manager:release_post81 - endtask - task jgfs_post_f82 - edit FHR: 'f82' - edit HR: '82' - trigger ./jgfs_post_manager:release_post82 - endtask - task jgfs_post_f83 - edit FHR: 'f83' - edit HR: '83' - trigger ./jgfs_post_manager:release_post83 - endtask - task jgfs_post_f84 - edit FHR: 'f84' - edit HR: '84' - trigger ./jgfs_post_manager:release_post84 - endtask - task jgfs_post_f85 - edit FHR: 'f85' - edit HR: '85' - trigger ./jgfs_post_manager:release_post85 - endtask - task jgfs_post_f86 - edit FHR: 'f86' - edit HR: '86' - trigger ./jgfs_post_manager:release_post86 - endtask - task jgfs_post_f87 - edit FHR: 'f87' - edit HR: '87' - trigger ./jgfs_post_manager:release_post87 - endtask - task jgfs_post_f88 - edit FHR: 'f88' - edit HR: '88' - trigger ./jgfs_post_manager:release_post88 - endtask - task jgfs_post_f89 - edit FHR: 'f89' - edit HR: '89' - trigger ./jgfs_post_manager:release_post89 - endtask - task jgfs_post_f90 - edit FHR: 'f90' - edit HR: '90' - trigger ./jgfs_post_manager:release_post90 - endtask - task jgfs_post_f91 - edit FHR: 'f91' - edit HR: '91' - trigger ./jgfs_post_manager:release_post91 - endtask - task jgfs_post_f92 - edit FHR: 'f92' - edit HR: '92' - trigger ./jgfs_post_manager:release_post92 - endtask - task jgfs_post_f93 - edit FHR: 'f93' - edit HR: '93' - trigger ./jgfs_post_manager:release_post93 - endtask - task jgfs_post_f94 - edit FHR: 'f94' - edit HR: '94' - trigger ./jgfs_post_manager:release_post94 - endtask - task jgfs_post_f95 - edit FHR: 'f95' - edit HR: '95' - trigger ./jgfs_post_manager:release_post95 - endtask - task jgfs_post_f96 - edit FHR: 'f96' - edit HR: '96' - trigger ./jgfs_post_manager:release_post96 - endtask - task jgfs_post_f97 - edit FHR: 'f97' - edit HR: '97' - trigger ./jgfs_post_manager:release_post97 - endtask - task jgfs_post_f98 - edit FHR: 'f98' - edit HR: '98' - trigger ./jgfs_post_manager:release_post98 - endtask - task jgfs_post_f99 - edit FHR: 'f99' - edit HR: '99' - trigger ./jgfs_post_manager:release_post99 - endtask - task jgfs_post_f100 - edit FHR: 'f100' - edit HR: '100' - trigger ./jgfs_post_manager:release_post100 - endtask - task jgfs_post_f101 - edit FHR: 'f101' - edit HR: '101' - trigger ./jgfs_post_manager:release_post101 - endtask - task jgfs_post_f102 - edit FHR: 'f102' - edit HR: '102' - trigger ./jgfs_post_manager:release_post102 - endtask - task jgfs_post_f103 - edit FHR: 'f103' - edit HR: '103' - trigger ./jgfs_post_manager:release_post103 - endtask - task jgfs_post_f104 - edit FHR: 'f104' - edit HR: '104' - trigger ./jgfs_post_manager:release_post104 - endtask - task jgfs_post_f105 - edit FHR: 'f105' - edit HR: '105' - trigger ./jgfs_post_manager:release_post105 - endtask - task jgfs_post_f106 - edit FHR: 'f106' - edit HR: '106' - trigger ./jgfs_post_manager:release_post106 - endtask - task jgfs_post_f107 - edit FHR: 'f107' - edit HR: '107' - trigger ./jgfs_post_manager:release_post107 - endtask - task jgfs_post_f108 - edit FHR: 'f108' - edit HR: '108' - trigger ./jgfs_post_manager:release_post108 - endtask - task jgfs_post_f109 - edit FHR: 'f109' - edit HR: '109' - trigger ./jgfs_post_manager:release_post109 - endtask - task jgfs_post_f110 - edit FHR: 'f110' - edit HR: '110' - trigger ./jgfs_post_manager:release_post110 - endtask - task jgfs_post_f111 - edit FHR: 'f111' - edit HR: '111' - trigger ./jgfs_post_manager:release_post111 - endtask - task jgfs_post_f112 - edit FHR: 'f112' - edit HR: '112' - trigger ./jgfs_post_manager:release_post112 - endtask - task jgfs_post_f113 - edit FHR: 'f113' - edit HR: '113' - trigger ./jgfs_post_manager:release_post113 - endtask - task jgfs_post_f114 - edit FHR: 'f114' - edit HR: '114' - trigger ./jgfs_post_manager:release_post114 - endtask - task jgfs_post_f115 - edit FHR: 'f115' - edit HR: '115' - trigger ./jgfs_post_manager:release_post115 - endtask - task jgfs_post_f116 - edit FHR: 'f116' - edit HR: '116' - trigger ./jgfs_post_manager:release_post116 - endtask - task jgfs_post_f117 - edit FHR: 'f117' - edit HR: '117' - trigger ./jgfs_post_manager:release_post117 - endtask - task jgfs_post_f118 - edit FHR: 'f118' - edit HR: '118' - trigger ./jgfs_post_manager:release_post118 - endtask - task jgfs_post_f119 - edit FHR: 'f119' - edit HR: '119' - trigger ./jgfs_post_manager:release_post119 - endtask - task jgfs_post_f120 - edit FHR: 'f120' - edit HR: '120' - trigger ./jgfs_post_manager:release_post120 - endtask - task jgfs_post_f123 - edit FHR: 'f123' - edit HR: '123' - trigger ./jgfs_post_manager:release_post123 - endtask - task jgfs_post_f126 - edit FHR: 'f126' - edit HR: '126' - trigger ./jgfs_post_manager:release_post126 - endtask - task jgfs_post_f129 - edit FHR: 'f129' - edit HR: '129' - trigger ./jgfs_post_manager:release_post129 - endtask - task jgfs_post_f132 - edit FHR: 'f132' - edit HR: '132' - trigger ./jgfs_post_manager:release_post132 - endtask - task jgfs_post_f135 - edit FHR: 'f135' - edit HR: '135' - trigger ./jgfs_post_manager:release_post135 - endtask - task jgfs_post_f138 - edit FHR: 'f138' - edit HR: '138' - trigger ./jgfs_post_manager:release_post138 - endtask - task jgfs_post_f141 - edit FHR: 'f141' - edit HR: '141' - trigger ./jgfs_post_manager:release_post141 - endtask - task jgfs_post_f144 - edit FHR: 'f144' - edit HR: '144' - trigger ./jgfs_post_manager:release_post144 - endtask - task jgfs_post_f147 - edit FHR: 'f147' - edit HR: '147' - trigger ./jgfs_post_manager:release_post147 - endtask - task jgfs_post_f150 - edit FHR: 'f150' - edit HR: '150' - trigger ./jgfs_post_manager:release_post150 - endtask - task jgfs_post_f153 - edit FHR: 'f153' - edit HR: '153' - trigger ./jgfs_post_manager:release_post153 - endtask - task jgfs_post_f156 - edit FHR: 'f156' - edit HR: '156' - trigger ./jgfs_post_manager:release_post156 - endtask - task jgfs_post_f159 - edit FHR: 'f159' - edit HR: '159' - trigger ./jgfs_post_manager:release_post159 - endtask - task jgfs_post_f162 - edit FHR: 'f162' - edit HR: '162' - trigger ./jgfs_post_manager:release_post162 - endtask - task jgfs_post_f165 - edit FHR: 'f165' - edit HR: '165' - trigger ./jgfs_post_manager:release_post165 - endtask - task jgfs_post_f168 - edit FHR: 'f168' - edit HR: '168' - trigger ./jgfs_post_manager:release_post168 - endtask - task jgfs_post_f171 - edit FHR: 'f171' - edit HR: '171' - trigger ./jgfs_post_manager:release_post171 - endtask - task jgfs_post_f174 - edit FHR: 'f174' - edit HR: '174' - trigger ./jgfs_post_manager:release_post174 - endtask - task jgfs_post_f177 - edit FHR: 'f177' - edit HR: '177' - trigger ./jgfs_post_manager:release_post177 - endtask - task jgfs_post_f180 - edit FHR: 'f180' - edit HR: '180' - trigger ./jgfs_post_manager:release_post180 - endtask - task jgfs_post_f183 - edit FHR: 'f183' - edit HR: '183' - trigger ./jgfs_post_manager:release_post183 - endtask - task jgfs_post_f186 - edit FHR: 'f186' - edit HR: '186' - trigger ./jgfs_post_manager:release_post186 - endtask - task jgfs_post_f189 - edit FHR: 'f189' - edit HR: '189' - trigger ./jgfs_post_manager:release_post189 - endtask - task jgfs_post_f192 - edit FHR: 'f192' - edit HR: '192' - trigger ./jgfs_post_manager:release_post192 - endtask - task jgfs_post_f195 - edit FHR: 'f195' - edit HR: '195' - trigger ./jgfs_post_manager:release_post195 - endtask - task jgfs_post_f198 - edit FHR: 'f198' - edit HR: '198' - trigger ./jgfs_post_manager:release_post198 - endtask - task jgfs_post_f201 - edit FHR: 'f201' - edit HR: '201' - trigger ./jgfs_post_manager:release_post201 - endtask - task jgfs_post_f204 - edit FHR: 'f204' - edit HR: '204' - trigger ./jgfs_post_manager:release_post204 - endtask - task jgfs_post_f207 - edit FHR: 'f207' - edit HR: '207' - trigger ./jgfs_post_manager:release_post207 - endtask - task jgfs_post_f210 - edit FHR: 'f210' - edit HR: '210' - trigger ./jgfs_post_manager:release_post210 - endtask - task jgfs_post_f213 - edit FHR: 'f213' - edit HR: '213' - trigger ./jgfs_post_manager:release_post213 - endtask - task jgfs_post_f216 - edit FHR: 'f216' - edit HR: '216' - trigger ./jgfs_post_manager:release_post216 - endtask - task jgfs_post_f219 - edit FHR: 'f219' - edit HR: '219' - trigger ./jgfs_post_manager:release_post219 - endtask - task jgfs_post_f222 - edit FHR: 'f222' - edit HR: '222' - trigger ./jgfs_post_manager:release_post222 - endtask - task jgfs_post_f225 - edit FHR: 'f225' - edit HR: '225' - trigger ./jgfs_post_manager:release_post225 - endtask - task jgfs_post_f228 - edit FHR: 'f228' - edit HR: '228' - trigger ./jgfs_post_manager:release_post228 - endtask - task jgfs_post_f231 - edit FHR: 'f231' - edit HR: '231' - trigger ./jgfs_post_manager:release_post231 - endtask - task jgfs_post_f234 - edit FHR: 'f234' - edit HR: '234' - trigger ./jgfs_post_manager:release_post234 - endtask - task jgfs_post_f237 - edit FHR: 'f237' - edit HR: '237' - trigger ./jgfs_post_manager:release_post237 - endtask - task jgfs_post_f240 - edit FHR: 'f240' - edit HR: '240' - trigger ./jgfs_post_manager:release_post240 - endtask - task jgfs_post_f252 - edit FHR: 'f252' - edit HR: '252' - trigger ./jgfs_post_manager:release_post252 - endtask - task jgfs_post_f264 - edit FHR: 'f264' - edit HR: '264' - trigger ./jgfs_post_manager:release_post264 - endtask - task jgfs_post_f276 - edit FHR: 'f276' - edit HR: '276' - trigger ./jgfs_post_manager:release_post276 - endtask - task jgfs_post_f288 - edit FHR: 'f288' - edit HR: '288' - trigger ./jgfs_post_manager:release_post288 - endtask - task jgfs_post_f300 - edit FHR: 'f300' - edit HR: '300' - trigger ./jgfs_post_manager:release_post300 - endtask - task jgfs_post_f312 - edit FHR: 'f312' - edit HR: '312' - trigger ./jgfs_post_manager:release_post312 - endtask - task jgfs_post_f324 - edit FHR: 'f324' - edit HR: '324' - trigger ./jgfs_post_manager:release_post324 - endtask - task jgfs_post_f336 - edit FHR: 'f336' - edit HR: '336' - trigger ./jgfs_post_manager:release_post336 - endtask - task jgfs_post_f348 - edit FHR: 'f348' - edit HR: '348' - trigger ./jgfs_post_manager:release_post348 - endtask - task jgfs_post_f360 - edit FHR: 'f360' - edit HR: '360' - trigger ./jgfs_post_manager:release_post360 - endtask - task jgfs_post_f372 - edit FHR: 'f372' - edit HR: '372' - trigger ./jgfs_post_manager:release_post372 - endtask - task jgfs_post_f384 - edit FHR: 'f384' - edit HR: '384' - trigger ./jgfs_post_manager:release_post384 - endtask - endfamily - family prdgen - task jgfs_pgrb2_anl - edit FHR 'anl' - edit HR 'anl' - endtask - task jgfs_pgrb2_manager - trigger ../post == complete - event 2 release_pgrb2_00 - event 3 release_pgrb2_01 - event 4 release_pgrb2_02 - event 5 release_pgrb2_03 - event 6 release_pgrb2_04 - event 7 release_pgrb2_05 - event 8 release_pgrb2_06 - event 9 release_pgrb2_07 - event 10 release_pgrb2_08 - event 11 release_pgrb2_09 - event 12 release_pgrb2_10 - event 13 release_pgrb2_11 - event 14 release_pgrb2_12 - event 15 release_pgrb2_13 - event 16 release_pgrb2_14 - event 17 release_pgrb2_15 - event 18 release_pgrb2_16 - event 19 release_pgrb2_17 - event 20 release_pgrb2_18 - event 21 release_pgrb2_19 - event 22 release_pgrb2_20 - event 23 release_pgrb2_21 - event 24 release_pgrb2_22 - event 25 release_pgrb2_23 - event 26 release_pgrb2_24 - event 27 release_pgrb2_25 - event 28 release_pgrb2_26 - event 29 release_pgrb2_27 - event 30 release_pgrb2_28 - event 31 release_pgrb2_29 - event 32 release_pgrb2_30 - event 33 release_pgrb2_31 - event 34 release_pgrb2_32 - event 35 release_pgrb2_33 - event 36 release_pgrb2_34 - event 37 release_pgrb2_35 - event 38 release_pgrb2_36 - event 39 release_pgrb2_37 - event 40 release_pgrb2_38 - event 41 release_pgrb2_39 - event 42 release_pgrb2_40 - event 43 release_pgrb2_41 - event 44 release_pgrb2_42 - event 45 release_pgrb2_43 - event 46 release_pgrb2_44 - event 47 release_pgrb2_45 - event 48 release_pgrb2_46 - event 49 release_pgrb2_47 - event 50 release_pgrb2_48 - event 51 release_pgrb2_49 - event 52 release_pgrb2_50 - event 53 release_pgrb2_51 - event 54 release_pgrb2_52 - event 55 release_pgrb2_53 - event 56 release_pgrb2_54 - event 57 release_pgrb2_55 - event 58 release_pgrb2_56 - event 59 release_pgrb2_57 - event 60 release_pgrb2_58 - event 61 release_pgrb2_59 - event 62 release_pgrb2_60 - event 63 release_pgrb2_61 - event 64 release_pgrb2_62 - event 65 release_pgrb2_63 - event 66 release_pgrb2_64 - event 67 release_pgrb2_65 - event 68 release_pgrb2_66 - event 69 release_pgrb2_67 - event 70 release_pgrb2_68 - event 71 release_pgrb2_69 - event 72 release_pgrb2_70 - event 73 release_pgrb2_71 - event 74 release_pgrb2_72 - event 75 release_pgrb2_73 - event 76 release_pgrb2_74 - event 77 release_pgrb2_75 - event 78 release_pgrb2_76 - event 79 release_pgrb2_77 - event 80 release_pgrb2_78 - event 81 release_pgrb2_79 - event 82 release_pgrb2_80 - event 83 release_pgrb2_81 - event 84 release_pgrb2_82 - event 85 release_pgrb2_83 - event 86 release_pgrb2_84 - event 87 release_pgrb2_85 - event 88 release_pgrb2_86 - event 89 release_pgrb2_87 - event 90 release_pgrb2_88 - event 91 release_pgrb2_89 - event 92 release_pgrb2_90 - event 93 release_pgrb2_91 - event 94 release_pgrb2_92 - event 95 release_pgrb2_93 - event 96 release_pgrb2_94 - event 97 release_pgrb2_95 - event 98 release_pgrb2_96 - event 99 release_pgrb2_97 - event 100 release_pgrb2_98 - event 101 release_pgrb2_99 - event 102 release_pgrb2_100 - event 103 release_pgrb2_101 - event 104 release_pgrb2_102 - event 105 release_pgrb2_103 - event 106 release_pgrb2_104 - event 107 release_pgrb2_105 - event 108 release_pgrb2_106 - event 109 release_pgrb2_107 - event 110 release_pgrb2_108 - event 111 release_pgrb2_109 - event 112 release_pgrb2_110 - event 113 release_pgrb2_111 - event 114 release_pgrb2_112 - event 115 release_pgrb2_113 - event 116 release_pgrb2_114 - event 117 release_pgrb2_115 - event 118 release_pgrb2_116 - event 119 release_pgrb2_117 - event 120 release_pgrb2_118 - event 121 release_pgrb2_119 - event 122 release_pgrb2_120 - event 123 release_pgrb2_123 - event 124 release_pgrb2_126 - event 125 release_pgrb2_129 - event 126 release_pgrb2_132 - event 127 release_pgrb2_135 - event 128 release_pgrb2_138 - event 129 release_pgrb2_141 - event 130 release_pgrb2_144 - event 131 release_pgrb2_147 - event 132 release_pgrb2_150 - event 133 release_pgrb2_153 - event 134 release_pgrb2_156 - event 135 release_pgrb2_159 - event 136 release_pgrb2_162 - event 137 release_pgrb2_165 - event 138 release_pgrb2_168 - event 139 release_pgrb2_171 - event 140 release_pgrb2_174 - event 141 release_pgrb2_177 - event 142 release_pgrb2_180 - event 143 release_pgrb2_183 - event 144 release_pgrb2_186 - event 145 release_pgrb2_189 - event 146 release_pgrb2_192 - event 147 release_pgrb2_195 - event 148 release_pgrb2_198 - event 149 release_pgrb2_201 - event 150 release_pgrb2_204 - event 151 release_pgrb2_207 - event 152 release_pgrb2_210 - event 153 release_pgrb2_213 - event 154 release_pgrb2_216 - event 155 release_pgrb2_219 - event 156 release_pgrb2_222 - event 157 release_pgrb2_225 - event 158 release_pgrb2_228 - event 159 release_pgrb2_231 - event 160 release_pgrb2_234 - event 161 release_pgrb2_237 - event 162 release_pgrb2_240 - event 163 release_pgrb2_252 - event 164 release_pgrb2_264 - event 165 release_pgrb2_276 - event 166 release_pgrb2_288 - event 167 release_pgrb2_300 - event 168 release_pgrb2_312 - event 169 release_pgrb2_324 - event 170 release_pgrb2_336 - event 171 release_pgrb2_348 - event 172 release_pgrb2_360 - event 173 release_pgrb2_372 - event 174 release_pgrb2_384 - endtask - task jgfs_pgrb2_f00 - edit FHR '00' - edit HR '00' - endtask - task jgfs_pgrb2_f01 - edit FHR '01' - edit HR '01' - endtask - task jgfs_pgrb2_f02 - edit FHR '02' - edit HR '02' - endtask - task jgfs_pgrb2_f03 - edit FHR '03' - edit HR '03' - endtask - task jgfs_pgrb2_f04 - edit FHR '04' - edit HR '04' - endtask - task jgfs_pgrb2_f05 - edit FHR '05' - edit HR '05' - endtask - task jgfs_pgrb2_f06 - edit FHR '06' - edit HR '06' - endtask - task jgfs_pgrb2_f07 - edit FHR '07' - edit HR '07' - endtask - task jgfs_pgrb2_f08 - edit FHR '08' - edit HR '08' - endtask - task jgfs_pgrb2_f09 - edit FHR '09' - edit HR '09' - endtask - task jgfs_pgrb2_f10 - edit FHR '10' - edit HR '10' - endtask - task jgfs_pgrb2_f11 - edit FHR '11' - edit HR '11' - endtask - task jgfs_pgrb2_f12 - edit FHR '12' - edit HR '12' - endtask - task jgfs_pgrb2_f13 - edit FHR '13' - edit HR '13' - endtask - task jgfs_pgrb2_f14 - edit FHR '14' - edit HR '14' - endtask - task jgfs_pgrb2_f15 - edit FHR '15' - edit HR '15' - endtask - task jgfs_pgrb2_f16 - edit FHR '16' - edit HR '16' - endtask - task jgfs_pgrb2_f17 - edit FHR '17' - edit HR '17' - endtask - task jgfs_pgrb2_f18 - edit FHR '18' - edit HR '18' - endtask - task jgfs_pgrb2_f19 - edit FHR '19' - edit HR '19' - endtask - task jgfs_pgrb2_f20 - edit FHR '20' - edit HR '20' - endtask - task jgfs_pgrb2_f21 - edit FHR '21' - edit HR '21' - endtask - task jgfs_pgrb2_f22 - edit FHR '22' - edit HR '22' - endtask - task jgfs_pgrb2_f23 - edit FHR '23' - edit HR '23' - endtask - task jgfs_pgrb2_f24 - edit FHR '24' - edit HR '24' - endtask - task jgfs_pgrb2_f25 - edit FHR '25' - edit HR '25' - endtask - task jgfs_pgrb2_f26 - edit FHR '26' - edit HR '26' - endtask - task jgfs_pgrb2_f27 - edit FHR '27' - edit HR '27' - endtask - task jgfs_pgrb2_f28 - edit FHR '28' - edit HR '28' - endtask - task jgfs_pgrb2_f29 - edit FHR '29' - edit HR '29' - endtask - task jgfs_pgrb2_f30 - edit FHR '30' - edit HR '30' - endtask - task jgfs_pgrb2_f31 - edit FHR '31' - edit HR '31' - endtask - task jgfs_pgrb2_f32 - edit FHR '32' - edit HR '32' - endtask - task jgfs_pgrb2_f33 - edit FHR '33' - edit HR '33' - endtask - task jgfs_pgrb2_f34 - edit FHR '34' - edit HR '34' - endtask - task jgfs_pgrb2_f35 - edit FHR '35' - edit HR '35' - endtask - task jgfs_pgrb2_f36 - edit FHR '36' - edit HR '36' - endtask - task jgfs_pgrb2_f37 - edit FHR '37' - edit HR '37' - endtask - task jgfs_pgrb2_f38 - edit FHR '38' - edit HR '38' - endtask - task jgfs_pgrb2_f39 - edit FHR '39' - edit HR '39' - endtask - task jgfs_pgrb2_f40 - edit FHR '40' - edit HR '40' - endtask - task jgfs_pgrb2_f41 - edit FHR '41' - edit HR '41' - endtask - task jgfs_pgrb2_f42 - edit FHR '42' - edit HR '42' - endtask - task jgfs_pgrb2_f43 - edit FHR '43' - edit HR '43' - endtask - task jgfs_pgrb2_f44 - edit FHR '44' - edit HR '44' - endtask - task jgfs_pgrb2_f45 - edit FHR '45' - edit HR '45' - endtask - task jgfs_pgrb2_f46 - edit FHR '46' - edit HR '46' - endtask - task jgfs_pgrb2_f47 - edit FHR '47' - edit HR '47' - endtask - task jgfs_pgrb2_f48 - edit FHR '48' - edit HR '48' - endtask - task jgfs_pgrb2_f49 - edit FHR '49' - edit HR '49' - endtask - task jgfs_pgrb2_f50 - edit FHR '50' - edit HR '50' - endtask - task jgfs_pgrb2_f51 - edit FHR '51' - edit HR '51' - endtask - task jgfs_pgrb2_f52 - edit FHR '52' - edit HR '52' - endtask - task jgfs_pgrb2_f53 - edit FHR '53' - edit HR '53' - endtask - task jgfs_pgrb2_f54 - edit FHR '54' - edit HR '54' - endtask - task jgfs_pgrb2_f55 - edit FHR '55' - edit HR '55' - endtask - task jgfs_pgrb2_f56 - edit FHR '56' - edit HR '56' - endtask - task jgfs_pgrb2_f57 - edit FHR '57' - edit HR '57' - endtask - task jgfs_pgrb2_f58 - edit FHR '58' - edit HR '58' - endtask - task jgfs_pgrb2_f59 - edit FHR '59' - edit HR '59' - endtask - task jgfs_pgrb2_f60 - edit FHR '60' - edit HR '60' - endtask - task jgfs_pgrb2_f61 - edit FHR '61' - edit HR '61' - endtask - task jgfs_pgrb2_f62 - edit FHR '62' - edit HR '62' - endtask - task jgfs_pgrb2_f63 - edit FHR '63' - edit HR '63' - endtask - task jgfs_pgrb2_f64 - edit FHR '64' - edit HR '64' - endtask - task jgfs_pgrb2_f65 - edit FHR '65' - edit HR '65' - endtask - task jgfs_pgrb2_f66 - edit FHR '66' - edit HR '66' - endtask - task jgfs_pgrb2_f67 - edit FHR '67' - edit HR '67' - endtask - task jgfs_pgrb2_f68 - edit FHR '68' - edit HR '68' - endtask - task jgfs_pgrb2_f69 - edit FHR '69' - edit HR '69' - endtask - task jgfs_pgrb2_f70 - edit FHR '70' - edit HR '70' - endtask - task jgfs_pgrb2_f71 - edit FHR '71' - edit HR '71' - endtask - task jgfs_pgrb2_f72 - edit FHR '72' - edit HR '72' - endtask - task jgfs_pgrb2_f73 - edit FHR '73' - edit HR '73' - endtask - task jgfs_pgrb2_f74 - edit FHR '74' - edit HR '74' - endtask - task jgfs_pgrb2_f75 - edit FHR '75' - edit HR '75' - endtask - task jgfs_pgrb2_f76 - edit FHR '76' - edit HR '76' - endtask - task jgfs_pgrb2_f77 - edit FHR '77' - edit HR '77' - endtask - task jgfs_pgrb2_f78 - edit FHR '78' - edit HR '78' - endtask - task jgfs_pgrb2_f79 - edit FHR '79' - edit HR '79' - endtask - task jgfs_pgrb2_f80 - edit FHR '80' - edit HR '80' - endtask - task jgfs_pgrb2_f81 - edit FHR '81' - edit HR '81' - endtask - task jgfs_pgrb2_f82 - edit FHR '82' - edit HR '82' - endtask - task jgfs_pgrb2_f83 - edit FHR '83' - edit HR '83' - endtask - task jgfs_pgrb2_f84 - edit FHR '84' - edit HR '84' - endtask - task jgfs_pgrb2_f85 - edit FHR '85' - edit HR '85' - endtask - task jgfs_pgrb2_f86 - edit FHR '86' - edit HR '86' - endtask - task jgfs_pgrb2_f87 - edit FHR '87' - edit HR '87' - endtask - task jgfs_pgrb2_f88 - edit FHR '88' - edit HR '88' - endtask - task jgfs_pgrb2_f89 - edit FHR '89' - edit HR '89' - endtask - task jgfs_pgrb2_f90 - edit FHR '90' - edit HR '90' - endtask - task jgfs_pgrb2_f91 - edit FHR '91' - edit HR '91' - endtask - task jgfs_pgrb2_f92 - edit FHR '92' - edit HR '92' - endtask - task jgfs_pgrb2_f93 - edit FHR '93' - edit HR '93' - endtask - task jgfs_pgrb2_f94 - edit FHR '94' - edit HR '94' - endtask - task jgfs_pgrb2_f95 - edit FHR '95' - edit HR '95' - endtask - task jgfs_pgrb2_f96 - edit FHR '96' - edit HR '96' - endtask - task jgfs_pgrb2_f97 - edit FHR '97' - edit HR '97' - endtask - task jgfs_pgrb2_f98 - edit FHR '98' - edit HR '98' - endtask - task jgfs_pgrb2_f99 - edit FHR '99' - edit HR '99' - endtask - task jgfs_pgrb2_f100 - edit FHR '100' - edit HR '100' - endtask - task jgfs_pgrb2_f101 - edit FHR '101' - edit HR '101' - endtask - task jgfs_pgrb2_f102 - edit FHR '102' - edit HR '102' - endtask - task jgfs_pgrb2_f103 - edit FHR '103' - edit HR '103' - endtask - task jgfs_pgrb2_f104 - edit FHR '104' - edit HR '104' - endtask - task jgfs_pgrb2_f105 - edit FHR '105' - edit HR '105' - endtask - task jgfs_pgrb2_f106 - edit FHR '106' - edit HR '106' - endtask - task jgfs_pgrb2_f107 - edit FHR '107' - edit HR '107' - endtask - task jgfs_pgrb2_f108 - edit FHR '108' - edit HR '108' - endtask - task jgfs_pgrb2_f109 - edit FHR '109' - edit HR '109' - endtask - task jgfs_pgrb2_f110 - edit FHR '110' - edit HR '110' - endtask - task jgfs_pgrb2_f111 - edit FHR '111' - edit HR '111' - endtask - task jgfs_pgrb2_f112 - edit FHR '112' - edit HR '112' - endtask - task jgfs_pgrb2_f113 - edit FHR '113' - edit HR '113' - endtask - task jgfs_pgrb2_f114 - edit FHR '114' - edit HR '114' - endtask - task jgfs_pgrb2_f115 - edit FHR '115' - edit HR '115' - endtask - task jgfs_pgrb2_f116 - edit FHR '116' - edit HR '116' - endtask - task jgfs_pgrb2_f117 - edit FHR '117' - edit HR '117' - endtask - task jgfs_pgrb2_f118 - edit FHR '118' - edit HR '118' - endtask - task jgfs_pgrb2_f119 - edit FHR '119' - edit HR '119' - endtask - task jgfs_pgrb2_f120 - edit FHR '120' - edit HR '120' - endtask - task jgfs_pgrb2_f123 - edit FHR '123' - edit HR '123' - endtask - task jgfs_pgrb2_f126 - edit FHR '126' - edit HR '126' - endtask - task jgfs_pgrb2_f129 - edit FHR '129' - edit HR '129' - endtask - task jgfs_pgrb2_f132 - edit FHR '132' - edit HR '132' - endtask - task jgfs_pgrb2_f135 - edit FHR '135' - edit HR '135' - endtask - task jgfs_pgrb2_f138 - edit FHR '138' - edit HR '138' - endtask - task jgfs_pgrb2_f141 - edit FHR '141' - edit HR '141' - endtask - task jgfs_pgrb2_f144 - edit FHR '144' - edit HR '144' - endtask - task jgfs_pgrb2_f147 - edit FHR '147' - edit HR '147' - endtask - task jgfs_pgrb2_f150 - edit FHR '150' - edit HR '150' - endtask - task jgfs_pgrb2_f153 - edit FHR '153' - edit HR '153' - endtask - task jgfs_pgrb2_f156 - edit FHR '156' - edit HR '156' - endtask - task jgfs_pgrb2_f159 - edit FHR '159' - edit HR '159' - endtask - task jgfs_pgrb2_f162 - edit FHR '162' - edit HR '162' - endtask - task jgfs_pgrb2_f165 - edit FHR '165' - edit HR '165' - endtask - task jgfs_pgrb2_f168 - edit FHR '168' - edit HR '168' - endtask - task jgfs_pgrb2_f171 - edit FHR '171' - edit HR '171' - endtask - task jgfs_pgrb2_f174 - edit FHR '174' - edit HR '174' - endtask - task jgfs_pgrb2_f177 - edit FHR '177' - edit HR '177' - endtask - task jgfs_pgrb2_f180 - edit FHR '180' - edit HR '180' - endtask - task jgfs_pgrb2_f183 - edit FHR '183' - edit HR '183' - endtask - task jgfs_pgrb2_f186 - edit FHR '186' - edit HR '186' - endtask - task jgfs_pgrb2_f189 - edit FHR '189' - edit HR '189' - endtask - task jgfs_pgrb2_f192 - edit FHR '192' - edit HR '192' - endtask - task jgfs_pgrb2_f195 - edit FHR '195' - edit HR '195' - endtask - task jgfs_pgrb2_f198 - edit FHR '198' - edit HR '198' - endtask - task jgfs_pgrb2_f201 - edit FHR '201' - edit HR '201' - endtask - task jgfs_pgrb2_f204 - edit FHR '204' - edit HR '204' - endtask - task jgfs_pgrb2_f207 - edit FHR '207' - edit HR '207' - endtask - task jgfs_pgrb2_f210 - edit FHR '210' - edit HR '210' - endtask - task jgfs_pgrb2_f213 - edit FHR '213' - edit HR '213' - endtask - task jgfs_pgrb2_f216 - edit FHR '216' - edit HR '216' - endtask - task jgfs_pgrb2_f219 - edit FHR '219' - edit HR '219' - endtask - task jgfs_pgrb2_f222 - edit FHR '222' - edit HR '222' - endtask - task jgfs_pgrb2_f225 - edit FHR '225' - edit HR '225' - endtask - task jgfs_pgrb2_f228 - edit FHR '228' - edit HR '228' - endtask - task jgfs_pgrb2_f231 - edit FHR '231' - edit HR '231' - endtask - task jgfs_pgrb2_f234 - edit FHR '234' - edit HR '234' - endtask - task jgfs_pgrb2_f237 - edit FHR '237' - edit HR '237' - endtask - task jgfs_pgrb2_f240 - edit FHR '240' - edit HR '240' - endtask - task jgfs_pgrb2_f252 - edit FHR '252' - edit HR '252' - endtask - task jgfs_pgrb2_f264 - edit FHR '264' - edit HR '264' - endtask - task jgfs_pgrb2_f276 - edit FHR '276' - edit HR '276' - endtask - task jgfs_pgrb2_f288 - edit FHR '288' - edit HR '288' - endtask - task jgfs_pgrb2_f300 - edit FHR '300' - edit HR '300' - endtask - task jgfs_pgrb2_f312 - edit FHR '312' - edit HR '312' - endtask - task jgfs_pgrb2_f324 - edit FHR '324' - edit HR '324' - endtask - task jgfs_pgrb2_f336 - edit FHR '336' - edit HR '336' - endtask - task jgfs_pgrb2_f348 - edit FHR '348' - edit HR '348' - endtask - task jgfs_pgrb2_f360 - edit FHR '360' - edit HR '360' - endtask - task jgfs_pgrb2_f372 - edit FHR '372' - edit HR '372' - endtask - task jgfs_pgrb2_f384 - edit FHR '384' - edit HR '384' - endtask - endfamily - family gempak - task jgfs_gempak_upapgif - trigger ../dump/jgfs_dump == complete - endtask - task jgfs_gempak_ncdc - trigger ./jgfs_gempak == active or ./jgfs_gempak == complete - endtask - task jgfs_gempak - trigger ../jgfs_analysis == complete - endtask - task jgfs_gempak_meta - trigger ../jgfs_analysis == complete - endtask - endfamily - endfamily - family gdas - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - edit PROJ '%PROJENVIR%' - edit MODEL_NAME 'gdas' - task jgdas_verfrad - trigger ./enkf == complete - endtask - task jgdas_vminmon - trigger ./analysis/jgdas_analysis_high == complete - endtask - family dump - task jgdas_ics - event 1 release_gdas00_ics - endtask - task jgdas_tropcy_qc_reloc - trigger ./jgdas_dump == complete - endtask - task jgdas_dump - event 1 release_sfcprep - endtask - endfamily - family prep - task jgdas_emcsfc_sfc_prep - trigger ../dump/jgdas_dump:release_sfcprep - endtask - task jgdas_prep - trigger ../dump/jgdas_dump == complete and ../dump/jgdas_tropcy_qc_reloc == complete - endtask - task jgdas_prep_post - trigger ../analysis/jgdas_analysis_high == complete - endtask - endfamily - family analysis - task jgdas_analysis_high - trigger ../prep/jgdas_prep == complete and ../prep/jgdas_emcsfc_sfc_prep == complete - event 1 release_fcst - endtask - endfamily - family forecast - task jgdas_forecast - trigger ../analysis/jgdas_analysis_high:release_fcst and ../enkf/innovate == complete - event 1 release_fcst - endtask - endfamily - family post_processing - family bulletins - task jgdas_mknavybulls - trigger ../../dump/jgdas_dump == complete - endtask - endfamily - endfamily - family gempak - task jgdas_gempak - trigger ../forecast/jgdas_forecast == complete - endtask - task jgdas_gempak_meta - trigger ./jgdas_gempak == complete - endtask - task jgdas_gempak_ncdc - trigger ./jgdas_gempak == complete - endtask - endfamily - family post - task jgdas_post - trigger ../forecast/jgdas_forecast == complete - endtask - endfamily - family enkf - task jgdas_enkf_select_obs - trigger ../prep/jgdas_prep == complete and /prod06/gdas/enkf/jgdas_enkf_post == complete - endtask - family innovate - trigger ./jgdas_enkf_select_obs == complete - task jgdas_enkf_innovate_obs_grp1 - endtask - task jgdas_enkf_innovate_obs_grp2 - endtask - task jgdas_enkf_innovate_obs_grp3 - endtask - task jgdas_enkf_innovate_obs_grp4 - endtask - task jgdas_enkf_innovate_obs_grp5 - endtask - task jgdas_enkf_innovate_obs_grp6 - endtask - task jgdas_enkf_innovate_obs_grp7 - endtask - task jgdas_enkf_innovate_obs_grp8 - endtask - endfamily - task jgdas_enkf_update - edit ECF_PASS 'FREE' - trigger ./innovate == complete - endtask - task jgdas_enkf_inflate_recenter - trigger ./jgdas_enkf_update == complete and ../analysis/jgdas_analysis_high == complete - endtask - family forecast - trigger ./jgdas_enkf_inflate_recenter == complete - task jgdas_enkf_fcst_grp1 - endtask - task jgdas_enkf_fcst_grp2 - endtask - task jgdas_enkf_fcst_grp3 - endtask - task jgdas_enkf_fcst_grp4 - endtask - task jgdas_enkf_fcst_grp5 - endtask - task jgdas_enkf_fcst_grp6 - endtask - task jgdas_enkf_fcst_grp7 - endtask - task jgdas_enkf_fcst_grp8 - endtask - endfamily - task jgdas_enkf_post - trigger ./forecast == complete - endtask - endfamily - endfamily - task cycle_end - edit ECF_JOB_CMD '%ECF_JOB% 1> %ECF_JOBOUT% 2>&1' - edit ECF_PASS 'FREE' - endtask -endsuite diff --git a/model/ecflow_fv3gfs/defs/prod18.def b/model/ecflow_fv3gfs/defs/prod18.def deleted file mode 100644 index 2916df6..0000000 --- a/model/ecflow_fv3gfs/defs/prod18.def +++ /dev/null @@ -1,4366 +0,0 @@ -extern /prod12/gdas/enkf/jgdas_enkf_post -suite prod18 - repeat day 1 - edit ECF_TRIES '1' - #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' - edit ECF_KILL_CMD 'lkill %ECF_NAME% %ECF_JOBOUT%' - edit ECF_HOME '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs' - #edit ECF_HOME '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3' - edit CYC '18' - edit ENVIR 'prod' - edit PROJ 'GFS-T2O' - edit E 'jecffv3' - #edit QUEUE 'dev' - edit QUEUE 'debug' - edit PROJENVIR 'GFS-T2O' - #edit EMCPEN 'ecfgfsfv3' - edit EMCPEN 'ecfgfsfv3' - #edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' - edit COM '/gpfs/hps2/ptmp/emc.glopara/ecfgfsfv3/com' - edit QUEUESERV 'dev_transfer' - edit DATAROOT '/gpfs/hps2/stmp/emc.glopara/ecfgfsfv3' - edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' - edit ECF_OUT '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/output' - edit ECF_LOG '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/ecf.log' - edit MODEL_NAME 'gfs' - family gfs - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' - edit ECF_FILES '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/scripts' - edit PROJ '%PROJENVIR%' - edit MODEL_NAME 'gfs' - family dump - task jgfs_tropcy_qc_reloc - trigger ./jgfs_dump == complete - event 1 jtwc_bull_email - endtask - task jgfs_dump - event 1 release_sfcprep - endtask - endfamily - family prep - task jgfs_emcsfc_sfc_prep - trigger ../dump/jgfs_dump:release_sfcprep - endtask - task jgfs_prep - trigger ../dump == complete - endtask - task jgfs_prep_post - trigger ../jgfs_analysis == complete - endtask - endfamily - task jgfs_analysis - trigger ./prep/jgfs_prep == complete and ./prep/jgfs_emcsfc_sfc_prep == complete - endtask - task jgfs_vminmon - trigger ./jgfs_analysis == complete - endtask - family forecast - task jgfs_forecast_high - trigger ../jgfs_analysis == complete - endtask - task jgfs_forecast_low - trigger ./jgfs_forecast_high == complete - endtask - endfamily - family sminit_guam - task jgfs_sminit_guam_even - trigger ../post/jgfs_post_anl == active or ../post/jgfs_post_anl == complete - endtask - task jgfs_sminit_guam_odd - trigger ../post/jgfs_post_anl == active or ../post/jgfs_post_anl == complete - endtask - endfamily - family post_processing - task dummy - trigger ../forecast == complete - endtask - family fax - task jgfs_fax_f00 - edit FCSTHR '00' - trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_anl == complete - endtask - task jgfs_fax_anl - edit FCSTHR 'anl' - trigger ../../prdgen/jgfs_pgrb2_anl == complete - endtask - task jgfs_fax_wafs_f12 - edit FCSTHR '12' - trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask - task jgfs_fax_wafs_f24 - edit FCSTHR '24' - trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask - task jgfs_fax_wafs_f36 - edit FCSTHR '36' - trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask - endfamily - family grib_wafs - task jgfs_wafs_f00 - edit FCSTHR '00' - trigger ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f120 == complete and ../grib2_wafs/jgfs_wafs_grib2 == complete - endtask - task jgfs_wafs_f06 - edit FCSTHR '06' - trigger ../../prdgen/jgfs_pgrb2_f06 == complete and ./jgfs_wafs_f00 == complete - endtask - task jgfs_wafs_f12 - edit FCSTHR '12' - trigger ../../prdgen/jgfs_pgrb2_f12 == complete and ./jgfs_wafs_f06 == complete - endtask - task jgfs_wafs_f18 - edit FCSTHR '18' - trigger ../../prdgen/jgfs_pgrb2_f18 == complete and ./jgfs_wafs_f12 == complete - endtask - task jgfs_wafs_f24 - edit FCSTHR '24' - trigger ../../prdgen/jgfs_pgrb2_f24 == complete and ./jgfs_wafs_f18 == complete - endtask - task jgfs_wafs_f30 - edit FCSTHR '30' - trigger ../../prdgen/jgfs_pgrb2_f30 == complete and ./jgfs_wafs_f24 == complete - endtask - task jgfs_wafs_f36 - edit FCSTHR '36' - trigger ../../prdgen/jgfs_pgrb2_f36 == complete and ./jgfs_wafs_f30 == complete - endtask - task jgfs_wafs_f42 - edit FCSTHR '42' - trigger ../../prdgen/jgfs_pgrb2_f42 == complete and ./jgfs_wafs_f36 == complete - endtask - task jgfs_wafs_f48 - edit FCSTHR '48' - trigger ../../prdgen/jgfs_pgrb2_f48 == complete and ./jgfs_wafs_f42 == complete - endtask - task jgfs_wafs_f54 - edit FCSTHR '54' - trigger ../../prdgen/jgfs_pgrb2_f54 == complete and ./jgfs_wafs_f48 == complete - endtask - task jgfs_wafs_f60 - edit FCSTHR '60' - trigger ../../prdgen/jgfs_pgrb2_f60 == complete and ./jgfs_wafs_f54 == complete - endtask - task jgfs_wafs_f66 - edit FCSTHR '66' - trigger ../../prdgen/jgfs_pgrb2_f66 == complete and ./jgfs_wafs_f60 == complete - endtask - task jgfs_wafs_f72 - edit FCSTHR '72' - trigger ../../prdgen/jgfs_pgrb2_f72 == complete and ./jgfs_wafs_f66 == complete - endtask - task jgfs_wafs_f78 - edit FCSTHR '78' - trigger ../../prdgen/jgfs_pgrb2_f78 == complete and ./jgfs_wafs_f72 == complete - endtask - task jgfs_wafs_f84 - edit FCSTHR '84' - trigger ../../prdgen/jgfs_pgrb2_f84 == complete and ./jgfs_wafs_f78 == complete - endtask - task jgfs_wafs_f90 - edit FCSTHR '90' - trigger ../../prdgen/jgfs_pgrb2_f90 == complete and ./jgfs_wafs_f84 == complete - endtask - task jgfs_wafs_f96 - edit FCSTHR '96' - trigger ../../prdgen/jgfs_pgrb2_f96 == complete and ./jgfs_wafs_f90 == complete - endtask - task jgfs_wafs_f102 - edit FCSTHR '102' - trigger ../../prdgen/jgfs_pgrb2_f102 == complete and ./jgfs_wafs_f96 == complete - endtask - task jgfs_wafs_f108 - edit FCSTHR '108' - trigger ../../prdgen/jgfs_pgrb2_f108 == complete and ./jgfs_wafs_f102 == complete - endtask - task jgfs_wafs_f114 - edit FCSTHR '114' - trigger ../../prdgen/jgfs_pgrb2_f114 == complete and ./jgfs_wafs_f108 == complete - endtask - task jgfs_wafs_f120 - edit FCSTHR '120' - trigger ../../prdgen/jgfs_pgrb2_f120 == complete and ./jgfs_wafs_f114 == complete - endtask - endfamily - family bufr_sounding - task jgfs_postsnd - trigger ../../post/jgfs_post_manager:release_post00 - endtask - endfamily - family bulletins - task jgfs_fbwind - trigger ../../post/jgfs_post_f06 == complete and ../../post/jgfs_post_f12 == complete and ../../post/jgfs_post_f24 == complete - endtask - task jgfs_cyclone_tracker - trigger ../../post/jgfs_post_f00 == complete and ../../post/jgfs_post_f06 == complete and ../../post/jgfs_post_f12 == complete and ../../post/jgfs_post_f18 == complete and ../../post/jgfs_post_f24 == complete and ../../post/jgfs_post_f30 == complete and ../../post/jgfs_post_f36 == complete and ../../post/jgfs_post_f42 == complete and ../../post/jgfs_post_f48 == complete and ../../post/jgfs_post_f54 == complete and ../../post/jgfs_post_f60 == complete and ../../post/jgfs_post_f66 == complete and ../../post/jgfs_post_f72 == complete and ../../post/jgfs_post_f78 == complete and ../../post/jgfs_post_f84 == complete and ../../post/jgfs_post_f90 == complete and ../../post/jgfs_post_f96 == complete and ../../post/jgfs_post_f102 == complete and ../../post/jgfs_post_f108 == complete and ../../post/jgfs_post_f114 == complete and ../../post/jgfs_post_f120 == complete and ../../post/jgfs_post_f126 == complete and ../../post/jgfs_post_f132 == complete and ../../post/jgfs_post_f138 == complete and ../../post/jgfs_post_f144 == complete and ../../post/jgfs_post_f150 == complete and ../../post/jgfs_post_f156 == complete and ../../post/jgfs_post_f162 == complete and ../../post/jgfs_post_f168 == complete and ../../post/jgfs_post_f174 == complete and ../../post/jgfs_post_f180 == complete and ../../prdgen/jgfs_pgrb2_f00 == complete and ../../prdgen/jgfs_pgrb2_f06 == complete and ../../prdgen/jgfs_pgrb2_f12 == complete and ../../prdgen/jgfs_pgrb2_f18 == complete and ../../prdgen/jgfs_pgrb2_f24 == complete and ../../prdgen/jgfs_pgrb2_f30 == complete and ../../prdgen/jgfs_pgrb2_f36 == complete and ../../prdgen/jgfs_pgrb2_f42 == complete and ../../prdgen/jgfs_pgrb2_f48 == complete and ../../prdgen/jgfs_pgrb2_f54 == complete and ../../prdgen/jgfs_pgrb2_f60 == complete and ../../prdgen/jgfs_pgrb2_f66 == complete and ../../prdgen/jgfs_pgrb2_f72 == complete and ../../prdgen/jgfs_pgrb2_f78 == complete and ../../prdgen/jgfs_pgrb2_f84 == complete and ../../prdgen/jgfs_pgrb2_f90 == complete and ../../prdgen/jgfs_pgrb2_f96 == complete and ../../prdgen/jgfs_pgrb2_f102 == complete and ../../prdgen/jgfs_pgrb2_f108 == complete and ../../prdgen/jgfs_pgrb2_f114 == complete and ../../prdgen/jgfs_pgrb2_f120 == complete and ../../prdgen/jgfs_pgrb2_f126 == complete and ../../prdgen/jgfs_pgrb2_f132 == complete and ../../prdgen/jgfs_pgrb2_f138 == complete and ../../prdgen/jgfs_pgrb2_f144 == complete and ../../prdgen/jgfs_pgrb2_f150 == complete and ../../prdgen/jgfs_pgrb2_f156 == complete and ../../prdgen/jgfs_pgrb2_f162 == complete and ../../prdgen/jgfs_pgrb2_f168 == complete and ../../prdgen/jgfs_pgrb2_f174 == complete and ../../prdgen/jgfs_pgrb2_f180 == complete - endtask - endfamily - family grib2_wafs - task jgfs_wafs_grib2 - trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask - task jgfs_wafs_blending - trigger ./jgfs_wafs_grib2 == complete - endtask - endfamily - family grib_awips - task jgfs_awips_f00 - edit FCSTHR '00' - trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask - task jgfs_awips_f01 - edit FCSTHR '01' - trigger ../../prdgen/jgfs_pgrb2_f01 == complete - endtask - task jgfs_awips_f02 - edit FCSTHR '02' - trigger ../../prdgen/jgfs_pgrb2_f02 == complete - endtask - task jgfs_awips_f03 - edit FCSTHR '03' - trigger ../../prdgen/jgfs_pgrb2_f03 == complete - endtask - task jgfs_awips_f04 - edit FCSTHR '04' - trigger ../../prdgen/jgfs_pgrb2_f04 == complete - endtask - task jgfs_awips_f05 - edit FCSTHR '05' - trigger ../../prdgen/jgfs_pgrb2_f05 == complete - endtask - task jgfs_awips_f06 - edit FCSTHR '06' - trigger ../../prdgen/jgfs_pgrb2_f06 == complete - endtask - task jgfs_awips_f07 - edit FCSTHR '07' - trigger ../../prdgen/jgfs_pgrb2_f07 == complete - endtask - task jgfs_awips_f08 - edit FCSTHR '08' - trigger ../../prdgen/jgfs_pgrb2_f08 == complete - endtask - task jgfs_awips_f09 - edit FCSTHR '09' - trigger ../../prdgen/jgfs_pgrb2_f09 == complete - endtask - task jgfs_awips_f10 - edit FCSTHR '10' - trigger ../../prdgen/jgfs_pgrb2_f10 == complete - endtask - task jgfs_awips_f11 - edit FCSTHR '11' - trigger ../../prdgen/jgfs_pgrb2_f11 == complete - endtask - task jgfs_awips_f12 - edit FCSTHR '12' - trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask - task jgfs_awips_f13 - edit FCSTHR '13' - trigger ../../prdgen/jgfs_pgrb2_f13 == complete - endtask - task jgfs_awips_f14 - edit FCSTHR '14' - trigger ../../prdgen/jgfs_pgrb2_f14 == complete - endtask - task jgfs_awips_f15 - edit FCSTHR '15' - trigger ../../prdgen/jgfs_pgrb2_f15 == complete - endtask - task jgfs_awips_f16 - edit FCSTHR '16' - trigger ../../prdgen/jgfs_pgrb2_f16 == complete - endtask - task jgfs_awips_f17 - edit FCSTHR '17' - trigger ../../prdgen/jgfs_pgrb2_f17 == complete - endtask - task jgfs_awips_f18 - edit FCSTHR '18' - trigger ../../prdgen/jgfs_pgrb2_f18 == complete - endtask - task jgfs_awips_f19 - edit FCSTHR '19' - trigger ../../prdgen/jgfs_pgrb2_f19 == complete - endtask - task jgfs_awips_f20 - edit FCSTHR '20' - trigger ../../prdgen/jgfs_pgrb2_f20 == complete - endtask - task jgfs_awips_f21 - edit FCSTHR '21' - trigger ../../prdgen/jgfs_pgrb2_f21 == complete - endtask - task jgfs_awips_f22 - edit FCSTHR '22' - trigger ../../prdgen/jgfs_pgrb2_f22 == complete - endtask - task jgfs_awips_f23 - edit FCSTHR '23' - trigger ../../prdgen/jgfs_pgrb2_f23 == complete - endtask - task jgfs_awips_f24 - edit FCSTHR '24' - trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask - task jgfs_awips_f25 - edit FCSTHR '25' - trigger ../../prdgen/jgfs_pgrb2_f25 == complete - endtask - task jgfs_awips_f26 - edit FCSTHR '26' - trigger ../../prdgen/jgfs_pgrb2_f26 == complete - endtask - task jgfs_awips_f27 - edit FCSTHR '27' - trigger ../../prdgen/jgfs_pgrb2_f27 == complete - endtask - task jgfs_awips_f28 - edit FCSTHR '28' - trigger ../../prdgen/jgfs_pgrb2_f28 == complete - endtask - task jgfs_awips_f29 - edit FCSTHR '29' - trigger ../../prdgen/jgfs_pgrb2_f29 == complete - endtask - task jgfs_awips_f30 - edit FCSTHR '30' - trigger ../../prdgen/jgfs_pgrb2_f30 == complete - endtask - task jgfs_awips_f31 - edit FCSTHR '31' - trigger ../../prdgen/jgfs_pgrb2_f31 == complete - endtask - task jgfs_awips_f32 - edit FCSTHR '32' - trigger ../../prdgen/jgfs_pgrb2_f32 == complete - endtask - task jgfs_awips_f33 - edit FCSTHR '33' - trigger ../../prdgen/jgfs_pgrb2_f33 == complete - endtask - task jgfs_awips_f34 - edit FCSTHR '34' - trigger ../../prdgen/jgfs_pgrb2_f34 == complete - endtask - task jgfs_awips_f35 - edit FCSTHR '35' - trigger ../../prdgen/jgfs_pgrb2_f35 == complete - endtask - task jgfs_awips_f36 - edit FCSTHR '36' - trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask - task jgfs_awips_f37 - edit FCSTHR '37' - trigger ../../prdgen/jgfs_pgrb2_f37 == complete - endtask - task jgfs_awips_f38 - edit FCSTHR '38' - trigger ../../prdgen/jgfs_pgrb2_f38 == complete - endtask - task jgfs_awips_f39 - edit FCSTHR '39' - trigger ../../prdgen/jgfs_pgrb2_f39 == complete - endtask - task jgfs_awips_f40 - edit FCSTHR '40' - trigger ../../prdgen/jgfs_pgrb2_f40 == complete - endtask - task jgfs_awips_f41 - edit FCSTHR '41' - trigger ../../prdgen/jgfs_pgrb2_f41 == complete - endtask - task jgfs_awips_f42 - edit FCSTHR '42' - trigger ../../prdgen/jgfs_pgrb2_f42 == complete - endtask - task jgfs_awips_f43 - edit FCSTHR '43' - trigger ../../prdgen/jgfs_pgrb2_f43 == complete - endtask - task jgfs_awips_f44 - edit FCSTHR '44' - trigger ../../prdgen/jgfs_pgrb2_f44 == complete - endtask - task jgfs_awips_f45 - edit FCSTHR '45' - trigger ../../prdgen/jgfs_pgrb2_f45 == complete - endtask - task jgfs_awips_f46 - edit FCSTHR '46' - trigger ../../prdgen/jgfs_pgrb2_f46 == complete - endtask - task jgfs_awips_f47 - edit FCSTHR '47' - trigger ../../prdgen/jgfs_pgrb2_f47 == complete - endtask - task jgfs_awips_f48 - edit FCSTHR '48' - trigger ../../prdgen/jgfs_pgrb2_f48 == complete - endtask - task jgfs_awips_f49 - edit FCSTHR '49' - trigger ../../prdgen/jgfs_pgrb2_f49 == complete - endtask - task jgfs_awips_f50 - edit FCSTHR '50' - trigger ../../prdgen/jgfs_pgrb2_f50 == complete - endtask - task jgfs_awips_f51 - edit FCSTHR '51' - trigger ../../prdgen/jgfs_pgrb2_f51 == complete - endtask - task jgfs_awips_f52 - edit FCSTHR '52' - trigger ../../prdgen/jgfs_pgrb2_f52 == complete - endtask - task jgfs_awips_f53 - edit FCSTHR '53' - trigger ../../prdgen/jgfs_pgrb2_f53 == complete - endtask - task jgfs_awips_f54 - edit FCSTHR '54' - trigger ../../prdgen/jgfs_pgrb2_f54 == complete - endtask - task jgfs_awips_f55 - edit FCSTHR '55' - trigger ../../prdgen/jgfs_pgrb2_f55 == complete - endtask - task jgfs_awips_f56 - edit FCSTHR '56' - trigger ../../prdgen/jgfs_pgrb2_f56 == complete - endtask - task jgfs_awips_f57 - edit FCSTHR '57' - trigger ../../prdgen/jgfs_pgrb2_f57 == complete - endtask - task jgfs_awips_f58 - edit FCSTHR '58' - trigger ../../prdgen/jgfs_pgrb2_f58 == complete - endtask - task jgfs_awips_f59 - edit FCSTHR '59' - trigger ../../prdgen/jgfs_pgrb2_f59 == complete - endtask - task jgfs_awips_f60 - edit FCSTHR '60' - trigger ../../prdgen/jgfs_pgrb2_f60 == complete - endtask - task jgfs_awips_f61 - edit FCSTHR '61' - trigger ../../prdgen/jgfs_pgrb2_f61 == complete - endtask - task jgfs_awips_f62 - edit FCSTHR '62' - trigger ../../prdgen/jgfs_pgrb2_f62 == complete - endtask - task jgfs_awips_f63 - edit FCSTHR '63' - trigger ../../prdgen/jgfs_pgrb2_f63 == complete - endtask - task jgfs_awips_f64 - edit FCSTHR '64' - trigger ../../prdgen/jgfs_pgrb2_f64 == complete - endtask - task jgfs_awips_f65 - edit FCSTHR '65' - trigger ../../prdgen/jgfs_pgrb2_f65 == complete - endtask - task jgfs_awips_f66 - edit FCSTHR '66' - trigger ../../prdgen/jgfs_pgrb2_f66 == complete - endtask - task jgfs_awips_f67 - edit FCSTHR '67' - trigger ../../prdgen/jgfs_pgrb2_f67 == complete - endtask - task jgfs_awips_f68 - edit FCSTHR '68' - trigger ../../prdgen/jgfs_pgrb2_f68 == complete - endtask - task jgfs_awips_f69 - edit FCSTHR '69' - trigger ../../prdgen/jgfs_pgrb2_f69 == complete - endtask - task jgfs_awips_f70 - edit FCSTHR '70' - trigger ../../prdgen/jgfs_pgrb2_f70 == complete - endtask - task jgfs_awips_f71 - edit FCSTHR '71' - trigger ../../prdgen/jgfs_pgrb2_f71 == complete - endtask - task jgfs_awips_f72 - edit FCSTHR '72' - trigger ../../prdgen/jgfs_pgrb2_f72 == complete - endtask - task jgfs_awips_f73 - edit FCSTHR '73' - trigger ../../prdgen/jgfs_pgrb2_f73 == complete - endtask - task jgfs_awips_f74 - edit FCSTHR '74' - trigger ../../prdgen/jgfs_pgrb2_f74 == complete - endtask - task jgfs_awips_f75 - edit FCSTHR '75' - trigger ../../prdgen/jgfs_pgrb2_f75 == complete - endtask - task jgfs_awips_f76 - edit FCSTHR '76' - trigger ../../prdgen/jgfs_pgrb2_f76 == complete - endtask - task jgfs_awips_f77 - edit FCSTHR '77' - trigger ../../prdgen/jgfs_pgrb2_f77 == complete - endtask - task jgfs_awips_f78 - edit FCSTHR '78' - trigger ../../prdgen/jgfs_pgrb2_f78 == complete - endtask - task jgfs_awips_f79 - edit FCSTHR '79' - trigger ../../prdgen/jgfs_pgrb2_f79 == complete - endtask - task jgfs_awips_f80 - edit FCSTHR '80' - trigger ../../prdgen/jgfs_pgrb2_f80 == complete - endtask - task jgfs_awips_f81 - edit FCSTHR '81' - trigger ../../prdgen/jgfs_pgrb2_f81 == complete - endtask - task jgfs_awips_f82 - edit FCSTHR '82' - trigger ../../prdgen/jgfs_pgrb2_f82 == complete - endtask - task jgfs_awips_f83 - edit FCSTHR '83' - trigger ../../prdgen/jgfs_pgrb2_f83 == complete - endtask - task jgfs_awips_f84 - edit FCSTHR '84' - trigger ../../prdgen/jgfs_pgrb2_f84 == complete - endtask - task jgfs_awips_f85 - edit FCSTHR '85' - trigger ../../prdgen/jgfs_pgrb2_f85 == complete - endtask - task jgfs_awips_f86 - edit FCSTHR '86' - trigger ../../prdgen/jgfs_pgrb2_f86 == complete - endtask - task jgfs_awips_f87 - edit FCSTHR '87' - trigger ../../prdgen/jgfs_pgrb2_f87 == complete - endtask - task jgfs_awips_f88 - edit FCSTHR '88' - trigger ../../prdgen/jgfs_pgrb2_f88 == complete - endtask - task jgfs_awips_f89 - edit FCSTHR '89' - trigger ../../prdgen/jgfs_pgrb2_f89 == complete - endtask - task jgfs_awips_f90 - edit FCSTHR '90' - trigger ../../prdgen/jgfs_pgrb2_f90 == complete - endtask - task jgfs_awips_f91 - edit FCSTHR '91' - trigger ../../prdgen/jgfs_pgrb2_f91 == complete - endtask - task jgfs_awips_f92 - edit FCSTHR '92' - trigger ../../prdgen/jgfs_pgrb2_f92 == complete - endtask - task jgfs_awips_f93 - edit FCSTHR '93' - trigger ../../prdgen/jgfs_pgrb2_f93 == complete - endtask - task jgfs_awips_f94 - edit FCSTHR '94' - trigger ../../prdgen/jgfs_pgrb2_f94 == complete - endtask - task jgfs_awips_f95 - edit FCSTHR '95' - trigger ../../prdgen/jgfs_pgrb2_f95 == complete - endtask - task jgfs_awips_f96 - edit FCSTHR '96' - trigger ../../prdgen/jgfs_pgrb2_f96 == complete - endtask - task jgfs_awips_f97 - edit FCSTHR '97' - trigger ../../prdgen/jgfs_pgrb2_f97 == complete - endtask - task jgfs_awips_f98 - edit FCSTHR '98' - trigger ../../prdgen/jgfs_pgrb2_f98 == complete - endtask - task jgfs_awips_f99 - edit FCSTHR '99' - trigger ../../prdgen/jgfs_pgrb2_f99 == complete - endtask - task jgfs_awips_f100 - edit FCSTHR '100' - trigger ../../prdgen/jgfs_pgrb2_f100 == complete - endtask - task jgfs_awips_f101 - edit FCSTHR '101' - trigger ../../prdgen/jgfs_pgrb2_f101 == complete - endtask - task jgfs_awips_f102 - edit FCSTHR '102' - trigger ../../prdgen/jgfs_pgrb2_f102 == complete - endtask - task jgfs_awips_f103 - edit FCSTHR '103' - trigger ../../prdgen/jgfs_pgrb2_f103 == complete - endtask - task jgfs_awips_f104 - edit FCSTHR '104' - trigger ../../prdgen/jgfs_pgrb2_f104 == complete - endtask - task jgfs_awips_f105 - edit FCSTHR '105' - trigger ../../prdgen/jgfs_pgrb2_f105 == complete - endtask - task jgfs_awips_f106 - edit FCSTHR '106' - trigger ../../prdgen/jgfs_pgrb2_f106 == complete - endtask - task jgfs_awips_f107 - edit FCSTHR '107' - trigger ../../prdgen/jgfs_pgrb2_f107 == complete - endtask - task jgfs_awips_f108 - edit FCSTHR '108' - trigger ../../prdgen/jgfs_pgrb2_f108 == complete - endtask - task jgfs_awips_f109 - edit FCSTHR '109' - trigger ../../prdgen/jgfs_pgrb2_f109 == complete - endtask - task jgfs_awips_f110 - edit FCSTHR '110' - trigger ../../prdgen/jgfs_pgrb2_f110 == complete - endtask - task jgfs_awips_f111 - edit FCSTHR '111' - trigger ../../prdgen/jgfs_pgrb2_f111 == complete - endtask - task jgfs_awips_f112 - edit FCSTHR '112' - trigger ../../prdgen/jgfs_pgrb2_f112 == complete - endtask - task jgfs_awips_f113 - edit FCSTHR '113' - trigger ../../prdgen/jgfs_pgrb2_f113 == complete - endtask - task jgfs_awips_f114 - edit FCSTHR '114' - trigger ../../prdgen/jgfs_pgrb2_f114 == complete - endtask - task jgfs_awips_f115 - edit FCSTHR '115' - trigger ../../prdgen/jgfs_pgrb2_f115 == complete - endtask - task jgfs_awips_f116 - edit FCSTHR '116' - trigger ../../prdgen/jgfs_pgrb2_f116 == complete - endtask - task jgfs_awips_f117 - edit FCSTHR '117' - trigger ../../prdgen/jgfs_pgrb2_f117 == complete - endtask - task jgfs_awips_f118 - edit FCSTHR '118' - trigger ../../prdgen/jgfs_pgrb2_f118 == complete - endtask - task jgfs_awips_f119 - edit FCSTHR '119' - trigger ../../prdgen/jgfs_pgrb2_f119 == complete - endtask - task jgfs_awips_f120 - edit FCSTHR '120' - trigger ../../prdgen/jgfs_pgrb2_f120 == complete - endtask - task jgfs_awips_f123 - edit FCSTHR '123' - trigger ../../prdgen/jgfs_pgrb2_f123 == complete - endtask - task jgfs_awips_f126 - edit FCSTHR '126' - trigger ../../prdgen/jgfs_pgrb2_f126 == complete - endtask - task jgfs_awips_f129 - edit FCSTHR '129' - trigger ../../prdgen/jgfs_pgrb2_f129 == complete - endtask - task jgfs_awips_f132 - edit FCSTHR '132' - trigger ../../prdgen/jgfs_pgrb2_f132 == complete - endtask - task jgfs_awips_f135 - edit FCSTHR '135' - trigger ../../prdgen/jgfs_pgrb2_f135 == complete - endtask - task jgfs_awips_f138 - edit FCSTHR '138' - trigger ../../prdgen/jgfs_pgrb2_f138 == complete - endtask - task jgfs_awips_f141 - edit FCSTHR '141' - trigger ../../prdgen/jgfs_pgrb2_f141 == complete - endtask - task jgfs_awips_f144 - edit FCSTHR '144' - trigger ../../prdgen/jgfs_pgrb2_f144 == complete - endtask - task jgfs_awips_f147 - edit FCSTHR '147' - trigger ../../prdgen/jgfs_pgrb2_f147 == complete - endtask - task jgfs_awips_f150 - edit FCSTHR '150' - trigger ../../prdgen/jgfs_pgrb2_f150 == complete - endtask - task jgfs_awips_f153 - edit FCSTHR '153' - trigger ../../prdgen/jgfs_pgrb2_f153 == complete - endtask - task jgfs_awips_f156 - edit FCSTHR '156' - trigger ../../prdgen/jgfs_pgrb2_f156 == complete - endtask - task jgfs_awips_f159 - edit FCSTHR '159' - trigger ../../prdgen/jgfs_pgrb2_f159 == complete - endtask - task jgfs_awips_f162 - edit FCSTHR '162' - trigger ../../prdgen/jgfs_pgrb2_f162 == complete - endtask - task jgfs_awips_f165 - edit FCSTHR '165' - trigger ../../prdgen/jgfs_pgrb2_f165 == complete - endtask - task jgfs_awips_f168 - edit FCSTHR '168' - trigger ../../prdgen/jgfs_pgrb2_f168 == complete - endtask - task jgfs_awips_f171 - edit FCSTHR '171' - trigger ../../prdgen/jgfs_pgrb2_f171 == complete - endtask - task jgfs_awips_f174 - edit FCSTHR '174' - trigger ../../prdgen/jgfs_pgrb2_f174 == complete - endtask - task jgfs_awips_f177 - edit FCSTHR '177' - trigger ../../prdgen/jgfs_pgrb2_f177 == complete - endtask - task jgfs_awips_f180 - edit FCSTHR '180' - trigger ../../prdgen/jgfs_pgrb2_f180 == complete - endtask - task jgfs_awips_f183 - edit FCSTHR '183' - trigger ../../prdgen/jgfs_pgrb2_f183 == complete - endtask - task jgfs_awips_f186 - edit FCSTHR '186' - trigger ../../prdgen/jgfs_pgrb2_f186 == complete - endtask - task jgfs_awips_f189 - edit FCSTHR '189' - trigger ../../prdgen/jgfs_pgrb2_f189 == complete - endtask - task jgfs_awips_f192 - edit FCSTHR '192' - trigger ../../prdgen/jgfs_pgrb2_f192 == complete - endtask - task jgfs_awips_f195 - edit FCSTHR '195' - trigger ../../prdgen/jgfs_pgrb2_f195 == complete - endtask - task jgfs_awips_f198 - edit FCSTHR '198' - trigger ../../prdgen/jgfs_pgrb2_f198 == complete - endtask - task jgfs_awips_f201 - edit FCSTHR '201' - trigger ../../prdgen/jgfs_pgrb2_f201 == complete - endtask - task jgfs_awips_f204 - edit FCSTHR '204' - trigger ../../prdgen/jgfs_pgrb2_f204 == complete - endtask - task jgfs_awips_f207 - edit FCSTHR '207' - trigger ../../prdgen/jgfs_pgrb2_f207 == complete - endtask - task jgfs_awips_f210 - edit FCSTHR '210' - trigger ../../prdgen/jgfs_pgrb2_f210 == complete - endtask - task jgfs_awips_f213 - edit FCSTHR '213' - trigger ../../prdgen/jgfs_pgrb2_f213 == complete - endtask - task jgfs_awips_f216 - edit FCSTHR '216' - trigger ../../prdgen/jgfs_pgrb2_f216 == complete - endtask - task jgfs_awips_f219 - edit FCSTHR '219' - trigger ../../prdgen/jgfs_pgrb2_f219 == complete - endtask - task jgfs_awips_f222 - edit FCSTHR '222' - trigger ../../prdgen/jgfs_pgrb2_f222 == complete - endtask - task jgfs_awips_f225 - edit FCSTHR '225' - trigger ../../prdgen/jgfs_pgrb2_f225 == complete - endtask - task jgfs_awips_f228 - edit FCSTHR '228' - trigger ../../prdgen/jgfs_pgrb2_f228 == complete - endtask - task jgfs_awips_f231 - edit FCSTHR '231' - trigger ../../prdgen/jgfs_pgrb2_f231 == complete - endtask - task jgfs_awips_f234 - edit FCSTHR '234' - trigger ../../prdgen/jgfs_pgrb2_f234 == complete - endtask - task jgfs_awips_f237 - edit FCSTHR '237' - trigger ../../prdgen/jgfs_pgrb2_f237 == complete - endtask - task jgfs_awips_f240 - edit FCSTHR '240' - trigger ../../prdgen/jgfs_pgrb2_f240 == complete - endtask - task jgfs_awips_f252 - edit FCSTHR '252' - trigger ../../prdgen/jgfs_pgrb2_f252 == complete - endtask - task jgfs_awips_f264 - edit FCSTHR '264' - trigger ../../prdgen/jgfs_pgrb2_f264 == complete - endtask - task jgfs_awips_f276 - edit FCSTHR '276' - trigger ../../prdgen/jgfs_pgrb2_f276 == complete - endtask - task jgfs_awips_f288 - edit FCSTHR '288' - trigger ../../prdgen/jgfs_pgrb2_f288 == complete - endtask - task jgfs_awips_f300 - edit FCSTHR '300' - trigger ../../prdgen/jgfs_pgrb2_f300 == complete - endtask - task jgfs_awips_f312 - edit FCSTHR '312' - trigger ../../prdgen/jgfs_pgrb2_f312 == complete - endtask - task jgfs_awips_f324 - edit FCSTHR '324' - trigger ../../prdgen/jgfs_pgrb2_f324 == complete - endtask - task jgfs_awips_f336 - edit FCSTHR '336' - trigger ../../prdgen/jgfs_pgrb2_f336 == complete - endtask - task jgfs_awips_f348 - edit FCSTHR '348' - trigger ../../prdgen/jgfs_pgrb2_f348 == complete - endtask - task jgfs_awips_f360 - edit FCSTHR '360' - trigger ../../prdgen/jgfs_pgrb2_f360 == complete - endtask - task jgfs_awips_f372 - edit FCSTHR '372' - trigger ../../prdgen/jgfs_pgrb2_f372 == complete - endtask - task jgfs_awips_f384 - edit FCSTHR '384' - trigger ../../prdgen/jgfs_pgrb2_f384 == complete - endtask - endfamily - family awips_1p0deg - edit RES '1p0deg' - edit RESC '1P0DEG' - #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - task jgfs_awips_f000 - edit FCSTHR '000' - trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask - task jgfs_awips_f001 - edit FCSTHR '001' - trigger ../../prdgen/jgfs_pgrb2_f01 == complete - endtask - task jgfs_awips_f002 - edit FCSTHR '002' - trigger ../../prdgen/jgfs_pgrb2_f02 == complete - endtask - task jgfs_awips_f003 - edit FCSTHR '003' - trigger ../../prdgen/jgfs_pgrb2_f03 == complete - endtask - task jgfs_awips_f004 - edit FCSTHR '004' - trigger ../../prdgen/jgfs_pgrb2_f04 == complete - endtask - task jgfs_awips_f005 - edit FCSTHR '005' - trigger ../../prdgen/jgfs_pgrb2_f05 == complete - endtask - task jgfs_awips_f006 - edit FCSTHR '006' - trigger ../../prdgen/jgfs_pgrb2_f06 == complete - endtask - task jgfs_awips_f007 - edit FCSTHR '007' - trigger ../../prdgen/jgfs_pgrb2_f07 == complete - endtask - task jgfs_awips_f008 - edit FCSTHR '008' - trigger ../../prdgen/jgfs_pgrb2_f08 == complete - endtask - task jgfs_awips_f009 - edit FCSTHR '009' - trigger ../../prdgen/jgfs_pgrb2_f09 == complete - endtask - task jgfs_awips_f010 - edit FCSTHR '010' - trigger ../../prdgen/jgfs_pgrb2_f10 == complete - endtask - task jgfs_awips_f011 - edit FCSTHR '011' - trigger ../../prdgen/jgfs_pgrb2_f11 == complete - endtask - task jgfs_awips_f012 - edit FCSTHR '012' - trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask - task jgfs_awips_f013 - edit FCSTHR '013' - trigger ../../prdgen/jgfs_pgrb2_f13 == complete - endtask - task jgfs_awips_f014 - edit FCSTHR '014' - trigger ../../prdgen/jgfs_pgrb2_f14 == complete - endtask - task jgfs_awips_f015 - edit FCSTHR '015' - trigger ../../prdgen/jgfs_pgrb2_f15 == complete - endtask - task jgfs_awips_f016 - edit FCSTHR '016' - trigger ../../prdgen/jgfs_pgrb2_f16 == complete - endtask - task jgfs_awips_f017 - edit FCSTHR '017' - trigger ../../prdgen/jgfs_pgrb2_f17 == complete - endtask - task jgfs_awips_f018 - edit FCSTHR '018' - trigger ../../prdgen/jgfs_pgrb2_f18 == complete - endtask - task jgfs_awips_f019 - edit FCSTHR '019' - trigger ../../prdgen/jgfs_pgrb2_f19 == complete - endtask - task jgfs_awips_f020 - edit FCSTHR '020' - trigger ../../prdgen/jgfs_pgrb2_f20 == complete - endtask - task jgfs_awips_f021 - edit FCSTHR '021' - trigger ../../prdgen/jgfs_pgrb2_f21 == complete - endtask - task jgfs_awips_f022 - edit FCSTHR '022' - trigger ../../prdgen/jgfs_pgrb2_f22 == complete - endtask - task jgfs_awips_f023 - edit FCSTHR '023' - trigger ../../prdgen/jgfs_pgrb2_f23 == complete - endtask - task jgfs_awips_f024 - edit FCSTHR '024' - trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask - task jgfs_awips_f025 - edit FCSTHR '025' - trigger ../../prdgen/jgfs_pgrb2_f25 == complete - endtask - task jgfs_awips_f026 - edit FCSTHR '026' - trigger ../../prdgen/jgfs_pgrb2_f26 == complete - endtask - task jgfs_awips_f027 - edit FCSTHR '027' - trigger ../../prdgen/jgfs_pgrb2_f27 == complete - endtask - task jgfs_awips_f028 - edit FCSTHR '028' - trigger ../../prdgen/jgfs_pgrb2_f28 == complete - endtask - task jgfs_awips_f029 - edit FCSTHR '029' - trigger ../../prdgen/jgfs_pgrb2_f29 == complete - endtask - task jgfs_awips_f030 - edit FCSTHR '030' - trigger ../../prdgen/jgfs_pgrb2_f30 == complete - endtask - task jgfs_awips_f031 - edit FCSTHR '031' - trigger ../../prdgen/jgfs_pgrb2_f31 == complete - endtask - task jgfs_awips_f032 - edit FCSTHR '032' - trigger ../../prdgen/jgfs_pgrb2_f32 == complete - endtask - task jgfs_awips_f033 - edit FCSTHR '033' - trigger ../../prdgen/jgfs_pgrb2_f33 == complete - endtask - task jgfs_awips_f034 - edit FCSTHR '034' - trigger ../../prdgen/jgfs_pgrb2_f34 == complete - endtask - task jgfs_awips_f035 - edit FCSTHR '035' - trigger ../../prdgen/jgfs_pgrb2_f35 == complete - endtask - task jgfs_awips_f036 - edit FCSTHR '036' - trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask - task jgfs_awips_f037 - edit FCSTHR '037' - trigger ../../prdgen/jgfs_pgrb2_f37 == complete - endtask - task jgfs_awips_f038 - edit FCSTHR '038' - trigger ../../prdgen/jgfs_pgrb2_f38 == complete - endtask - task jgfs_awips_f039 - edit FCSTHR '039' - trigger ../../prdgen/jgfs_pgrb2_f39 == complete - endtask - task jgfs_awips_f040 - edit FCSTHR '040' - trigger ../../prdgen/jgfs_pgrb2_f40 == complete - endtask - task jgfs_awips_f041 - edit FCSTHR '041' - trigger ../../prdgen/jgfs_pgrb2_f41 == complete - endtask - task jgfs_awips_f042 - edit FCSTHR '042' - trigger ../../prdgen/jgfs_pgrb2_f42 == complete - endtask - task jgfs_awips_f043 - edit FCSTHR '043' - trigger ../../prdgen/jgfs_pgrb2_f43 == complete - endtask - task jgfs_awips_f044 - edit FCSTHR '044' - trigger ../../prdgen/jgfs_pgrb2_f44 == complete - endtask - task jgfs_awips_f045 - edit FCSTHR '045' - trigger ../../prdgen/jgfs_pgrb2_f45 == complete - endtask - task jgfs_awips_f046 - edit FCSTHR '046' - trigger ../../prdgen/jgfs_pgrb2_f46 == complete - endtask - task jgfs_awips_f047 - edit FCSTHR '047' - trigger ../../prdgen/jgfs_pgrb2_f47 == complete - endtask - task jgfs_awips_f048 - edit FCSTHR '048' - trigger ../../prdgen/jgfs_pgrb2_f48 == complete - endtask - task jgfs_awips_f049 - edit FCSTHR '049' - trigger ../../prdgen/jgfs_pgrb2_f49 == complete - endtask - task jgfs_awips_f050 - edit FCSTHR '050' - trigger ../../prdgen/jgfs_pgrb2_f50 == complete - endtask - task jgfs_awips_f051 - edit FCSTHR '051' - trigger ../../prdgen/jgfs_pgrb2_f51 == complete - endtask - task jgfs_awips_f052 - edit FCSTHR '052' - trigger ../../prdgen/jgfs_pgrb2_f52 == complete - endtask - task jgfs_awips_f053 - edit FCSTHR '053' - trigger ../../prdgen/jgfs_pgrb2_f53 == complete - endtask - task jgfs_awips_f054 - edit FCSTHR '054' - trigger ../../prdgen/jgfs_pgrb2_f54 == complete - endtask - task jgfs_awips_f055 - edit FCSTHR '055' - trigger ../../prdgen/jgfs_pgrb2_f55 == complete - endtask - task jgfs_awips_f056 - edit FCSTHR '056' - trigger ../../prdgen/jgfs_pgrb2_f56 == complete - endtask - task jgfs_awips_f057 - edit FCSTHR '057' - trigger ../../prdgen/jgfs_pgrb2_f57 == complete - endtask - task jgfs_awips_f058 - edit FCSTHR '058' - trigger ../../prdgen/jgfs_pgrb2_f58 == complete - endtask - task jgfs_awips_f059 - edit FCSTHR '059' - trigger ../../prdgen/jgfs_pgrb2_f59 == complete - endtask - task jgfs_awips_f060 - edit FCSTHR '060' - trigger ../../prdgen/jgfs_pgrb2_f60 == complete - endtask - task jgfs_awips_f061 - edit FCSTHR '061' - trigger ../../prdgen/jgfs_pgrb2_f61 == complete - endtask - task jgfs_awips_f062 - edit FCSTHR '062' - trigger ../../prdgen/jgfs_pgrb2_f62 == complete - endtask - task jgfs_awips_f063 - edit FCSTHR '063' - trigger ../../prdgen/jgfs_pgrb2_f63 == complete - endtask - task jgfs_awips_f064 - edit FCSTHR '064' - trigger ../../prdgen/jgfs_pgrb2_f64 == complete - endtask - task jgfs_awips_f065 - edit FCSTHR '065' - trigger ../../prdgen/jgfs_pgrb2_f65 == complete - endtask - task jgfs_awips_f066 - edit FCSTHR '066' - trigger ../../prdgen/jgfs_pgrb2_f66 == complete - endtask - task jgfs_awips_f067 - edit FCSTHR '067' - trigger ../../prdgen/jgfs_pgrb2_f67 == complete - endtask - task jgfs_awips_f068 - edit FCSTHR '068' - trigger ../../prdgen/jgfs_pgrb2_f68 == complete - endtask - task jgfs_awips_f069 - edit FCSTHR '069' - trigger ../../prdgen/jgfs_pgrb2_f69 == complete - endtask - task jgfs_awips_f070 - edit FCSTHR '070' - trigger ../../prdgen/jgfs_pgrb2_f70 == complete - endtask - task jgfs_awips_f071 - edit FCSTHR '071' - trigger ../../prdgen/jgfs_pgrb2_f71 == complete - endtask - task jgfs_awips_f072 - edit FCSTHR '072' - trigger ../../prdgen/jgfs_pgrb2_f72 == complete - endtask - task jgfs_awips_f073 - edit FCSTHR '073' - trigger ../../prdgen/jgfs_pgrb2_f73 == complete - endtask - task jgfs_awips_f074 - edit FCSTHR '074' - trigger ../../prdgen/jgfs_pgrb2_f74 == complete - endtask - task jgfs_awips_f075 - edit FCSTHR '075' - trigger ../../prdgen/jgfs_pgrb2_f75 == complete - endtask - task jgfs_awips_f076 - edit FCSTHR '076' - trigger ../../prdgen/jgfs_pgrb2_f76 == complete - endtask - task jgfs_awips_f077 - edit FCSTHR '077' - trigger ../../prdgen/jgfs_pgrb2_f77 == complete - endtask - task jgfs_awips_f078 - edit FCSTHR '078' - trigger ../../prdgen/jgfs_pgrb2_f78 == complete - endtask - task jgfs_awips_f079 - edit FCSTHR '079' - trigger ../../prdgen/jgfs_pgrb2_f79 == complete - endtask - task jgfs_awips_f080 - edit FCSTHR '080' - trigger ../../prdgen/jgfs_pgrb2_f80 == complete - endtask - task jgfs_awips_f081 - edit FCSTHR '081' - trigger ../../prdgen/jgfs_pgrb2_f81 == complete - endtask - task jgfs_awips_f082 - edit FCSTHR '082' - trigger ../../prdgen/jgfs_pgrb2_f82 == complete - endtask - task jgfs_awips_f083 - edit FCSTHR '083' - trigger ../../prdgen/jgfs_pgrb2_f83 == complete - endtask - task jgfs_awips_f084 - edit FCSTHR '084' - trigger ../../prdgen/jgfs_pgrb2_f84 == complete - endtask - task jgfs_awips_f085 - edit FCSTHR '085' - trigger ../../prdgen/jgfs_pgrb2_f85 == complete - endtask - task jgfs_awips_f086 - edit FCSTHR '086' - trigger ../../prdgen/jgfs_pgrb2_f86 == complete - endtask - task jgfs_awips_f087 - edit FCSTHR '087' - trigger ../../prdgen/jgfs_pgrb2_f87 == complete - endtask - task jgfs_awips_f088 - edit FCSTHR '088' - trigger ../../prdgen/jgfs_pgrb2_f88 == complete - endtask - task jgfs_awips_f089 - edit FCSTHR '089' - trigger ../../prdgen/jgfs_pgrb2_f89 == complete - endtask - task jgfs_awips_f090 - edit FCSTHR '090' - trigger ../../prdgen/jgfs_pgrb2_f90 == complete - endtask - task jgfs_awips_f091 - edit FCSTHR '091' - trigger ../../prdgen/jgfs_pgrb2_f91 == complete - endtask - task jgfs_awips_f092 - edit FCSTHR '092' - trigger ../../prdgen/jgfs_pgrb2_f92 == complete - endtask - task jgfs_awips_f093 - edit FCSTHR '093' - trigger ../../prdgen/jgfs_pgrb2_f93 == complete - endtask - task jgfs_awips_f094 - edit FCSTHR '094' - trigger ../../prdgen/jgfs_pgrb2_f94 == complete - endtask - task jgfs_awips_f095 - edit FCSTHR '095' - trigger ../../prdgen/jgfs_pgrb2_f95 == complete - endtask - task jgfs_awips_f096 - edit FCSTHR '096' - trigger ../../prdgen/jgfs_pgrb2_f96 == complete - endtask - task jgfs_awips_f097 - edit FCSTHR '097' - trigger ../../prdgen/jgfs_pgrb2_f97 == complete - endtask - task jgfs_awips_f098 - edit FCSTHR '098' - trigger ../../prdgen/jgfs_pgrb2_f98 == complete - endtask - task jgfs_awips_f099 - edit FCSTHR '099' - trigger ../../prdgen/jgfs_pgrb2_f99 == complete - endtask - task jgfs_awips_f100 - edit FCSTHR '100' - trigger ../../prdgen/jgfs_pgrb2_f100 == complete - endtask - task jgfs_awips_f101 - edit FCSTHR '101' - trigger ../../prdgen/jgfs_pgrb2_f101 == complete - endtask - task jgfs_awips_f102 - edit FCSTHR '102' - trigger ../../prdgen/jgfs_pgrb2_f102 == complete - endtask - task jgfs_awips_f103 - edit FCSTHR '103' - trigger ../../prdgen/jgfs_pgrb2_f103 == complete - endtask - task jgfs_awips_f104 - edit FCSTHR '104' - trigger ../../prdgen/jgfs_pgrb2_f104 == complete - endtask - task jgfs_awips_f105 - edit FCSTHR '105' - trigger ../../prdgen/jgfs_pgrb2_f105 == complete - endtask - task jgfs_awips_f106 - edit FCSTHR '106' - trigger ../../prdgen/jgfs_pgrb2_f106 == complete - endtask - task jgfs_awips_f107 - edit FCSTHR '107' - trigger ../../prdgen/jgfs_pgrb2_f107 == complete - endtask - task jgfs_awips_f108 - edit FCSTHR '108' - trigger ../../prdgen/jgfs_pgrb2_f108 == complete - endtask - task jgfs_awips_f109 - edit FCSTHR '109' - trigger ../../prdgen/jgfs_pgrb2_f109 == complete - endtask - task jgfs_awips_f110 - edit FCSTHR '110' - trigger ../../prdgen/jgfs_pgrb2_f110 == complete - endtask - task jgfs_awips_f111 - edit FCSTHR '111' - trigger ../../prdgen/jgfs_pgrb2_f111 == complete - endtask - task jgfs_awips_f112 - edit FCSTHR '112' - trigger ../../prdgen/jgfs_pgrb2_f112 == complete - endtask - task jgfs_awips_f113 - edit FCSTHR '113' - trigger ../../prdgen/jgfs_pgrb2_f113 == complete - endtask - task jgfs_awips_f114 - edit FCSTHR '114' - trigger ../../prdgen/jgfs_pgrb2_f114 == complete - endtask - task jgfs_awips_f115 - edit FCSTHR '115' - trigger ../../prdgen/jgfs_pgrb2_f115 == complete - endtask - task jgfs_awips_f116 - edit FCSTHR '116' - trigger ../../prdgen/jgfs_pgrb2_f116 == complete - endtask - task jgfs_awips_f117 - edit FCSTHR '117' - trigger ../../prdgen/jgfs_pgrb2_f117 == complete - endtask - task jgfs_awips_f118 - edit FCSTHR '118' - trigger ../../prdgen/jgfs_pgrb2_f118 == complete - endtask - task jgfs_awips_f119 - edit FCSTHR '119' - trigger ../../prdgen/jgfs_pgrb2_f119 == complete - endtask - task jgfs_awips_f120 - edit FCSTHR '120' - trigger ../../prdgen/jgfs_pgrb2_f120 == complete - endtask - task jgfs_awips_f123 - edit FCSTHR '123' - trigger ../../prdgen/jgfs_pgrb2_f123 == complete - endtask - task jgfs_awips_f126 - edit FCSTHR '126' - trigger ../../prdgen/jgfs_pgrb2_f126 == complete - endtask - task jgfs_awips_f129 - edit FCSTHR '129' - trigger ../../prdgen/jgfs_pgrb2_f129 == complete - endtask - task jgfs_awips_f132 - edit FCSTHR '132' - trigger ../../prdgen/jgfs_pgrb2_f132 == complete - endtask - task jgfs_awips_f135 - edit FCSTHR '135' - trigger ../../prdgen/jgfs_pgrb2_f135 == complete - endtask - task jgfs_awips_f138 - edit FCSTHR '138' - trigger ../../prdgen/jgfs_pgrb2_f138 == complete - endtask - task jgfs_awips_f141 - edit FCSTHR '141' - trigger ../../prdgen/jgfs_pgrb2_f141 == complete - endtask - task jgfs_awips_f144 - edit FCSTHR '144' - trigger ../../prdgen/jgfs_pgrb2_f144 == complete - endtask - task jgfs_awips_f147 - edit FCSTHR '147' - trigger ../../prdgen/jgfs_pgrb2_f147 == complete - endtask - task jgfs_awips_f150 - edit FCSTHR '150' - trigger ../../prdgen/jgfs_pgrb2_f150 == complete - endtask - task jgfs_awips_f153 - edit FCSTHR '153' - trigger ../../prdgen/jgfs_pgrb2_f153 == complete - endtask - task jgfs_awips_f156 - edit FCSTHR '156' - trigger ../../prdgen/jgfs_pgrb2_f156 == complete - endtask - task jgfs_awips_f159 - edit FCSTHR '159' - trigger ../../prdgen/jgfs_pgrb2_f159 == complete - endtask - task jgfs_awips_f162 - edit FCSTHR '162' - trigger ../../prdgen/jgfs_pgrb2_f162 == complete - endtask - task jgfs_awips_f165 - edit FCSTHR '165' - trigger ../../prdgen/jgfs_pgrb2_f165 == complete - endtask - task jgfs_awips_f168 - edit FCSTHR '168' - trigger ../../prdgen/jgfs_pgrb2_f168 == complete - endtask - task jgfs_awips_f171 - edit FCSTHR '171' - trigger ../../prdgen/jgfs_pgrb2_f171 == complete - endtask - task jgfs_awips_f174 - edit FCSTHR '174' - trigger ../../prdgen/jgfs_pgrb2_f174 == complete - endtask - task jgfs_awips_f177 - edit FCSTHR '177' - trigger ../../prdgen/jgfs_pgrb2_f177 == complete - endtask - task jgfs_awips_f180 - edit FCSTHR '180' - trigger ../../prdgen/jgfs_pgrb2_f180 == complete - endtask - task jgfs_awips_f183 - edit FCSTHR '183' - trigger ../../prdgen/jgfs_pgrb2_f183 == complete - endtask - task jgfs_awips_f186 - edit FCSTHR '186' - trigger ../../prdgen/jgfs_pgrb2_f186 == complete - endtask - task jgfs_awips_f189 - edit FCSTHR '189' - trigger ../../prdgen/jgfs_pgrb2_f189 == complete - endtask - task jgfs_awips_f192 - edit FCSTHR '192' - trigger ../../prdgen/jgfs_pgrb2_f192 == complete - endtask - task jgfs_awips_f195 - edit FCSTHR '195' - trigger ../../prdgen/jgfs_pgrb2_f195 == complete - endtask - task jgfs_awips_f198 - edit FCSTHR '198' - trigger ../../prdgen/jgfs_pgrb2_f198 == complete - endtask - task jgfs_awips_f201 - edit FCSTHR '201' - trigger ../../prdgen/jgfs_pgrb2_f201 == complete - endtask - task jgfs_awips_f204 - edit FCSTHR '204' - trigger ../../prdgen/jgfs_pgrb2_f204 == complete - endtask - task jgfs_awips_f207 - edit FCSTHR '207' - trigger ../../prdgen/jgfs_pgrb2_f207 == complete - endtask - task jgfs_awips_f210 - edit FCSTHR '210' - trigger ../../prdgen/jgfs_pgrb2_f210 == complete - endtask - task jgfs_awips_f213 - edit FCSTHR '213' - trigger ../../prdgen/jgfs_pgrb2_f213 == complete - endtask - task jgfs_awips_f216 - edit FCSTHR '216' - trigger ../../prdgen/jgfs_pgrb2_f216 == complete - endtask - task jgfs_awips_f219 - edit FCSTHR '219' - trigger ../../prdgen/jgfs_pgrb2_f219 == complete - endtask - task jgfs_awips_f222 - edit FCSTHR '222' - trigger ../../prdgen/jgfs_pgrb2_f222 == complete - endtask - task jgfs_awips_f225 - edit FCSTHR '225' - trigger ../../prdgen/jgfs_pgrb2_f225 == complete - endtask - task jgfs_awips_f228 - edit FCSTHR '228' - trigger ../../prdgen/jgfs_pgrb2_f228 == complete - endtask - task jgfs_awips_f231 - edit FCSTHR '231' - trigger ../../prdgen/jgfs_pgrb2_f231 == complete - endtask - task jgfs_awips_f234 - edit FCSTHR '234' - trigger ../../prdgen/jgfs_pgrb2_f234 == complete - endtask - task jgfs_awips_f237 - edit FCSTHR '237' - trigger ../../prdgen/jgfs_pgrb2_f237 == complete - endtask - task jgfs_awips_f240 - edit FCSTHR '240' - trigger ../../prdgen/jgfs_pgrb2_f240 == complete - endtask - task jgfs_awips_f252 - edit FCSTHR '252' - trigger ../../prdgen/jgfs_pgrb2_f252 == complete - endtask - task jgfs_awips_f264 - edit FCSTHR '264' - trigger ../../prdgen/jgfs_pgrb2_f264 == complete - endtask - task jgfs_awips_f276 - edit FCSTHR '276' - trigger ../../prdgen/jgfs_pgrb2_f276 == complete - endtask - task jgfs_awips_f288 - edit FCSTHR '288' - trigger ../../prdgen/jgfs_pgrb2_f288 == complete - endtask - task jgfs_awips_f300 - edit FCSTHR '300' - trigger ../../prdgen/jgfs_pgrb2_f300 == complete - endtask - task jgfs_awips_f312 - edit FCSTHR '312' - trigger ../../prdgen/jgfs_pgrb2_f312 == complete - endtask - task jgfs_awips_f324 - edit FCSTHR '324' - trigger ../../prdgen/jgfs_pgrb2_f324 == complete - endtask - task jgfs_awips_f336 - edit FCSTHR '336' - trigger ../../prdgen/jgfs_pgrb2_f336 == complete - endtask - task jgfs_awips_f348 - edit FCSTHR '348' - trigger ../../prdgen/jgfs_pgrb2_f348 == complete - endtask - task jgfs_awips_f360 - edit FCSTHR '360' - trigger ../../prdgen/jgfs_pgrb2_f360 == complete - endtask - task jgfs_awips_f372 - edit FCSTHR '372' - trigger ../../prdgen/jgfs_pgrb2_f372 == complete - endtask - task jgfs_awips_f384 - edit FCSTHR '384' - trigger ../../prdgen/jgfs_pgrb2_f384 == complete - endtask - endfamily - family awips_20km - edit RES '20km' - edit RESC '20KM' - #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - task jgfs_awips_f000 - edit FCSTHR '000' - trigger ../../prdgen/jgfs_pgrb2_f00 == complete - endtask - task jgfs_awips_f001 - edit FCSTHR '001' - trigger ../../prdgen/jgfs_pgrb2_f01 == complete - endtask - task jgfs_awips_f002 - edit FCSTHR '002' - trigger ../../prdgen/jgfs_pgrb2_f02 == complete - endtask - task jgfs_awips_f003 - edit FCSTHR '003' - trigger ../../prdgen/jgfs_pgrb2_f03 == complete - endtask - task jgfs_awips_f004 - edit FCSTHR '004' - trigger ../../prdgen/jgfs_pgrb2_f04 == complete - endtask - task jgfs_awips_f005 - edit FCSTHR '005' - trigger ../../prdgen/jgfs_pgrb2_f05 == complete - endtask - task jgfs_awips_f006 - edit FCSTHR '006' - trigger ../../prdgen/jgfs_pgrb2_f06 == complete - endtask - task jgfs_awips_f007 - edit FCSTHR '007' - trigger ../../prdgen/jgfs_pgrb2_f07 == complete - endtask - task jgfs_awips_f008 - edit FCSTHR '008' - trigger ../../prdgen/jgfs_pgrb2_f08 == complete - endtask - task jgfs_awips_f009 - edit FCSTHR '009' - trigger ../../prdgen/jgfs_pgrb2_f09 == complete - endtask - task jgfs_awips_f010 - edit FCSTHR '010' - trigger ../../prdgen/jgfs_pgrb2_f10 == complete - endtask - task jgfs_awips_f011 - edit FCSTHR '011' - trigger ../../prdgen/jgfs_pgrb2_f11 == complete - endtask - task jgfs_awips_f012 - edit FCSTHR '012' - trigger ../../prdgen/jgfs_pgrb2_f12 == complete - endtask - task jgfs_awips_f013 - edit FCSTHR '013' - trigger ../../prdgen/jgfs_pgrb2_f13 == complete - endtask - task jgfs_awips_f014 - edit FCSTHR '014' - trigger ../../prdgen/jgfs_pgrb2_f14 == complete - endtask - task jgfs_awips_f015 - edit FCSTHR '015' - trigger ../../prdgen/jgfs_pgrb2_f15 == complete - endtask - task jgfs_awips_f016 - edit FCSTHR '016' - trigger ../../prdgen/jgfs_pgrb2_f16 == complete - endtask - task jgfs_awips_f017 - edit FCSTHR '017' - trigger ../../prdgen/jgfs_pgrb2_f17 == complete - endtask - task jgfs_awips_f018 - edit FCSTHR '018' - trigger ../../prdgen/jgfs_pgrb2_f18 == complete - endtask - task jgfs_awips_f019 - edit FCSTHR '019' - trigger ../../prdgen/jgfs_pgrb2_f19 == complete - endtask - task jgfs_awips_f020 - edit FCSTHR '020' - trigger ../../prdgen/jgfs_pgrb2_f20 == complete - endtask - task jgfs_awips_f021 - edit FCSTHR '021' - trigger ../../prdgen/jgfs_pgrb2_f21 == complete - endtask - task jgfs_awips_f022 - edit FCSTHR '022' - trigger ../../prdgen/jgfs_pgrb2_f22 == complete - endtask - task jgfs_awips_f023 - edit FCSTHR '023' - trigger ../../prdgen/jgfs_pgrb2_f23 == complete - endtask - task jgfs_awips_f024 - edit FCSTHR '024' - trigger ../../prdgen/jgfs_pgrb2_f24 == complete - endtask - task jgfs_awips_f025 - edit FCSTHR '025' - trigger ../../prdgen/jgfs_pgrb2_f25 == complete - endtask - task jgfs_awips_f026 - edit FCSTHR '026' - trigger ../../prdgen/jgfs_pgrb2_f26 == complete - endtask - task jgfs_awips_f027 - edit FCSTHR '027' - trigger ../../prdgen/jgfs_pgrb2_f27 == complete - endtask - task jgfs_awips_f028 - edit FCSTHR '028' - trigger ../../prdgen/jgfs_pgrb2_f28 == complete - endtask - task jgfs_awips_f029 - edit FCSTHR '029' - trigger ../../prdgen/jgfs_pgrb2_f29 == complete - endtask - task jgfs_awips_f030 - edit FCSTHR '030' - trigger ../../prdgen/jgfs_pgrb2_f30 == complete - endtask - task jgfs_awips_f031 - edit FCSTHR '031' - trigger ../../prdgen/jgfs_pgrb2_f31 == complete - endtask - task jgfs_awips_f032 - edit FCSTHR '032' - trigger ../../prdgen/jgfs_pgrb2_f32 == complete - endtask - task jgfs_awips_f033 - edit FCSTHR '033' - trigger ../../prdgen/jgfs_pgrb2_f33 == complete - endtask - task jgfs_awips_f034 - edit FCSTHR '034' - trigger ../../prdgen/jgfs_pgrb2_f34 == complete - endtask - task jgfs_awips_f035 - edit FCSTHR '035' - trigger ../../prdgen/jgfs_pgrb2_f35 == complete - endtask - task jgfs_awips_f036 - edit FCSTHR '036' - trigger ../../prdgen/jgfs_pgrb2_f36 == complete - endtask - task jgfs_awips_f037 - edit FCSTHR '037' - trigger ../../prdgen/jgfs_pgrb2_f37 == complete - endtask - task jgfs_awips_f038 - edit FCSTHR '038' - trigger ../../prdgen/jgfs_pgrb2_f38 == complete - endtask - task jgfs_awips_f039 - edit FCSTHR '039' - trigger ../../prdgen/jgfs_pgrb2_f39 == complete - endtask - task jgfs_awips_f040 - edit FCSTHR '040' - trigger ../../prdgen/jgfs_pgrb2_f40 == complete - endtask - task jgfs_awips_f041 - edit FCSTHR '041' - trigger ../../prdgen/jgfs_pgrb2_f41 == complete - endtask - task jgfs_awips_f042 - edit FCSTHR '042' - trigger ../../prdgen/jgfs_pgrb2_f42 == complete - endtask - task jgfs_awips_f043 - edit FCSTHR '043' - trigger ../../prdgen/jgfs_pgrb2_f43 == complete - endtask - task jgfs_awips_f044 - edit FCSTHR '044' - trigger ../../prdgen/jgfs_pgrb2_f44 == complete - endtask - task jgfs_awips_f045 - edit FCSTHR '045' - trigger ../../prdgen/jgfs_pgrb2_f45 == complete - endtask - task jgfs_awips_f046 - edit FCSTHR '046' - trigger ../../prdgen/jgfs_pgrb2_f46 == complete - endtask - task jgfs_awips_f047 - edit FCSTHR '047' - trigger ../../prdgen/jgfs_pgrb2_f47 == complete - endtask - task jgfs_awips_f048 - edit FCSTHR '048' - trigger ../../prdgen/jgfs_pgrb2_f48 == complete - endtask - task jgfs_awips_f049 - edit FCSTHR '049' - trigger ../../prdgen/jgfs_pgrb2_f49 == complete - endtask - task jgfs_awips_f050 - edit FCSTHR '050' - trigger ../../prdgen/jgfs_pgrb2_f50 == complete - endtask - task jgfs_awips_f051 - edit FCSTHR '051' - trigger ../../prdgen/jgfs_pgrb2_f51 == complete - endtask - task jgfs_awips_f052 - edit FCSTHR '052' - trigger ../../prdgen/jgfs_pgrb2_f52 == complete - endtask - task jgfs_awips_f053 - edit FCSTHR '053' - trigger ../../prdgen/jgfs_pgrb2_f53 == complete - endtask - task jgfs_awips_f054 - edit FCSTHR '054' - trigger ../../prdgen/jgfs_pgrb2_f54 == complete - endtask - task jgfs_awips_f055 - edit FCSTHR '055' - trigger ../../prdgen/jgfs_pgrb2_f55 == complete - endtask - task jgfs_awips_f056 - edit FCSTHR '056' - trigger ../../prdgen/jgfs_pgrb2_f56 == complete - endtask - task jgfs_awips_f057 - edit FCSTHR '057' - trigger ../../prdgen/jgfs_pgrb2_f57 == complete - endtask - task jgfs_awips_f058 - edit FCSTHR '058' - trigger ../../prdgen/jgfs_pgrb2_f58 == complete - endtask - task jgfs_awips_f059 - edit FCSTHR '059' - trigger ../../prdgen/jgfs_pgrb2_f59 == complete - endtask - task jgfs_awips_f060 - edit FCSTHR '060' - trigger ../../prdgen/jgfs_pgrb2_f60 == complete - endtask - task jgfs_awips_f061 - edit FCSTHR '061' - trigger ../../prdgen/jgfs_pgrb2_f61 == complete - endtask - task jgfs_awips_f062 - edit FCSTHR '062' - trigger ../../prdgen/jgfs_pgrb2_f62 == complete - endtask - task jgfs_awips_f063 - edit FCSTHR '063' - trigger ../../prdgen/jgfs_pgrb2_f63 == complete - endtask - task jgfs_awips_f064 - edit FCSTHR '064' - trigger ../../prdgen/jgfs_pgrb2_f64 == complete - endtask - task jgfs_awips_f065 - edit FCSTHR '065' - trigger ../../prdgen/jgfs_pgrb2_f65 == complete - endtask - task jgfs_awips_f066 - edit FCSTHR '066' - trigger ../../prdgen/jgfs_pgrb2_f66 == complete - endtask - task jgfs_awips_f067 - edit FCSTHR '067' - trigger ../../prdgen/jgfs_pgrb2_f67 == complete - endtask - task jgfs_awips_f068 - edit FCSTHR '068' - trigger ../../prdgen/jgfs_pgrb2_f68 == complete - endtask - task jgfs_awips_f069 - edit FCSTHR '069' - trigger ../../prdgen/jgfs_pgrb2_f69 == complete - endtask - task jgfs_awips_f070 - edit FCSTHR '070' - trigger ../../prdgen/jgfs_pgrb2_f70 == complete - endtask - task jgfs_awips_f071 - edit FCSTHR '071' - trigger ../../prdgen/jgfs_pgrb2_f71 == complete - endtask - task jgfs_awips_f072 - edit FCSTHR '072' - trigger ../../prdgen/jgfs_pgrb2_f72 == complete - endtask - task jgfs_awips_f073 - edit FCSTHR '073' - trigger ../../prdgen/jgfs_pgrb2_f73 == complete - endtask - task jgfs_awips_f074 - edit FCSTHR '074' - trigger ../../prdgen/jgfs_pgrb2_f74 == complete - endtask - task jgfs_awips_f075 - edit FCSTHR '075' - trigger ../../prdgen/jgfs_pgrb2_f75 == complete - endtask - task jgfs_awips_f076 - edit FCSTHR '076' - trigger ../../prdgen/jgfs_pgrb2_f76 == complete - endtask - task jgfs_awips_f077 - edit FCSTHR '077' - trigger ../../prdgen/jgfs_pgrb2_f77 == complete - endtask - task jgfs_awips_f078 - edit FCSTHR '078' - trigger ../../prdgen/jgfs_pgrb2_f78 == complete - endtask - task jgfs_awips_f079 - edit FCSTHR '079' - trigger ../../prdgen/jgfs_pgrb2_f79 == complete - endtask - task jgfs_awips_f080 - edit FCSTHR '080' - trigger ../../prdgen/jgfs_pgrb2_f80 == complete - endtask - task jgfs_awips_f081 - edit FCSTHR '081' - trigger ../../prdgen/jgfs_pgrb2_f81 == complete - endtask - task jgfs_awips_f082 - edit FCSTHR '082' - trigger ../../prdgen/jgfs_pgrb2_f82 == complete - endtask - task jgfs_awips_f083 - edit FCSTHR '083' - trigger ../../prdgen/jgfs_pgrb2_f83 == complete - endtask - task jgfs_awips_f084 - edit FCSTHR '084' - trigger ../../prdgen/jgfs_pgrb2_f84 == complete - endtask - task jgfs_awips_f085 - edit FCSTHR '085' - trigger ../../prdgen/jgfs_pgrb2_f85 == complete - endtask - task jgfs_awips_f086 - edit FCSTHR '086' - trigger ../../prdgen/jgfs_pgrb2_f86 == complete - endtask - task jgfs_awips_f087 - edit FCSTHR '087' - trigger ../../prdgen/jgfs_pgrb2_f87 == complete - endtask - task jgfs_awips_f088 - edit FCSTHR '088' - trigger ../../prdgen/jgfs_pgrb2_f88 == complete - endtask - task jgfs_awips_f089 - edit FCSTHR '089' - trigger ../../prdgen/jgfs_pgrb2_f89 == complete - endtask - task jgfs_awips_f090 - edit FCSTHR '090' - trigger ../../prdgen/jgfs_pgrb2_f90 == complete - endtask - task jgfs_awips_f091 - edit FCSTHR '091' - trigger ../../prdgen/jgfs_pgrb2_f91 == complete - endtask - task jgfs_awips_f092 - edit FCSTHR '092' - trigger ../../prdgen/jgfs_pgrb2_f92 == complete - endtask - task jgfs_awips_f093 - edit FCSTHR '093' - trigger ../../prdgen/jgfs_pgrb2_f93 == complete - endtask - task jgfs_awips_f094 - edit FCSTHR '094' - trigger ../../prdgen/jgfs_pgrb2_f94 == complete - endtask - task jgfs_awips_f095 - edit FCSTHR '095' - trigger ../../prdgen/jgfs_pgrb2_f95 == complete - endtask - task jgfs_awips_f096 - edit FCSTHR '096' - trigger ../../prdgen/jgfs_pgrb2_f96 == complete - endtask - task jgfs_awips_f097 - edit FCSTHR '097' - trigger ../../prdgen/jgfs_pgrb2_f97 == complete - endtask - task jgfs_awips_f098 - edit FCSTHR '098' - trigger ../../prdgen/jgfs_pgrb2_f98 == complete - endtask - task jgfs_awips_f099 - edit FCSTHR '099' - trigger ../../prdgen/jgfs_pgrb2_f99 == complete - endtask - task jgfs_awips_f100 - edit FCSTHR '100' - trigger ../../prdgen/jgfs_pgrb2_f100 == complete - endtask - task jgfs_awips_f101 - edit FCSTHR '101' - trigger ../../prdgen/jgfs_pgrb2_f101 == complete - endtask - task jgfs_awips_f102 - edit FCSTHR '102' - trigger ../../prdgen/jgfs_pgrb2_f102 == complete - endtask - task jgfs_awips_f103 - edit FCSTHR '103' - trigger ../../prdgen/jgfs_pgrb2_f103 == complete - endtask - task jgfs_awips_f104 - edit FCSTHR '104' - trigger ../../prdgen/jgfs_pgrb2_f104 == complete - endtask - task jgfs_awips_f105 - edit FCSTHR '105' - trigger ../../prdgen/jgfs_pgrb2_f105 == complete - endtask - task jgfs_awips_f106 - edit FCSTHR '106' - trigger ../../prdgen/jgfs_pgrb2_f106 == complete - endtask - task jgfs_awips_f107 - edit FCSTHR '107' - trigger ../../prdgen/jgfs_pgrb2_f107 == complete - endtask - task jgfs_awips_f108 - edit FCSTHR '108' - trigger ../../prdgen/jgfs_pgrb2_f108 == complete - endtask - task jgfs_awips_f109 - edit FCSTHR '109' - trigger ../../prdgen/jgfs_pgrb2_f109 == complete - endtask - task jgfs_awips_f110 - edit FCSTHR '110' - trigger ../../prdgen/jgfs_pgrb2_f110 == complete - endtask - task jgfs_awips_f111 - edit FCSTHR '111' - trigger ../../prdgen/jgfs_pgrb2_f111 == complete - endtask - task jgfs_awips_f112 - edit FCSTHR '112' - trigger ../../prdgen/jgfs_pgrb2_f112 == complete - endtask - task jgfs_awips_f113 - edit FCSTHR '113' - trigger ../../prdgen/jgfs_pgrb2_f113 == complete - endtask - task jgfs_awips_f114 - edit FCSTHR '114' - trigger ../../prdgen/jgfs_pgrb2_f114 == complete - endtask - task jgfs_awips_f115 - edit FCSTHR '115' - trigger ../../prdgen/jgfs_pgrb2_f115 == complete - endtask - task jgfs_awips_f116 - edit FCSTHR '116' - trigger ../../prdgen/jgfs_pgrb2_f116 == complete - endtask - task jgfs_awips_f117 - edit FCSTHR '117' - trigger ../../prdgen/jgfs_pgrb2_f117 == complete - endtask - task jgfs_awips_f118 - edit FCSTHR '118' - trigger ../../prdgen/jgfs_pgrb2_f118 == complete - endtask - task jgfs_awips_f119 - edit FCSTHR '119' - trigger ../../prdgen/jgfs_pgrb2_f119 == complete - endtask - task jgfs_awips_f120 - edit FCSTHR '120' - trigger ../../prdgen/jgfs_pgrb2_f120 == complete - endtask - task jgfs_awips_f123 - edit FCSTHR '123' - trigger ../../prdgen/jgfs_pgrb2_f123 == complete - endtask - task jgfs_awips_f126 - edit FCSTHR '126' - trigger ../../prdgen/jgfs_pgrb2_f126 == complete - endtask - task jgfs_awips_f129 - edit FCSTHR '129' - trigger ../../prdgen/jgfs_pgrb2_f129 == complete - endtask - task jgfs_awips_f132 - edit FCSTHR '132' - trigger ../../prdgen/jgfs_pgrb2_f132 == complete - endtask - task jgfs_awips_f135 - edit FCSTHR '135' - trigger ../../prdgen/jgfs_pgrb2_f135 == complete - endtask - task jgfs_awips_f138 - edit FCSTHR '138' - trigger ../../prdgen/jgfs_pgrb2_f138 == complete - endtask - task jgfs_awips_f141 - edit FCSTHR '141' - trigger ../../prdgen/jgfs_pgrb2_f141 == complete - endtask - task jgfs_awips_f144 - edit FCSTHR '144' - trigger ../../prdgen/jgfs_pgrb2_f144 == complete - endtask - task jgfs_awips_f147 - edit FCSTHR '147' - trigger ../../prdgen/jgfs_pgrb2_f147 == complete - endtask - task jgfs_awips_f150 - edit FCSTHR '150' - trigger ../../prdgen/jgfs_pgrb2_f150 == complete - endtask - task jgfs_awips_f153 - edit FCSTHR '153' - trigger ../../prdgen/jgfs_pgrb2_f153 == complete - endtask - task jgfs_awips_f156 - edit FCSTHR '156' - trigger ../../prdgen/jgfs_pgrb2_f156 == complete - endtask - task jgfs_awips_f159 - edit FCSTHR '159' - trigger ../../prdgen/jgfs_pgrb2_f159 == complete - endtask - task jgfs_awips_f162 - edit FCSTHR '162' - trigger ../../prdgen/jgfs_pgrb2_f162 == complete - endtask - task jgfs_awips_f165 - edit FCSTHR '165' - trigger ../../prdgen/jgfs_pgrb2_f165 == complete - endtask - task jgfs_awips_f168 - edit FCSTHR '168' - trigger ../../prdgen/jgfs_pgrb2_f168 == complete - endtask - task jgfs_awips_f171 - edit FCSTHR '171' - trigger ../../prdgen/jgfs_pgrb2_f171 == complete - endtask - task jgfs_awips_f174 - edit FCSTHR '174' - trigger ../../prdgen/jgfs_pgrb2_f174 == complete - endtask - task jgfs_awips_f177 - edit FCSTHR '177' - trigger ../../prdgen/jgfs_pgrb2_f177 == complete - endtask - task jgfs_awips_f180 - edit FCSTHR '180' - trigger ../../prdgen/jgfs_pgrb2_f180 == complete - endtask - task jgfs_awips_f183 - edit FCSTHR '183' - trigger ../../prdgen/jgfs_pgrb2_f183 == complete - endtask - task jgfs_awips_f186 - edit FCSTHR '186' - trigger ../../prdgen/jgfs_pgrb2_f186 == complete - endtask - task jgfs_awips_f189 - edit FCSTHR '189' - trigger ../../prdgen/jgfs_pgrb2_f189 == complete - endtask - task jgfs_awips_f192 - edit FCSTHR '192' - trigger ../../prdgen/jgfs_pgrb2_f192 == complete - endtask - task jgfs_awips_f195 - edit FCSTHR '195' - trigger ../../prdgen/jgfs_pgrb2_f195 == complete - endtask - task jgfs_awips_f198 - edit FCSTHR '198' - trigger ../../prdgen/jgfs_pgrb2_f198 == complete - endtask - task jgfs_awips_f201 - edit FCSTHR '201' - trigger ../../prdgen/jgfs_pgrb2_f201 == complete - endtask - task jgfs_awips_f204 - edit FCSTHR '204' - trigger ../../prdgen/jgfs_pgrb2_f204 == complete - endtask - task jgfs_awips_f207 - edit FCSTHR '207' - trigger ../../prdgen/jgfs_pgrb2_f207 == complete - endtask - task jgfs_awips_f210 - edit FCSTHR '210' - trigger ../../prdgen/jgfs_pgrb2_f210 == complete - endtask - task jgfs_awips_f213 - edit FCSTHR '213' - trigger ../../prdgen/jgfs_pgrb2_f213 == complete - endtask - task jgfs_awips_f216 - edit FCSTHR '216' - trigger ../../prdgen/jgfs_pgrb2_f216 == complete - endtask - task jgfs_awips_f219 - edit FCSTHR '219' - trigger ../../prdgen/jgfs_pgrb2_f219 == complete - endtask - task jgfs_awips_f222 - edit FCSTHR '222' - trigger ../../prdgen/jgfs_pgrb2_f222 == complete - endtask - task jgfs_awips_f225 - edit FCSTHR '225' - trigger ../../prdgen/jgfs_pgrb2_f225 == complete - endtask - task jgfs_awips_f228 - edit FCSTHR '228' - trigger ../../prdgen/jgfs_pgrb2_f228 == complete - endtask - task jgfs_awips_f231 - edit FCSTHR '231' - trigger ../../prdgen/jgfs_pgrb2_f231 == complete - endtask - task jgfs_awips_f234 - edit FCSTHR '234' - trigger ../../prdgen/jgfs_pgrb2_f234 == complete - endtask - task jgfs_awips_f237 - edit FCSTHR '237' - trigger ../../prdgen/jgfs_pgrb2_f237 == complete - endtask - task jgfs_awips_f240 - edit FCSTHR '240' - trigger ../../prdgen/jgfs_pgrb2_f240 == complete - endtask - task jgfs_awips_f252 - edit FCSTHR '252' - trigger ../../prdgen/jgfs_pgrb2_f252 == complete - endtask - task jgfs_awips_f264 - edit FCSTHR '264' - trigger ../../prdgen/jgfs_pgrb2_f264 == complete - endtask - task jgfs_awips_f276 - edit FCSTHR '276' - trigger ../../prdgen/jgfs_pgrb2_f276 == complete - endtask - task jgfs_awips_f288 - edit FCSTHR '288' - trigger ../../prdgen/jgfs_pgrb2_f288 == complete - endtask - task jgfs_awips_f300 - edit FCSTHR '300' - trigger ../../prdgen/jgfs_pgrb2_f300 == complete - endtask - task jgfs_awips_f312 - edit FCSTHR '312' - trigger ../../prdgen/jgfs_pgrb2_f312 == complete - endtask - task jgfs_awips_f324 - edit FCSTHR '324' - trigger ../../prdgen/jgfs_pgrb2_f324 == complete - endtask - task jgfs_awips_f336 - edit FCSTHR '336' - trigger ../../prdgen/jgfs_pgrb2_f336 == complete - endtask - task jgfs_awips_f348 - edit FCSTHR '348' - trigger ../../prdgen/jgfs_pgrb2_f348 == complete - endtask - task jgfs_awips_f360 - edit FCSTHR '360' - trigger ../../prdgen/jgfs_pgrb2_f360 == complete - endtask - task jgfs_awips_f372 - edit FCSTHR '372' - trigger ../../prdgen/jgfs_pgrb2_f372 == complete - endtask - task jgfs_awips_f384 - edit FCSTHR '384' - trigger ../../prdgen/jgfs_pgrb2_f384 == complete - endtask - endfamily - endfamily - family post - task jgfs_post_anl - edit FHR 'anl' - edit HR 'anl' - trigger ./jgfs_post_manager:release_postanl - event 1 release_pgrb2_anl - endtask - task jgfs_pgrb2_spec_post - trigger ./jgfs_post_f336 == complete and ./jgfs_post_f348 == complete and ./jgfs_post_f360 == complete and ./jgfs_post_f372 == complete and ./jgfs_post_f384 == complete - endtask - task jgfs_post_manager - trigger ../jgfs_analysis == complete and ../forecast == complete - event 1 release_postanl - event 2 release_post00 - event 3 release_post01 - event 4 release_post02 - event 5 release_post03 - event 6 release_post04 - event 7 release_post05 - event 8 release_post06 - event 9 release_post07 - event 10 release_post08 - event 11 release_post09 - event 12 release_post10 - event 13 release_post11 - event 14 release_post12 - event 15 release_post13 - event 16 release_post14 - event 17 release_post15 - event 18 release_post16 - event 19 release_post17 - event 20 release_post18 - event 21 release_post19 - event 22 release_post20 - event 23 release_post21 - event 24 release_post22 - event 25 release_post23 - event 26 release_post24 - event 27 release_post25 - event 28 release_post26 - event 29 release_post27 - event 30 release_post28 - event 31 release_post29 - event 32 release_post30 - event 33 release_post31 - event 34 release_post32 - event 35 release_post33 - event 36 release_post34 - event 37 release_post35 - event 38 release_post36 - event 39 release_post37 - event 40 release_post38 - event 41 release_post39 - event 42 release_post40 - event 43 release_post41 - event 44 release_post42 - event 45 release_post43 - event 46 release_post44 - event 47 release_post45 - event 48 release_post46 - event 49 release_post47 - event 50 release_post48 - event 51 release_post49 - event 52 release_post50 - event 53 release_post51 - event 54 release_post52 - event 55 release_post53 - event 56 release_post54 - event 57 release_post55 - event 58 release_post56 - event 59 release_post57 - event 60 release_post58 - event 61 release_post59 - event 62 release_post60 - event 63 release_post61 - event 64 release_post62 - event 65 release_post63 - event 66 release_post64 - event 67 release_post65 - event 68 release_post66 - event 69 release_post67 - event 70 release_post68 - event 71 release_post69 - event 72 release_post70 - event 73 release_post71 - event 74 release_post72 - event 75 release_post73 - event 76 release_post74 - event 77 release_post75 - event 78 release_post76 - event 79 release_post77 - event 80 release_post78 - event 81 release_post79 - event 82 release_post80 - event 83 release_post81 - event 84 release_post82 - event 85 release_post83 - event 86 release_post84 - event 87 release_post85 - event 88 release_post86 - event 89 release_post87 - event 90 release_post88 - event 91 release_post89 - event 92 release_post90 - event 93 release_post91 - event 94 release_post92 - event 95 release_post93 - event 96 release_post94 - event 97 release_post95 - event 98 release_post96 - event 99 release_post97 - event 100 release_post98 - event 101 release_post99 - event 102 release_post100 - event 103 release_post101 - event 104 release_post102 - event 105 release_post103 - event 106 release_post104 - event 107 release_post105 - event 108 release_post106 - event 109 release_post107 - event 110 release_post108 - event 111 release_post109 - event 112 release_post110 - event 113 release_post111 - event 114 release_post112 - event 115 release_post113 - event 116 release_post114 - event 117 release_post115 - event 118 release_post116 - event 119 release_post117 - event 120 release_post118 - event 121 release_post119 - event 122 release_post120 - event 123 release_post123 - event 124 release_post126 - event 125 release_post129 - event 126 release_post132 - event 127 release_post135 - event 128 release_post138 - event 129 release_post141 - event 130 release_post144 - event 131 release_post147 - event 132 release_post150 - event 133 release_post153 - event 134 release_post156 - event 135 release_post159 - event 136 release_post162 - event 137 release_post165 - event 138 release_post168 - event 139 release_post171 - event 140 release_post174 - event 141 release_post177 - event 142 release_post180 - event 143 release_post183 - event 144 release_post186 - event 145 release_post189 - event 146 release_post192 - event 147 release_post195 - event 148 release_post198 - event 149 release_post201 - event 150 release_post204 - event 151 release_post207 - event 152 release_post210 - event 153 release_post213 - event 154 release_post216 - event 155 release_post219 - event 156 release_post222 - event 157 release_post225 - event 158 release_post228 - event 159 release_post231 - event 160 release_post234 - event 161 release_post237 - event 162 release_post240 - event 163 release_post252 - event 164 release_post264 - event 165 release_post276 - event 166 release_post288 - event 167 release_post300 - event 168 release_post312 - event 169 release_post324 - event 170 release_post336 - event 171 release_post348 - event 172 release_post360 - event 173 release_post372 - event 174 release_post384 - endtask - task jgfs_post_f00 - edit FHR: 'f00' - edit HR: '00' - trigger ./jgfs_post_manager:release_post00 - endtask - task jgfs_post_f01 - edit FHR: 'f01' - edit HR: '01' - trigger ./jgfs_post_manager:release_post01 - endtask - task jgfs_post_f02 - edit FHR: 'f02' - edit HR: '02' - trigger ./jgfs_post_manager:release_post02 - endtask - task jgfs_post_f03 - edit FHR: 'f03' - edit HR: '03' - trigger ./jgfs_post_manager:release_post03 - endtask - task jgfs_post_f04 - edit FHR: 'f04' - edit HR: '04' - trigger ./jgfs_post_manager:release_post04 - endtask - task jgfs_post_f05 - edit FHR: 'f05' - edit HR: '05' - trigger ./jgfs_post_manager:release_post05 - endtask - task jgfs_post_f06 - edit FHR: 'f06' - edit HR: '06' - trigger ./jgfs_post_manager:release_post06 - endtask - task jgfs_post_f07 - edit FHR: 'f07' - edit HR: '07' - trigger ./jgfs_post_manager:release_post07 - endtask - task jgfs_post_f08 - edit FHR: 'f08' - edit HR: '08' - trigger ./jgfs_post_manager:release_post08 - endtask - task jgfs_post_f09 - edit FHR: 'f09' - edit HR: '09' - trigger ./jgfs_post_manager:release_post09 - endtask - task jgfs_post_f10 - edit FHR: 'f10' - edit HR: '10' - trigger ./jgfs_post_manager:release_post10 - endtask - task jgfs_post_f11 - edit FHR: 'f11' - edit HR: '11' - trigger ./jgfs_post_manager:release_post11 - endtask - task jgfs_post_f12 - edit FHR: 'f12' - edit HR: '12' - trigger ./jgfs_post_manager:release_post12 - endtask - task jgfs_post_f13 - edit FHR: 'f13' - edit HR: '13' - trigger ./jgfs_post_manager:release_post13 - endtask - task jgfs_post_f14 - edit FHR: 'f14' - edit HR: '14' - trigger ./jgfs_post_manager:release_post14 - endtask - task jgfs_post_f15 - edit FHR: 'f15' - edit HR: '15' - trigger ./jgfs_post_manager:release_post15 - endtask - task jgfs_post_f16 - edit FHR: 'f16' - edit HR: '16' - trigger ./jgfs_post_manager:release_post16 - endtask - task jgfs_post_f17 - edit FHR: 'f17' - edit HR: '17' - trigger ./jgfs_post_manager:release_post17 - endtask - task jgfs_post_f18 - edit FHR: 'f18' - edit HR: '18' - trigger ./jgfs_post_manager:release_post18 - endtask - task jgfs_post_f19 - edit FHR: 'f19' - edit HR: '19' - trigger ./jgfs_post_manager:release_post19 - endtask - task jgfs_post_f20 - edit FHR: 'f20' - edit HR: '20' - trigger ./jgfs_post_manager:release_post20 - endtask - task jgfs_post_f21 - edit FHR: 'f21' - edit HR: '21' - trigger ./jgfs_post_manager:release_post21 - endtask - task jgfs_post_f22 - edit FHR: 'f22' - edit HR: '22' - trigger ./jgfs_post_manager:release_post22 - endtask - task jgfs_post_f23 - edit FHR: 'f23' - edit HR: '23' - trigger ./jgfs_post_manager:release_post23 - endtask - task jgfs_post_f24 - edit FHR: 'f24' - edit HR: '24' - trigger ./jgfs_post_manager:release_post24 - endtask - task jgfs_post_f25 - edit FHR: 'f25' - edit HR: '25' - trigger ./jgfs_post_manager:release_post25 - endtask - task jgfs_post_f26 - edit FHR: 'f26' - edit HR: '26' - trigger ./jgfs_post_manager:release_post26 - endtask - task jgfs_post_f27 - edit FHR: 'f27' - edit HR: '27' - trigger ./jgfs_post_manager:release_post27 - endtask - task jgfs_post_f28 - edit FHR: 'f28' - edit HR: '28' - trigger ./jgfs_post_manager:release_post28 - endtask - task jgfs_post_f29 - edit FHR: 'f29' - edit HR: '29' - trigger ./jgfs_post_manager:release_post29 - endtask - task jgfs_post_f30 - edit FHR: 'f30' - edit HR: '30' - trigger ./jgfs_post_manager:release_post30 - endtask - task jgfs_post_f31 - edit FHR: 'f31' - edit HR: '31' - trigger ./jgfs_post_manager:release_post31 - endtask - task jgfs_post_f32 - edit FHR: 'f32' - edit HR: '32' - trigger ./jgfs_post_manager:release_post32 - endtask - task jgfs_post_f33 - edit FHR: 'f33' - edit HR: '33' - trigger ./jgfs_post_manager:release_post33 - endtask - task jgfs_post_f34 - edit FHR: 'f34' - edit HR: '34' - trigger ./jgfs_post_manager:release_post34 - endtask - task jgfs_post_f35 - edit FHR: 'f35' - edit HR: '35' - trigger ./jgfs_post_manager:release_post35 - endtask - task jgfs_post_f36 - edit FHR: 'f36' - edit HR: '36' - trigger ./jgfs_post_manager:release_post36 - endtask - task jgfs_post_f37 - edit FHR: 'f37' - edit HR: '37' - trigger ./jgfs_post_manager:release_post37 - endtask - task jgfs_post_f38 - edit FHR: 'f38' - edit HR: '38' - trigger ./jgfs_post_manager:release_post38 - endtask - task jgfs_post_f39 - edit FHR: 'f39' - edit HR: '39' - trigger ./jgfs_post_manager:release_post39 - endtask - task jgfs_post_f40 - edit FHR: 'f40' - edit HR: '40' - trigger ./jgfs_post_manager:release_post40 - endtask - task jgfs_post_f41 - edit FHR: 'f41' - edit HR: '41' - trigger ./jgfs_post_manager:release_post41 - endtask - task jgfs_post_f42 - edit FHR: 'f42' - edit HR: '42' - trigger ./jgfs_post_manager:release_post42 - endtask - task jgfs_post_f43 - edit FHR: 'f43' - edit HR: '43' - trigger ./jgfs_post_manager:release_post43 - endtask - task jgfs_post_f44 - edit FHR: 'f44' - edit HR: '44' - trigger ./jgfs_post_manager:release_post44 - endtask - task jgfs_post_f45 - edit FHR: 'f45' - edit HR: '45' - trigger ./jgfs_post_manager:release_post45 - endtask - task jgfs_post_f46 - edit FHR: 'f46' - edit HR: '46' - trigger ./jgfs_post_manager:release_post46 - endtask - task jgfs_post_f47 - edit FHR: 'f47' - edit HR: '47' - trigger ./jgfs_post_manager:release_post47 - endtask - task jgfs_post_f48 - edit FHR: 'f48' - edit HR: '48' - trigger ./jgfs_post_manager:release_post48 - endtask - task jgfs_post_f49 - edit FHR: 'f49' - edit HR: '49' - trigger ./jgfs_post_manager:release_post49 - endtask - task jgfs_post_f50 - edit FHR: 'f50' - edit HR: '50' - trigger ./jgfs_post_manager:release_post50 - endtask - task jgfs_post_f51 - edit FHR: 'f51' - edit HR: '51' - trigger ./jgfs_post_manager:release_post51 - endtask - task jgfs_post_f52 - edit FHR: 'f52' - edit HR: '52' - trigger ./jgfs_post_manager:release_post52 - endtask - task jgfs_post_f53 - edit FHR: 'f53' - edit HR: '53' - trigger ./jgfs_post_manager:release_post53 - endtask - task jgfs_post_f54 - edit FHR: 'f54' - edit HR: '54' - trigger ./jgfs_post_manager:release_post54 - endtask - task jgfs_post_f55 - edit FHR: 'f55' - edit HR: '55' - trigger ./jgfs_post_manager:release_post55 - endtask - task jgfs_post_f56 - edit FHR: 'f56' - edit HR: '56' - trigger ./jgfs_post_manager:release_post56 - endtask - task jgfs_post_f57 - edit FHR: 'f57' - edit HR: '57' - trigger ./jgfs_post_manager:release_post57 - endtask - task jgfs_post_f58 - edit FHR: 'f58' - edit HR: '58' - trigger ./jgfs_post_manager:release_post58 - endtask - task jgfs_post_f59 - edit FHR: 'f59' - edit HR: '59' - trigger ./jgfs_post_manager:release_post59 - endtask - task jgfs_post_f60 - edit FHR: 'f60' - edit HR: '60' - trigger ./jgfs_post_manager:release_post60 - endtask - task jgfs_post_f61 - edit FHR: 'f61' - edit HR: '61' - trigger ./jgfs_post_manager:release_post61 - endtask - task jgfs_post_f62 - edit FHR: 'f62' - edit HR: '62' - trigger ./jgfs_post_manager:release_post62 - endtask - task jgfs_post_f63 - edit FHR: 'f63' - edit HR: '63' - trigger ./jgfs_post_manager:release_post63 - endtask - task jgfs_post_f64 - edit FHR: 'f64' - edit HR: '64' - trigger ./jgfs_post_manager:release_post64 - endtask - task jgfs_post_f65 - edit FHR: 'f65' - edit HR: '65' - trigger ./jgfs_post_manager:release_post65 - endtask - task jgfs_post_f66 - edit FHR: 'f66' - edit HR: '66' - trigger ./jgfs_post_manager:release_post66 - endtask - task jgfs_post_f67 - edit FHR: 'f67' - edit HR: '67' - trigger ./jgfs_post_manager:release_post67 - endtask - task jgfs_post_f68 - edit FHR: 'f68' - edit HR: '68' - trigger ./jgfs_post_manager:release_post68 - endtask - task jgfs_post_f69 - edit FHR: 'f69' - edit HR: '69' - trigger ./jgfs_post_manager:release_post69 - endtask - task jgfs_post_f70 - edit FHR: 'f70' - edit HR: '70' - trigger ./jgfs_post_manager:release_post70 - endtask - task jgfs_post_f71 - edit FHR: 'f71' - edit HR: '71' - trigger ./jgfs_post_manager:release_post71 - endtask - task jgfs_post_f72 - edit FHR: 'f72' - edit HR: '72' - trigger ./jgfs_post_manager:release_post72 - endtask - task jgfs_post_f73 - edit FHR: 'f73' - edit HR: '73' - trigger ./jgfs_post_manager:release_post73 - endtask - task jgfs_post_f74 - edit FHR: 'f74' - edit HR: '74' - trigger ./jgfs_post_manager:release_post74 - endtask - task jgfs_post_f75 - edit FHR: 'f75' - edit HR: '75' - trigger ./jgfs_post_manager:release_post75 - endtask - task jgfs_post_f76 - edit FHR: 'f76' - edit HR: '76' - trigger ./jgfs_post_manager:release_post76 - endtask - task jgfs_post_f77 - edit FHR: 'f77' - edit HR: '77' - trigger ./jgfs_post_manager:release_post77 - endtask - task jgfs_post_f78 - edit FHR: 'f78' - edit HR: '78' - trigger ./jgfs_post_manager:release_post78 - endtask - task jgfs_post_f79 - edit FHR: 'f79' - edit HR: '79' - trigger ./jgfs_post_manager:release_post79 - endtask - task jgfs_post_f80 - edit FHR: 'f80' - edit HR: '80' - trigger ./jgfs_post_manager:release_post80 - endtask - task jgfs_post_f81 - edit FHR: 'f81' - edit HR: '81' - trigger ./jgfs_post_manager:release_post81 - endtask - task jgfs_post_f82 - edit FHR: 'f82' - edit HR: '82' - trigger ./jgfs_post_manager:release_post82 - endtask - task jgfs_post_f83 - edit FHR: 'f83' - edit HR: '83' - trigger ./jgfs_post_manager:release_post83 - endtask - task jgfs_post_f84 - edit FHR: 'f84' - edit HR: '84' - trigger ./jgfs_post_manager:release_post84 - endtask - task jgfs_post_f85 - edit FHR: 'f85' - edit HR: '85' - trigger ./jgfs_post_manager:release_post85 - endtask - task jgfs_post_f86 - edit FHR: 'f86' - edit HR: '86' - trigger ./jgfs_post_manager:release_post86 - endtask - task jgfs_post_f87 - edit FHR: 'f87' - edit HR: '87' - trigger ./jgfs_post_manager:release_post87 - endtask - task jgfs_post_f88 - edit FHR: 'f88' - edit HR: '88' - trigger ./jgfs_post_manager:release_post88 - endtask - task jgfs_post_f89 - edit FHR: 'f89' - edit HR: '89' - trigger ./jgfs_post_manager:release_post89 - endtask - task jgfs_post_f90 - edit FHR: 'f90' - edit HR: '90' - trigger ./jgfs_post_manager:release_post90 - endtask - task jgfs_post_f91 - edit FHR: 'f91' - edit HR: '91' - trigger ./jgfs_post_manager:release_post91 - endtask - task jgfs_post_f92 - edit FHR: 'f92' - edit HR: '92' - trigger ./jgfs_post_manager:release_post92 - endtask - task jgfs_post_f93 - edit FHR: 'f93' - edit HR: '93' - trigger ./jgfs_post_manager:release_post93 - endtask - task jgfs_post_f94 - edit FHR: 'f94' - edit HR: '94' - trigger ./jgfs_post_manager:release_post94 - endtask - task jgfs_post_f95 - edit FHR: 'f95' - edit HR: '95' - trigger ./jgfs_post_manager:release_post95 - endtask - task jgfs_post_f96 - edit FHR: 'f96' - edit HR: '96' - trigger ./jgfs_post_manager:release_post96 - endtask - task jgfs_post_f97 - edit FHR: 'f97' - edit HR: '97' - trigger ./jgfs_post_manager:release_post97 - endtask - task jgfs_post_f98 - edit FHR: 'f98' - edit HR: '98' - trigger ./jgfs_post_manager:release_post98 - endtask - task jgfs_post_f99 - edit FHR: 'f99' - edit HR: '99' - trigger ./jgfs_post_manager:release_post99 - endtask - task jgfs_post_f100 - edit FHR: 'f100' - edit HR: '100' - trigger ./jgfs_post_manager:release_post100 - endtask - task jgfs_post_f101 - edit FHR: 'f101' - edit HR: '101' - trigger ./jgfs_post_manager:release_post101 - endtask - task jgfs_post_f102 - edit FHR: 'f102' - edit HR: '102' - trigger ./jgfs_post_manager:release_post102 - endtask - task jgfs_post_f103 - edit FHR: 'f103' - edit HR: '103' - trigger ./jgfs_post_manager:release_post103 - endtask - task jgfs_post_f104 - edit FHR: 'f104' - edit HR: '104' - trigger ./jgfs_post_manager:release_post104 - endtask - task jgfs_post_f105 - edit FHR: 'f105' - edit HR: '105' - trigger ./jgfs_post_manager:release_post105 - endtask - task jgfs_post_f106 - edit FHR: 'f106' - edit HR: '106' - trigger ./jgfs_post_manager:release_post106 - endtask - task jgfs_post_f107 - edit FHR: 'f107' - edit HR: '107' - trigger ./jgfs_post_manager:release_post107 - endtask - task jgfs_post_f108 - edit FHR: 'f108' - edit HR: '108' - trigger ./jgfs_post_manager:release_post108 - endtask - task jgfs_post_f109 - edit FHR: 'f109' - edit HR: '109' - trigger ./jgfs_post_manager:release_post109 - endtask - task jgfs_post_f110 - edit FHR: 'f110' - edit HR: '110' - trigger ./jgfs_post_manager:release_post110 - endtask - task jgfs_post_f111 - edit FHR: 'f111' - edit HR: '111' - trigger ./jgfs_post_manager:release_post111 - endtask - task jgfs_post_f112 - edit FHR: 'f112' - edit HR: '112' - trigger ./jgfs_post_manager:release_post112 - endtask - task jgfs_post_f113 - edit FHR: 'f113' - edit HR: '113' - trigger ./jgfs_post_manager:release_post113 - endtask - task jgfs_post_f114 - edit FHR: 'f114' - edit HR: '114' - trigger ./jgfs_post_manager:release_post114 - endtask - task jgfs_post_f115 - edit FHR: 'f115' - edit HR: '115' - trigger ./jgfs_post_manager:release_post115 - endtask - task jgfs_post_f116 - edit FHR: 'f116' - edit HR: '116' - trigger ./jgfs_post_manager:release_post116 - endtask - task jgfs_post_f117 - edit FHR: 'f117' - edit HR: '117' - trigger ./jgfs_post_manager:release_post117 - endtask - task jgfs_post_f118 - edit FHR: 'f118' - edit HR: '118' - trigger ./jgfs_post_manager:release_post118 - endtask - task jgfs_post_f119 - edit FHR: 'f119' - edit HR: '119' - trigger ./jgfs_post_manager:release_post119 - endtask - task jgfs_post_f120 - edit FHR: 'f120' - edit HR: '120' - trigger ./jgfs_post_manager:release_post120 - endtask - task jgfs_post_f123 - edit FHR: 'f123' - edit HR: '123' - trigger ./jgfs_post_manager:release_post123 - endtask - task jgfs_post_f126 - edit FHR: 'f126' - edit HR: '126' - trigger ./jgfs_post_manager:release_post126 - endtask - task jgfs_post_f129 - edit FHR: 'f129' - edit HR: '129' - trigger ./jgfs_post_manager:release_post129 - endtask - task jgfs_post_f132 - edit FHR: 'f132' - edit HR: '132' - trigger ./jgfs_post_manager:release_post132 - endtask - task jgfs_post_f135 - edit FHR: 'f135' - edit HR: '135' - trigger ./jgfs_post_manager:release_post135 - endtask - task jgfs_post_f138 - edit FHR: 'f138' - edit HR: '138' - trigger ./jgfs_post_manager:release_post138 - endtask - task jgfs_post_f141 - edit FHR: 'f141' - edit HR: '141' - trigger ./jgfs_post_manager:release_post141 - endtask - task jgfs_post_f144 - edit FHR: 'f144' - edit HR: '144' - trigger ./jgfs_post_manager:release_post144 - endtask - task jgfs_post_f147 - edit FHR: 'f147' - edit HR: '147' - trigger ./jgfs_post_manager:release_post147 - endtask - task jgfs_post_f150 - edit FHR: 'f150' - edit HR: '150' - trigger ./jgfs_post_manager:release_post150 - endtask - task jgfs_post_f153 - edit FHR: 'f153' - edit HR: '153' - trigger ./jgfs_post_manager:release_post153 - endtask - task jgfs_post_f156 - edit FHR: 'f156' - edit HR: '156' - trigger ./jgfs_post_manager:release_post156 - endtask - task jgfs_post_f159 - edit FHR: 'f159' - edit HR: '159' - trigger ./jgfs_post_manager:release_post159 - endtask - task jgfs_post_f162 - edit FHR: 'f162' - edit HR: '162' - trigger ./jgfs_post_manager:release_post162 - endtask - task jgfs_post_f165 - edit FHR: 'f165' - edit HR: '165' - trigger ./jgfs_post_manager:release_post165 - endtask - task jgfs_post_f168 - edit FHR: 'f168' - edit HR: '168' - trigger ./jgfs_post_manager:release_post168 - endtask - task jgfs_post_f171 - edit FHR: 'f171' - edit HR: '171' - trigger ./jgfs_post_manager:release_post171 - endtask - task jgfs_post_f174 - edit FHR: 'f174' - edit HR: '174' - trigger ./jgfs_post_manager:release_post174 - endtask - task jgfs_post_f177 - edit FHR: 'f177' - edit HR: '177' - trigger ./jgfs_post_manager:release_post177 - endtask - task jgfs_post_f180 - edit FHR: 'f180' - edit HR: '180' - trigger ./jgfs_post_manager:release_post180 - endtask - task jgfs_post_f183 - edit FHR: 'f183' - edit HR: '183' - trigger ./jgfs_post_manager:release_post183 - endtask - task jgfs_post_f186 - edit FHR: 'f186' - edit HR: '186' - trigger ./jgfs_post_manager:release_post186 - endtask - task jgfs_post_f189 - edit FHR: 'f189' - edit HR: '189' - trigger ./jgfs_post_manager:release_post189 - endtask - task jgfs_post_f192 - edit FHR: 'f192' - edit HR: '192' - trigger ./jgfs_post_manager:release_post192 - endtask - task jgfs_post_f195 - edit FHR: 'f195' - edit HR: '195' - trigger ./jgfs_post_manager:release_post195 - endtask - task jgfs_post_f198 - edit FHR: 'f198' - edit HR: '198' - trigger ./jgfs_post_manager:release_post198 - endtask - task jgfs_post_f201 - edit FHR: 'f201' - edit HR: '201' - trigger ./jgfs_post_manager:release_post201 - endtask - task jgfs_post_f204 - edit FHR: 'f204' - edit HR: '204' - trigger ./jgfs_post_manager:release_post204 - endtask - task jgfs_post_f207 - edit FHR: 'f207' - edit HR: '207' - trigger ./jgfs_post_manager:release_post207 - endtask - task jgfs_post_f210 - edit FHR: 'f210' - edit HR: '210' - trigger ./jgfs_post_manager:release_post210 - endtask - task jgfs_post_f213 - edit FHR: 'f213' - edit HR: '213' - trigger ./jgfs_post_manager:release_post213 - endtask - task jgfs_post_f216 - edit FHR: 'f216' - edit HR: '216' - trigger ./jgfs_post_manager:release_post216 - endtask - task jgfs_post_f219 - edit FHR: 'f219' - edit HR: '219' - trigger ./jgfs_post_manager:release_post219 - endtask - task jgfs_post_f222 - edit FHR: 'f222' - edit HR: '222' - trigger ./jgfs_post_manager:release_post222 - endtask - task jgfs_post_f225 - edit FHR: 'f225' - edit HR: '225' - trigger ./jgfs_post_manager:release_post225 - endtask - task jgfs_post_f228 - edit FHR: 'f228' - edit HR: '228' - trigger ./jgfs_post_manager:release_post228 - endtask - task jgfs_post_f231 - edit FHR: 'f231' - edit HR: '231' - trigger ./jgfs_post_manager:release_post231 - endtask - task jgfs_post_f234 - edit FHR: 'f234' - edit HR: '234' - trigger ./jgfs_post_manager:release_post234 - endtask - task jgfs_post_f237 - edit FHR: 'f237' - edit HR: '237' - trigger ./jgfs_post_manager:release_post237 - endtask - task jgfs_post_f240 - edit FHR: 'f240' - edit HR: '240' - trigger ./jgfs_post_manager:release_post240 - endtask - task jgfs_post_f252 - edit FHR: 'f252' - edit HR: '252' - trigger ./jgfs_post_manager:release_post252 - endtask - task jgfs_post_f264 - edit FHR: 'f264' - edit HR: '264' - trigger ./jgfs_post_manager:release_post264 - endtask - task jgfs_post_f276 - edit FHR: 'f276' - edit HR: '276' - trigger ./jgfs_post_manager:release_post276 - endtask - task jgfs_post_f288 - edit FHR: 'f288' - edit HR: '288' - trigger ./jgfs_post_manager:release_post288 - endtask - task jgfs_post_f300 - edit FHR: 'f300' - edit HR: '300' - trigger ./jgfs_post_manager:release_post300 - endtask - task jgfs_post_f312 - edit FHR: 'f312' - edit HR: '312' - trigger ./jgfs_post_manager:release_post312 - endtask - task jgfs_post_f324 - edit FHR: 'f324' - edit HR: '324' - trigger ./jgfs_post_manager:release_post324 - endtask - task jgfs_post_f336 - edit FHR: 'f336' - edit HR: '336' - trigger ./jgfs_post_manager:release_post336 - endtask - task jgfs_post_f348 - edit FHR: 'f348' - edit HR: '348' - trigger ./jgfs_post_manager:release_post348 - endtask - task jgfs_post_f360 - edit FHR: 'f360' - edit HR: '360' - trigger ./jgfs_post_manager:release_post360 - endtask - task jgfs_post_f372 - edit FHR: 'f372' - edit HR: '372' - trigger ./jgfs_post_manager:release_post372 - endtask - task jgfs_post_f384 - edit FHR: 'f384' - edit HR: '384' - trigger ./jgfs_post_manager:release_post384 - endtask - endfamily - family prdgen - task jgfs_pgrb2_anl - edit FHR 'anl' - edit HR 'anl' - endtask - task jgfs_pgrb2_manager - trigger ../post == complete - event 2 release_pgrb2_00 - event 3 release_pgrb2_01 - event 4 release_pgrb2_02 - event 5 release_pgrb2_03 - event 6 release_pgrb2_04 - event 7 release_pgrb2_05 - event 8 release_pgrb2_06 - event 9 release_pgrb2_07 - event 10 release_pgrb2_08 - event 11 release_pgrb2_09 - event 12 release_pgrb2_10 - event 13 release_pgrb2_11 - event 14 release_pgrb2_12 - event 15 release_pgrb2_13 - event 16 release_pgrb2_14 - event 17 release_pgrb2_15 - event 18 release_pgrb2_16 - event 19 release_pgrb2_17 - event 20 release_pgrb2_18 - event 21 release_pgrb2_19 - event 22 release_pgrb2_20 - event 23 release_pgrb2_21 - event 24 release_pgrb2_22 - event 25 release_pgrb2_23 - event 26 release_pgrb2_24 - event 27 release_pgrb2_25 - event 28 release_pgrb2_26 - event 29 release_pgrb2_27 - event 30 release_pgrb2_28 - event 31 release_pgrb2_29 - event 32 release_pgrb2_30 - event 33 release_pgrb2_31 - event 34 release_pgrb2_32 - event 35 release_pgrb2_33 - event 36 release_pgrb2_34 - event 37 release_pgrb2_35 - event 38 release_pgrb2_36 - event 39 release_pgrb2_37 - event 40 release_pgrb2_38 - event 41 release_pgrb2_39 - event 42 release_pgrb2_40 - event 43 release_pgrb2_41 - event 44 release_pgrb2_42 - event 45 release_pgrb2_43 - event 46 release_pgrb2_44 - event 47 release_pgrb2_45 - event 48 release_pgrb2_46 - event 49 release_pgrb2_47 - event 50 release_pgrb2_48 - event 51 release_pgrb2_49 - event 52 release_pgrb2_50 - event 53 release_pgrb2_51 - event 54 release_pgrb2_52 - event 55 release_pgrb2_53 - event 56 release_pgrb2_54 - event 57 release_pgrb2_55 - event 58 release_pgrb2_56 - event 59 release_pgrb2_57 - event 60 release_pgrb2_58 - event 61 release_pgrb2_59 - event 62 release_pgrb2_60 - event 63 release_pgrb2_61 - event 64 release_pgrb2_62 - event 65 release_pgrb2_63 - event 66 release_pgrb2_64 - event 67 release_pgrb2_65 - event 68 release_pgrb2_66 - event 69 release_pgrb2_67 - event 70 release_pgrb2_68 - event 71 release_pgrb2_69 - event 72 release_pgrb2_70 - event 73 release_pgrb2_71 - event 74 release_pgrb2_72 - event 75 release_pgrb2_73 - event 76 release_pgrb2_74 - event 77 release_pgrb2_75 - event 78 release_pgrb2_76 - event 79 release_pgrb2_77 - event 80 release_pgrb2_78 - event 81 release_pgrb2_79 - event 82 release_pgrb2_80 - event 83 release_pgrb2_81 - event 84 release_pgrb2_82 - event 85 release_pgrb2_83 - event 86 release_pgrb2_84 - event 87 release_pgrb2_85 - event 88 release_pgrb2_86 - event 89 release_pgrb2_87 - event 90 release_pgrb2_88 - event 91 release_pgrb2_89 - event 92 release_pgrb2_90 - event 93 release_pgrb2_91 - event 94 release_pgrb2_92 - event 95 release_pgrb2_93 - event 96 release_pgrb2_94 - event 97 release_pgrb2_95 - event 98 release_pgrb2_96 - event 99 release_pgrb2_97 - event 100 release_pgrb2_98 - event 101 release_pgrb2_99 - event 102 release_pgrb2_100 - event 103 release_pgrb2_101 - event 104 release_pgrb2_102 - event 105 release_pgrb2_103 - event 106 release_pgrb2_104 - event 107 release_pgrb2_105 - event 108 release_pgrb2_106 - event 109 release_pgrb2_107 - event 110 release_pgrb2_108 - event 111 release_pgrb2_109 - event 112 release_pgrb2_110 - event 113 release_pgrb2_111 - event 114 release_pgrb2_112 - event 115 release_pgrb2_113 - event 116 release_pgrb2_114 - event 117 release_pgrb2_115 - event 118 release_pgrb2_116 - event 119 release_pgrb2_117 - event 120 release_pgrb2_118 - event 121 release_pgrb2_119 - event 122 release_pgrb2_120 - event 123 release_pgrb2_123 - event 124 release_pgrb2_126 - event 125 release_pgrb2_129 - event 126 release_pgrb2_132 - event 127 release_pgrb2_135 - event 128 release_pgrb2_138 - event 129 release_pgrb2_141 - event 130 release_pgrb2_144 - event 131 release_pgrb2_147 - event 132 release_pgrb2_150 - event 133 release_pgrb2_153 - event 134 release_pgrb2_156 - event 135 release_pgrb2_159 - event 136 release_pgrb2_162 - event 137 release_pgrb2_165 - event 138 release_pgrb2_168 - event 139 release_pgrb2_171 - event 140 release_pgrb2_174 - event 141 release_pgrb2_177 - event 142 release_pgrb2_180 - event 143 release_pgrb2_183 - event 144 release_pgrb2_186 - event 145 release_pgrb2_189 - event 146 release_pgrb2_192 - event 147 release_pgrb2_195 - event 148 release_pgrb2_198 - event 149 release_pgrb2_201 - event 150 release_pgrb2_204 - event 151 release_pgrb2_207 - event 152 release_pgrb2_210 - event 153 release_pgrb2_213 - event 154 release_pgrb2_216 - event 155 release_pgrb2_219 - event 156 release_pgrb2_222 - event 157 release_pgrb2_225 - event 158 release_pgrb2_228 - event 159 release_pgrb2_231 - event 160 release_pgrb2_234 - event 161 release_pgrb2_237 - event 162 release_pgrb2_240 - event 163 release_pgrb2_252 - event 164 release_pgrb2_264 - event 165 release_pgrb2_276 - event 166 release_pgrb2_288 - event 167 release_pgrb2_300 - event 168 release_pgrb2_312 - event 169 release_pgrb2_324 - event 170 release_pgrb2_336 - event 171 release_pgrb2_348 - event 172 release_pgrb2_360 - event 173 release_pgrb2_372 - event 174 release_pgrb2_384 - endtask - task jgfs_pgrb2_f00 - edit FHR '00' - edit HR '00' - endtask - task jgfs_pgrb2_f01 - edit FHR '01' - edit HR '01' - endtask - task jgfs_pgrb2_f02 - edit FHR '02' - edit HR '02' - endtask - task jgfs_pgrb2_f03 - edit FHR '03' - edit HR '03' - endtask - task jgfs_pgrb2_f04 - edit FHR '04' - edit HR '04' - endtask - task jgfs_pgrb2_f05 - edit FHR '05' - edit HR '05' - endtask - task jgfs_pgrb2_f06 - edit FHR '06' - edit HR '06' - endtask - task jgfs_pgrb2_f07 - edit FHR '07' - edit HR '07' - endtask - task jgfs_pgrb2_f08 - edit FHR '08' - edit HR '08' - endtask - task jgfs_pgrb2_f09 - edit FHR '09' - edit HR '09' - endtask - task jgfs_pgrb2_f10 - edit FHR '10' - edit HR '10' - endtask - task jgfs_pgrb2_f11 - edit FHR '11' - edit HR '11' - endtask - task jgfs_pgrb2_f12 - edit FHR '12' - edit HR '12' - endtask - task jgfs_pgrb2_f13 - edit FHR '13' - edit HR '13' - endtask - task jgfs_pgrb2_f14 - edit FHR '14' - edit HR '14' - endtask - task jgfs_pgrb2_f15 - edit FHR '15' - edit HR '15' - endtask - task jgfs_pgrb2_f16 - edit FHR '16' - edit HR '16' - endtask - task jgfs_pgrb2_f17 - edit FHR '17' - edit HR '17' - endtask - task jgfs_pgrb2_f18 - edit FHR '18' - edit HR '18' - endtask - task jgfs_pgrb2_f19 - edit FHR '19' - edit HR '19' - endtask - task jgfs_pgrb2_f20 - edit FHR '20' - edit HR '20' - endtask - task jgfs_pgrb2_f21 - edit FHR '21' - edit HR '21' - endtask - task jgfs_pgrb2_f22 - edit FHR '22' - edit HR '22' - endtask - task jgfs_pgrb2_f23 - edit FHR '23' - edit HR '23' - endtask - task jgfs_pgrb2_f24 - edit FHR '24' - edit HR '24' - endtask - task jgfs_pgrb2_f25 - edit FHR '25' - edit HR '25' - endtask - task jgfs_pgrb2_f26 - edit FHR '26' - edit HR '26' - endtask - task jgfs_pgrb2_f27 - edit FHR '27' - edit HR '27' - endtask - task jgfs_pgrb2_f28 - edit FHR '28' - edit HR '28' - endtask - task jgfs_pgrb2_f29 - edit FHR '29' - edit HR '29' - endtask - task jgfs_pgrb2_f30 - edit FHR '30' - edit HR '30' - endtask - task jgfs_pgrb2_f31 - edit FHR '31' - edit HR '31' - endtask - task jgfs_pgrb2_f32 - edit FHR '32' - edit HR '32' - endtask - task jgfs_pgrb2_f33 - edit FHR '33' - edit HR '33' - endtask - task jgfs_pgrb2_f34 - edit FHR '34' - edit HR '34' - endtask - task jgfs_pgrb2_f35 - edit FHR '35' - edit HR '35' - endtask - task jgfs_pgrb2_f36 - edit FHR '36' - edit HR '36' - endtask - task jgfs_pgrb2_f37 - edit FHR '37' - edit HR '37' - endtask - task jgfs_pgrb2_f38 - edit FHR '38' - edit HR '38' - endtask - task jgfs_pgrb2_f39 - edit FHR '39' - edit HR '39' - endtask - task jgfs_pgrb2_f40 - edit FHR '40' - edit HR '40' - endtask - task jgfs_pgrb2_f41 - edit FHR '41' - edit HR '41' - endtask - task jgfs_pgrb2_f42 - edit FHR '42' - edit HR '42' - endtask - task jgfs_pgrb2_f43 - edit FHR '43' - edit HR '43' - endtask - task jgfs_pgrb2_f44 - edit FHR '44' - edit HR '44' - endtask - task jgfs_pgrb2_f45 - edit FHR '45' - edit HR '45' - endtask - task jgfs_pgrb2_f46 - edit FHR '46' - edit HR '46' - endtask - task jgfs_pgrb2_f47 - edit FHR '47' - edit HR '47' - endtask - task jgfs_pgrb2_f48 - edit FHR '48' - edit HR '48' - endtask - task jgfs_pgrb2_f49 - edit FHR '49' - edit HR '49' - endtask - task jgfs_pgrb2_f50 - edit FHR '50' - edit HR '50' - endtask - task jgfs_pgrb2_f51 - edit FHR '51' - edit HR '51' - endtask - task jgfs_pgrb2_f52 - edit FHR '52' - edit HR '52' - endtask - task jgfs_pgrb2_f53 - edit FHR '53' - edit HR '53' - endtask - task jgfs_pgrb2_f54 - edit FHR '54' - edit HR '54' - endtask - task jgfs_pgrb2_f55 - edit FHR '55' - edit HR '55' - endtask - task jgfs_pgrb2_f56 - edit FHR '56' - edit HR '56' - endtask - task jgfs_pgrb2_f57 - edit FHR '57' - edit HR '57' - endtask - task jgfs_pgrb2_f58 - edit FHR '58' - edit HR '58' - endtask - task jgfs_pgrb2_f59 - edit FHR '59' - edit HR '59' - endtask - task jgfs_pgrb2_f60 - edit FHR '60' - edit HR '60' - endtask - task jgfs_pgrb2_f61 - edit FHR '61' - edit HR '61' - endtask - task jgfs_pgrb2_f62 - edit FHR '62' - edit HR '62' - endtask - task jgfs_pgrb2_f63 - edit FHR '63' - edit HR '63' - endtask - task jgfs_pgrb2_f64 - edit FHR '64' - edit HR '64' - endtask - task jgfs_pgrb2_f65 - edit FHR '65' - edit HR '65' - endtask - task jgfs_pgrb2_f66 - edit FHR '66' - edit HR '66' - endtask - task jgfs_pgrb2_f67 - edit FHR '67' - edit HR '67' - endtask - task jgfs_pgrb2_f68 - edit FHR '68' - edit HR '68' - endtask - task jgfs_pgrb2_f69 - edit FHR '69' - edit HR '69' - endtask - task jgfs_pgrb2_f70 - edit FHR '70' - edit HR '70' - endtask - task jgfs_pgrb2_f71 - edit FHR '71' - edit HR '71' - endtask - task jgfs_pgrb2_f72 - edit FHR '72' - edit HR '72' - endtask - task jgfs_pgrb2_f73 - edit FHR '73' - edit HR '73' - endtask - task jgfs_pgrb2_f74 - edit FHR '74' - edit HR '74' - endtask - task jgfs_pgrb2_f75 - edit FHR '75' - edit HR '75' - endtask - task jgfs_pgrb2_f76 - edit FHR '76' - edit HR '76' - endtask - task jgfs_pgrb2_f77 - edit FHR '77' - edit HR '77' - endtask - task jgfs_pgrb2_f78 - edit FHR '78' - edit HR '78' - endtask - task jgfs_pgrb2_f79 - edit FHR '79' - edit HR '79' - endtask - task jgfs_pgrb2_f80 - edit FHR '80' - edit HR '80' - endtask - task jgfs_pgrb2_f81 - edit FHR '81' - edit HR '81' - endtask - task jgfs_pgrb2_f82 - edit FHR '82' - edit HR '82' - endtask - task jgfs_pgrb2_f83 - edit FHR '83' - edit HR '83' - endtask - task jgfs_pgrb2_f84 - edit FHR '84' - edit HR '84' - endtask - task jgfs_pgrb2_f85 - edit FHR '85' - edit HR '85' - endtask - task jgfs_pgrb2_f86 - edit FHR '86' - edit HR '86' - endtask - task jgfs_pgrb2_f87 - edit FHR '87' - edit HR '87' - endtask - task jgfs_pgrb2_f88 - edit FHR '88' - edit HR '88' - endtask - task jgfs_pgrb2_f89 - edit FHR '89' - edit HR '89' - endtask - task jgfs_pgrb2_f90 - edit FHR '90' - edit HR '90' - endtask - task jgfs_pgrb2_f91 - edit FHR '91' - edit HR '91' - endtask - task jgfs_pgrb2_f92 - edit FHR '92' - edit HR '92' - endtask - task jgfs_pgrb2_f93 - edit FHR '93' - edit HR '93' - endtask - task jgfs_pgrb2_f94 - edit FHR '94' - edit HR '94' - endtask - task jgfs_pgrb2_f95 - edit FHR '95' - edit HR '95' - endtask - task jgfs_pgrb2_f96 - edit FHR '96' - edit HR '96' - endtask - task jgfs_pgrb2_f97 - edit FHR '97' - edit HR '97' - endtask - task jgfs_pgrb2_f98 - edit FHR '98' - edit HR '98' - endtask - task jgfs_pgrb2_f99 - edit FHR '99' - edit HR '99' - endtask - task jgfs_pgrb2_f100 - edit FHR '100' - edit HR '100' - endtask - task jgfs_pgrb2_f101 - edit FHR '101' - edit HR '101' - endtask - task jgfs_pgrb2_f102 - edit FHR '102' - edit HR '102' - endtask - task jgfs_pgrb2_f103 - edit FHR '103' - edit HR '103' - endtask - task jgfs_pgrb2_f104 - edit FHR '104' - edit HR '104' - endtask - task jgfs_pgrb2_f105 - edit FHR '105' - edit HR '105' - endtask - task jgfs_pgrb2_f106 - edit FHR '106' - edit HR '106' - endtask - task jgfs_pgrb2_f107 - edit FHR '107' - edit HR '107' - endtask - task jgfs_pgrb2_f108 - edit FHR '108' - edit HR '108' - endtask - task jgfs_pgrb2_f109 - edit FHR '109' - edit HR '109' - endtask - task jgfs_pgrb2_f110 - edit FHR '110' - edit HR '110' - endtask - task jgfs_pgrb2_f111 - edit FHR '111' - edit HR '111' - endtask - task jgfs_pgrb2_f112 - edit FHR '112' - edit HR '112' - endtask - task jgfs_pgrb2_f113 - edit FHR '113' - edit HR '113' - endtask - task jgfs_pgrb2_f114 - edit FHR '114' - edit HR '114' - endtask - task jgfs_pgrb2_f115 - edit FHR '115' - edit HR '115' - endtask - task jgfs_pgrb2_f116 - edit FHR '116' - edit HR '116' - endtask - task jgfs_pgrb2_f117 - edit FHR '117' - edit HR '117' - endtask - task jgfs_pgrb2_f118 - edit FHR '118' - edit HR '118' - endtask - task jgfs_pgrb2_f119 - edit FHR '119' - edit HR '119' - endtask - task jgfs_pgrb2_f120 - edit FHR '120' - edit HR '120' - endtask - task jgfs_pgrb2_f123 - edit FHR '123' - edit HR '123' - endtask - task jgfs_pgrb2_f126 - edit FHR '126' - edit HR '126' - endtask - task jgfs_pgrb2_f129 - edit FHR '129' - edit HR '129' - endtask - task jgfs_pgrb2_f132 - edit FHR '132' - edit HR '132' - endtask - task jgfs_pgrb2_f135 - edit FHR '135' - edit HR '135' - endtask - task jgfs_pgrb2_f138 - edit FHR '138' - edit HR '138' - endtask - task jgfs_pgrb2_f141 - edit FHR '141' - edit HR '141' - endtask - task jgfs_pgrb2_f144 - edit FHR '144' - edit HR '144' - endtask - task jgfs_pgrb2_f147 - edit FHR '147' - edit HR '147' - endtask - task jgfs_pgrb2_f150 - edit FHR '150' - edit HR '150' - endtask - task jgfs_pgrb2_f153 - edit FHR '153' - edit HR '153' - endtask - task jgfs_pgrb2_f156 - edit FHR '156' - edit HR '156' - endtask - task jgfs_pgrb2_f159 - edit FHR '159' - edit HR '159' - endtask - task jgfs_pgrb2_f162 - edit FHR '162' - edit HR '162' - endtask - task jgfs_pgrb2_f165 - edit FHR '165' - edit HR '165' - endtask - task jgfs_pgrb2_f168 - edit FHR '168' - edit HR '168' - endtask - task jgfs_pgrb2_f171 - edit FHR '171' - edit HR '171' - endtask - task jgfs_pgrb2_f174 - edit FHR '174' - edit HR '174' - endtask - task jgfs_pgrb2_f177 - edit FHR '177' - edit HR '177' - endtask - task jgfs_pgrb2_f180 - edit FHR '180' - edit HR '180' - endtask - task jgfs_pgrb2_f183 - edit FHR '183' - edit HR '183' - endtask - task jgfs_pgrb2_f186 - edit FHR '186' - edit HR '186' - endtask - task jgfs_pgrb2_f189 - edit FHR '189' - edit HR '189' - endtask - task jgfs_pgrb2_f192 - edit FHR '192' - edit HR '192' - endtask - task jgfs_pgrb2_f195 - edit FHR '195' - edit HR '195' - endtask - task jgfs_pgrb2_f198 - edit FHR '198' - edit HR '198' - endtask - task jgfs_pgrb2_f201 - edit FHR '201' - edit HR '201' - endtask - task jgfs_pgrb2_f204 - edit FHR '204' - edit HR '204' - endtask - task jgfs_pgrb2_f207 - edit FHR '207' - edit HR '207' - endtask - task jgfs_pgrb2_f210 - edit FHR '210' - edit HR '210' - endtask - task jgfs_pgrb2_f213 - edit FHR '213' - edit HR '213' - endtask - task jgfs_pgrb2_f216 - edit FHR '216' - edit HR '216' - endtask - task jgfs_pgrb2_f219 - edit FHR '219' - edit HR '219' - endtask - task jgfs_pgrb2_f222 - edit FHR '222' - edit HR '222' - endtask - task jgfs_pgrb2_f225 - edit FHR '225' - edit HR '225' - endtask - task jgfs_pgrb2_f228 - edit FHR '228' - edit HR '228' - endtask - task jgfs_pgrb2_f231 - edit FHR '231' - edit HR '231' - endtask - task jgfs_pgrb2_f234 - edit FHR '234' - edit HR '234' - endtask - task jgfs_pgrb2_f237 - edit FHR '237' - edit HR '237' - endtask - task jgfs_pgrb2_f240 - edit FHR '240' - edit HR '240' - endtask - task jgfs_pgrb2_f252 - edit FHR '252' - edit HR '252' - endtask - task jgfs_pgrb2_f264 - edit FHR '264' - edit HR '264' - endtask - task jgfs_pgrb2_f276 - edit FHR '276' - edit HR '276' - endtask - task jgfs_pgrb2_f288 - edit FHR '288' - edit HR '288' - endtask - task jgfs_pgrb2_f300 - edit FHR '300' - edit HR '300' - endtask - task jgfs_pgrb2_f312 - edit FHR '312' - edit HR '312' - endtask - task jgfs_pgrb2_f324 - edit FHR '324' - edit HR '324' - endtask - task jgfs_pgrb2_f336 - edit FHR '336' - edit HR '336' - endtask - task jgfs_pgrb2_f348 - edit FHR '348' - edit HR '348' - endtask - task jgfs_pgrb2_f360 - edit FHR '360' - edit HR '360' - endtask - task jgfs_pgrb2_f372 - edit FHR '372' - edit HR '372' - endtask - task jgfs_pgrb2_f384 - edit FHR '384' - edit HR '384' - endtask - endfamily - family gempak - task jgfs_gempak_upapgif - trigger ../dump/jgfs_dump == complete - endtask - task jgfs_gempak_ncdc - trigger ./jgfs_gempak == active or ./jgfs_gempak == complete - endtask - task jgfs_gempak - trigger ../jgfs_analysis == complete - endtask - task jgfs_gempak_meta - trigger ../jgfs_analysis == complete - endtask - endfamily - endfamily - family gdas - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - edit PROJ '%PROJENVIR%' - edit MODEL_NAME 'gdas' - task jgdas_verfrad - trigger ./enkf == complete - endtask - task jgdas_vminmon - trigger ./analysis/jgdas_analysis_high == complete - endtask - family dump - task jgdas_ics - event 1 release_gdas00_ics - endtask - task jgdas_tropcy_qc_reloc - trigger ./jgdas_dump == complete - endtask - task jgdas_dump - event 1 release_sfcprep - endtask - endfamily - family prep - task jgdas_emcsfc_sfc_prep - trigger ../dump/jgdas_dump:release_sfcprep - endtask - task jgdas_prep - trigger ../dump/jgdas_dump == complete and ../dump/jgdas_tropcy_qc_reloc == complete - endtask - task jgdas_prep_post - trigger ../analysis/jgdas_analysis_high == complete - endtask - endfamily - family analysis - task jgdas_analysis_high - trigger ../prep/jgdas_prep == complete and ../prep/jgdas_emcsfc_sfc_prep == complete - event 1 release_fcst - endtask - endfamily - family forecast - task jgdas_forecast - trigger ../analysis/jgdas_analysis_high:release_fcst and ../enkf/innovate == complete - event 1 release_fcst - endtask - endfamily - family post_processing - family bulletins - task jgdas_mknavybulls - trigger ../../dump/jgdas_dump == complete - endtask - endfamily - endfamily - family gempak - task jgdas_gempak - trigger ../forecast/jgdas_forecast == complete - endtask - task jgdas_gempak_meta - trigger ./jgdas_gempak == complete - endtask - task jgdas_gempak_ncdc - trigger ./jgdas_gempak == complete - endtask - endfamily - family post - task jgdas_post - trigger ../forecast/jgdas_forecast == complete - endtask - endfamily - family enkf - task jgdas_enkf_select_obs - trigger ../prep/jgdas_prep == complete and /prod12/gdas/enkf/jgdas_enkf_post == complete - endtask - family innovate - trigger ./jgdas_enkf_select_obs == complete - task jgdas_enkf_innovate_obs_grp1 - endtask - task jgdas_enkf_innovate_obs_grp2 - endtask - task jgdas_enkf_innovate_obs_grp3 - endtask - task jgdas_enkf_innovate_obs_grp4 - endtask - task jgdas_enkf_innovate_obs_grp5 - endtask - task jgdas_enkf_innovate_obs_grp6 - endtask - task jgdas_enkf_innovate_obs_grp7 - endtask - task jgdas_enkf_innovate_obs_grp8 - endtask - endfamily - task jgdas_enkf_update - edit ECF_PASS 'FREE' - trigger ./innovate == complete - endtask - task jgdas_enkf_inflate_recenter - trigger ./jgdas_enkf_update == complete and ../analysis/jgdas_analysis_high == complete - endtask - family forecast - trigger ./jgdas_enkf_inflate_recenter == complete - task jgdas_enkf_fcst_grp1 - endtask - task jgdas_enkf_fcst_grp2 - endtask - task jgdas_enkf_fcst_grp3 - endtask - task jgdas_enkf_fcst_grp4 - endtask - task jgdas_enkf_fcst_grp5 - endtask - task jgdas_enkf_fcst_grp6 - endtask - task jgdas_enkf_fcst_grp7 - endtask - task jgdas_enkf_fcst_grp8 - endtask - endfamily - task jgdas_enkf_post - trigger ./forecast == complete - endtask - endfamily - endfamily - task cycle_end - edit ECF_JOB_CMD '%ECF_JOB% 1> %ECF_JOBOUT% 2>&1' - edit ECF_PASS 'FREE' - endtask -endsuite diff --git a/model/ecflow_fv3gfs/dump_waiter.yaml b/model/ecflow_fv3gfs/dump_waiter.yaml new file mode 100644 index 0000000..21feca3 --- /dev/null +++ b/model/ecflow_fv3gfs/dump_waiter.yaml @@ -0,0 +1,37 @@ +dump_waiter: &dump_waiter_task !Task + <<: *exclusive_task_template + manual: | + The dump_waiter task is a special job needed to run this + workflow outside of the production suite. It handles the + external dependency on the dump and the associated + (approximate) time dependency. + In ecflow: + The dump_waiter is started when the cycle is allowed to + begin, and waits for the dump to be available. It sets + the "updated_status" event when the dump is available. + In Rocoto: + The dump_waiter is never run; it is defined so that the + updated_status data event can be used as a data dependency + in the prep job. + + Disable: !calc metasched.type=='rocoto' + + resources: !calc doc.resources.run_dump_waiter + + updated_status: !DataEvent + file: !expand >- + {doc.settings.DUMPDIR}/@Y@m@d@H/{up.CDUMP}/{up.CDUMP}.t@Hz.updated.status.tm00.bufr_d + + # The batch_job_command is dumped into the ecf file where the + # J-Job would normally be called. This job is never run by + # Rocoto, so Rocoto never uses this code block. + ecflow_command: !expand | + setpdy.sh + source ./PDY + WAITFILE=%DUMPDIR%/${{PDY}}%CYC%/{CDUMP}/{CDUMP}.t%CYC%z.updated.status.tm00.bufr_d + while [[ ! -s "$WAITFILE" ]] ; do + echo "$WAITFILE: nope" + sleep 37 + done + echo "$WAITFILE: yup" + ecflow_client --event updated_status diff --git a/model/ecflow_fv3gfs/ecf_file.yaml b/model/ecflow_fv3gfs/ecf_file.yaml index 8dcfe23..7c90640 100644 --- a/model/ecflow_fv3gfs/ecf_file.yaml +++ b/model/ecflow_fv3gfs/ecf_file.yaml @@ -38,6 +38,7 @@ ecf_file_logic: &ecf_file_logic %include %manual {ecf_manual} + %end ecf_manual: | # FIXME: Insert manual for this job. diff --git a/model/ecflow_fv3gfs/make_next_cycles.yaml b/model/ecflow_fv3gfs/make_next_cycles.yaml new file mode 100644 index 0000000..3904d0a --- /dev/null +++ b/model/ecflow_fv3gfs/make_next_cycles.yaml @@ -0,0 +1,64 @@ +make_next_cycles: &make_next_cycles_task !Task + <<: *exclusive_task_template + manual: | + The make_next_cycles task generates and begins the suites for the + next few cycles in the workflow. This job is only used when running + in ecflow. + + Disable: !calc metasched.type=='rocoto' + + resources: !calc doc.resources.run_make_next_cycles + + Trigger: !Depend gdas + + CDUMP: gdas # useless but required + + # The batch_job_command is dumped into the ecf file where the + # J-Job would normally be called. This job is never run by + # Rocoto, so Rocoto never uses this code block. + ecflow_command: !expand | + export WORKFLOW_FIRST_CYCLE=%WORKFLOW_FIRST_CYCLE:1970010100% + export WORKFLOW_LAST_CYCLE=%WORKFLOW_LAST_CYCLE:ETERNITY% + export WORKFLOW_CYCLES_TO_GENERATE=%WORKFLOW_CYCLES_TO_GENERATE:5% + export WORKFLOW_CROW_HOME=%WORKFLOW_CROW_HOME% + export WORKFLOW_EXPDIR=%WORKFLOW_EXPDIR% + export ECF_HOME=%ECF_HOME% + export ECF_ROOT=%ECF_ROOT:X% + + if [[ "$ECF_ROOT" == X ]] ; then + export ECF_ROOT=$( cd "$ECF_HOME" ; cd .. ; pwd -P ) + fi + + export PDY=%PDY% + export CYC=%CYC% + export cycle=t%CYC%z + setpdy.sh + source ./PDY + + module load prod_util + module load ecflow + + set -uex + + # Decide the range of cycles to start + first_cycle=$( $NDATE +6 "%PDY%%CYC%" ) + + if [[ "${{WORKFLOW_LAST_CYCLE:-ETERNITY}}" != ETERNITY && + "$first_cycle" -gt "$WORKFLOW_LAST_CYCLE" ]] ; then + postmsg "Last cycle reached. Not starting any new cycles." + fi + + last_cycle=$first_cycle + for istart in $( seq 1 5 ) ; do + if [[ "${{WORKFLOW_LAST_CYCLE:-ETERNITY}}" != ETERNITY && + "$last_cycle" -gt "$WORKFLOW_LAST_CYCLE" ]] ; then + break + fi + last_cycle=$( $NDATE +6 "$last_cycle" ) + done + + cd "$WORKFLOW_CROW_HOME" + postmsg "Start cycles $first_cycle through $last_cycle." + ./update_ecflow_workflow.sh "$WORKFLOW_EXPDIR" "$first_cycle" "$last_cycle" + + diff --git a/model/ecflow_fv3gfs/post_manager.yaml b/model/ecflow_fv3gfs/post_manager.yaml new file mode 100644 index 0000000..ea867ac --- /dev/null +++ b/model/ecflow_fv3gfs/post_manager.yaml @@ -0,0 +1,23 @@ +post_manager_job_contents: &post_manager_job_contents !expand | + fhrs='anl {tools.join(up.Dimensions.fhr," ")}' + CDATE=%PDY%%CYC% + for fid in $fhrs ; do + if [[ "$fid" == anl ]] ; then + fhr3=000 + event=release_postanl + else + fhr3=$( printf %%03d $fid ) + fhr2=$( printf %%02d $fid ) + event=release_post$fhr2 + fi + + file=%COM%/$CDUMP.%PDY%/%CYC%/$CDUMP.t%CYC%z.logf$fhr3.nemsio + + while [[ ! -s "$file" ]] ; do + echo "$file: nope" + sleep 33 + done + echo "$file: yup" + ecflow_client --event "$event" + done + echo "done" diff --git a/model/ecflow_fv3gfs/remake_ecflow_files_for.sh b/model/ecflow_fv3gfs/remake_ecflow_files_for.sh new file mode 100755 index 0000000..434ed7d --- /dev/null +++ b/model/ecflow_fv3gfs/remake_ecflow_files_for.sh @@ -0,0 +1,151 @@ +#! /bin/bash + +set -ue + +# Get the directory in which this script resides. We'll assume the +# yaml files are there: +dir0=$( dirname "$0" ) +here=$( cd "$dir0" ; pwd -P ) + +export WORKTOOLS_VERBOSE=NO + +crowdir=$( cd ../../ ; pwd -P ) + +# Make sure this directory is in the python path so we find worktools.py: +export PYTHONPATH=$here:$crowdir:${PYTHONPATH:+:$PYTHONPATH} + +# Parse arguments: +if [[ "$1" == "-v" ]] ; then + export WORKTOOLS_VERBOSE=YES + shift 1 +fi +export CONFIGDIR="$1" +export FIRST_CYCLE="$2" +export LAST_CYCLE="$3" + +if [[ ! -d /usrx/local || -e /etc/redhat-release ]] ; then + echo "ERROR: This script only runs on WCOSS Cray" 1>&2 + exit 1 +fi + +if ( ! which ecflow_client > /dev/null 2>&1 ) ; then + echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." + exit 1 +fi + +if [[ "${ECF_ROOT:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_ROOT" + exit 1 +fi + +if [[ "${ECF_HOME:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_HOME. I suggest \$ECF_ROOT/submit" + exit 1 +fi + +if [[ "${ECF_HOST:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_HOST." + exit 1 +fi + +if [[ "${ECF_PORT:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_PORT. See /usrx/local/sys/ecflow/assigned_ports.txt" + exit 1 +fi + +export ECF_HOME="${ECF_HOME:-$ECF_ROOT/submit}" + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + echo "remake_ecflow_files_for.sh: verbose mode" +fi + +echo 'ecFlow server settings:' +echo " port: $ECF_PORT" +echo " root: $ECF_ROOT" +echo " home: $ECF_HOME" +echo " host: $ECF_HOST" + +set +e +if ( ! which python3 > /dev/null 2>&1 || \ + ! python3 -c 'import yaml ; f{"1+1"}' > /dev/null 2>&1 ) ; then + python36=/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/python/3.6.1-emc/bin/python3.6 +else + python36="$( which python3 )" +fi +set -e + +tmpfile=${TMPDIR:-/tmp}/find-expdir.$RANDOM.$RANDOM.$$ + +maybe_verbose_source() { + if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + echo "$1: source" + source "$1" + else + source "$1" > /dev/null 2>&1 + fi +} + +make_yaml_files() { + if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + set -x + fi + + # NOTE: Sourcing config.base clobbers the ecflow variables, so we + # must do it in a subshell. + set +ue + maybe_verbose_source "$CONFIGDIR"/config.base + set -ue + + if [[ "$FHMAX_GFS" != 240 ]] ; then + echo "ERROR: This script requires FHMAX_GFS = 240" 1>&2 + exit 1 + fi + + if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + set -x + fi + + echo "EXPDIR=\"$EXPDIR\"" > "$tmpfile" + + set +ue + ( maybe_verbose_source "$CONFIGDIR"/config.earc ; + echo "export NMEM_EARCGRP=\"$NMEM_EARCGRP\"" >> "$tmpfile" ) + ( maybe_verbose_source "$CONFIGDIR"/config.efcs ; + echo "export NMEM_EFCSGRP=\"$NMEM_EFCSGRP\"" >> "$tmpfile" ) + ( maybe_verbose_source "$CONFIGDIR"/config.eobs ; + echo "export NMEM_EOMGGRP=\"$NMEM_EOMGGRP\"" >> "$tmpfile" ) + ( maybe_verbose_source "$CONFIGDIR"/config.fcst ; + echo "export layout_x=\"$layout_x\"" >> "$tmpfile" ; + echo "export layout_y=\"$layout_y\"" >> "$tmpfile" ; + echo "export WRITE_GROUP=\"$WRITE_GROUP\"" >> "$tmpfile" ; + echo "export WRTTASK_PER_GROUP=\"$WRTTASK_PER_GROUP\"" >> "$tmpfile" ) + set -ue + + source "$tmpfile" + + $python36 -c "import worktools ; worktools.make_yaml_files('$here','$EXPDIR')" +} + +if ( ! ( make_yaml_files ) ) ; then + echo "Failed to make YAML files" + exit 1 +fi + +source "$tmpfile" +rm -f "$tmpfile" + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + echo "remake_ecflow_files_for.sh: EXPDIR=$EXPDIR" + set -x +fi + +$python36 -c "import worktools ; worktools.remake_ecflow_files_for_cycles( + '$EXPDIR', + '$FIRST_CYCLE', + '$LAST_CYCLE')" + + + + + + diff --git a/model/ecflow_fv3gfs/resources_C192_C192.yaml b/model/ecflow_fv3gfs/resources_C192_C192.yaml new file mode 100644 index 0000000..3f1933a --- /dev/null +++ b/model/ecflow_fv3gfs/resources_C192_C192.yaml @@ -0,0 +1,197 @@ +resources: + + # From if[[...ecen]] block in config.resources: + run_ecen: !JobRequest + - memory: "254M" + walltime: !timedelta "00:10:00" + mpi_ranks: 84 + exe: placeholder + # max_ppn comes from THEIA.env: 84/12 = 7 + max_ppn: 12 + OMP_NUM_THREADS: 2 + + run_chgres: !JobRequest + - exe: time + OMP_NUM_THREADS: 12 + args: + - placeholder + + run_nothing: !JobRequest # Special placeholder for "do nothing" + - memory: "300M" + exe: placeholder + walltime: !timedelta "00:02:00" + exclusive: false + + run_one_hour_exclusive: !JobRequest # Placeholder for one node jobs + - memory: "2000M" + exe: placeholder + mpi_ranks: 0 + walltime: !timedelta "00:02:00" + exclusive: true + + run_dump_waiter: !JobRequest + - memory: "300M" + exe: placeholder + walltime: !FirstTrue + - when: !calc doc.settings.realtime + do: !timedelta "01:00:00" + - otherwise: !timedelta "00:05:00" + + run_make_next_cycles: !JobRequest + - memory: "300M" + exe: placeholder + walltime: !timedelta "00:15:00" + + run_eobs: !JobRequest + - memory: "3072M" + walltime: !timedelta "00:15:00" + mpi_ranks: !calc 12*6 + exe: placeholder + max_ppn: 6 + OMP_NUM_THREADS: 4 + + run_eomg: !JobRequest + - memory: "3072M" + walltime: !timedelta "01:20:00" + mpi_ranks: !calc 6*12 + exe: placeholder + max_ppn: 6 + OMP_NUM_THREADS: 2 + + run_eupd: !JobRequest + - memory: "3072M" + walltime: !timedelta "00:15:00" + mpi_ranks: !calc 10*12 + exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 2 + +# run_enkf: !JobRequest +# pi_ranks: !calc 10*12 +# resources: !JobRequest +# - exe: placeholder +# max_ppn: 12 +# OMP_NUM_THREADS: 4 + + run_efcs: !JobRequest + - walltime: !timedelta "00:45:00" + mpi_ranks: !calc >- + doc.settings.layout_x*doc.settings.layout_y*6 + + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP + max_ppn: 12 + memory: "254M" + + run_epos: !JobRequest + - memory: "254M" + mpi_ranks: 84 + walltime: !timedelta "00:10:00" + exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 2 + + run_prep: !JobRequest + - memory: "3072M" + walltime: !timedelta "00:15:00" + mpi_ranks: 12 + exe: placeholder + + run_anal: !JobRequest + - memory: "3072M" + mpi_ranks: 144 + walltime: !timedelta "0:40:00" + exe: placeholder + max_ppn: 6 + +# run_gsi: !JobRequest +# pi_ranks: !calc 24*6 +# resources: !JobRequest +# - exe: placeholder +# max_ppn: 6 +# OMP_NUM_THREADS: 4 + + run_gdasfcst: !JobRequest + - mpi_ranks: !calc >- + doc.settings.layout_x*doc.settings.layout_y*6 + + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP + walltime: !timedelta "00:10:00" + max_ppn: 12 + memory: "1024M" + + run_gdas_post_manager: !JobRequest + - memory: "300M" + exe: placeholder + walltime: !calc >- + doc.resources.run_gdasfcst[0].walltime + tools.to_timedelta('00:15:00') + + run_gfsfcst: !JobRequest + - mpi_ranks: !calc >- + doc.settings.layout_x*doc.settings.layout_y*6 + + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP + walltime: !timedelta "00:45:00" + max_ppn: 12 + memory: "1024M" + + run_gfs_post_manager: !JobRequest + - memory: "300M" + exe: placeholder + walltime: !calc >- + doc.resources.run_gfsfcst[0].walltime + tools.to_timedelta('00:15:00') + + run_gdaspost: !JobRequest + - memory: "3072M" + mpi_ranks: 72 + walltime: !timedelta "00:10:00" + exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 1 + + run_gfspost: !JobRequest + - memory: "3072M" + mpi_ranks: 72 + walltime: !timedelta "00:10:00" + exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 1 + + run_gfsvrfy: !JobRequest + - memory: "3072M" + mpi_ranks: 1 + walltime: !timedelta "02:00:00" + exe: placeholder + max_ppn: 1 + + run_gdasvrfy: !JobRequest + - memory: "3072M" + mpi_ranks: 1 + walltime: !timedelta "02:00:00" + exe: placeholder + max_ppn: 1 + + run_arch: !JobRequest + - memory: "3072M" + exclusive: false + mpi_ranks: 1 + walltime: !timedelta "01:00:00" + exe: placeholder + max_ppn: 1 + OMP_NUM_THREADS: 2 + + run_final: !JobRequest + - memory: "2M" + mpi_ranks: 1 + walltime: !timedelta "00:01:00" + exe: placeholder + max_ppn: 1 + OMP_NUM_THREADS: 2 + + run_earc: !JobRequest + - memory: "3072M" + mpi_ranks: 1 + walltime: !timedelta "01:00:00" + exe: placeholder + max_ppn: 1 + + run_fv3ic: !JobRequest + - memory: "3072M" + mpi_ranks: 24 + exe: placeholder diff --git a/model/ecflow_fv3gfs/resources.yaml b/model/ecflow_fv3gfs/resources_C768_C384.yaml similarity index 99% rename from model/ecflow_fv3gfs/resources.yaml rename to model/ecflow_fv3gfs/resources_C768_C384.yaml index 1ee95c0..d8402cc 100644 --- a/model/ecflow_fv3gfs/resources.yaml +++ b/model/ecflow_fv3gfs/resources_C768_C384.yaml @@ -1,4 +1,4 @@ -resource_demo: &resource_demo +resources: run_test: !JobRequest - exe: nothing diff --git a/model/ecflow_fv3gfs/schedulers.yaml b/model/ecflow_fv3gfs/schedulers.yaml new file mode 100644 index 0000000..532eaeb --- /dev/null +++ b/model/ecflow_fv3gfs/schedulers.yaml @@ -0,0 +1,23 @@ + +wcoss_cray_scheduler_settings: &wcoss_cray_scheduler_settings + name: LSFAlps + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + +theia_scheduler_settings: &theia_scheduler_settings + name: MoabTorque + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + +# Magic block to automatically detect your machine +automatic_scheduler_settings: &automatic_scheduler_settings !FirstTrue + - when: !calc tools.isdir("/gpfs") and tools.isdir("/usrx/local") + do: *wcoss_cray_scheduler_settings + - when: !calc tools.isdir("/scratch4") and tools.isdir("/scratch3") + do: *theia_scheduler_settings + - otherwise: !error "You are not on Theia or WCOSS" + +scheduler_settings: *automatic_scheduler_settings + diff --git a/model/ecflow_fv3gfs/scripts/prod00/cycle_end.ecf b/model/ecflow_fv3gfs/scripts/prod00/cycle_end.ecf deleted file mode 100644 index 4e874f0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/cycle_end.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J cycle_end -#BSUB -o %ECF_OUT%/cycle_end_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/CYCLE_END - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/analysis/jgdas_analysis_high.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/analysis/jgdas_analysis_high.ecf deleted file mode 100644 index a200197..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/analysis/jgdas_analysis_high.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.analysis.jgdas_analysis_high -#BSUB -o %ECF_OUT%/gdas.analysis.jgdas_analysis_high_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=60 -%include -%include - -set -x - -export ntasks=360 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_ANALYSIS_HIGH - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf deleted file mode 100644 index 709273c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_dump.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.dump.jgdas_dump -#BSUB -o %ECF_OUT%/gdas.dump.jgdas_dump_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_DUMP - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf deleted file mode 100644 index ed05c2c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_ics.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.dump.jgdas_ics -#BSUB -o %ECF_OUT%/gdas.dump.jgdas_ics_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_ICS - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf deleted file mode 100644 index 249de65..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/dump/jgdas_tropcy_qc_reloc.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.dump.jgdas_tropcy_qc_reloc -#BSUB -o %ECF_OUT%/gdas.dump.jgdas_tropcy_qc_reloc_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_TROPCY_QC_RELOC - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf deleted file mode 100644 index 6962c93..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp1_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf deleted file mode 100644 index 9d83c54..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp10 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp10_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf deleted file mode 100644 index 3b71f69..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp11 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp11_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf deleted file mode 100644 index 20218b2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp12 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp12_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf deleted file mode 100644 index e6dcf10..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp13 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp13_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf deleted file mode 100644 index 02454db..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp14 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp14_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf deleted file mode 100644 index 39ec92b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp15 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp15_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf deleted file mode 100644 index 205d7e0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp16 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp16_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf deleted file mode 100644 index 075bc1e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp2_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf deleted file mode 100644 index ee7440e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp3_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf deleted file mode 100644 index 220b2b9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp4_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf deleted file mode 100644 index 6d76be7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp5_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf deleted file mode 100644 index 562af3e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp6_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf deleted file mode 100644 index 9ab23fd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp7_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf deleted file mode 100644 index ac0ea33..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp8_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf deleted file mode 100644 index 1492e8b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp9 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp9_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf deleted file mode 100644 index e4615f0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp1_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf deleted file mode 100644 index cb30b45..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp10 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp10_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf deleted file mode 100644 index fd29f5b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp11 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp11_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf deleted file mode 100644 index e2488d5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp12 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp12_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf deleted file mode 100644 index 683c235..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp13 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp13_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf deleted file mode 100644 index 641c407..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp14 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp14_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf deleted file mode 100644 index 0df0a8c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp15 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp15_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf deleted file mode 100644 index 3829b2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp16 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp16_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf deleted file mode 100644 index f3bf604..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp2_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf deleted file mode 100644 index e6bc15e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp3_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf deleted file mode 100644 index 73e7cb4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp4_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf deleted file mode 100644 index eb04b16..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp5_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf deleted file mode 100644 index d6b056e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp6_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf deleted file mode 100644 index a5b49b8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp7_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf deleted file mode 100644 index 630d329..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp8_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf deleted file mode 100644 index 2b064b3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp9 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp9_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf deleted file mode 100644 index b6d1c18..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_inflate_recenter.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_inflate_recenter -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_inflate_recenter_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=20 -%include -%include - -set -x - -export ntasks=80 -export ptile=4 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_ENKF_INFLATE_RECENTER - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf deleted file mode 100644 index 1abe592..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_post.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_post -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_post_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=20 -%include -%include - -set -x - -export ntasks=80 -export ptile=4 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_ENKF_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf deleted file mode 100644 index e0d4d1e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_select_obs.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_select_obs -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_select_obs_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=4 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_ENKF_SELECT_OBS - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf deleted file mode 100644 index 0843762..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/enkf/jgdas_enkf_update.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_update -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_update_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=40 -%include -%include - -set -x - -export ntasks=240 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_ENKF_UPDATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/forecast/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/forecast/jgdas_forecast.ecf deleted file mode 100644 index eed96b0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/forecast/jgdas_forecast.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.forecast.jgdas_forecast -#BSUB -o %ECF_OUT%/gdas.forecast.jgdas_forecast_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak.ecf deleted file mode 100644 index e611466..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.gempak.jgdas_gempak -#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_GEMPAK - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_meta.ecf deleted file mode 100644 index 93dc751..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_meta.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.gempak.jgdas_gempak_meta -#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_meta_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_GEMPAK_META - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_ncdc.ecf deleted file mode 100644 index a10c959..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/gempak/jgdas_gempak_ncdc.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.gempak.jgdas_gempak_ncdc -#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_ncdc_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_GEMPAK_NCDC - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf deleted file mode 100644 index e99e392..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_verfrad.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.jgdas_verfrad -#BSUB -o %ECF_OUT%/gdas.jgdas_verfrad_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_VERFRAD - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf deleted file mode 100644 index 16e857c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/jgdas_vminmon.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.jgdas_vminmon -#BSUB -o %ECF_OUT%/gdas.jgdas_vminmon_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_VMINMON - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/post/jgdas_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/post/jgdas_post.ecf deleted file mode 100644 index a83823e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/post/jgdas_post.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.jgdas_post -#BSUB -o %ECF_OUT%/gdas.post.jgdas_post_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf deleted file mode 100644 index e65c565..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post_processing.bulletins.jgdas_mknavybulls -#BSUB -o %ECF_OUT%/gdas.post_processing.bulletins.jgdas_mknavybulls_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_MKNAVYBULLS - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf deleted file mode 100644 index 4d41618..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_emcsfc_sfc_prep.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep.jgdas_emcsfc_sfc_prep -#BSUB -o %ECF_OUT%/gdas.prep.jgdas_emcsfc_sfc_prep_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_EMCSFC_SFC_PREP - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf deleted file mode 100644 index d70e3a8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep.jgdas_prep -#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=3 -%include -%include - -set -x - -export ntasks=6 -export ptile=2 -export threads=9223372036854775807 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_PREP - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf deleted file mode 100644 index e749457..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gdas/prep/jgdas_prep_post.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep.jgdas_prep_post -#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_post_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_PREP_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf deleted file mode 100644 index 2695723..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_dump.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.dump.jgfs_dump -#BSUB -o %ECF_OUT%/gfs.dump.jgfs_dump_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_DUMP - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf deleted file mode 100644 index 5cd044c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/dump/jgfs_tropcy_qc_reloc.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.dump.jgfs_tropcy_qc_reloc -#BSUB -o %ECF_OUT%/gfs.dump.jgfs_tropcy_qc_reloc_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_TROPCY_QC_RELOC - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_high.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_high.ecf deleted file mode 100644 index c3140db..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_high.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.forecast.jgfs_forecast_high -#BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_high_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_low.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_low.ecf deleted file mode 100644 index 37302ab..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/forecast/jgfs_forecast_low.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.forecast.jgfs_forecast_low -#BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_low_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak.ecf deleted file mode 100644 index 33942eb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.gempak.jgfs_gempak -#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_GEMPAK - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_meta.ecf deleted file mode 100644 index 27db5f1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_meta.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.gempak.jgfs_gempak_meta -#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_meta_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_GEMPAK_META - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_ncdc.ecf deleted file mode 100644 index 3188b7c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_ncdc.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.gempak.jgfs_gempak_ncdc -#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_ncdc_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_GEMPAK_NCDC - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_upapgif.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_upapgif.ecf deleted file mode 100644 index 8a66333..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_gempak_upapgif.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.gempak.jgfs_gempak_upapgif -#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_upapgif_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_GEMPAK_UPAPGIF - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf deleted file mode 100644 index 64ef876..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf +++ /dev/null @@ -1,33 +0,0 @@ -#! /bin/sh -{ecf_batch_resources} -%include -%include - -set -x - -{ecf_resource_more} - -export model={model_name} -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -{ecf_module_commands} -module list - -############################################################# -# WCOSS environment settings -############################################################# - -{ecf_environment_settings} - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST - -%include -%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf deleted file mode 100644 index abcf04c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_analysis.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.jgfs_analysis -#BSUB -o %ECF_OUT%/gfs.jgfs_analysis_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=60 -%include -%include - -set -x - -export ntasks=360 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_ANALYSIS - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf deleted file mode 100644 index fa7eafe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/jgfs_vminmon.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.jgfs_vminmon -#BSUB -o %ECF_OUT%/gfs.jgfs_vminmon_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_VMINMON - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/dummy.ecf deleted file mode 100644 index 700857f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/dummy.ecf +++ /dev/null @@ -1,11 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.dummy -#BSUB -o %ECF_OUT%/gfs.post.dummy_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/DUMMY -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_pgrb2_spec_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_pgrb2_spec_post.ecf deleted file mode 100644 index 0801f05..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_pgrb2_spec_post.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_pgrb2_spec_post -#BSUB -o %ECF_OUT%/gfs.post.jgfs_pgrb2_spec_post_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_PGRB2_SPEC_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_anl.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_anl.ecf deleted file mode 100644 index 45a93c4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_anl.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_anl -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_anl_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_ANL - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f00.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f00.ecf deleted file mode 100644 index 02597de..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f00.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f00 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f00_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F00 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f01.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f01.ecf deleted file mode 100644 index 108bf63..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f01.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f01 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f01_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F01 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f02.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f02.ecf deleted file mode 100644 index 4fdac2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f02.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f02 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f02_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F02 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f03.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f03.ecf deleted file mode 100644 index f9e67b3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f03.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f03 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f03_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F03 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f04.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f04.ecf deleted file mode 100644 index 5cf2213..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f04.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f04 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f04_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F04 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f05.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f05.ecf deleted file mode 100644 index 4d07699..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f05.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f05 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f05_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F05 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f06.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f06.ecf deleted file mode 100644 index 61378cf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f06.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f06 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f06_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F06 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f07.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f07.ecf deleted file mode 100644 index 358a358..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f07.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f07 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f07_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F07 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f08.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f08.ecf deleted file mode 100644 index 54f90b9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f08.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f08 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f08_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F08 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f09.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f09.ecf deleted file mode 100644 index 8b82c0e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f09.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f09 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f09_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F09 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f10.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f10.ecf deleted file mode 100644 index 3cdac7f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f10.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f10 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f10_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F10 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f100.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f100.ecf deleted file mode 100644 index 1a86b5b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f100.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f100 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f100_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F100 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f101.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f101.ecf deleted file mode 100644 index e249fa7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f101.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f101 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f101_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F101 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f102.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f102.ecf deleted file mode 100644 index 169b6f8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f102.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f102 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f102_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F102 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f103.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f103.ecf deleted file mode 100644 index 9c80f50..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f103.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f103 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f103_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F103 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f104.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f104.ecf deleted file mode 100644 index 0c91263..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f104.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f104 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f104_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F104 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f105.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f105.ecf deleted file mode 100644 index 8c838f1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f105.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f105 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f105_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F105 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f106.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f106.ecf deleted file mode 100644 index 9227194..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f106.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f106 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f106_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F106 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f107.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f107.ecf deleted file mode 100644 index e66cc39..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f107.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f107 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f107_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F107 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f108.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f108.ecf deleted file mode 100644 index 2404b80..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f108.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f108 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f108_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F108 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f109.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f109.ecf deleted file mode 100644 index 568e47e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f109.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f109 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f109_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F109 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f11.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f11.ecf deleted file mode 100644 index a8c31fe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f11.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f11 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f11_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F11 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f110.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f110.ecf deleted file mode 100644 index 7f02883..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f110.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f110 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f110_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F110 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f111.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f111.ecf deleted file mode 100644 index 84edb70..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f111.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f111 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f111_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F111 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f112.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f112.ecf deleted file mode 100644 index 2c3d749..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f112.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f112 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f112_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F112 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f113.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f113.ecf deleted file mode 100644 index fcadb88..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f113.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f113 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f113_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F113 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f114.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f114.ecf deleted file mode 100644 index e7339b4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f114.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f114 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f114_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F114 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f115.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f115.ecf deleted file mode 100644 index 4028ca9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f115.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f115 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f115_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F115 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f116.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f116.ecf deleted file mode 100644 index 25c013b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f116.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f116 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f116_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F116 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f117.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f117.ecf deleted file mode 100644 index 40b2a29..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f117.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f117 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f117_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F117 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f118.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f118.ecf deleted file mode 100644 index c4f7bad..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f118.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f118 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f118_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F118 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f119.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f119.ecf deleted file mode 100644 index 57a736c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f119.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f119 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f119_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F119 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f12.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f12.ecf deleted file mode 100644 index 791286e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f12.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f12 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f12_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F12 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f120.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f120.ecf deleted file mode 100644 index 7efc829..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f120.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f120 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f120_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F120 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f123.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f123.ecf deleted file mode 100644 index b7fed67..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f123.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f123 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f123_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F123 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f126.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f126.ecf deleted file mode 100644 index faa1ca2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f126.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f126 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f126_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F126 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f129.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f129.ecf deleted file mode 100644 index 0bff7d7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f129.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f129 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f129_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F129 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f13.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f13.ecf deleted file mode 100644 index 9370750..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f13.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f13 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f13_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F13 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f132.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f132.ecf deleted file mode 100644 index cd98649..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f132.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f132 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f132_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F132 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f135.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f135.ecf deleted file mode 100644 index adf58ff..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f135.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f135 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f135_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F135 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f138.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f138.ecf deleted file mode 100644 index 3b4d3e1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f138.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f138 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f138_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F138 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f14.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f14.ecf deleted file mode 100644 index c2eb6c2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f14.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f14 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f14_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F14 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f141.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f141.ecf deleted file mode 100644 index 845199e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f141.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f141 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f141_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F141 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f144.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f144.ecf deleted file mode 100644 index b14d208..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f144.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f144 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f144_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F144 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f147.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f147.ecf deleted file mode 100644 index 8559ed0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f147.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f147 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f147_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F147 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f15.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f15.ecf deleted file mode 100644 index 086115c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f15.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f15 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f15_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F15 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f150.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f150.ecf deleted file mode 100644 index 309c34f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f150.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f150 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f150_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F150 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f153.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f153.ecf deleted file mode 100644 index 430952c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f153.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f153 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f153_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F153 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f156.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f156.ecf deleted file mode 100644 index 064a627..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f156.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f156 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f156_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F156 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f159.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f159.ecf deleted file mode 100644 index 1ce69e7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f159.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f159 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f159_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F159 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f16.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f16.ecf deleted file mode 100644 index fd7598f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f16.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f16 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f16_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F16 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f162.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f162.ecf deleted file mode 100644 index 854d633..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f162.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f162 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f162_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F162 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f165.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f165.ecf deleted file mode 100644 index c2c60b9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f165.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f165 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f165_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F165 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f168.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f168.ecf deleted file mode 100644 index 2a9d6ba..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f168.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f168 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f168_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F168 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f17.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f17.ecf deleted file mode 100644 index 5d76cb5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f17.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f17 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f17_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F17 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f171.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f171.ecf deleted file mode 100644 index 8ea9fff..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f171.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f171 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f171_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F171 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f174.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f174.ecf deleted file mode 100644 index 4402bce..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f174.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f174 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f174_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F174 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f177.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f177.ecf deleted file mode 100644 index 107d37a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f177.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f177 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f177_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F177 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f18.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f18.ecf deleted file mode 100644 index 3b29c2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f18.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f18 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f18_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F18 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f180.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f180.ecf deleted file mode 100644 index e9c8054..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f180.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f180 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f180_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F180 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f183.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f183.ecf deleted file mode 100644 index 22d1912..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f183.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f183 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f183_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F183 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f186.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f186.ecf deleted file mode 100644 index 115a3f4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f186.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f186 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f186_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F186 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f189.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f189.ecf deleted file mode 100644 index b106e6e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f189.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f189 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f189_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F189 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f19.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f19.ecf deleted file mode 100644 index 21956ec..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f19.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f19 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f19_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F19 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f192.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f192.ecf deleted file mode 100644 index 4ae2aff..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f192.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f192 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f192_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F192 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f195.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f195.ecf deleted file mode 100644 index 0f24e2a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f195.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f195 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f195_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F195 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f198.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f198.ecf deleted file mode 100644 index ef6396a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f198.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f198 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f198_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F198 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f20.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f20.ecf deleted file mode 100644 index 7c47937..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f20.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f20 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f20_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F20 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f201.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f201.ecf deleted file mode 100644 index 2f9317a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f201.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f201 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f201_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F201 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f204.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f204.ecf deleted file mode 100644 index efa0ded..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f204.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f204 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f204_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F204 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f207.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f207.ecf deleted file mode 100644 index ec1710e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f207.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f207 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f207_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F207 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f21.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f21.ecf deleted file mode 100644 index 4f804b0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f21.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f21 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f21_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F21 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f210.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f210.ecf deleted file mode 100644 index 35362be..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f210.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f210 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f210_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F210 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f213.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f213.ecf deleted file mode 100644 index 34c4bf6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f213.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f213 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f213_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F213 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f216.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f216.ecf deleted file mode 100644 index b71785a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f216.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f216 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f216_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F216 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f219.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f219.ecf deleted file mode 100644 index e5532a7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f219.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f219 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f219_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F219 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f22.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f22.ecf deleted file mode 100644 index 14de8d7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f22.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f22 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f22_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F22 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f222.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f222.ecf deleted file mode 100644 index 1323cc2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f222.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f222 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f222_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F222 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f225.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f225.ecf deleted file mode 100644 index 455ead1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f225.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f225 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f225_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F225 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f228.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f228.ecf deleted file mode 100644 index cfac18f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f228.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f228 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f228_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F228 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f23.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f23.ecf deleted file mode 100644 index 55ae234..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f23.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f23 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f23_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F23 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f231.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f231.ecf deleted file mode 100644 index 056d407..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f231.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f231 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f231_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F231 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f234.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f234.ecf deleted file mode 100644 index 23a4639..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f234.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f234 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f234_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F234 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f237.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f237.ecf deleted file mode 100644 index c0ce852..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f237.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f237 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f237_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F237 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f24.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f24.ecf deleted file mode 100644 index c8386e3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f24.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f24 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f24_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F24 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f240.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f240.ecf deleted file mode 100644 index c81481b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f240.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f240 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f240_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F240 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f25.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f25.ecf deleted file mode 100644 index c27b146..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f25.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f25 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f25_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F25 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f252.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f252.ecf deleted file mode 100644 index de6a3e9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f252.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f252 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f252_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F252 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f26.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f26.ecf deleted file mode 100644 index 19e658b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f26.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f26 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f26_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F26 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f264.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f264.ecf deleted file mode 100644 index 6062291..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f264.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f264 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f264_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F264 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f27.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f27.ecf deleted file mode 100644 index 49aefae..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f27.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f27 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f27_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F27 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f276.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f276.ecf deleted file mode 100644 index 0acae9d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f276.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f276 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f276_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F276 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f28.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f28.ecf deleted file mode 100644 index ed73eb8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f28.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f28 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f28_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F28 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f288.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f288.ecf deleted file mode 100644 index 42d9617..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f288.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f288 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f288_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F288 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f29.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f29.ecf deleted file mode 100644 index c84c6f3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f29.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f29 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f29_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F29 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f30.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f30.ecf deleted file mode 100644 index 23927d7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f30.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f30 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f30_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F30 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f300.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f300.ecf deleted file mode 100644 index 68473ec..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f300.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f300 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f300_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F300 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f31.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f31.ecf deleted file mode 100644 index a7d4c7e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f31.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f31 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f31_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F31 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f312.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f312.ecf deleted file mode 100644 index 2b32b78..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f312.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f312 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f312_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F312 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f32.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f32.ecf deleted file mode 100644 index 7730300..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f32.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f32 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f32_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F32 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f324.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f324.ecf deleted file mode 100644 index 7a86340..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f324.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f324 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f324_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F324 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f33.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f33.ecf deleted file mode 100644 index 6b5bec0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f33.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f33 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f33_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F33 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f336.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f336.ecf deleted file mode 100644 index 191765b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f336.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f336 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f336_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F336 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f34.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f34.ecf deleted file mode 100644 index cd5061b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f34.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f34 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f34_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F34 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f348.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f348.ecf deleted file mode 100644 index 95b8f8b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f348.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f348 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f348_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F348 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f35.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f35.ecf deleted file mode 100644 index cacaf52..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f35.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f35 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f35_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F35 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f36.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f36.ecf deleted file mode 100644 index e33dde8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f36.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f36 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f36_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F36 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f360.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f360.ecf deleted file mode 100644 index 4fc0fe4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f360.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f360 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f360_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F360 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f37.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f37.ecf deleted file mode 100644 index 5a92603..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f37.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f37 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f37_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F37 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f372.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f372.ecf deleted file mode 100644 index 8b5f4b6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f372.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f372 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f372_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F372 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f38.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f38.ecf deleted file mode 100644 index 2a26048..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f38.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f38 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f38_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F38 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f384.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f384.ecf deleted file mode 100644 index 938424a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f384.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f384 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f384_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F384 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f39.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f39.ecf deleted file mode 100644 index 1b8ec9d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f39.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f39 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f39_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F39 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f40.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f40.ecf deleted file mode 100644 index 1eec893..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f40.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f40 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f40_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F40 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f41.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f41.ecf deleted file mode 100644 index c1c7542..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f41.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f41 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f41_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F41 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f42.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f42.ecf deleted file mode 100644 index 2325836..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f42.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f42 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f42_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F42 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f43.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f43.ecf deleted file mode 100644 index ff41cfb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f43.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f43 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f43_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F43 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f44.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f44.ecf deleted file mode 100644 index 4ce3278..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f44.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f44 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f44_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F44 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f45.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f45.ecf deleted file mode 100644 index 91e6446..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f45.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f45 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f45_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F45 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f46.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f46.ecf deleted file mode 100644 index 8b0dc36..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f46.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f46 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f46_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F46 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f47.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f47.ecf deleted file mode 100644 index e67c97a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f47.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f47 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f47_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F47 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f48.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f48.ecf deleted file mode 100644 index 2b62b20..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f48.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f48 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f48_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F48 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f49.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f49.ecf deleted file mode 100644 index 472ad4c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f49.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f49 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f49_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F49 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f50.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f50.ecf deleted file mode 100644 index 7553af6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f50.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f50 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f50_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F50 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f51.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f51.ecf deleted file mode 100644 index c912aaa..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f51.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f51 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f51_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F51 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f52.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f52.ecf deleted file mode 100644 index 550df2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f52.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f52 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f52_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F52 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f53.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f53.ecf deleted file mode 100644 index 92dd73f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f53.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f53 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f53_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F53 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f54.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f54.ecf deleted file mode 100644 index bea0edc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f54.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f54 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f54_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F54 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f55.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f55.ecf deleted file mode 100644 index 837811c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f55.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f55 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f55_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F55 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f56.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f56.ecf deleted file mode 100644 index d89b869..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f56.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f56 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f56_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F56 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f57.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f57.ecf deleted file mode 100644 index 348ee4f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f57.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f57 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f57_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F57 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f58.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f58.ecf deleted file mode 100644 index 18c4a48..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f58.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f58 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f58_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F58 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f59.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f59.ecf deleted file mode 100644 index 911e47a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f59.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f59 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f59_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F59 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f60.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f60.ecf deleted file mode 100644 index 5146bd0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f60.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f60 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f60_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F60 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f61.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f61.ecf deleted file mode 100644 index ae3fea3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f61.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f61 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f61_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F61 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f62.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f62.ecf deleted file mode 100644 index 436b0bc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f62.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f62 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f62_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F62 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f63.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f63.ecf deleted file mode 100644 index f0a1a8f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f63.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f63 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f63_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F63 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f64.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f64.ecf deleted file mode 100644 index 950507b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f64.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f64 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f64_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F64 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f65.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f65.ecf deleted file mode 100644 index d6c4e7f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f65.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f65 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f65_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F65 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f66.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f66.ecf deleted file mode 100644 index 5925426..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f66.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f66 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f66_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F66 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f67.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f67.ecf deleted file mode 100644 index a30b66c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f67.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f67 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f67_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F67 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f68.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f68.ecf deleted file mode 100644 index 02c4962..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f68.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f68 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f68_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F68 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f69.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f69.ecf deleted file mode 100644 index 1a4852c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f69.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f69 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f69_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F69 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f70.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f70.ecf deleted file mode 100644 index ad1dca1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f70.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f70 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f70_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F70 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f71.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f71.ecf deleted file mode 100644 index b75158b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f71.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f71 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f71_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F71 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f72.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f72.ecf deleted file mode 100644 index bfda8ea..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f72.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f72 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f72_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F72 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f73.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f73.ecf deleted file mode 100644 index 5703db6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f73.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f73 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f73_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F73 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f74.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f74.ecf deleted file mode 100644 index 4d8a171..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f74.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f74 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f74_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F74 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f75.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f75.ecf deleted file mode 100644 index df16a6d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f75.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f75 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f75_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F75 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f76.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f76.ecf deleted file mode 100644 index 8e6af05..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f76.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f76 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f76_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F76 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f77.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f77.ecf deleted file mode 100644 index cfa16fc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f77.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f77 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f77_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F77 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f78.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f78.ecf deleted file mode 100644 index 96ab8cd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f78.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f78 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f78_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F78 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f79.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f79.ecf deleted file mode 100644 index d5bacf3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f79.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f79 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f79_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F79 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f80.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f80.ecf deleted file mode 100644 index a43e62f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f80.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f80 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f80_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F80 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f81.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f81.ecf deleted file mode 100644 index 433bd18..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f81.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f81 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f81_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F81 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f82.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f82.ecf deleted file mode 100644 index a79f29b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f82.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f82 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f82_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F82 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f83.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f83.ecf deleted file mode 100644 index c0a8717..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f83.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f83 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f83_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F83 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f84.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f84.ecf deleted file mode 100644 index fc497b7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f84.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f84 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f84_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F84 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f85.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f85.ecf deleted file mode 100644 index 4b8f159..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f85.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f85 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f85_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F85 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f86.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f86.ecf deleted file mode 100644 index d277132..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f86.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f86 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f86_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F86 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f87.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f87.ecf deleted file mode 100644 index ba24c5d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f87.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f87 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f87_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F87 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f88.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f88.ecf deleted file mode 100644 index 81a30a6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f88.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f88 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f88_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F88 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f89.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f89.ecf deleted file mode 100644 index 3514e27..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f89.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f89 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f89_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F89 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f90.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f90.ecf deleted file mode 100644 index 73a0585..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f90.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f90 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f90_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F90 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f91.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f91.ecf deleted file mode 100644 index 3eceeee..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f91.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f91 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f91_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F91 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f92.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f92.ecf deleted file mode 100644 index bc30db6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f92.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f92 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f92_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F92 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f93.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f93.ecf deleted file mode 100644 index 842596d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f93.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f93 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f93_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F93 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f94.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f94.ecf deleted file mode 100644 index adc946c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f94.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f94 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f94_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F94 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f95.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f95.ecf deleted file mode 100644 index 961d45a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f95.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f95 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f95_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F95 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f96.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f96.ecf deleted file mode 100644 index e7c1809..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f96.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f96 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f96_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F96 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f97.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f97.ecf deleted file mode 100644 index 5a7b7cc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f97.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f97 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f97_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F97 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f98.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f98.ecf deleted file mode 100644 index 6aba72f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f98.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f98 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f98_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F98 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f99.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f99.ecf deleted file mode 100644 index fd493c7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_f99.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f99 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f99_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F99 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_manager.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_manager.ecf deleted file mode 100644 index cce2e41..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post/jgfs_post_manager.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_manager -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_manager_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_MANAGER - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf deleted file mode 100644 index d3ec7f0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f000 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f000_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F000 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf deleted file mode 100644 index 669ca3e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f001 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f001_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F001 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf deleted file mode 100644 index bcc4ea7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f002 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f002_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F002 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf deleted file mode 100644 index 1389451..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f003 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f003_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F003 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf deleted file mode 100644 index ff9a6db..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f004 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f004_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F004 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf deleted file mode 100644 index fa0b9da..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f005 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f005_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F005 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf deleted file mode 100644 index 216de5b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f006 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f006_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F006 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf deleted file mode 100644 index e848d86..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f007 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f007_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F007 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf deleted file mode 100644 index 8fd242a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f008 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f008_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F008 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf deleted file mode 100644 index d27fae2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f009 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f009_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F009 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf deleted file mode 100644 index 0484b7b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f010 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f010_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F010 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf deleted file mode 100644 index 3aa2ddb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f011 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f011_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F011 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf deleted file mode 100644 index ceb7bcf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f012 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f012_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F012 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf deleted file mode 100644 index ab42d41..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f013 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f013_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F013 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf deleted file mode 100644 index 59a4b64..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f014 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f014_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F014 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf deleted file mode 100644 index 53a8752..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f015 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f015_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F015 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf deleted file mode 100644 index 6a2c161..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f016 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f016_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F016 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf deleted file mode 100644 index 5643028..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f017 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f017_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F017 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf deleted file mode 100644 index 9017a9e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f018 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f018_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F018 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf deleted file mode 100644 index e330aff..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f019 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f019_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F019 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf deleted file mode 100644 index 9ed1e5e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f020 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f020_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F020 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf deleted file mode 100644 index 1db01b8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f021 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f021_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F021 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf deleted file mode 100644 index 4d37614..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f022 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f022_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F022 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf deleted file mode 100644 index 7cce8c7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f023 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f023_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F023 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf deleted file mode 100644 index d257cd9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f024 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f024_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F024 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf deleted file mode 100644 index 280cef8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f025 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f025_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F025 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf deleted file mode 100644 index 4988749..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f026 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f026_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F026 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf deleted file mode 100644 index 5d3a7a1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f027 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f027_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F027 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf deleted file mode 100644 index de2fc15..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f028 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f028_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F028 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf deleted file mode 100644 index fb40400..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f029 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f029_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F029 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf deleted file mode 100644 index 1b2d8c6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f030 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f030_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F030 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf deleted file mode 100644 index fce31a7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f031 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f031_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F031 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf deleted file mode 100644 index c657d14..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f032 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f032_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F032 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf deleted file mode 100644 index 4fc3a2c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f033 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f033_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F033 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf deleted file mode 100644 index 8f26afb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f034 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f034_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F034 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf deleted file mode 100644 index ecabe41..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f035 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f035_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F035 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf deleted file mode 100644 index 5ee87b4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f036 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f036_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F036 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf deleted file mode 100644 index 4069a46..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f037 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f037_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F037 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf deleted file mode 100644 index 9a02dd7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f038 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f038_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F038 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf deleted file mode 100644 index 196511a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f039 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f039_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F039 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf deleted file mode 100644 index 8f90a29..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f040 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f040_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F040 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf deleted file mode 100644 index 22378cc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f041 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f041_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F041 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf deleted file mode 100644 index 5926ab9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f042 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f042_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F042 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf deleted file mode 100644 index 9722311..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f043 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f043_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F043 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf deleted file mode 100644 index fe25953..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f044 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f044_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F044 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf deleted file mode 100644 index 5a97394..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f045 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f045_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F045 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf deleted file mode 100644 index 61ddb06..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f046 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f046_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F046 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf deleted file mode 100644 index 64cf3a6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f047 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f047_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F047 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf deleted file mode 100644 index 92a8d47..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f048 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f048_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F048 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf deleted file mode 100644 index a14d268..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f049 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f049_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F049 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf deleted file mode 100644 index fb8d1ca..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f050 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f050_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F050 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf deleted file mode 100644 index 6a2377c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f051 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f051_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F051 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf deleted file mode 100644 index 6161af9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f052 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f052_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F052 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf deleted file mode 100644 index 518272e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f053 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f053_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F053 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf deleted file mode 100644 index 2e1e4ab..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f054 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f054_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F054 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf deleted file mode 100644 index 7fe7456..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f055 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f055_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F055 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf deleted file mode 100644 index 895605b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f056 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f056_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F056 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf deleted file mode 100644 index 5a552bd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f057 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f057_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F057 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf deleted file mode 100644 index 4a6e5fe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f058 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f058_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F058 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf deleted file mode 100644 index 3fb2a82..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f059 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f059_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F059 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf deleted file mode 100644 index cf13c9f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f060 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f060_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F060 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf deleted file mode 100644 index b752ca2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f061 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f061_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F061 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf deleted file mode 100644 index 45a961c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f062 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f062_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F062 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf deleted file mode 100644 index 18722a0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f063 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f063_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F063 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf deleted file mode 100644 index 1ed950b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f064 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f064_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F064 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf deleted file mode 100644 index a3ee684..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f065 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f065_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F065 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf deleted file mode 100644 index a30cdf1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f066 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f066_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F066 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf deleted file mode 100644 index 971abcc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f067 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f067_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F067 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf deleted file mode 100644 index 20aae5d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f068 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f068_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F068 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf deleted file mode 100644 index 23b3f35..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f069 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f069_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F069 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf deleted file mode 100644 index 0bca73e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f070 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f070_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F070 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf deleted file mode 100644 index e18ed18..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f071 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f071_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F071 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf deleted file mode 100644 index 81b0dbd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f072 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f072_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F072 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf deleted file mode 100644 index 0afd294..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f073 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f073_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F073 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf deleted file mode 100644 index b35e294..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f074 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f074_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F074 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf deleted file mode 100644 index cdd1c9a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f075 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f075_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F075 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf deleted file mode 100644 index 463d7fc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f076 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f076_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F076 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf deleted file mode 100644 index 9b6d244..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f077 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f077_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F077 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf deleted file mode 100644 index 6a8f2e0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f078 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f078_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F078 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf deleted file mode 100644 index 77b3012..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f079 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f079_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F079 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf deleted file mode 100644 index 603586f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f080 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f080_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F080 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf deleted file mode 100644 index 28338e3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f081 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f081_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F081 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf deleted file mode 100644 index 67d2c2a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f082 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f082_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F082 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf deleted file mode 100644 index c7fa12e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f083 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f083_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F083 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf deleted file mode 100644 index 42f449d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f084 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f084_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F084 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf deleted file mode 100644 index b1a1f45..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f085 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f085_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F085 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf deleted file mode 100644 index eca4005..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f086 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f086_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F086 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf deleted file mode 100644 index f0cf3d8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f087 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f087_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F087 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf deleted file mode 100644 index acfad04..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f088 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f088_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F088 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf deleted file mode 100644 index a640f6a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f089 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f089_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F089 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf deleted file mode 100644 index e089a70..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f090 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f090_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F090 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf deleted file mode 100644 index f5b80dc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f091 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f091_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F091 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf deleted file mode 100644 index 0a98218..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f092 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f092_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F092 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf deleted file mode 100644 index 5864377..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f093 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f093_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F093 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf deleted file mode 100644 index e598259..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f094 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f094_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F094 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf deleted file mode 100644 index bbcaefa..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f095 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f095_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F095 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf deleted file mode 100644 index cacd7fa..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f096 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f096_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F096 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf deleted file mode 100644 index 26dc797..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f097 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f097_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F097 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf deleted file mode 100644 index eb95fc9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f098 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f098_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F098 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf deleted file mode 100644 index 474a79a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f099 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f099_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F099 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf deleted file mode 100644 index 25443e0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f100 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f100_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf deleted file mode 100644 index 346a86d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f101 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f101_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf deleted file mode 100644 index f49973c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f102 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f102_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf deleted file mode 100644 index 70ec792..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f103 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f103_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf deleted file mode 100644 index 732d5d0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f104 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f104_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf deleted file mode 100644 index 4efbb80..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f105 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f105_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf deleted file mode 100644 index 27e9e7e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f106 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f106_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf deleted file mode 100644 index db13b96..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f107 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f107_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf deleted file mode 100644 index 61fef46..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f108 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f108_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf deleted file mode 100644 index a7ad7c6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f109 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f109_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf deleted file mode 100644 index b8e8353..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f110 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f110_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf deleted file mode 100644 index 8092265..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f111 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f111_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf deleted file mode 100644 index 79245a5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f112 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f112_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf deleted file mode 100644 index c31419b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f113 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f113_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf deleted file mode 100644 index 57e845a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f114 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f114_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf deleted file mode 100644 index 7784fcc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f115 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f115_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf deleted file mode 100644 index 58c52d0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f116 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f116_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf deleted file mode 100644 index d222027..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f117 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f117_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf deleted file mode 100644 index 40bd197..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f118 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f118_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf deleted file mode 100644 index acc1d99..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f119 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f119_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf deleted file mode 100644 index bc4057c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f120 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f120_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf deleted file mode 100644 index 06a8220..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f123 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f123_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf deleted file mode 100644 index 0971d65..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f126 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f126_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf deleted file mode 100644 index 98b8e26..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f129 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f129_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf deleted file mode 100644 index f0149ce..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f132 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f132_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf deleted file mode 100644 index b92409f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f135 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f135_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf deleted file mode 100644 index 65b9001..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f138 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f138_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf deleted file mode 100644 index 515a95f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f141 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f141_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf deleted file mode 100644 index a83e1ee..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f144 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f144_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf deleted file mode 100644 index 513a955..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f147 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f147_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf deleted file mode 100644 index 7148c6f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f150 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f150_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf deleted file mode 100644 index 6f6c03a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f153 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f153_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf deleted file mode 100644 index 2124ce9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f156 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f156_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf deleted file mode 100644 index 845a1a9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f159 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f159_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf deleted file mode 100644 index d562347..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f162 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f162_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf deleted file mode 100644 index a7c2d69..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f165 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f165_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf deleted file mode 100644 index 9f40903..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f168 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f168_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf deleted file mode 100644 index 5e7e844..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f171 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f171_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf deleted file mode 100644 index b1ddb61..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f174 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f174_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf deleted file mode 100644 index 0b2eda4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f177 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f177_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf deleted file mode 100644 index 37b5b22..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f180 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f180_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf deleted file mode 100644 index 836131c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f183 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f183_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf deleted file mode 100644 index 5bb10f4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f186 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f186_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf deleted file mode 100644 index 295fa2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f189 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f189_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf deleted file mode 100644 index e7df28f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f192 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f192_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf deleted file mode 100644 index 84e2e0a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f195 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f195_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf deleted file mode 100644 index c4410ac..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f198 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f198_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf deleted file mode 100644 index 73c50b7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f201 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f201_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf deleted file mode 100644 index a4c0108..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f204 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f204_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf deleted file mode 100644 index fd59fac..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f207 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f207_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf deleted file mode 100644 index 8d1750d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f210 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f210_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf deleted file mode 100644 index 2c901e9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f213 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f213_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf deleted file mode 100644 index dd202cc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f216 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f216_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf deleted file mode 100644 index cf3b8af..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f219 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f219_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf deleted file mode 100644 index 8a2b14d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f222 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f222_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf deleted file mode 100644 index 72ba6d8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f225 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f225_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf deleted file mode 100644 index e6d53d8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f228 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f228_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf deleted file mode 100644 index 674137f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f231 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f231_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf deleted file mode 100644 index 423eaea..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f234 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f234_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf deleted file mode 100644 index d454c69..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f237 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f237_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf deleted file mode 100644 index 2f09456..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f240 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f240_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf deleted file mode 100644 index bf69c9c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f252 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f252_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf deleted file mode 100644 index aaaad1a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f264 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f264_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf deleted file mode 100644 index 61fbc1d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f276 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f276_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf deleted file mode 100644 index 6189c31..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f288 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f288_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf deleted file mode 100644 index f3645fe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f300 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f300_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf deleted file mode 100644 index 676d412..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f312 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f312_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf deleted file mode 100644 index 5492342..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f324 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f324_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf deleted file mode 100644 index 7f558a7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f336 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f336_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf deleted file mode 100644 index b6f52b5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f348 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f348_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf deleted file mode 100644 index e747d70..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f360 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f360_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf deleted file mode 100644 index 4eb5880..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f372 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f372_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf deleted file mode 100644 index 83608a9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f384 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f384_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf deleted file mode 100644 index 427e646..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f000 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f000_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F000 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf deleted file mode 100644 index ab2af43..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f001 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f001_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F001 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf deleted file mode 100644 index 9c3ce49..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f002 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f002_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F002 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf deleted file mode 100644 index 91ecf3d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f003 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f003_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F003 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf deleted file mode 100644 index 963ab8c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f004 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f004_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F004 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf deleted file mode 100644 index 5e1ff16..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f005 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f005_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F005 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf deleted file mode 100644 index 5f79c28..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f006 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f006_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F006 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf deleted file mode 100644 index 57a944e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f007 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f007_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F007 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf deleted file mode 100644 index d2ebc78..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f008 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f008_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F008 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf deleted file mode 100644 index e6195e8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f009 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f009_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F009 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf deleted file mode 100644 index 53301c3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f010 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f010_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F010 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf deleted file mode 100644 index 8827e9f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f011 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f011_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F011 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf deleted file mode 100644 index a11f357..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f012 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f012_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F012 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf deleted file mode 100644 index 18e01f0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f013 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f013_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F013 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf deleted file mode 100644 index e5e4f59..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f014 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f014_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F014 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf deleted file mode 100644 index 1b34c83..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f015 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f015_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F015 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf deleted file mode 100644 index cf01459..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f016 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f016_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F016 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf deleted file mode 100644 index bdca96c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f017 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f017_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F017 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf deleted file mode 100644 index 492457c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f018 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f018_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F018 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf deleted file mode 100644 index b0bf180..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f019 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f019_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F019 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf deleted file mode 100644 index 3bf1ecc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f020 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f020_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F020 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf deleted file mode 100644 index bc6d6e4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f021 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f021_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F021 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf deleted file mode 100644 index 7de3250..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f022 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f022_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F022 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf deleted file mode 100644 index e28a3cb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f023 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f023_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F023 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf deleted file mode 100644 index ff0e27c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f024 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f024_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F024 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf deleted file mode 100644 index 3e18e44..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f025 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f025_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F025 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf deleted file mode 100644 index ac56176..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f026 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f026_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F026 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf deleted file mode 100644 index 80dab35..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f027 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f027_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F027 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf deleted file mode 100644 index d79b344..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f028 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f028_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F028 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf deleted file mode 100644 index 20d63eb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f029 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f029_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F029 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf deleted file mode 100644 index 1e2b0c5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f030 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f030_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F030 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf deleted file mode 100644 index c900671..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f031 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f031_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F031 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf deleted file mode 100644 index 87be72c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f032 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f032_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F032 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf deleted file mode 100644 index e07b9d4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f033 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f033_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F033 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf deleted file mode 100644 index ebd6b4d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f034 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f034_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F034 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf deleted file mode 100644 index 0bb38cb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f035 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f035_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F035 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf deleted file mode 100644 index 3943b5e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f036 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f036_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F036 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf deleted file mode 100644 index 6ca5114..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f037 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f037_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F037 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf deleted file mode 100644 index cff0b72..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f038 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f038_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F038 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf deleted file mode 100644 index d2dbbbe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f039 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f039_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F039 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf deleted file mode 100644 index e44ab23..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f040 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f040_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F040 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf deleted file mode 100644 index aced496..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f041 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f041_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F041 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf deleted file mode 100644 index dbd4901..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f042 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f042_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F042 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf deleted file mode 100644 index b669e2a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f043 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f043_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F043 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf deleted file mode 100644 index 0d87eca..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f044 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f044_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F044 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf deleted file mode 100644 index 5fc043e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f045 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f045_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F045 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf deleted file mode 100644 index 1d44129..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f046 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f046_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F046 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf deleted file mode 100644 index 99e628a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f047 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f047_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F047 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf deleted file mode 100644 index dc202cd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f048 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f048_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F048 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf deleted file mode 100644 index 4badc2d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f049 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f049_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F049 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf deleted file mode 100644 index c5eea93..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f050 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f050_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F050 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf deleted file mode 100644 index 847867e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f051 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f051_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F051 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf deleted file mode 100644 index 5c2e61c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f052 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f052_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F052 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf deleted file mode 100644 index 9f92201..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f053 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f053_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F053 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf deleted file mode 100644 index fcbd8c0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f054 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f054_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F054 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf deleted file mode 100644 index 4b21b8e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f055 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f055_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F055 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf deleted file mode 100644 index f69e31d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f056 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f056_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F056 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf deleted file mode 100644 index 0bc7a5a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f057 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f057_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F057 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf deleted file mode 100644 index cca67b3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f058 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f058_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F058 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf deleted file mode 100644 index 82481d8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f059 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f059_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F059 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf deleted file mode 100644 index 767c16d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f060 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f060_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F060 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf deleted file mode 100644 index c3accb6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f061 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f061_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F061 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf deleted file mode 100644 index 0f1e2b4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f062 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f062_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F062 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf deleted file mode 100644 index 26cd4fd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f063 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f063_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F063 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf deleted file mode 100644 index 9e31579..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f064 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f064_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F064 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf deleted file mode 100644 index 904ad8e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f065 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f065_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F065 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf deleted file mode 100644 index 2ac1eef..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f066 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f066_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F066 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf deleted file mode 100644 index e28f3e0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f067 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f067_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F067 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf deleted file mode 100644 index 47e532d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f068 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f068_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F068 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf deleted file mode 100644 index f0795c7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f069 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f069_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F069 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf deleted file mode 100644 index 09f9386..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f070 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f070_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F070 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf deleted file mode 100644 index 7af6e71..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f071 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f071_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F071 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf deleted file mode 100644 index 163556e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f072 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f072_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F072 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf deleted file mode 100644 index 312d962..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f073 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f073_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F073 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf deleted file mode 100644 index bc5854d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f074 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f074_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F074 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf deleted file mode 100644 index 995dd06..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f075 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f075_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F075 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf deleted file mode 100644 index 037d514..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f076 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f076_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F076 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf deleted file mode 100644 index 0975f98..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f077 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f077_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F077 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf deleted file mode 100644 index 4fbf9a2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f078 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f078_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F078 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf deleted file mode 100644 index f289400..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f079 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f079_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F079 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf deleted file mode 100644 index de9d879..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f080 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f080_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F080 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf deleted file mode 100644 index 724b2c8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f081 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f081_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F081 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf deleted file mode 100644 index 26342f1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f082 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f082_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F082 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf deleted file mode 100644 index edac663..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f083 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f083_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F083 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf deleted file mode 100644 index 23a6cc3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f084 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f084_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F084 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf deleted file mode 100644 index 1c4b186..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f085 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f085_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F085 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf deleted file mode 100644 index d640e2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f086 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f086_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F086 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf deleted file mode 100644 index 2b9a3b8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f087 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f087_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F087 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf deleted file mode 100644 index a8d0f25..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f088 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f088_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F088 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf deleted file mode 100644 index d86ef52..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f089 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f089_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F089 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf deleted file mode 100644 index 4165018..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f090 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f090_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F090 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf deleted file mode 100644 index 44f317d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f091 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f091_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F091 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf deleted file mode 100644 index 5d2b709..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f092 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f092_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F092 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf deleted file mode 100644 index bf7bae7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f093 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f093_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F093 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf deleted file mode 100644 index 36cce97..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f094 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f094_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F094 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf deleted file mode 100644 index 5a253b1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f095 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f095_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F095 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf deleted file mode 100644 index dc3b558..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f096 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f096_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F096 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf deleted file mode 100644 index 7def71f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f097 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f097_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F097 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf deleted file mode 100644 index 24e1f8b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f098 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f098_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F098 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf deleted file mode 100644 index 518dc00..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f099 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f099_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F099 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf deleted file mode 100644 index 0d5469e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f100 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f100_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf deleted file mode 100644 index f78c4da..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f101 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f101_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf deleted file mode 100644 index 382154d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f102 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f102_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf deleted file mode 100644 index bec4df5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f103 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f103_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf deleted file mode 100644 index 796ad73..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f104 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f104_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf deleted file mode 100644 index 457fec0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f105 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f105_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf deleted file mode 100644 index a663fc9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f106 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f106_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf deleted file mode 100644 index 7187594..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f107 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f107_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf deleted file mode 100644 index 0384036..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f108 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f108_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf deleted file mode 100644 index 4d8d7be..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f109 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f109_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf deleted file mode 100644 index 4f72a90..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f110 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f110_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf deleted file mode 100644 index a8d57e6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f111 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f111_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf deleted file mode 100644 index 978e151..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f112 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f112_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf deleted file mode 100644 index 2455a58..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f113 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f113_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf deleted file mode 100644 index ab9fcd1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f114 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f114_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf deleted file mode 100644 index 92372a6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f115 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f115_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf deleted file mode 100644 index 565aa8b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f116 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f116_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf deleted file mode 100644 index 20dec08..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f117 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f117_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf deleted file mode 100644 index d1d3f45..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f118 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f118_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf deleted file mode 100644 index 6b9017f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f119 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f119_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf deleted file mode 100644 index 9a00979..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f120 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f120_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf deleted file mode 100644 index e828b3d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f123 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f123_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf deleted file mode 100644 index 36a4274..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f126 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f126_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf deleted file mode 100644 index 0c03f90..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f129 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f129_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf deleted file mode 100644 index e8832d6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f132 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f132_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf deleted file mode 100644 index 82befd3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f135 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f135_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf deleted file mode 100644 index 62f28da..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f138 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f138_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf deleted file mode 100644 index 89909f5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f141 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f141_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf deleted file mode 100644 index bc6533e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f144 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f144_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf deleted file mode 100644 index bbf018d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f147 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f147_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf deleted file mode 100644 index 55ec8d3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f150 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f150_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf deleted file mode 100644 index 030ee2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f153 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f153_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf deleted file mode 100644 index fdea60e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f156 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f156_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf deleted file mode 100644 index 8e38112..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f159 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f159_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf deleted file mode 100644 index e7a1186..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f162 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f162_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf deleted file mode 100644 index fe86e22..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f165 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f165_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf deleted file mode 100644 index b79211c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f168 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f168_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf deleted file mode 100644 index 15a2d3e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f171 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f171_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf deleted file mode 100644 index 94ecc78..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f174 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f174_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf deleted file mode 100644 index 34d4366..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f177 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f177_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf deleted file mode 100644 index a0d8ab1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f180 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f180_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf deleted file mode 100644 index a8b777a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f183 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f183_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf deleted file mode 100644 index 02e3a56..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f186 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f186_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf deleted file mode 100644 index 87341cf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f189 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f189_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf deleted file mode 100644 index b8d0c76..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f192 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f192_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf deleted file mode 100644 index 8bfbb73..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f195 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f195_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf deleted file mode 100644 index 0363451..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f198 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f198_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf deleted file mode 100644 index e94a022..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f201 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f201_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf deleted file mode 100644 index d0c377b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f204 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f204_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf deleted file mode 100644 index d181b4f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f207 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f207_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf deleted file mode 100644 index 009dda4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f210 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f210_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf deleted file mode 100644 index 6dc4b69..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f213 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f213_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf deleted file mode 100644 index 0e4db87..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f216 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f216_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf deleted file mode 100644 index abdfef5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f219 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f219_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf deleted file mode 100644 index f52e413..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f222 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f222_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf deleted file mode 100644 index a07a1a9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f225 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f225_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf deleted file mode 100644 index 1273cc5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f228 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f228_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf deleted file mode 100644 index 81645c8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f231 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f231_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf deleted file mode 100644 index 7c4a116..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f234 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f234_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf deleted file mode 100644 index bc34767..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f237 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f237_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf deleted file mode 100644 index 47beb8d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f240 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f240_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf deleted file mode 100644 index 9b60402..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f252 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f252_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf deleted file mode 100644 index dafeb58..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f264 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f264_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf deleted file mode 100644 index c806814..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f276 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f276_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf deleted file mode 100644 index f74a5d0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f288 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f288_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf deleted file mode 100644 index e605dfe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f300 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f300_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf deleted file mode 100644 index bf416a0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f312 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f312_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf deleted file mode 100644 index 469902f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f324 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f324_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf deleted file mode 100644 index b966479..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f336 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f336_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf deleted file mode 100644 index a84c97c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f348 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f348_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf deleted file mode 100644 index 5d84aa8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f360 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f360_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf deleted file mode 100644 index e87d5f6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f372 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f372_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf deleted file mode 100644 index 1d40fbc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f384 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f384_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf deleted file mode 100644 index 2d5ad7f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.bufr_sounding.jgfs_postsnd -#BSUB -o %ECF_OUT%/gfs.post_processing.bufr_sounding.jgfs_postsnd_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POSTSND - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf deleted file mode 100644 index 96a3c1d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.bulletins.jgfs_cyclone_tracker -#BSUB -o %ECF_OUT%/gfs.post_processing.bulletins.jgfs_cyclone_tracker_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_CYCLONE_TRACKER - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_fbwind.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_fbwind.ecf deleted file mode 100644 index 4c847e1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/bulletins/jgfs_fbwind.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.bulletins.jgfs_fbwind -#BSUB -o %ECF_OUT%/gfs.post_processing.bulletins.jgfs_fbwind_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_FBWIND - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/dummy.ecf deleted file mode 100644 index 1923883..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/dummy.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.dummy -#BSUB -o %ECF_OUT%/gfs.post_processing.dummy_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/DUMMY - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_anl.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_anl.ecf deleted file mode 100644 index b8e4792..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_anl.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.fax.jgfs_fax_anl -#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_anl_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_FAX_ANL - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_f00.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_f00.ecf deleted file mode 100644 index d1e4dad..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_f00.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.fax.jgfs_fax_f00 -#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_f00_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_FAX_F00 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf deleted file mode 100644 index 4b1f0e6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f12 -#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f12_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F12 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf deleted file mode 100644 index a395384..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f24 -#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f24_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F24 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf deleted file mode 100644 index 5aea03d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f36 -#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f36_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F36 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf deleted file mode 100644 index b577f28..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib2_wafs.jgfs_wafs_blending -#BSUB -o %ECF_OUT%/gfs.post_processing.grib2_wafs.jgfs_wafs_blending_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_BLENDING - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf deleted file mode 100644 index c59e3db..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib2_wafs.jgfs_wafs_grib2 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib2_wafs.jgfs_wafs_grib2_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_GRIB2 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf deleted file mode 100644 index adb1ad4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f00 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f00_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F00 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf deleted file mode 100644 index a46b72b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f01 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f01_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F01 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf deleted file mode 100644 index d33beaf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f02 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f02_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F02 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf deleted file mode 100644 index d88139a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f03 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f03_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F03 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf deleted file mode 100644 index fb4e900..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f04 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f04_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F04 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf deleted file mode 100644 index d486a2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f05 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f05_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F05 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf deleted file mode 100644 index cfa2bf2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f06 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f06_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F06 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf deleted file mode 100644 index dd3dbc9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f07 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f07_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F07 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf deleted file mode 100644 index ce5c372..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f08 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f08_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F08 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf deleted file mode 100644 index cb1674c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f09 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f09_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F09 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf deleted file mode 100644 index aaef77c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f10 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f10_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F10 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf deleted file mode 100644 index d62b985..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f100 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f100_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf deleted file mode 100644 index 5725afc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f101 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f101_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf deleted file mode 100644 index 354fea8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f102 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f102_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf deleted file mode 100644 index 66af597..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f103 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f103_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf deleted file mode 100644 index 48a4f64..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f104 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f104_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf deleted file mode 100644 index 95a9d3d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f105 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f105_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf deleted file mode 100644 index 031774a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f106 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f106_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf deleted file mode 100644 index 4f2cd69..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f107 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f107_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf deleted file mode 100644 index 94a862f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f108 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f108_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf deleted file mode 100644 index eea4505..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f109 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f109_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf deleted file mode 100644 index 5beac26..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f11 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f11_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F11 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf deleted file mode 100644 index 22e93df..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f110 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f110_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf deleted file mode 100644 index 9306d51..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f111 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f111_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf deleted file mode 100644 index 7cdcd3a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f112 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f112_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf deleted file mode 100644 index 539e8a1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f113 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f113_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf deleted file mode 100644 index 1c93e8a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f114 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f114_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf deleted file mode 100644 index 1190c24..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f115 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f115_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf deleted file mode 100644 index 7d389ea..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f116 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f116_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf deleted file mode 100644 index 0230c3c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f117 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f117_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf deleted file mode 100644 index d32e76f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f118 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f118_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf deleted file mode 100644 index d0fafc1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f119 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f119_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf deleted file mode 100644 index 374e644..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f12 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f12_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F12 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf deleted file mode 100644 index 03503a0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f120 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f120_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf deleted file mode 100644 index f31eb34..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f123 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f123_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf deleted file mode 100644 index 35cfc97..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f126 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f126_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf deleted file mode 100644 index bff0e91..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f129 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f129_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf deleted file mode 100644 index 4899125..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f13 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f13_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F13 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf deleted file mode 100644 index 371f9ed..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f132 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f132_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf deleted file mode 100644 index 151bab5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f135 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f135_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf deleted file mode 100644 index 1aa187d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f138 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f138_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf deleted file mode 100644 index 3fa0e14..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f14 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f14_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F14 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf deleted file mode 100644 index 94cd747..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f141 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f141_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf deleted file mode 100644 index adcc1ea..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f144 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f144_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf deleted file mode 100644 index 22d8ff0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f147 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f147_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf deleted file mode 100644 index 81367d6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f15 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f15_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F15 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf deleted file mode 100644 index ed71266..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f150 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f150_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf deleted file mode 100644 index 247b1a6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f153 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f153_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf deleted file mode 100644 index d0ea37b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f156 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f156_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf deleted file mode 100644 index bb4cf49..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f159 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f159_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf deleted file mode 100644 index 87e22d7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f16 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f16_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F16 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf deleted file mode 100644 index f4cc0fc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f162 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f162_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf deleted file mode 100644 index 497e7f1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f165 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f165_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf deleted file mode 100644 index dd3cb7d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f168 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f168_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf deleted file mode 100644 index 436e380..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f17 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f17_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F17 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf deleted file mode 100644 index f9cd5cf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f171 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f171_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf deleted file mode 100644 index 5ef8f08..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f174 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f174_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf deleted file mode 100644 index 84ef9f6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f177 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f177_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf deleted file mode 100644 index c3e3657..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f18 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f18_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F18 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf deleted file mode 100644 index 28b4cfb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f180 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f180_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf deleted file mode 100644 index 1ce8970..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f183 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f183_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf deleted file mode 100644 index 7530c7d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f186 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f186_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf deleted file mode 100644 index 1b90f28..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f189 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f189_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf deleted file mode 100644 index 6462b1a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f19 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f19_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F19 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf deleted file mode 100644 index 804cec4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f192 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f192_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf deleted file mode 100644 index f4ddb7b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f195 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f195_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf deleted file mode 100644 index 444b633..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f198 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f198_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf deleted file mode 100644 index 6705e9e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f20 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f20_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F20 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf deleted file mode 100644 index 2a28866..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f201 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f201_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf deleted file mode 100644 index e98d72f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f204 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f204_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf deleted file mode 100644 index bb5e694..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f207 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f207_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf deleted file mode 100644 index a20f7ef..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f21 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f21_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F21 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf deleted file mode 100644 index f8d307a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f210 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f210_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf deleted file mode 100644 index 2a7ee67..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f213 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f213_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf deleted file mode 100644 index 9bc5ed8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f216 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f216_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf deleted file mode 100644 index cc6320a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f219 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f219_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf deleted file mode 100644 index ede0601..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f22 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f22_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F22 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf deleted file mode 100644 index 18125ce..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f222 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f222_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf deleted file mode 100644 index 16c8377..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f225 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f225_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf deleted file mode 100644 index 57a6604..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f228 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f228_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf deleted file mode 100644 index ecf30b3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f23 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f23_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F23 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf deleted file mode 100644 index 6986c20..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f231 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f231_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf deleted file mode 100644 index 0b07b10..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f234 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f234_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf deleted file mode 100644 index 67f6965..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f237 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f237_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf deleted file mode 100644 index 4b7aae4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f24 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f24_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F24 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf deleted file mode 100644 index 52c48ac..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f240 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f240_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf deleted file mode 100644 index 06cc2c4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f25 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f25_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F25 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf deleted file mode 100644 index 3537e3c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f252 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f252_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf deleted file mode 100644 index f11863a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f26 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f26_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F26 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf deleted file mode 100644 index da38a1a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f264 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f264_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf deleted file mode 100644 index 421c406..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f27 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f27_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F27 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf deleted file mode 100644 index fa03e2d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f276 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f276_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf deleted file mode 100644 index ca48731..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f28 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f28_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F28 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf deleted file mode 100644 index 87df796..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f288 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f288_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf deleted file mode 100644 index 794de15..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f29 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f29_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F29 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf deleted file mode 100644 index 348d3ed..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f30 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f30_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F30 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf deleted file mode 100644 index a164f11..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f300 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f300_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf deleted file mode 100644 index 1339cbd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f31 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f31_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F31 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf deleted file mode 100644 index bc5f248..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f312 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f312_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf deleted file mode 100644 index 7ef94b8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f32 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f32_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F32 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf deleted file mode 100644 index fa88006..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f324 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f324_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf deleted file mode 100644 index 83634a1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f33 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f33_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F33 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf deleted file mode 100644 index c726e99..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f336 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f336_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf deleted file mode 100644 index 844e348..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f34 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f34_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F34 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf deleted file mode 100644 index 13fe822..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f348 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f348_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf deleted file mode 100644 index 1c3b581..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f35 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f35_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F35 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf deleted file mode 100644 index 051227c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f36 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f36_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F36 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf deleted file mode 100644 index 0154ac9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f360 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f360_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf deleted file mode 100644 index cdc9dbc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f37 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f37_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F37 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf deleted file mode 100644 index afc7ba1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f372 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f372_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf deleted file mode 100644 index 4b9de22..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f38 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f38_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F38 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf deleted file mode 100644 index 2020efc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f384 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f384_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf deleted file mode 100644 index 64a9a97..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f39 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f39_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F39 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf deleted file mode 100644 index 4a87b0c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f40 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f40_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F40 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf deleted file mode 100644 index a5b92bb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f41 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f41_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F41 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf deleted file mode 100644 index 3df6e13..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f42 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f42_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F42 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf deleted file mode 100644 index a43d18a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f43 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f43_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F43 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf deleted file mode 100644 index d0cb547..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f44 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f44_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F44 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf deleted file mode 100644 index 652f27a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f45 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f45_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F45 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf deleted file mode 100644 index f94e41f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f46 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f46_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F46 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf deleted file mode 100644 index 47226dd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f47 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f47_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F47 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf deleted file mode 100644 index 227e882..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f48 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f48_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F48 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf deleted file mode 100644 index abd01b3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f49 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f49_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F49 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf deleted file mode 100644 index 5feab26..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f50 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f50_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F50 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf deleted file mode 100644 index 56667e4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f51 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f51_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F51 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf deleted file mode 100644 index 53fd0c7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f52 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f52_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F52 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf deleted file mode 100644 index daaec08..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f53 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f53_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F53 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf deleted file mode 100644 index 4a3b6c8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f54 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f54_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F54 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf deleted file mode 100644 index a559b9c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f55 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f55_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F55 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf deleted file mode 100644 index 0ba8653..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f56 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f56_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F56 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf deleted file mode 100644 index 83e5c03..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f57 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f57_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F57 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf deleted file mode 100644 index 8f56133..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f58 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f58_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F58 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf deleted file mode 100644 index a0d96d9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f59 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f59_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F59 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf deleted file mode 100644 index e0b6774..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f60 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f60_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F60 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf deleted file mode 100644 index 17df620..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f61 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f61_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F61 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf deleted file mode 100644 index 52e4521..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f62 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f62_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F62 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf deleted file mode 100644 index 364bc9f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f63 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f63_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F63 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf deleted file mode 100644 index da86908..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f64 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f64_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F64 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf deleted file mode 100644 index e823346..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f65 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f65_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F65 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf deleted file mode 100644 index 323118b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f66 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f66_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F66 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf deleted file mode 100644 index 3d82494..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f67 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f67_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F67 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf deleted file mode 100644 index e6448b4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f68 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f68_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F68 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf deleted file mode 100644 index 4935ad1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f69 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f69_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F69 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf deleted file mode 100644 index 06948f6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f70 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f70_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F70 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf deleted file mode 100644 index b728e42..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f71 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f71_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F71 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf deleted file mode 100644 index f715fa8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f72 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f72_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F72 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf deleted file mode 100644 index f12b549..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f73 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f73_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F73 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf deleted file mode 100644 index ba3198c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f74 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f74_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F74 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf deleted file mode 100644 index f77d4dc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f75 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f75_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F75 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf deleted file mode 100644 index 1b4c82e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f76 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f76_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F76 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf deleted file mode 100644 index 61efcda..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f77 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f77_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F77 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf deleted file mode 100644 index 31be811..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f78 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f78_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F78 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf deleted file mode 100644 index 1137557..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f79 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f79_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F79 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf deleted file mode 100644 index 1b00c60..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f80 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f80_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F80 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf deleted file mode 100644 index 92f08a2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f81 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f81_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F81 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf deleted file mode 100644 index 0d739e1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f82 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f82_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F82 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf deleted file mode 100644 index d4eb2bb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f83 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f83_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F83 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf deleted file mode 100644 index b855201..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f84 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f84_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F84 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf deleted file mode 100644 index 1f91e3d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f85 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f85_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F85 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf deleted file mode 100644 index b47aaed..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f86 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f86_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F86 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf deleted file mode 100644 index e0500e1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f87 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f87_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F87 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf deleted file mode 100644 index 6fee43b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f88 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f88_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F88 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf deleted file mode 100644 index 538fdce..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f89 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f89_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F89 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf deleted file mode 100644 index 746f928..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f90 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f90_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F90 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf deleted file mode 100644 index 24b9e57..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f91 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f91_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F91 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf deleted file mode 100644 index 92fce21..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f92 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f92_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F92 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf deleted file mode 100644 index 5520bc9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f93 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f93_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F93 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf deleted file mode 100644 index de0b7ba..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f94 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f94_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F94 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf deleted file mode 100644 index ce1262b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f95 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f95_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F95 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf deleted file mode 100644 index 846a043..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f96 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f96_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F96 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf deleted file mode 100644 index 5703b66..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f97 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f97_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F97 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf deleted file mode 100644 index 43bd691..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f98 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f98_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F98 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf deleted file mode 100644 index 5c83443..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f99 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f99_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F99 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf deleted file mode 100644 index e7a7bf7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f00 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f00_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F00 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf deleted file mode 100644 index 0de0d83..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f06 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f06_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F06 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf deleted file mode 100644 index f2ac7e5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f102 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f102_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F102 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf deleted file mode 100644 index 7637047..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f108 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f108_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F108 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf deleted file mode 100644 index 6215b68..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f114 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f114_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F114 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf deleted file mode 100644 index e93d788..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f12 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f12_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F12 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf deleted file mode 100644 index bde20f6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f120 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f120_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F120 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf deleted file mode 100644 index 69cb691..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f18 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f18_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F18 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf deleted file mode 100644 index 2c136f7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f24 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f24_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F24 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf deleted file mode 100644 index 174fbec..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f30 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f30_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F30 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf deleted file mode 100644 index 38c22f1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f36 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f36_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F36 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf deleted file mode 100644 index adb49a3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f42 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f42_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F42 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf deleted file mode 100644 index 90c146d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f48 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f48_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F48 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf deleted file mode 100644 index 2887e6b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f54 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f54_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F54 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf deleted file mode 100644 index 703de29..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f60 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f60_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F60 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf deleted file mode 100644 index cc498f7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f66 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f66_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F66 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf deleted file mode 100644 index c341d80..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f72 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f72_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F72 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf deleted file mode 100644 index bb6c883..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f78 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f78_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F78 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf deleted file mode 100644 index 733898d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f84 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f84_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F84 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf deleted file mode 100644 index e5bea3a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f90 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f90_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F90 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf deleted file mode 100644 index df35c2c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f96 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f96_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F96 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/jgfs_wafs_gcip.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/jgfs_wafs_gcip.ecf deleted file mode 100644 index 64ef876..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/post_processing/jgfs_wafs_gcip.ecf +++ /dev/null @@ -1,33 +0,0 @@ -#! /bin/sh -{ecf_batch_resources} -%include -%include - -set -x - -{ecf_resource_more} - -export model={model_name} -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -{ecf_module_commands} -module list - -############################################################# -# WCOSS environment settings -############################################################# - -{ecf_environment_settings} - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST - -%include -%manual diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/dummy.ecf deleted file mode 100644 index 63a18a9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/dummy.ecf +++ /dev/null @@ -1,11 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.dummy -#BSUB -o %ECF_OUT%/gfs.prdgen.dummy_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/DUMMY -%include diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_anl.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_anl.ecf deleted file mode 100644 index 5ea7647..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_anl.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_anl -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_anl_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f00.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f00.ecf deleted file mode 100644 index 4dd568b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f00.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f00 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f00_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f01.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f01.ecf deleted file mode 100644 index bb71ff1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f01.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f01 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f01_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f02.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f02.ecf deleted file mode 100644 index a733d33..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f02.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f02 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f02_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f03.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f03.ecf deleted file mode 100644 index f7ec346..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f03.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f03 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f03_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f04.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f04.ecf deleted file mode 100644 index f6b154d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f04.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f04 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f04_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f05.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f05.ecf deleted file mode 100644 index 1eb124e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f05.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f05 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f05_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f06.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f06.ecf deleted file mode 100644 index 3bf03bb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f06.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f06 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f06_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f07.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f07.ecf deleted file mode 100644 index 844064d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f07.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f07 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f07_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f08.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f08.ecf deleted file mode 100644 index e98aef7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f08.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f08 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f08_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f09.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f09.ecf deleted file mode 100644 index 397555a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f09.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f09 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f09_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f10.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f10.ecf deleted file mode 100644 index c1497c9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f10.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f10 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f10_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f100.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f100.ecf deleted file mode 100644 index 22657e1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f100.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f100 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f100_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f101.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f101.ecf deleted file mode 100644 index 6920c34..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f101.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f101 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f101_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f102.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f102.ecf deleted file mode 100644 index 113cdd1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f102.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f102 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f102_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f103.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f103.ecf deleted file mode 100644 index e16ecbb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f103.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f103 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f103_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f104.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f104.ecf deleted file mode 100644 index 985d92f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f104.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f104 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f104_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f105.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f105.ecf deleted file mode 100644 index 0309914..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f105.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f105 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f105_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f106.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f106.ecf deleted file mode 100644 index ece1eb4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f106.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f106 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f106_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f107.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f107.ecf deleted file mode 100644 index ee459c1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f107.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f107 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f107_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f108.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f108.ecf deleted file mode 100644 index 60db5be..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f108.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f108 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f108_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f109.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f109.ecf deleted file mode 100644 index 1e422d7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f109.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f109 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f109_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f11.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f11.ecf deleted file mode 100644 index 02ca246..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f11.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f11 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f11_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f110.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f110.ecf deleted file mode 100644 index 6d03a9a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f110.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f110 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f110_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f111.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f111.ecf deleted file mode 100644 index 4ecff8d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f111.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f111 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f111_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f112.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f112.ecf deleted file mode 100644 index 7b17c4d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f112.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f112 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f112_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f113.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f113.ecf deleted file mode 100644 index 3451cd9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f113.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f113 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f113_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f114.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f114.ecf deleted file mode 100644 index dc4af08..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f114.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f114 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f114_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f115.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f115.ecf deleted file mode 100644 index 73af0c0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f115.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f115 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f115_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f116.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f116.ecf deleted file mode 100644 index 690a8ba..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f116.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f116 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f116_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f117.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f117.ecf deleted file mode 100644 index ca26c01..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f117.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f117 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f117_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f118.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f118.ecf deleted file mode 100644 index eea5f87..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f118.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f118 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f118_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f119.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f119.ecf deleted file mode 100644 index e55f892..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f119.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f119 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f119_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f12.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f12.ecf deleted file mode 100644 index 9130c57..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f12.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f12 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f12_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f120.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f120.ecf deleted file mode 100644 index 9ce30da..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f120.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f120 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f120_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f123.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f123.ecf deleted file mode 100644 index 9b71f34..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f123.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f123 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f123_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f126.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f126.ecf deleted file mode 100644 index 6603043..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f126.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f126 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f126_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f129.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f129.ecf deleted file mode 100644 index 629a2d7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f129.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f129 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f129_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f13.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f13.ecf deleted file mode 100644 index 5543812..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f13.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f13 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f13_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f132.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f132.ecf deleted file mode 100644 index b096da3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f132.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f132 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f132_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f135.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f135.ecf deleted file mode 100644 index 30e0880..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f135.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f135 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f135_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f138.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f138.ecf deleted file mode 100644 index 9555d9e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f138.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f138 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f138_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f14.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f14.ecf deleted file mode 100644 index 72b8add..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f14.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f14 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f14_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f141.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f141.ecf deleted file mode 100644 index 1731252..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f141.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f141 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f141_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f144.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f144.ecf deleted file mode 100644 index 9969354..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f144.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f144 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f144_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f147.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f147.ecf deleted file mode 100644 index 657915a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f147.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f147 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f147_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f15.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f15.ecf deleted file mode 100644 index 214015e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f15.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f15 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f15_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f150.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f150.ecf deleted file mode 100644 index c599570..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f150.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f150 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f150_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f153.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f153.ecf deleted file mode 100644 index 0ba529a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f153.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f153 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f153_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f156.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f156.ecf deleted file mode 100644 index 5580fe2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f156.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f156 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f156_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f159.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f159.ecf deleted file mode 100644 index 043496b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f159.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f159 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f159_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f16.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f16.ecf deleted file mode 100644 index cab490b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f16.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f16 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f16_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f162.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f162.ecf deleted file mode 100644 index 13d610e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f162.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f162 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f162_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f165.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f165.ecf deleted file mode 100644 index b9da11b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f165.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f165 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f165_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f168.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f168.ecf deleted file mode 100644 index df472e1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f168.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f168 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f168_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f17.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f17.ecf deleted file mode 100644 index 01c1346..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f17.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f17 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f17_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f171.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f171.ecf deleted file mode 100644 index 96f0047..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f171.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f171 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f171_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f174.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f174.ecf deleted file mode 100644 index bcc3189..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f174.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f174 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f174_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f177.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f177.ecf deleted file mode 100644 index a113eb2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f177.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f177 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f177_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f18.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f18.ecf deleted file mode 100644 index 6411a5a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f18.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f18 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f18_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f180.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f180.ecf deleted file mode 100644 index 9129c7a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f180.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f180 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f180_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f183.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f183.ecf deleted file mode 100644 index 8ff4dd8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f183.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f183 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f183_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f186.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f186.ecf deleted file mode 100644 index ced809f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f186.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f186 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f186_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f189.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f189.ecf deleted file mode 100644 index c20d3ad..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f189.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f189 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f189_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f19.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f19.ecf deleted file mode 100644 index d8f387a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f19.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f19 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f19_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f192.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f192.ecf deleted file mode 100644 index b4cfabf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f192.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f192 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f192_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f195.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f195.ecf deleted file mode 100644 index c564d67..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f195.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f195 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f195_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f198.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f198.ecf deleted file mode 100644 index 7c028f7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f198.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f198 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f198_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f20.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f20.ecf deleted file mode 100644 index d53c2be..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f20.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f20 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f20_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f201.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f201.ecf deleted file mode 100644 index 347b37e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f201.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f201 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f201_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f204.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f204.ecf deleted file mode 100644 index 360208c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f204.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f204 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f204_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f207.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f207.ecf deleted file mode 100644 index a5ea60a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f207.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f207 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f207_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f21.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f21.ecf deleted file mode 100644 index fea4ef4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f21.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f21 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f21_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f210.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f210.ecf deleted file mode 100644 index 936ff65..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f210.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f210 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f210_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f213.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f213.ecf deleted file mode 100644 index 6ebd196..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f213.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f213 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f213_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f216.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f216.ecf deleted file mode 100644 index 06e0c52..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f216.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f216 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f216_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f219.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f219.ecf deleted file mode 100644 index 828bc4c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f219.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f219 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f219_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f22.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f22.ecf deleted file mode 100644 index 421e8a9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f22.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f22 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f22_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f222.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f222.ecf deleted file mode 100644 index 8fd024a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f222.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f222 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f222_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f225.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f225.ecf deleted file mode 100644 index 4165b5f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f225.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f225 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f225_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f228.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f228.ecf deleted file mode 100644 index 8733118..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f228.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f228 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f228_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f23.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f23.ecf deleted file mode 100644 index 09e2bfd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f23.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f23 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f23_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f231.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f231.ecf deleted file mode 100644 index c917dfe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f231.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f231 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f231_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f234.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f234.ecf deleted file mode 100644 index a10d688..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f234.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f234 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f234_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f237.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f237.ecf deleted file mode 100644 index 21e126c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f237.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f237 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f237_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f24.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f24.ecf deleted file mode 100644 index 35d22fe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f24.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f24 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f24_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f240.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f240.ecf deleted file mode 100644 index b53eaa4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f240.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f240 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f240_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f25.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f25.ecf deleted file mode 100644 index d2e559a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f25.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f25 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f25_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f252.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f252.ecf deleted file mode 100644 index 35f517b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f252.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f252 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f252_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f26.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f26.ecf deleted file mode 100644 index cb28cb7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f26.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f26 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f26_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f264.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f264.ecf deleted file mode 100644 index d1d73f1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f264.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f264 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f264_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f27.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f27.ecf deleted file mode 100644 index ec7ae01..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f27.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f27 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f27_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f276.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f276.ecf deleted file mode 100644 index 8432565..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f276.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f276 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f276_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f28.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f28.ecf deleted file mode 100644 index f5a6cc6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f28.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f28 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f28_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f288.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f288.ecf deleted file mode 100644 index b837780..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f288.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f288 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f288_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f29.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f29.ecf deleted file mode 100644 index 65f1ab8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f29.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f29 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f29_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f30.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f30.ecf deleted file mode 100644 index 5422b60..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f30.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f30 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f30_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f300.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f300.ecf deleted file mode 100644 index ba8b162..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f300.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f300 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f300_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f31.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f31.ecf deleted file mode 100644 index 82275e6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f31.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f31 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f31_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f312.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f312.ecf deleted file mode 100644 index d0cfc7f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f312.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f312 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f312_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f32.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f32.ecf deleted file mode 100644 index 090e91f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f32.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f32 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f32_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f324.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f324.ecf deleted file mode 100644 index f5ed851..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f324.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f324 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f324_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f33.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f33.ecf deleted file mode 100644 index ff2eee3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f33.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f33 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f33_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f336.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f336.ecf deleted file mode 100644 index f852789..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f336.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f336 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f336_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f34.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f34.ecf deleted file mode 100644 index c38de68..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f34.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f34 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f34_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f348.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f348.ecf deleted file mode 100644 index f182069..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f348.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f348 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f348_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f35.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f35.ecf deleted file mode 100644 index ac55e7f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f35.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f35 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f35_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f36.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f36.ecf deleted file mode 100644 index 9270303..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f36.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f36 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f36_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f360.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f360.ecf deleted file mode 100644 index ff5c6f9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f360.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f360 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f360_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f37.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f37.ecf deleted file mode 100644 index 9dc6e64..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f37.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f37 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f37_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f372.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f372.ecf deleted file mode 100644 index 9c1014a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f372.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f372 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f372_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f38.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f38.ecf deleted file mode 100644 index ba8cb3f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f38.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f38 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f38_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f384.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f384.ecf deleted file mode 100644 index 7f6d6a6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f384.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f384 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f384_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f39.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f39.ecf deleted file mode 100644 index b02051d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f39.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f39 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f39_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f40.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f40.ecf deleted file mode 100644 index a154c0b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f40.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f40 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f40_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f41.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f41.ecf deleted file mode 100644 index 1dcd244..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f41.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f41 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f41_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f42.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f42.ecf deleted file mode 100644 index 73b2014..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f42.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f42 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f42_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f43.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f43.ecf deleted file mode 100644 index 08dd949..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f43.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f43 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f43_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f44.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f44.ecf deleted file mode 100644 index 52580cf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f44.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f44 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f44_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f45.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f45.ecf deleted file mode 100644 index 8644ae7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f45.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f45 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f45_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f46.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f46.ecf deleted file mode 100644 index 7f320ff..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f46.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f46 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f46_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f47.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f47.ecf deleted file mode 100644 index 5a4ea16..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f47.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f47 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f47_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f48.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f48.ecf deleted file mode 100644 index c806f0a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f48.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f48 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f48_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f49.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f49.ecf deleted file mode 100644 index df9584e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f49.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f49 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f49_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f50.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f50.ecf deleted file mode 100644 index 46dcf81..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f50.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f50 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f50_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f51.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f51.ecf deleted file mode 100644 index be69fcf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f51.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f51 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f51_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f52.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f52.ecf deleted file mode 100644 index be0a82d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f52.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f52 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f52_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f53.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f53.ecf deleted file mode 100644 index cd5a589..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f53.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f53 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f53_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f54.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f54.ecf deleted file mode 100644 index 3cc8da6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f54.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f54 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f54_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f55.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f55.ecf deleted file mode 100644 index 5480e05..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f55.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f55 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f55_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f56.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f56.ecf deleted file mode 100644 index e61e6de..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f56.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f56 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f56_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f57.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f57.ecf deleted file mode 100644 index 68834e5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f57.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f57 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f57_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f58.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f58.ecf deleted file mode 100644 index cb103f6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f58.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f58 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f58_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f59.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f59.ecf deleted file mode 100644 index 621ceec..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f59.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f59 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f59_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f60.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f60.ecf deleted file mode 100644 index 8c26227..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f60.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f60 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f60_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f61.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f61.ecf deleted file mode 100644 index e462bba..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f61.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f61 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f61_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f62.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f62.ecf deleted file mode 100644 index ebb9d19..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f62.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f62 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f62_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f63.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f63.ecf deleted file mode 100644 index ceb1631..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f63.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f63 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f63_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f64.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f64.ecf deleted file mode 100644 index da621df..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f64.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f64 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f64_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f65.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f65.ecf deleted file mode 100644 index 98de665..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f65.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f65 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f65_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f66.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f66.ecf deleted file mode 100644 index 7fc5680..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f66.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f66 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f66_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f67.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f67.ecf deleted file mode 100644 index c0eb12f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f67.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f67 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f67_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f68.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f68.ecf deleted file mode 100644 index 1f61252..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f68.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f68 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f68_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f69.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f69.ecf deleted file mode 100644 index 3088334..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f69.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f69 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f69_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f70.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f70.ecf deleted file mode 100644 index 9c2b0c9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f70.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f70 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f70_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f71.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f71.ecf deleted file mode 100644 index 0b93e1d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f71.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f71 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f71_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f72.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f72.ecf deleted file mode 100644 index 6808514..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f72.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f72 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f72_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f73.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f73.ecf deleted file mode 100644 index e5618d5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f73.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f73 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f73_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f74.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f74.ecf deleted file mode 100644 index afa66b8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f74.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f74 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f74_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f75.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f75.ecf deleted file mode 100644 index 6a16332..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f75.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f75 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f75_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f76.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f76.ecf deleted file mode 100644 index c455ab6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f76.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f76 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f76_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f77.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f77.ecf deleted file mode 100644 index e0cd2ac..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f77.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f77 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f77_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f78.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f78.ecf deleted file mode 100644 index 413f968..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f78.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f78 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f78_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f79.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f79.ecf deleted file mode 100644 index 0d8b25b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f79.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f79 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f79_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f80.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f80.ecf deleted file mode 100644 index b30321e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f80.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f80 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f80_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f81.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f81.ecf deleted file mode 100644 index df968cf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f81.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f81 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f81_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f82.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f82.ecf deleted file mode 100644 index 93c875f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f82.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f82 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f82_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f83.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f83.ecf deleted file mode 100644 index 0358e18..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f83.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f83 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f83_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f84.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f84.ecf deleted file mode 100644 index 2c2331c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f84.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f84 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f84_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f85.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f85.ecf deleted file mode 100644 index a031e30..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f85.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f85 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f85_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f86.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f86.ecf deleted file mode 100644 index e267494..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f86.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f86 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f86_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f87.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f87.ecf deleted file mode 100644 index 2ff1289..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f87.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f87 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f87_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f88.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f88.ecf deleted file mode 100644 index bd4dc74..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f88.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f88 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f88_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f89.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f89.ecf deleted file mode 100644 index 779707a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f89.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f89 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f89_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f90.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f90.ecf deleted file mode 100644 index 540ebb0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f90.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f90 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f90_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f91.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f91.ecf deleted file mode 100644 index 8e9157d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f91.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f91 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f91_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f92.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f92.ecf deleted file mode 100644 index 584d5b0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f92.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f92 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f92_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f93.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f93.ecf deleted file mode 100644 index 64bd6fa..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f93.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f93 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f93_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f94.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f94.ecf deleted file mode 100644 index 657475d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f94.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f94 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f94_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f95.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f95.ecf deleted file mode 100644 index 199e447..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f95.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f95 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f95_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f96.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f96.ecf deleted file mode 100644 index b814bf6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f96.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f96 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f96_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f97.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f97.ecf deleted file mode 100644 index 1c9d436..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f97.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f97 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f97_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f98.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f98.ecf deleted file mode 100644 index 193a1e0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f98.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f98 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f98_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f99.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f99.ecf deleted file mode 100644 index d12fbcc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_f99.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f99 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f99_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_manager.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_manager.ecf deleted file mode 100644 index 96411cd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prdgen/jgfs_pgrb2_manager.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_manager -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_manager_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_PGRB2_MANAGER - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf deleted file mode 100644 index 84962fb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_emcsfc_sfc_prep.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep.jgfs_emcsfc_sfc_prep -#BSUB -o %ECF_OUT%/gfs.prep.jgfs_emcsfc_sfc_prep_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_EMCSFC_SFC_PREP - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf deleted file mode 100644 index 2fa9acd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep.jgfs_prep -#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=3 -%include -%include - -set -x - -export ntasks=6 -export ptile=2 -export threads=9223372036854775807 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_PREP - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf deleted file mode 100644 index 3e34d93..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/prep/jgfs_prep_post.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep.jgfs_prep_post -#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_post_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_PREP_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_even.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_even.ecf deleted file mode 100644 index 061851c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_even.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.sminit_guam.jgfs_sminit_guam_even -#BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_even_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_SMINIT_GUAM_EVEN - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf b/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf deleted file mode 100644 index 2b73593..0000000 --- a/model/ecflow_fv3gfs/scripts/prod00/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.sminit_guam.jgfs_sminit_guam_odd -#BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_odd_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_SMINIT_GUAM_ODD - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/cycle_end.ecf b/model/ecflow_fv3gfs/scripts/prod06/cycle_end.ecf deleted file mode 100644 index 4e874f0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/cycle_end.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J cycle_end -#BSUB -o %ECF_OUT%/cycle_end_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/CYCLE_END - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/analysis/jgdas_analysis_high.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/analysis/jgdas_analysis_high.ecf deleted file mode 100644 index a200197..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/analysis/jgdas_analysis_high.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.analysis.jgdas_analysis_high -#BSUB -o %ECF_OUT%/gdas.analysis.jgdas_analysis_high_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=60 -%include -%include - -set -x - -export ntasks=360 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_ANALYSIS_HIGH - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf deleted file mode 100644 index 709273c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_dump.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.dump.jgdas_dump -#BSUB -o %ECF_OUT%/gdas.dump.jgdas_dump_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_DUMP - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf deleted file mode 100644 index ed05c2c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_ics.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.dump.jgdas_ics -#BSUB -o %ECF_OUT%/gdas.dump.jgdas_ics_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_ICS - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf deleted file mode 100644 index 249de65..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/dump/jgdas_tropcy_qc_reloc.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.dump.jgdas_tropcy_qc_reloc -#BSUB -o %ECF_OUT%/gdas.dump.jgdas_tropcy_qc_reloc_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_TROPCY_QC_RELOC - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf deleted file mode 100644 index 6962c93..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp1_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf deleted file mode 100644 index 9d83c54..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp10 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp10_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf deleted file mode 100644 index 3b71f69..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp11 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp11_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf deleted file mode 100644 index 20218b2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp12 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp12_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf deleted file mode 100644 index e6dcf10..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp13 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp13_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf deleted file mode 100644 index 02454db..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp14 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp14_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf deleted file mode 100644 index 39ec92b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp15 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp15_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf deleted file mode 100644 index 205d7e0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp16 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp16_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf deleted file mode 100644 index 075bc1e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp2_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf deleted file mode 100644 index ee7440e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp3_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf deleted file mode 100644 index 220b2b9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp4_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf deleted file mode 100644 index 6d76be7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp5_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf deleted file mode 100644 index 562af3e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp6_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf deleted file mode 100644 index 9ab23fd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp7_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf deleted file mode 100644 index ac0ea33..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp8_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf deleted file mode 100644 index 1492e8b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp9 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp9_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf deleted file mode 100644 index e4615f0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp1_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf deleted file mode 100644 index cb30b45..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp10 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp10_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf deleted file mode 100644 index fd29f5b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp11 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp11_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf deleted file mode 100644 index e2488d5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp12 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp12_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf deleted file mode 100644 index 683c235..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp13 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp13_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf deleted file mode 100644 index 641c407..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp14 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp14_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf deleted file mode 100644 index 0df0a8c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp15 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp15_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf deleted file mode 100644 index 3829b2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp16 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp16_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf deleted file mode 100644 index f3bf604..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp2_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf deleted file mode 100644 index e6bc15e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp3_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf deleted file mode 100644 index 73e7cb4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp4_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf deleted file mode 100644 index eb04b16..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp5_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf deleted file mode 100644 index d6b056e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp6_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf deleted file mode 100644 index a5b49b8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp7_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf deleted file mode 100644 index 630d329..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp8_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf deleted file mode 100644 index 2b064b3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp9 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp9_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf deleted file mode 100644 index b6d1c18..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_inflate_recenter.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_inflate_recenter -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_inflate_recenter_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=20 -%include -%include - -set -x - -export ntasks=80 -export ptile=4 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_ENKF_INFLATE_RECENTER - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf deleted file mode 100644 index 1abe592..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_post.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_post -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_post_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=20 -%include -%include - -set -x - -export ntasks=80 -export ptile=4 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_ENKF_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf deleted file mode 100644 index e0d4d1e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_select_obs.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_select_obs -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_select_obs_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=4 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_ENKF_SELECT_OBS - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf deleted file mode 100644 index 0843762..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/enkf/jgdas_enkf_update.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_update -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_update_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=40 -%include -%include - -set -x - -export ntasks=240 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_ENKF_UPDATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/forecast/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/forecast/jgdas_forecast.ecf deleted file mode 100644 index eed96b0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/forecast/jgdas_forecast.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.forecast.jgdas_forecast -#BSUB -o %ECF_OUT%/gdas.forecast.jgdas_forecast_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak.ecf deleted file mode 100644 index e611466..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.gempak.jgdas_gempak -#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_GEMPAK - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_meta.ecf deleted file mode 100644 index 93dc751..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_meta.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.gempak.jgdas_gempak_meta -#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_meta_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_GEMPAK_META - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_ncdc.ecf deleted file mode 100644 index a10c959..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/gempak/jgdas_gempak_ncdc.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.gempak.jgdas_gempak_ncdc -#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_ncdc_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_GEMPAK_NCDC - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf deleted file mode 100644 index e99e392..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_verfrad.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.jgdas_verfrad -#BSUB -o %ECF_OUT%/gdas.jgdas_verfrad_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_VERFRAD - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf deleted file mode 100644 index 16e857c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/jgdas_vminmon.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.jgdas_vminmon -#BSUB -o %ECF_OUT%/gdas.jgdas_vminmon_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_VMINMON - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/post/jgdas_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/post/jgdas_post.ecf deleted file mode 100644 index a83823e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/post/jgdas_post.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.jgdas_post -#BSUB -o %ECF_OUT%/gdas.post.jgdas_post_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf deleted file mode 100644 index e65c565..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post_processing.bulletins.jgdas_mknavybulls -#BSUB -o %ECF_OUT%/gdas.post_processing.bulletins.jgdas_mknavybulls_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_MKNAVYBULLS - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf deleted file mode 100644 index 4d41618..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_emcsfc_sfc_prep.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep.jgdas_emcsfc_sfc_prep -#BSUB -o %ECF_OUT%/gdas.prep.jgdas_emcsfc_sfc_prep_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_EMCSFC_SFC_PREP - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf deleted file mode 100644 index d70e3a8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep.jgdas_prep -#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=3 -%include -%include - -set -x - -export ntasks=6 -export ptile=2 -export threads=9223372036854775807 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_PREP - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf deleted file mode 100644 index e749457..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gdas/prep/jgdas_prep_post.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep.jgdas_prep_post -#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_post_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_PREP_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf deleted file mode 100644 index 2695723..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_dump.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.dump.jgfs_dump -#BSUB -o %ECF_OUT%/gfs.dump.jgfs_dump_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_DUMP - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf deleted file mode 100644 index 5cd044c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/dump/jgfs_tropcy_qc_reloc.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.dump.jgfs_tropcy_qc_reloc -#BSUB -o %ECF_OUT%/gfs.dump.jgfs_tropcy_qc_reloc_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_TROPCY_QC_RELOC - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_high.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_high.ecf deleted file mode 100644 index c3140db..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_high.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.forecast.jgfs_forecast_high -#BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_high_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_low.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_low.ecf deleted file mode 100644 index 37302ab..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/forecast/jgfs_forecast_low.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.forecast.jgfs_forecast_low -#BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_low_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak.ecf deleted file mode 100644 index 33942eb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.gempak.jgfs_gempak -#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_GEMPAK - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_meta.ecf deleted file mode 100644 index 27db5f1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_meta.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.gempak.jgfs_gempak_meta -#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_meta_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_GEMPAK_META - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_ncdc.ecf deleted file mode 100644 index 3188b7c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_ncdc.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.gempak.jgfs_gempak_ncdc -#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_ncdc_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_GEMPAK_NCDC - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_upapgif.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_upapgif.ecf deleted file mode 100644 index 8a66333..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_gempak_upapgif.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.gempak.jgfs_gempak_upapgif -#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_upapgif_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_GEMPAK_UPAPGIF - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf deleted file mode 100644 index 64ef876..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf +++ /dev/null @@ -1,33 +0,0 @@ -#! /bin/sh -{ecf_batch_resources} -%include -%include - -set -x - -{ecf_resource_more} - -export model={model_name} -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -{ecf_module_commands} -module list - -############################################################# -# WCOSS environment settings -############################################################# - -{ecf_environment_settings} - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST - -%include -%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf deleted file mode 100644 index abcf04c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_analysis.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.jgfs_analysis -#BSUB -o %ECF_OUT%/gfs.jgfs_analysis_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=60 -%include -%include - -set -x - -export ntasks=360 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_ANALYSIS - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf deleted file mode 100644 index fa7eafe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/jgfs_vminmon.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.jgfs_vminmon -#BSUB -o %ECF_OUT%/gfs.jgfs_vminmon_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_VMINMON - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/dummy.ecf deleted file mode 100644 index 700857f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/dummy.ecf +++ /dev/null @@ -1,11 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.dummy -#BSUB -o %ECF_OUT%/gfs.post.dummy_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/DUMMY -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_pgrb2_spec_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_pgrb2_spec_post.ecf deleted file mode 100644 index 0801f05..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_pgrb2_spec_post.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_pgrb2_spec_post -#BSUB -o %ECF_OUT%/gfs.post.jgfs_pgrb2_spec_post_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_PGRB2_SPEC_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_anl.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_anl.ecf deleted file mode 100644 index 45a93c4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_anl.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_anl -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_anl_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_ANL - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f00.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f00.ecf deleted file mode 100644 index 02597de..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f00.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f00 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f00_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F00 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f01.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f01.ecf deleted file mode 100644 index 108bf63..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f01.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f01 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f01_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F01 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f02.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f02.ecf deleted file mode 100644 index 4fdac2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f02.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f02 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f02_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F02 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f03.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f03.ecf deleted file mode 100644 index f9e67b3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f03.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f03 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f03_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F03 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f04.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f04.ecf deleted file mode 100644 index 5cf2213..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f04.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f04 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f04_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F04 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f05.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f05.ecf deleted file mode 100644 index 4d07699..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f05.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f05 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f05_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F05 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f06.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f06.ecf deleted file mode 100644 index 61378cf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f06.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f06 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f06_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F06 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f07.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f07.ecf deleted file mode 100644 index 358a358..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f07.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f07 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f07_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F07 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f08.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f08.ecf deleted file mode 100644 index 54f90b9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f08.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f08 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f08_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F08 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f09.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f09.ecf deleted file mode 100644 index 8b82c0e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f09.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f09 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f09_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F09 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f10.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f10.ecf deleted file mode 100644 index 3cdac7f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f10.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f10 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f10_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F10 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f100.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f100.ecf deleted file mode 100644 index 1a86b5b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f100.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f100 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f100_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F100 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f101.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f101.ecf deleted file mode 100644 index e249fa7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f101.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f101 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f101_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F101 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f102.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f102.ecf deleted file mode 100644 index 169b6f8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f102.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f102 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f102_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F102 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f103.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f103.ecf deleted file mode 100644 index 9c80f50..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f103.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f103 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f103_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F103 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f104.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f104.ecf deleted file mode 100644 index 0c91263..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f104.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f104 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f104_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F104 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f105.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f105.ecf deleted file mode 100644 index 8c838f1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f105.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f105 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f105_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F105 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f106.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f106.ecf deleted file mode 100644 index 9227194..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f106.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f106 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f106_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F106 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f107.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f107.ecf deleted file mode 100644 index e66cc39..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f107.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f107 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f107_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F107 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f108.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f108.ecf deleted file mode 100644 index 2404b80..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f108.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f108 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f108_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F108 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f109.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f109.ecf deleted file mode 100644 index 568e47e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f109.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f109 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f109_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F109 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f11.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f11.ecf deleted file mode 100644 index a8c31fe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f11.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f11 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f11_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F11 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f110.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f110.ecf deleted file mode 100644 index 7f02883..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f110.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f110 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f110_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F110 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f111.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f111.ecf deleted file mode 100644 index 84edb70..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f111.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f111 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f111_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F111 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f112.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f112.ecf deleted file mode 100644 index 2c3d749..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f112.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f112 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f112_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F112 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f113.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f113.ecf deleted file mode 100644 index fcadb88..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f113.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f113 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f113_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F113 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f114.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f114.ecf deleted file mode 100644 index e7339b4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f114.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f114 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f114_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F114 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f115.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f115.ecf deleted file mode 100644 index 4028ca9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f115.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f115 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f115_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F115 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f116.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f116.ecf deleted file mode 100644 index 25c013b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f116.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f116 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f116_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F116 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f117.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f117.ecf deleted file mode 100644 index 40b2a29..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f117.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f117 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f117_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F117 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f118.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f118.ecf deleted file mode 100644 index c4f7bad..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f118.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f118 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f118_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F118 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f119.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f119.ecf deleted file mode 100644 index 57a736c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f119.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f119 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f119_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F119 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f12.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f12.ecf deleted file mode 100644 index 791286e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f12.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f12 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f12_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F12 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f120.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f120.ecf deleted file mode 100644 index 7efc829..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f120.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f120 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f120_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F120 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f123.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f123.ecf deleted file mode 100644 index b7fed67..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f123.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f123 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f123_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F123 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f126.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f126.ecf deleted file mode 100644 index faa1ca2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f126.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f126 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f126_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F126 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f129.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f129.ecf deleted file mode 100644 index 0bff7d7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f129.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f129 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f129_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F129 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f13.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f13.ecf deleted file mode 100644 index 9370750..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f13.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f13 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f13_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F13 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f132.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f132.ecf deleted file mode 100644 index cd98649..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f132.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f132 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f132_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F132 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f135.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f135.ecf deleted file mode 100644 index adf58ff..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f135.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f135 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f135_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F135 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f138.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f138.ecf deleted file mode 100644 index 3b4d3e1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f138.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f138 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f138_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F138 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f14.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f14.ecf deleted file mode 100644 index c2eb6c2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f14.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f14 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f14_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F14 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f141.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f141.ecf deleted file mode 100644 index 845199e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f141.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f141 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f141_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F141 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f144.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f144.ecf deleted file mode 100644 index b14d208..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f144.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f144 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f144_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F144 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f147.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f147.ecf deleted file mode 100644 index 8559ed0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f147.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f147 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f147_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F147 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f15.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f15.ecf deleted file mode 100644 index 086115c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f15.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f15 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f15_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F15 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f150.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f150.ecf deleted file mode 100644 index 309c34f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f150.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f150 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f150_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F150 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f153.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f153.ecf deleted file mode 100644 index 430952c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f153.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f153 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f153_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F153 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f156.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f156.ecf deleted file mode 100644 index 064a627..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f156.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f156 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f156_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F156 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f159.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f159.ecf deleted file mode 100644 index 1ce69e7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f159.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f159 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f159_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F159 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f16.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f16.ecf deleted file mode 100644 index fd7598f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f16.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f16 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f16_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F16 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f162.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f162.ecf deleted file mode 100644 index 854d633..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f162.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f162 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f162_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F162 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f165.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f165.ecf deleted file mode 100644 index c2c60b9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f165.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f165 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f165_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F165 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f168.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f168.ecf deleted file mode 100644 index 2a9d6ba..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f168.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f168 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f168_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F168 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f17.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f17.ecf deleted file mode 100644 index 5d76cb5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f17.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f17 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f17_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F17 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f171.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f171.ecf deleted file mode 100644 index 8ea9fff..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f171.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f171 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f171_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F171 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f174.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f174.ecf deleted file mode 100644 index 4402bce..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f174.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f174 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f174_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F174 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f177.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f177.ecf deleted file mode 100644 index 107d37a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f177.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f177 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f177_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F177 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f18.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f18.ecf deleted file mode 100644 index 3b29c2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f18.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f18 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f18_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F18 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f180.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f180.ecf deleted file mode 100644 index e9c8054..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f180.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f180 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f180_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F180 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f183.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f183.ecf deleted file mode 100644 index 22d1912..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f183.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f183 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f183_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F183 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f186.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f186.ecf deleted file mode 100644 index 115a3f4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f186.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f186 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f186_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F186 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f189.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f189.ecf deleted file mode 100644 index b106e6e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f189.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f189 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f189_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F189 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f19.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f19.ecf deleted file mode 100644 index 21956ec..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f19.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f19 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f19_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F19 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f192.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f192.ecf deleted file mode 100644 index 4ae2aff..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f192.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f192 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f192_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F192 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f195.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f195.ecf deleted file mode 100644 index 0f24e2a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f195.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f195 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f195_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F195 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f198.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f198.ecf deleted file mode 100644 index ef6396a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f198.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f198 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f198_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F198 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f20.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f20.ecf deleted file mode 100644 index 7c47937..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f20.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f20 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f20_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F20 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f201.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f201.ecf deleted file mode 100644 index 2f9317a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f201.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f201 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f201_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F201 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f204.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f204.ecf deleted file mode 100644 index efa0ded..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f204.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f204 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f204_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F204 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f207.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f207.ecf deleted file mode 100644 index ec1710e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f207.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f207 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f207_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F207 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f21.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f21.ecf deleted file mode 100644 index 4f804b0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f21.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f21 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f21_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F21 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f210.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f210.ecf deleted file mode 100644 index 35362be..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f210.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f210 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f210_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F210 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f213.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f213.ecf deleted file mode 100644 index 34c4bf6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f213.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f213 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f213_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F213 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f216.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f216.ecf deleted file mode 100644 index b71785a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f216.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f216 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f216_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F216 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f219.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f219.ecf deleted file mode 100644 index e5532a7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f219.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f219 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f219_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F219 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f22.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f22.ecf deleted file mode 100644 index 14de8d7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f22.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f22 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f22_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F22 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f222.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f222.ecf deleted file mode 100644 index 1323cc2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f222.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f222 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f222_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F222 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f225.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f225.ecf deleted file mode 100644 index 455ead1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f225.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f225 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f225_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F225 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f228.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f228.ecf deleted file mode 100644 index cfac18f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f228.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f228 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f228_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F228 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f23.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f23.ecf deleted file mode 100644 index 55ae234..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f23.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f23 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f23_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F23 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f231.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f231.ecf deleted file mode 100644 index 056d407..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f231.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f231 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f231_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F231 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f234.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f234.ecf deleted file mode 100644 index 23a4639..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f234.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f234 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f234_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F234 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f237.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f237.ecf deleted file mode 100644 index c0ce852..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f237.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f237 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f237_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F237 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f24.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f24.ecf deleted file mode 100644 index c8386e3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f24.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f24 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f24_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F24 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f240.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f240.ecf deleted file mode 100644 index c81481b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f240.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f240 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f240_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F240 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f25.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f25.ecf deleted file mode 100644 index c27b146..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f25.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f25 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f25_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F25 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f252.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f252.ecf deleted file mode 100644 index de6a3e9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f252.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f252 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f252_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F252 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f26.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f26.ecf deleted file mode 100644 index 19e658b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f26.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f26 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f26_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F26 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f264.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f264.ecf deleted file mode 100644 index 6062291..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f264.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f264 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f264_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F264 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f27.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f27.ecf deleted file mode 100644 index 49aefae..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f27.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f27 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f27_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F27 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f276.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f276.ecf deleted file mode 100644 index 0acae9d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f276.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f276 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f276_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F276 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f28.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f28.ecf deleted file mode 100644 index ed73eb8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f28.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f28 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f28_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F28 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f288.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f288.ecf deleted file mode 100644 index 42d9617..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f288.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f288 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f288_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F288 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f29.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f29.ecf deleted file mode 100644 index c84c6f3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f29.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f29 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f29_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F29 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f30.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f30.ecf deleted file mode 100644 index 23927d7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f30.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f30 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f30_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F30 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f300.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f300.ecf deleted file mode 100644 index 68473ec..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f300.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f300 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f300_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F300 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f31.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f31.ecf deleted file mode 100644 index a7d4c7e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f31.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f31 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f31_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F31 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f312.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f312.ecf deleted file mode 100644 index 2b32b78..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f312.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f312 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f312_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F312 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f32.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f32.ecf deleted file mode 100644 index 7730300..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f32.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f32 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f32_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F32 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f324.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f324.ecf deleted file mode 100644 index 7a86340..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f324.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f324 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f324_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F324 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f33.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f33.ecf deleted file mode 100644 index 6b5bec0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f33.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f33 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f33_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F33 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f336.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f336.ecf deleted file mode 100644 index 191765b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f336.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f336 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f336_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F336 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f34.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f34.ecf deleted file mode 100644 index cd5061b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f34.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f34 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f34_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F34 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f348.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f348.ecf deleted file mode 100644 index 95b8f8b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f348.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f348 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f348_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F348 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f35.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f35.ecf deleted file mode 100644 index cacaf52..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f35.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f35 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f35_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F35 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f36.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f36.ecf deleted file mode 100644 index e33dde8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f36.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f36 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f36_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F36 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f360.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f360.ecf deleted file mode 100644 index 4fc0fe4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f360.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f360 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f360_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F360 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f37.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f37.ecf deleted file mode 100644 index 5a92603..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f37.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f37 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f37_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F37 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f372.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f372.ecf deleted file mode 100644 index 8b5f4b6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f372.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f372 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f372_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F372 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f38.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f38.ecf deleted file mode 100644 index 2a26048..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f38.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f38 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f38_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F38 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f384.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f384.ecf deleted file mode 100644 index 938424a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f384.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f384 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f384_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F384 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f39.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f39.ecf deleted file mode 100644 index 1b8ec9d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f39.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f39 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f39_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F39 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f40.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f40.ecf deleted file mode 100644 index 1eec893..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f40.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f40 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f40_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F40 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f41.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f41.ecf deleted file mode 100644 index c1c7542..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f41.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f41 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f41_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F41 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f42.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f42.ecf deleted file mode 100644 index 2325836..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f42.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f42 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f42_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F42 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f43.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f43.ecf deleted file mode 100644 index ff41cfb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f43.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f43 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f43_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F43 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f44.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f44.ecf deleted file mode 100644 index 4ce3278..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f44.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f44 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f44_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F44 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f45.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f45.ecf deleted file mode 100644 index 91e6446..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f45.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f45 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f45_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F45 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f46.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f46.ecf deleted file mode 100644 index 8b0dc36..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f46.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f46 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f46_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F46 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f47.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f47.ecf deleted file mode 100644 index e67c97a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f47.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f47 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f47_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F47 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f48.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f48.ecf deleted file mode 100644 index 2b62b20..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f48.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f48 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f48_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F48 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f49.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f49.ecf deleted file mode 100644 index 472ad4c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f49.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f49 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f49_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F49 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f50.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f50.ecf deleted file mode 100644 index 7553af6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f50.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f50 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f50_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F50 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f51.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f51.ecf deleted file mode 100644 index c912aaa..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f51.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f51 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f51_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F51 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f52.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f52.ecf deleted file mode 100644 index 550df2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f52.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f52 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f52_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F52 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f53.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f53.ecf deleted file mode 100644 index 92dd73f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f53.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f53 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f53_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F53 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f54.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f54.ecf deleted file mode 100644 index bea0edc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f54.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f54 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f54_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F54 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f55.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f55.ecf deleted file mode 100644 index 837811c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f55.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f55 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f55_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F55 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f56.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f56.ecf deleted file mode 100644 index d89b869..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f56.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f56 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f56_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F56 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f57.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f57.ecf deleted file mode 100644 index 348ee4f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f57.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f57 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f57_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F57 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f58.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f58.ecf deleted file mode 100644 index 18c4a48..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f58.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f58 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f58_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F58 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f59.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f59.ecf deleted file mode 100644 index 911e47a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f59.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f59 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f59_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F59 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f60.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f60.ecf deleted file mode 100644 index 5146bd0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f60.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f60 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f60_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F60 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f61.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f61.ecf deleted file mode 100644 index ae3fea3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f61.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f61 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f61_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F61 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f62.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f62.ecf deleted file mode 100644 index 436b0bc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f62.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f62 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f62_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F62 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f63.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f63.ecf deleted file mode 100644 index f0a1a8f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f63.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f63 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f63_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F63 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f64.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f64.ecf deleted file mode 100644 index 950507b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f64.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f64 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f64_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F64 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f65.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f65.ecf deleted file mode 100644 index d6c4e7f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f65.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f65 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f65_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F65 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f66.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f66.ecf deleted file mode 100644 index 5925426..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f66.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f66 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f66_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F66 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f67.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f67.ecf deleted file mode 100644 index a30b66c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f67.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f67 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f67_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F67 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f68.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f68.ecf deleted file mode 100644 index 02c4962..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f68.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f68 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f68_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F68 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f69.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f69.ecf deleted file mode 100644 index 1a4852c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f69.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f69 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f69_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F69 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f70.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f70.ecf deleted file mode 100644 index ad1dca1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f70.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f70 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f70_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F70 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f71.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f71.ecf deleted file mode 100644 index b75158b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f71.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f71 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f71_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F71 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f72.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f72.ecf deleted file mode 100644 index bfda8ea..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f72.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f72 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f72_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F72 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f73.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f73.ecf deleted file mode 100644 index 5703db6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f73.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f73 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f73_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F73 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f74.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f74.ecf deleted file mode 100644 index 4d8a171..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f74.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f74 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f74_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F74 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f75.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f75.ecf deleted file mode 100644 index df16a6d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f75.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f75 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f75_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F75 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f76.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f76.ecf deleted file mode 100644 index 8e6af05..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f76.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f76 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f76_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F76 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f77.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f77.ecf deleted file mode 100644 index cfa16fc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f77.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f77 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f77_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F77 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f78.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f78.ecf deleted file mode 100644 index 96ab8cd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f78.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f78 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f78_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F78 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f79.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f79.ecf deleted file mode 100644 index d5bacf3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f79.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f79 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f79_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F79 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f80.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f80.ecf deleted file mode 100644 index a43e62f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f80.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f80 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f80_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F80 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f81.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f81.ecf deleted file mode 100644 index 433bd18..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f81.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f81 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f81_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F81 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f82.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f82.ecf deleted file mode 100644 index a79f29b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f82.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f82 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f82_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F82 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f83.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f83.ecf deleted file mode 100644 index c0a8717..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f83.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f83 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f83_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F83 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f84.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f84.ecf deleted file mode 100644 index fc497b7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f84.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f84 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f84_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F84 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f85.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f85.ecf deleted file mode 100644 index 4b8f159..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f85.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f85 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f85_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F85 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f86.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f86.ecf deleted file mode 100644 index d277132..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f86.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f86 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f86_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F86 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f87.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f87.ecf deleted file mode 100644 index ba24c5d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f87.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f87 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f87_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F87 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f88.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f88.ecf deleted file mode 100644 index 81a30a6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f88.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f88 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f88_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F88 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f89.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f89.ecf deleted file mode 100644 index 3514e27..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f89.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f89 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f89_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F89 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f90.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f90.ecf deleted file mode 100644 index 73a0585..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f90.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f90 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f90_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F90 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f91.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f91.ecf deleted file mode 100644 index 3eceeee..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f91.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f91 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f91_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F91 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f92.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f92.ecf deleted file mode 100644 index bc30db6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f92.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f92 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f92_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F92 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f93.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f93.ecf deleted file mode 100644 index 842596d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f93.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f93 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f93_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F93 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f94.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f94.ecf deleted file mode 100644 index adc946c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f94.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f94 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f94_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F94 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f95.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f95.ecf deleted file mode 100644 index 961d45a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f95.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f95 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f95_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F95 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f96.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f96.ecf deleted file mode 100644 index e7c1809..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f96.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f96 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f96_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F96 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f97.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f97.ecf deleted file mode 100644 index 5a7b7cc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f97.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f97 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f97_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F97 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f98.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f98.ecf deleted file mode 100644 index 6aba72f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f98.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f98 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f98_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F98 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f99.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f99.ecf deleted file mode 100644 index fd493c7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_f99.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f99 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f99_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F99 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_manager.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_manager.ecf deleted file mode 100644 index cce2e41..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post/jgfs_post_manager.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_manager -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_manager_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_MANAGER - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf deleted file mode 100644 index d3ec7f0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f000 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f000_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F000 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf deleted file mode 100644 index 669ca3e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f001 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f001_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F001 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf deleted file mode 100644 index bcc4ea7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f002 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f002_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F002 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf deleted file mode 100644 index 1389451..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f003 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f003_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F003 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf deleted file mode 100644 index ff9a6db..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f004 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f004_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F004 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf deleted file mode 100644 index fa0b9da..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f005 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f005_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F005 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf deleted file mode 100644 index 216de5b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f006 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f006_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F006 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf deleted file mode 100644 index e848d86..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f007 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f007_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F007 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf deleted file mode 100644 index 8fd242a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f008 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f008_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F008 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf deleted file mode 100644 index d27fae2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f009 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f009_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F009 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf deleted file mode 100644 index 0484b7b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f010 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f010_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F010 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf deleted file mode 100644 index 3aa2ddb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f011 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f011_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F011 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf deleted file mode 100644 index ceb7bcf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f012 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f012_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F012 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf deleted file mode 100644 index ab42d41..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f013 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f013_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F013 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf deleted file mode 100644 index 59a4b64..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f014 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f014_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F014 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf deleted file mode 100644 index 53a8752..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f015 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f015_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F015 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf deleted file mode 100644 index 6a2c161..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f016 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f016_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F016 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf deleted file mode 100644 index 5643028..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f017 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f017_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F017 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf deleted file mode 100644 index 9017a9e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f018 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f018_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F018 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf deleted file mode 100644 index e330aff..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f019 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f019_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F019 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf deleted file mode 100644 index 9ed1e5e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f020 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f020_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F020 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf deleted file mode 100644 index 1db01b8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f021 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f021_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F021 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf deleted file mode 100644 index 4d37614..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f022 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f022_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F022 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf deleted file mode 100644 index 7cce8c7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f023 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f023_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F023 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf deleted file mode 100644 index d257cd9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f024 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f024_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F024 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf deleted file mode 100644 index 280cef8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f025 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f025_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F025 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf deleted file mode 100644 index 4988749..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f026 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f026_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F026 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf deleted file mode 100644 index 5d3a7a1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f027 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f027_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F027 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf deleted file mode 100644 index de2fc15..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f028 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f028_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F028 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf deleted file mode 100644 index fb40400..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f029 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f029_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F029 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf deleted file mode 100644 index 1b2d8c6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f030 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f030_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F030 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf deleted file mode 100644 index fce31a7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f031 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f031_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F031 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf deleted file mode 100644 index c657d14..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f032 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f032_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F032 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf deleted file mode 100644 index 4fc3a2c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f033 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f033_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F033 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf deleted file mode 100644 index 8f26afb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f034 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f034_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F034 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf deleted file mode 100644 index ecabe41..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f035 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f035_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F035 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf deleted file mode 100644 index 5ee87b4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f036 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f036_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F036 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf deleted file mode 100644 index 4069a46..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f037 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f037_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F037 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf deleted file mode 100644 index 9a02dd7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f038 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f038_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F038 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf deleted file mode 100644 index 196511a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f039 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f039_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F039 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf deleted file mode 100644 index 8f90a29..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f040 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f040_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F040 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf deleted file mode 100644 index 22378cc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f041 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f041_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F041 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf deleted file mode 100644 index 5926ab9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f042 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f042_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F042 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf deleted file mode 100644 index 9722311..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f043 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f043_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F043 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf deleted file mode 100644 index fe25953..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f044 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f044_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F044 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf deleted file mode 100644 index 5a97394..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f045 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f045_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F045 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf deleted file mode 100644 index 61ddb06..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f046 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f046_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F046 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf deleted file mode 100644 index 64cf3a6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f047 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f047_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F047 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf deleted file mode 100644 index 92a8d47..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f048 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f048_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F048 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf deleted file mode 100644 index a14d268..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f049 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f049_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F049 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf deleted file mode 100644 index fb8d1ca..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f050 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f050_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F050 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf deleted file mode 100644 index 6a2377c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f051 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f051_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F051 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf deleted file mode 100644 index 6161af9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f052 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f052_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F052 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf deleted file mode 100644 index 518272e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f053 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f053_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F053 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf deleted file mode 100644 index 2e1e4ab..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f054 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f054_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F054 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf deleted file mode 100644 index 7fe7456..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f055 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f055_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F055 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf deleted file mode 100644 index 895605b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f056 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f056_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F056 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf deleted file mode 100644 index 5a552bd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f057 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f057_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F057 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf deleted file mode 100644 index 4a6e5fe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f058 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f058_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F058 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf deleted file mode 100644 index 3fb2a82..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f059 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f059_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F059 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf deleted file mode 100644 index cf13c9f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f060 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f060_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F060 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf deleted file mode 100644 index b752ca2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f061 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f061_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F061 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf deleted file mode 100644 index 45a961c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f062 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f062_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F062 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf deleted file mode 100644 index 18722a0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f063 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f063_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F063 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf deleted file mode 100644 index 1ed950b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f064 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f064_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F064 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf deleted file mode 100644 index a3ee684..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f065 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f065_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F065 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf deleted file mode 100644 index a30cdf1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f066 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f066_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F066 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf deleted file mode 100644 index 971abcc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f067 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f067_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F067 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf deleted file mode 100644 index 20aae5d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f068 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f068_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F068 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf deleted file mode 100644 index 23b3f35..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f069 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f069_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F069 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf deleted file mode 100644 index 0bca73e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f070 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f070_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F070 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf deleted file mode 100644 index e18ed18..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f071 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f071_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F071 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf deleted file mode 100644 index 81b0dbd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f072 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f072_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F072 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf deleted file mode 100644 index 0afd294..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f073 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f073_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F073 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf deleted file mode 100644 index b35e294..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f074 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f074_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F074 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf deleted file mode 100644 index cdd1c9a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f075 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f075_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F075 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf deleted file mode 100644 index 463d7fc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f076 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f076_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F076 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf deleted file mode 100644 index 9b6d244..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f077 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f077_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F077 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf deleted file mode 100644 index 6a8f2e0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f078 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f078_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F078 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf deleted file mode 100644 index 77b3012..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f079 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f079_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F079 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf deleted file mode 100644 index 603586f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f080 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f080_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F080 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf deleted file mode 100644 index 28338e3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f081 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f081_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F081 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf deleted file mode 100644 index 67d2c2a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f082 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f082_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F082 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf deleted file mode 100644 index c7fa12e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f083 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f083_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F083 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf deleted file mode 100644 index 42f449d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f084 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f084_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F084 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf deleted file mode 100644 index b1a1f45..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f085 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f085_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F085 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf deleted file mode 100644 index eca4005..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f086 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f086_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F086 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf deleted file mode 100644 index f0cf3d8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f087 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f087_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F087 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf deleted file mode 100644 index acfad04..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f088 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f088_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F088 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf deleted file mode 100644 index a640f6a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f089 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f089_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F089 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf deleted file mode 100644 index e089a70..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f090 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f090_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F090 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf deleted file mode 100644 index f5b80dc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f091 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f091_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F091 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf deleted file mode 100644 index 0a98218..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f092 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f092_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F092 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf deleted file mode 100644 index 5864377..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f093 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f093_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F093 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf deleted file mode 100644 index e598259..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f094 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f094_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F094 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf deleted file mode 100644 index bbcaefa..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f095 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f095_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F095 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf deleted file mode 100644 index cacd7fa..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f096 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f096_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F096 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf deleted file mode 100644 index 26dc797..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f097 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f097_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F097 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf deleted file mode 100644 index eb95fc9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f098 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f098_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F098 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf deleted file mode 100644 index 474a79a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f099 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f099_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F099 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf deleted file mode 100644 index 25443e0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f100 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f100_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf deleted file mode 100644 index 346a86d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f101 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f101_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf deleted file mode 100644 index f49973c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f102 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f102_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf deleted file mode 100644 index 70ec792..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f103 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f103_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf deleted file mode 100644 index 732d5d0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f104 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f104_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf deleted file mode 100644 index 4efbb80..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f105 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f105_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf deleted file mode 100644 index 27e9e7e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f106 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f106_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf deleted file mode 100644 index db13b96..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f107 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f107_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf deleted file mode 100644 index 61fef46..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f108 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f108_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf deleted file mode 100644 index a7ad7c6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f109 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f109_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf deleted file mode 100644 index b8e8353..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f110 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f110_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf deleted file mode 100644 index 8092265..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f111 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f111_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf deleted file mode 100644 index 79245a5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f112 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f112_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf deleted file mode 100644 index c31419b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f113 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f113_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf deleted file mode 100644 index 57e845a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f114 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f114_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf deleted file mode 100644 index 7784fcc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f115 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f115_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf deleted file mode 100644 index 58c52d0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f116 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f116_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf deleted file mode 100644 index d222027..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f117 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f117_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf deleted file mode 100644 index 40bd197..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f118 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f118_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf deleted file mode 100644 index acc1d99..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f119 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f119_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf deleted file mode 100644 index bc4057c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f120 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f120_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf deleted file mode 100644 index 06a8220..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f123 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f123_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf deleted file mode 100644 index 0971d65..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f126 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f126_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf deleted file mode 100644 index 98b8e26..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f129 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f129_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf deleted file mode 100644 index f0149ce..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f132 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f132_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf deleted file mode 100644 index b92409f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f135 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f135_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf deleted file mode 100644 index 65b9001..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f138 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f138_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf deleted file mode 100644 index 515a95f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f141 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f141_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf deleted file mode 100644 index a83e1ee..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f144 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f144_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf deleted file mode 100644 index 513a955..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f147 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f147_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf deleted file mode 100644 index 7148c6f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f150 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f150_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf deleted file mode 100644 index 6f6c03a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f153 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f153_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf deleted file mode 100644 index 2124ce9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f156 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f156_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf deleted file mode 100644 index 845a1a9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f159 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f159_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf deleted file mode 100644 index d562347..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f162 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f162_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf deleted file mode 100644 index a7c2d69..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f165 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f165_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf deleted file mode 100644 index 9f40903..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f168 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f168_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf deleted file mode 100644 index 5e7e844..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f171 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f171_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf deleted file mode 100644 index b1ddb61..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f174 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f174_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf deleted file mode 100644 index 0b2eda4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f177 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f177_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf deleted file mode 100644 index 37b5b22..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f180 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f180_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf deleted file mode 100644 index 836131c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f183 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f183_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf deleted file mode 100644 index 5bb10f4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f186 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f186_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf deleted file mode 100644 index 295fa2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f189 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f189_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf deleted file mode 100644 index e7df28f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f192 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f192_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf deleted file mode 100644 index 84e2e0a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f195 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f195_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf deleted file mode 100644 index c4410ac..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f198 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f198_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf deleted file mode 100644 index 73c50b7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f201 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f201_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf deleted file mode 100644 index a4c0108..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f204 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f204_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf deleted file mode 100644 index fd59fac..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f207 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f207_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf deleted file mode 100644 index 8d1750d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f210 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f210_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf deleted file mode 100644 index 2c901e9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f213 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f213_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf deleted file mode 100644 index dd202cc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f216 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f216_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf deleted file mode 100644 index cf3b8af..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f219 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f219_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf deleted file mode 100644 index 8a2b14d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f222 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f222_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf deleted file mode 100644 index 72ba6d8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f225 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f225_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf deleted file mode 100644 index e6d53d8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f228 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f228_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf deleted file mode 100644 index 674137f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f231 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f231_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf deleted file mode 100644 index 423eaea..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f234 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f234_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf deleted file mode 100644 index d454c69..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f237 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f237_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf deleted file mode 100644 index 2f09456..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f240 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f240_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf deleted file mode 100644 index bf69c9c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f252 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f252_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf deleted file mode 100644 index aaaad1a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f264 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f264_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf deleted file mode 100644 index 61fbc1d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f276 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f276_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf deleted file mode 100644 index 6189c31..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f288 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f288_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf deleted file mode 100644 index f3645fe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f300 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f300_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf deleted file mode 100644 index 676d412..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f312 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f312_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf deleted file mode 100644 index 5492342..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f324 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f324_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf deleted file mode 100644 index 7f558a7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f336 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f336_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf deleted file mode 100644 index b6f52b5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f348 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f348_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf deleted file mode 100644 index e747d70..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f360 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f360_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf deleted file mode 100644 index 4eb5880..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f372 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f372_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf deleted file mode 100644 index 83608a9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f384 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f384_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf deleted file mode 100644 index 427e646..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f000 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f000_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F000 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf deleted file mode 100644 index ab2af43..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f001 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f001_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F001 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf deleted file mode 100644 index 9c3ce49..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f002 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f002_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F002 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf deleted file mode 100644 index 91ecf3d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f003 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f003_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F003 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf deleted file mode 100644 index 963ab8c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f004 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f004_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F004 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf deleted file mode 100644 index 5e1ff16..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f005 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f005_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F005 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf deleted file mode 100644 index 5f79c28..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f006 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f006_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F006 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf deleted file mode 100644 index 57a944e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f007 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f007_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F007 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf deleted file mode 100644 index d2ebc78..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f008 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f008_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F008 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf deleted file mode 100644 index e6195e8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f009 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f009_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F009 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf deleted file mode 100644 index 53301c3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f010 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f010_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F010 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf deleted file mode 100644 index 8827e9f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f011 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f011_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F011 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf deleted file mode 100644 index a11f357..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f012 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f012_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F012 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf deleted file mode 100644 index 18e01f0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f013 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f013_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F013 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf deleted file mode 100644 index e5e4f59..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f014 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f014_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F014 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf deleted file mode 100644 index 1b34c83..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f015 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f015_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F015 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf deleted file mode 100644 index cf01459..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f016 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f016_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F016 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf deleted file mode 100644 index bdca96c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f017 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f017_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F017 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf deleted file mode 100644 index 492457c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f018 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f018_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F018 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf deleted file mode 100644 index b0bf180..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f019 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f019_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F019 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf deleted file mode 100644 index 3bf1ecc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f020 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f020_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F020 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf deleted file mode 100644 index bc6d6e4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f021 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f021_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F021 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf deleted file mode 100644 index 7de3250..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f022 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f022_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F022 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf deleted file mode 100644 index e28a3cb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f023 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f023_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F023 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf deleted file mode 100644 index ff0e27c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f024 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f024_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F024 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf deleted file mode 100644 index 3e18e44..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f025 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f025_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F025 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf deleted file mode 100644 index ac56176..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f026 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f026_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F026 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf deleted file mode 100644 index 80dab35..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f027 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f027_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F027 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf deleted file mode 100644 index d79b344..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f028 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f028_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F028 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf deleted file mode 100644 index 20d63eb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f029 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f029_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F029 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf deleted file mode 100644 index 1e2b0c5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f030 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f030_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F030 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf deleted file mode 100644 index c900671..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f031 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f031_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F031 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf deleted file mode 100644 index 87be72c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f032 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f032_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F032 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf deleted file mode 100644 index e07b9d4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f033 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f033_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F033 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf deleted file mode 100644 index ebd6b4d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f034 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f034_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F034 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf deleted file mode 100644 index 0bb38cb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f035 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f035_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F035 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf deleted file mode 100644 index 3943b5e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f036 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f036_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F036 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf deleted file mode 100644 index 6ca5114..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f037 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f037_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F037 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf deleted file mode 100644 index cff0b72..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f038 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f038_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F038 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf deleted file mode 100644 index d2dbbbe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f039 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f039_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F039 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf deleted file mode 100644 index e44ab23..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f040 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f040_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F040 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf deleted file mode 100644 index aced496..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f041 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f041_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F041 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf deleted file mode 100644 index dbd4901..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f042 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f042_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F042 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf deleted file mode 100644 index b669e2a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f043 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f043_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F043 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf deleted file mode 100644 index 0d87eca..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f044 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f044_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F044 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf deleted file mode 100644 index 5fc043e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f045 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f045_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F045 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf deleted file mode 100644 index 1d44129..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f046 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f046_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F046 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf deleted file mode 100644 index 99e628a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f047 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f047_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F047 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf deleted file mode 100644 index dc202cd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f048 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f048_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F048 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf deleted file mode 100644 index 4badc2d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f049 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f049_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F049 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf deleted file mode 100644 index c5eea93..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f050 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f050_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F050 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf deleted file mode 100644 index 847867e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f051 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f051_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F051 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf deleted file mode 100644 index 5c2e61c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f052 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f052_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F052 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf deleted file mode 100644 index 9f92201..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f053 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f053_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F053 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf deleted file mode 100644 index fcbd8c0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f054 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f054_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F054 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf deleted file mode 100644 index 4b21b8e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f055 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f055_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F055 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf deleted file mode 100644 index f69e31d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f056 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f056_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F056 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf deleted file mode 100644 index 0bc7a5a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f057 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f057_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F057 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf deleted file mode 100644 index cca67b3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f058 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f058_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F058 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf deleted file mode 100644 index 82481d8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f059 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f059_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F059 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf deleted file mode 100644 index 767c16d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f060 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f060_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F060 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf deleted file mode 100644 index c3accb6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f061 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f061_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F061 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf deleted file mode 100644 index 0f1e2b4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f062 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f062_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F062 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf deleted file mode 100644 index 26cd4fd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f063 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f063_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F063 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf deleted file mode 100644 index 9e31579..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f064 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f064_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F064 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf deleted file mode 100644 index 904ad8e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f065 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f065_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F065 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf deleted file mode 100644 index 2ac1eef..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f066 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f066_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F066 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf deleted file mode 100644 index e28f3e0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f067 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f067_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F067 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf deleted file mode 100644 index 47e532d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f068 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f068_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F068 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf deleted file mode 100644 index f0795c7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f069 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f069_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F069 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf deleted file mode 100644 index 09f9386..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f070 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f070_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F070 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf deleted file mode 100644 index 7af6e71..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f071 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f071_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F071 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf deleted file mode 100644 index 163556e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f072 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f072_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F072 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf deleted file mode 100644 index 312d962..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f073 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f073_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F073 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf deleted file mode 100644 index bc5854d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f074 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f074_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F074 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf deleted file mode 100644 index 995dd06..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f075 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f075_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F075 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf deleted file mode 100644 index 037d514..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f076 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f076_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F076 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf deleted file mode 100644 index 0975f98..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f077 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f077_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F077 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf deleted file mode 100644 index 4fbf9a2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f078 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f078_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F078 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf deleted file mode 100644 index f289400..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f079 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f079_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F079 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf deleted file mode 100644 index de9d879..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f080 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f080_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F080 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf deleted file mode 100644 index 724b2c8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f081 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f081_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F081 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf deleted file mode 100644 index 26342f1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f082 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f082_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F082 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf deleted file mode 100644 index edac663..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f083 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f083_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F083 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf deleted file mode 100644 index 23a6cc3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f084 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f084_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F084 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf deleted file mode 100644 index 1c4b186..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f085 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f085_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F085 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf deleted file mode 100644 index d640e2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f086 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f086_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F086 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf deleted file mode 100644 index 2b9a3b8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f087 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f087_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F087 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf deleted file mode 100644 index a8d0f25..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f088 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f088_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F088 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf deleted file mode 100644 index d86ef52..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f089 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f089_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F089 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf deleted file mode 100644 index 4165018..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f090 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f090_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F090 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf deleted file mode 100644 index 44f317d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f091 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f091_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F091 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf deleted file mode 100644 index 5d2b709..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f092 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f092_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F092 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf deleted file mode 100644 index bf7bae7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f093 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f093_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F093 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf deleted file mode 100644 index 36cce97..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f094 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f094_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F094 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf deleted file mode 100644 index 5a253b1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f095 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f095_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F095 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf deleted file mode 100644 index dc3b558..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f096 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f096_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F096 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf deleted file mode 100644 index 7def71f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f097 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f097_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F097 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf deleted file mode 100644 index 24e1f8b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f098 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f098_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F098 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf deleted file mode 100644 index 518dc00..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f099 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f099_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F099 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf deleted file mode 100644 index 0d5469e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f100 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f100_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf deleted file mode 100644 index f78c4da..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f101 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f101_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf deleted file mode 100644 index 382154d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f102 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f102_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf deleted file mode 100644 index bec4df5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f103 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f103_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf deleted file mode 100644 index 796ad73..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f104 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f104_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf deleted file mode 100644 index 457fec0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f105 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f105_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf deleted file mode 100644 index a663fc9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f106 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f106_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf deleted file mode 100644 index 7187594..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f107 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f107_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf deleted file mode 100644 index 0384036..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f108 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f108_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf deleted file mode 100644 index 4d8d7be..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f109 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f109_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf deleted file mode 100644 index 4f72a90..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f110 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f110_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf deleted file mode 100644 index a8d57e6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f111 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f111_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf deleted file mode 100644 index 978e151..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f112 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f112_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf deleted file mode 100644 index 2455a58..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f113 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f113_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf deleted file mode 100644 index ab9fcd1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f114 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f114_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf deleted file mode 100644 index 92372a6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f115 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f115_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf deleted file mode 100644 index 565aa8b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f116 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f116_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf deleted file mode 100644 index 20dec08..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f117 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f117_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf deleted file mode 100644 index d1d3f45..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f118 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f118_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf deleted file mode 100644 index 6b9017f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f119 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f119_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf deleted file mode 100644 index 9a00979..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f120 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f120_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf deleted file mode 100644 index e828b3d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f123 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f123_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf deleted file mode 100644 index 36a4274..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f126 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f126_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf deleted file mode 100644 index 0c03f90..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f129 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f129_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf deleted file mode 100644 index e8832d6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f132 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f132_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf deleted file mode 100644 index 82befd3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f135 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f135_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf deleted file mode 100644 index 62f28da..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f138 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f138_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf deleted file mode 100644 index 89909f5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f141 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f141_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf deleted file mode 100644 index bc6533e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f144 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f144_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf deleted file mode 100644 index bbf018d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f147 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f147_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf deleted file mode 100644 index 55ec8d3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f150 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f150_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf deleted file mode 100644 index 030ee2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f153 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f153_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf deleted file mode 100644 index fdea60e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f156 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f156_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf deleted file mode 100644 index 8e38112..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f159 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f159_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf deleted file mode 100644 index e7a1186..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f162 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f162_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf deleted file mode 100644 index fe86e22..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f165 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f165_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf deleted file mode 100644 index b79211c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f168 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f168_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf deleted file mode 100644 index 15a2d3e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f171 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f171_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf deleted file mode 100644 index 94ecc78..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f174 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f174_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf deleted file mode 100644 index 34d4366..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f177 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f177_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf deleted file mode 100644 index a0d8ab1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f180 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f180_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf deleted file mode 100644 index a8b777a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f183 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f183_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf deleted file mode 100644 index 02e3a56..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f186 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f186_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf deleted file mode 100644 index 87341cf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f189 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f189_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf deleted file mode 100644 index b8d0c76..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f192 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f192_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf deleted file mode 100644 index 8bfbb73..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f195 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f195_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf deleted file mode 100644 index 0363451..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f198 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f198_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf deleted file mode 100644 index e94a022..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f201 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f201_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf deleted file mode 100644 index d0c377b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f204 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f204_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf deleted file mode 100644 index d181b4f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f207 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f207_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf deleted file mode 100644 index 009dda4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f210 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f210_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf deleted file mode 100644 index 6dc4b69..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f213 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f213_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf deleted file mode 100644 index 0e4db87..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f216 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f216_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf deleted file mode 100644 index abdfef5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f219 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f219_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf deleted file mode 100644 index f52e413..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f222 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f222_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf deleted file mode 100644 index a07a1a9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f225 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f225_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf deleted file mode 100644 index 1273cc5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f228 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f228_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf deleted file mode 100644 index 81645c8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f231 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f231_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf deleted file mode 100644 index 7c4a116..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f234 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f234_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf deleted file mode 100644 index bc34767..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f237 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f237_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf deleted file mode 100644 index 47beb8d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f240 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f240_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf deleted file mode 100644 index 9b60402..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f252 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f252_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf deleted file mode 100644 index dafeb58..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f264 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f264_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf deleted file mode 100644 index c806814..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f276 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f276_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf deleted file mode 100644 index f74a5d0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f288 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f288_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf deleted file mode 100644 index e605dfe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f300 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f300_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf deleted file mode 100644 index bf416a0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f312 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f312_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf deleted file mode 100644 index 469902f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f324 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f324_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf deleted file mode 100644 index b966479..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f336 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f336_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf deleted file mode 100644 index a84c97c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f348 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f348_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf deleted file mode 100644 index 5d84aa8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f360 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f360_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf deleted file mode 100644 index e87d5f6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f372 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f372_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf deleted file mode 100644 index 1d40fbc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f384 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f384_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf deleted file mode 100644 index 2d5ad7f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.bufr_sounding.jgfs_postsnd -#BSUB -o %ECF_OUT%/gfs.post_processing.bufr_sounding.jgfs_postsnd_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POSTSND - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf deleted file mode 100644 index 96a3c1d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.bulletins.jgfs_cyclone_tracker -#BSUB -o %ECF_OUT%/gfs.post_processing.bulletins.jgfs_cyclone_tracker_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_CYCLONE_TRACKER - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_fbwind.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_fbwind.ecf deleted file mode 100644 index 4c847e1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/bulletins/jgfs_fbwind.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.bulletins.jgfs_fbwind -#BSUB -o %ECF_OUT%/gfs.post_processing.bulletins.jgfs_fbwind_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_FBWIND - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/dummy.ecf deleted file mode 100644 index 1923883..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/dummy.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.dummy -#BSUB -o %ECF_OUT%/gfs.post_processing.dummy_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/DUMMY - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_anl.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_anl.ecf deleted file mode 100644 index b8e4792..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_anl.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.fax.jgfs_fax_anl -#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_anl_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_FAX_ANL - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_f00.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_f00.ecf deleted file mode 100644 index d1e4dad..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_f00.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.fax.jgfs_fax_f00 -#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_f00_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_FAX_F00 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf deleted file mode 100644 index 4b1f0e6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f12 -#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f12_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F12 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf deleted file mode 100644 index a395384..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f24 -#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f24_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F24 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf deleted file mode 100644 index 5aea03d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f36 -#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f36_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F36 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf deleted file mode 100644 index b577f28..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib2_wafs.jgfs_wafs_blending -#BSUB -o %ECF_OUT%/gfs.post_processing.grib2_wafs.jgfs_wafs_blending_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_BLENDING - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf deleted file mode 100644 index c59e3db..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib2_wafs.jgfs_wafs_grib2 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib2_wafs.jgfs_wafs_grib2_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_GRIB2 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf deleted file mode 100644 index adb1ad4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f00 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f00_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F00 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf deleted file mode 100644 index a46b72b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f01 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f01_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F01 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf deleted file mode 100644 index d33beaf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f02 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f02_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F02 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf deleted file mode 100644 index d88139a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f03 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f03_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F03 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf deleted file mode 100644 index fb4e900..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f04 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f04_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F04 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf deleted file mode 100644 index d486a2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f05 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f05_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F05 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf deleted file mode 100644 index cfa2bf2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f06 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f06_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F06 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf deleted file mode 100644 index dd3dbc9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f07 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f07_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F07 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf deleted file mode 100644 index ce5c372..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f08 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f08_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F08 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf deleted file mode 100644 index cb1674c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f09 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f09_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F09 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf deleted file mode 100644 index aaef77c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f10 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f10_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F10 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf deleted file mode 100644 index d62b985..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f100 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f100_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf deleted file mode 100644 index 5725afc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f101 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f101_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf deleted file mode 100644 index 354fea8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f102 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f102_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf deleted file mode 100644 index 66af597..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f103 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f103_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf deleted file mode 100644 index 48a4f64..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f104 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f104_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf deleted file mode 100644 index 95a9d3d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f105 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f105_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf deleted file mode 100644 index 031774a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f106 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f106_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf deleted file mode 100644 index 4f2cd69..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f107 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f107_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf deleted file mode 100644 index 94a862f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f108 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f108_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf deleted file mode 100644 index eea4505..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f109 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f109_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf deleted file mode 100644 index 5beac26..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f11 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f11_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F11 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf deleted file mode 100644 index 22e93df..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f110 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f110_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf deleted file mode 100644 index 9306d51..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f111 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f111_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf deleted file mode 100644 index 7cdcd3a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f112 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f112_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf deleted file mode 100644 index 539e8a1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f113 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f113_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf deleted file mode 100644 index 1c93e8a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f114 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f114_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf deleted file mode 100644 index 1190c24..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f115 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f115_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf deleted file mode 100644 index 7d389ea..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f116 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f116_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf deleted file mode 100644 index 0230c3c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f117 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f117_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf deleted file mode 100644 index d32e76f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f118 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f118_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf deleted file mode 100644 index d0fafc1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f119 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f119_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf deleted file mode 100644 index 374e644..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f12 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f12_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F12 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf deleted file mode 100644 index 03503a0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f120 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f120_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf deleted file mode 100644 index f31eb34..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f123 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f123_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf deleted file mode 100644 index 35cfc97..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f126 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f126_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf deleted file mode 100644 index bff0e91..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f129 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f129_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf deleted file mode 100644 index 4899125..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f13 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f13_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F13 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf deleted file mode 100644 index 371f9ed..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f132 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f132_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf deleted file mode 100644 index 151bab5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f135 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f135_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf deleted file mode 100644 index 1aa187d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f138 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f138_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf deleted file mode 100644 index 3fa0e14..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f14 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f14_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F14 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf deleted file mode 100644 index 94cd747..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f141 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f141_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf deleted file mode 100644 index adcc1ea..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f144 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f144_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf deleted file mode 100644 index 22d8ff0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f147 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f147_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf deleted file mode 100644 index 81367d6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f15 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f15_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F15 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf deleted file mode 100644 index ed71266..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f150 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f150_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf deleted file mode 100644 index 247b1a6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f153 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f153_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf deleted file mode 100644 index d0ea37b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f156 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f156_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf deleted file mode 100644 index bb4cf49..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f159 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f159_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf deleted file mode 100644 index 87e22d7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f16 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f16_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F16 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf deleted file mode 100644 index f4cc0fc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f162 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f162_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf deleted file mode 100644 index 497e7f1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f165 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f165_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf deleted file mode 100644 index dd3cb7d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f168 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f168_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf deleted file mode 100644 index 436e380..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f17 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f17_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F17 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf deleted file mode 100644 index f9cd5cf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f171 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f171_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf deleted file mode 100644 index 5ef8f08..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f174 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f174_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf deleted file mode 100644 index 84ef9f6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f177 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f177_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf deleted file mode 100644 index c3e3657..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f18 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f18_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F18 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf deleted file mode 100644 index 28b4cfb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f180 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f180_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf deleted file mode 100644 index 1ce8970..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f183 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f183_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf deleted file mode 100644 index 7530c7d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f186 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f186_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf deleted file mode 100644 index 1b90f28..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f189 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f189_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf deleted file mode 100644 index 6462b1a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f19 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f19_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F19 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf deleted file mode 100644 index 804cec4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f192 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f192_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf deleted file mode 100644 index f4ddb7b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f195 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f195_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf deleted file mode 100644 index 444b633..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f198 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f198_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf deleted file mode 100644 index 6705e9e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f20 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f20_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F20 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf deleted file mode 100644 index 2a28866..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f201 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f201_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf deleted file mode 100644 index e98d72f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f204 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f204_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf deleted file mode 100644 index bb5e694..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f207 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f207_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf deleted file mode 100644 index a20f7ef..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f21 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f21_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F21 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf deleted file mode 100644 index f8d307a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f210 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f210_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf deleted file mode 100644 index 2a7ee67..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f213 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f213_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf deleted file mode 100644 index 9bc5ed8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f216 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f216_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf deleted file mode 100644 index cc6320a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f219 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f219_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf deleted file mode 100644 index ede0601..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f22 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f22_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F22 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf deleted file mode 100644 index 18125ce..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f222 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f222_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf deleted file mode 100644 index 16c8377..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f225 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f225_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf deleted file mode 100644 index 57a6604..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f228 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f228_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf deleted file mode 100644 index ecf30b3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f23 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f23_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F23 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf deleted file mode 100644 index 6986c20..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f231 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f231_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf deleted file mode 100644 index 0b07b10..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f234 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f234_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf deleted file mode 100644 index 67f6965..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f237 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f237_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf deleted file mode 100644 index 4b7aae4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f24 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f24_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F24 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf deleted file mode 100644 index 52c48ac..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f240 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f240_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf deleted file mode 100644 index 06cc2c4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f25 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f25_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F25 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf deleted file mode 100644 index 3537e3c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f252 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f252_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf deleted file mode 100644 index f11863a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f26 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f26_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F26 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf deleted file mode 100644 index da38a1a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f264 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f264_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf deleted file mode 100644 index 421c406..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f27 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f27_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F27 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf deleted file mode 100644 index fa03e2d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f276 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f276_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf deleted file mode 100644 index ca48731..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f28 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f28_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F28 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf deleted file mode 100644 index 87df796..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f288 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f288_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf deleted file mode 100644 index 794de15..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f29 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f29_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F29 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf deleted file mode 100644 index 348d3ed..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f30 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f30_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F30 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf deleted file mode 100644 index a164f11..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f300 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f300_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf deleted file mode 100644 index 1339cbd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f31 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f31_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F31 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf deleted file mode 100644 index bc5f248..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f312 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f312_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf deleted file mode 100644 index 7ef94b8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f32 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f32_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F32 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf deleted file mode 100644 index fa88006..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f324 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f324_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf deleted file mode 100644 index 83634a1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f33 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f33_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F33 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf deleted file mode 100644 index c726e99..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f336 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f336_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf deleted file mode 100644 index 844e348..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f34 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f34_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F34 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf deleted file mode 100644 index 13fe822..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f348 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f348_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf deleted file mode 100644 index 1c3b581..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f35 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f35_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F35 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf deleted file mode 100644 index 051227c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f36 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f36_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F36 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf deleted file mode 100644 index 0154ac9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f360 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f360_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf deleted file mode 100644 index cdc9dbc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f37 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f37_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F37 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf deleted file mode 100644 index afc7ba1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f372 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f372_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf deleted file mode 100644 index 4b9de22..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f38 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f38_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F38 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf deleted file mode 100644 index 2020efc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f384 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f384_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf deleted file mode 100644 index 64a9a97..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f39 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f39_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F39 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf deleted file mode 100644 index 4a87b0c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f40 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f40_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F40 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf deleted file mode 100644 index a5b92bb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f41 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f41_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F41 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf deleted file mode 100644 index 3df6e13..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f42 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f42_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F42 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf deleted file mode 100644 index a43d18a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f43 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f43_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F43 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf deleted file mode 100644 index d0cb547..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f44 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f44_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F44 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf deleted file mode 100644 index 652f27a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f45 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f45_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F45 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf deleted file mode 100644 index f94e41f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f46 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f46_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F46 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf deleted file mode 100644 index 47226dd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f47 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f47_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F47 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf deleted file mode 100644 index 227e882..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f48 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f48_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F48 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf deleted file mode 100644 index abd01b3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f49 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f49_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F49 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf deleted file mode 100644 index 5feab26..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f50 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f50_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F50 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf deleted file mode 100644 index 56667e4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f51 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f51_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F51 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf deleted file mode 100644 index 53fd0c7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f52 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f52_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F52 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf deleted file mode 100644 index daaec08..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f53 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f53_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F53 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf deleted file mode 100644 index 4a3b6c8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f54 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f54_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F54 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf deleted file mode 100644 index a559b9c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f55 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f55_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F55 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf deleted file mode 100644 index 0ba8653..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f56 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f56_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F56 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf deleted file mode 100644 index 83e5c03..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f57 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f57_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F57 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf deleted file mode 100644 index 8f56133..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f58 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f58_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F58 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf deleted file mode 100644 index a0d96d9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f59 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f59_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F59 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf deleted file mode 100644 index e0b6774..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f60 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f60_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F60 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf deleted file mode 100644 index 17df620..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f61 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f61_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F61 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf deleted file mode 100644 index 52e4521..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f62 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f62_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F62 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf deleted file mode 100644 index 364bc9f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f63 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f63_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F63 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf deleted file mode 100644 index da86908..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f64 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f64_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F64 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf deleted file mode 100644 index e823346..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f65 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f65_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F65 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf deleted file mode 100644 index 323118b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f66 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f66_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F66 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf deleted file mode 100644 index 3d82494..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f67 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f67_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F67 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf deleted file mode 100644 index e6448b4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f68 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f68_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F68 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf deleted file mode 100644 index 4935ad1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f69 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f69_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F69 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf deleted file mode 100644 index 06948f6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f70 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f70_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F70 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf deleted file mode 100644 index b728e42..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f71 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f71_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F71 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf deleted file mode 100644 index f715fa8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f72 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f72_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F72 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf deleted file mode 100644 index f12b549..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f73 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f73_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F73 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf deleted file mode 100644 index ba3198c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f74 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f74_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F74 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf deleted file mode 100644 index f77d4dc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f75 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f75_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F75 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf deleted file mode 100644 index 1b4c82e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f76 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f76_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F76 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf deleted file mode 100644 index 61efcda..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f77 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f77_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F77 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf deleted file mode 100644 index 31be811..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f78 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f78_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F78 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf deleted file mode 100644 index 1137557..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f79 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f79_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F79 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf deleted file mode 100644 index 1b00c60..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f80 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f80_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F80 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf deleted file mode 100644 index 92f08a2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f81 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f81_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F81 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf deleted file mode 100644 index 0d739e1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f82 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f82_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F82 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf deleted file mode 100644 index d4eb2bb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f83 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f83_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F83 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf deleted file mode 100644 index b855201..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f84 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f84_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F84 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf deleted file mode 100644 index 1f91e3d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f85 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f85_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F85 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf deleted file mode 100644 index b47aaed..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f86 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f86_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F86 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf deleted file mode 100644 index e0500e1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f87 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f87_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F87 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf deleted file mode 100644 index 6fee43b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f88 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f88_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F88 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf deleted file mode 100644 index 538fdce..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f89 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f89_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F89 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf deleted file mode 100644 index 746f928..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f90 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f90_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F90 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf deleted file mode 100644 index 24b9e57..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f91 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f91_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F91 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf deleted file mode 100644 index 92fce21..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f92 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f92_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F92 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf deleted file mode 100644 index 5520bc9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f93 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f93_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F93 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf deleted file mode 100644 index de0b7ba..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f94 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f94_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F94 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf deleted file mode 100644 index ce1262b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f95 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f95_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F95 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf deleted file mode 100644 index 846a043..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f96 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f96_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F96 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf deleted file mode 100644 index 5703b66..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f97 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f97_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F97 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf deleted file mode 100644 index 43bd691..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f98 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f98_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F98 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf deleted file mode 100644 index 5c83443..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f99 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f99_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F99 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf deleted file mode 100644 index e7a7bf7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f00 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f00_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F00 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf deleted file mode 100644 index 0de0d83..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f06 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f06_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F06 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf deleted file mode 100644 index f2ac7e5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f102 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f102_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F102 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf deleted file mode 100644 index 7637047..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f108 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f108_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F108 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf deleted file mode 100644 index 6215b68..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f114 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f114_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F114 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf deleted file mode 100644 index e93d788..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f12 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f12_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F12 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf deleted file mode 100644 index bde20f6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f120 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f120_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F120 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf deleted file mode 100644 index 69cb691..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f18 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f18_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F18 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf deleted file mode 100644 index 2c136f7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f24 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f24_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F24 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf deleted file mode 100644 index 174fbec..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f30 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f30_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F30 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf deleted file mode 100644 index 38c22f1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f36 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f36_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F36 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf deleted file mode 100644 index adb49a3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f42 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f42_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F42 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf deleted file mode 100644 index 90c146d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f48 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f48_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F48 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf deleted file mode 100644 index 2887e6b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f54 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f54_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F54 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf deleted file mode 100644 index 703de29..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f60 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f60_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F60 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf deleted file mode 100644 index cc498f7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f66 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f66_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F66 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf deleted file mode 100644 index c341d80..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f72 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f72_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F72 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf deleted file mode 100644 index bb6c883..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f78 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f78_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F78 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf deleted file mode 100644 index 733898d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f84 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f84_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F84 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf deleted file mode 100644 index e5bea3a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f90 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f90_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F90 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf deleted file mode 100644 index df35c2c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f96 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f96_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F96 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/jgfs_wafs_gcip.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/jgfs_wafs_gcip.ecf deleted file mode 100644 index 64ef876..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/post_processing/jgfs_wafs_gcip.ecf +++ /dev/null @@ -1,33 +0,0 @@ -#! /bin/sh -{ecf_batch_resources} -%include -%include - -set -x - -{ecf_resource_more} - -export model={model_name} -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -{ecf_module_commands} -module list - -############################################################# -# WCOSS environment settings -############################################################# - -{ecf_environment_settings} - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST - -%include -%manual diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/dummy.ecf deleted file mode 100644 index 63a18a9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/dummy.ecf +++ /dev/null @@ -1,11 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.dummy -#BSUB -o %ECF_OUT%/gfs.prdgen.dummy_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/DUMMY -%include diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_anl.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_anl.ecf deleted file mode 100644 index 5ea7647..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_anl.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_anl -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_anl_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f00.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f00.ecf deleted file mode 100644 index 4dd568b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f00.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f00 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f00_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f01.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f01.ecf deleted file mode 100644 index bb71ff1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f01.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f01 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f01_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f02.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f02.ecf deleted file mode 100644 index a733d33..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f02.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f02 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f02_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f03.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f03.ecf deleted file mode 100644 index f7ec346..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f03.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f03 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f03_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f04.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f04.ecf deleted file mode 100644 index f6b154d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f04.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f04 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f04_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f05.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f05.ecf deleted file mode 100644 index 1eb124e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f05.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f05 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f05_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f06.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f06.ecf deleted file mode 100644 index 3bf03bb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f06.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f06 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f06_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f07.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f07.ecf deleted file mode 100644 index 844064d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f07.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f07 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f07_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f08.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f08.ecf deleted file mode 100644 index e98aef7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f08.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f08 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f08_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f09.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f09.ecf deleted file mode 100644 index 397555a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f09.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f09 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f09_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f10.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f10.ecf deleted file mode 100644 index c1497c9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f10.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f10 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f10_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f100.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f100.ecf deleted file mode 100644 index 22657e1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f100.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f100 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f100_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f101.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f101.ecf deleted file mode 100644 index 6920c34..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f101.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f101 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f101_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f102.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f102.ecf deleted file mode 100644 index 113cdd1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f102.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f102 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f102_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f103.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f103.ecf deleted file mode 100644 index e16ecbb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f103.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f103 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f103_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f104.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f104.ecf deleted file mode 100644 index 985d92f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f104.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f104 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f104_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f105.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f105.ecf deleted file mode 100644 index 0309914..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f105.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f105 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f105_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f106.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f106.ecf deleted file mode 100644 index ece1eb4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f106.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f106 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f106_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f107.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f107.ecf deleted file mode 100644 index ee459c1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f107.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f107 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f107_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f108.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f108.ecf deleted file mode 100644 index 60db5be..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f108.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f108 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f108_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f109.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f109.ecf deleted file mode 100644 index 1e422d7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f109.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f109 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f109_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f11.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f11.ecf deleted file mode 100644 index 02ca246..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f11.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f11 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f11_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f110.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f110.ecf deleted file mode 100644 index 6d03a9a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f110.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f110 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f110_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f111.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f111.ecf deleted file mode 100644 index 4ecff8d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f111.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f111 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f111_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f112.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f112.ecf deleted file mode 100644 index 7b17c4d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f112.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f112 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f112_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f113.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f113.ecf deleted file mode 100644 index 3451cd9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f113.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f113 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f113_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f114.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f114.ecf deleted file mode 100644 index dc4af08..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f114.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f114 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f114_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f115.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f115.ecf deleted file mode 100644 index 73af0c0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f115.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f115 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f115_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f116.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f116.ecf deleted file mode 100644 index 690a8ba..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f116.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f116 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f116_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f117.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f117.ecf deleted file mode 100644 index ca26c01..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f117.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f117 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f117_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f118.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f118.ecf deleted file mode 100644 index eea5f87..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f118.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f118 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f118_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f119.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f119.ecf deleted file mode 100644 index e55f892..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f119.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f119 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f119_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f12.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f12.ecf deleted file mode 100644 index 9130c57..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f12.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f12 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f12_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f120.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f120.ecf deleted file mode 100644 index 9ce30da..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f120.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f120 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f120_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f123.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f123.ecf deleted file mode 100644 index 9b71f34..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f123.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f123 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f123_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f126.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f126.ecf deleted file mode 100644 index 6603043..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f126.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f126 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f126_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f129.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f129.ecf deleted file mode 100644 index 629a2d7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f129.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f129 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f129_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f13.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f13.ecf deleted file mode 100644 index 5543812..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f13.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f13 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f13_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f132.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f132.ecf deleted file mode 100644 index b096da3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f132.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f132 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f132_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f135.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f135.ecf deleted file mode 100644 index 30e0880..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f135.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f135 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f135_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f138.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f138.ecf deleted file mode 100644 index 9555d9e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f138.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f138 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f138_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f14.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f14.ecf deleted file mode 100644 index 72b8add..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f14.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f14 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f14_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f141.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f141.ecf deleted file mode 100644 index 1731252..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f141.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f141 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f141_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f144.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f144.ecf deleted file mode 100644 index 9969354..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f144.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f144 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f144_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f147.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f147.ecf deleted file mode 100644 index 657915a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f147.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f147 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f147_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f15.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f15.ecf deleted file mode 100644 index 214015e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f15.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f15 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f15_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f150.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f150.ecf deleted file mode 100644 index c599570..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f150.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f150 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f150_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f153.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f153.ecf deleted file mode 100644 index 0ba529a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f153.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f153 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f153_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f156.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f156.ecf deleted file mode 100644 index 5580fe2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f156.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f156 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f156_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f159.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f159.ecf deleted file mode 100644 index 043496b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f159.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f159 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f159_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f16.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f16.ecf deleted file mode 100644 index cab490b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f16.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f16 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f16_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f162.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f162.ecf deleted file mode 100644 index 13d610e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f162.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f162 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f162_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f165.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f165.ecf deleted file mode 100644 index b9da11b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f165.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f165 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f165_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f168.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f168.ecf deleted file mode 100644 index df472e1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f168.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f168 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f168_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f17.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f17.ecf deleted file mode 100644 index 01c1346..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f17.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f17 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f17_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f171.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f171.ecf deleted file mode 100644 index 96f0047..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f171.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f171 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f171_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f174.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f174.ecf deleted file mode 100644 index bcc3189..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f174.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f174 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f174_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f177.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f177.ecf deleted file mode 100644 index a113eb2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f177.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f177 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f177_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f18.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f18.ecf deleted file mode 100644 index 6411a5a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f18.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f18 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f18_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f180.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f180.ecf deleted file mode 100644 index 9129c7a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f180.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f180 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f180_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f183.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f183.ecf deleted file mode 100644 index 8ff4dd8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f183.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f183 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f183_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f186.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f186.ecf deleted file mode 100644 index ced809f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f186.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f186 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f186_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f189.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f189.ecf deleted file mode 100644 index c20d3ad..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f189.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f189 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f189_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f19.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f19.ecf deleted file mode 100644 index d8f387a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f19.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f19 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f19_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f192.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f192.ecf deleted file mode 100644 index b4cfabf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f192.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f192 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f192_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f195.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f195.ecf deleted file mode 100644 index c564d67..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f195.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f195 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f195_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f198.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f198.ecf deleted file mode 100644 index 7c028f7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f198.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f198 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f198_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f20.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f20.ecf deleted file mode 100644 index d53c2be..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f20.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f20 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f20_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f201.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f201.ecf deleted file mode 100644 index 347b37e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f201.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f201 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f201_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f204.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f204.ecf deleted file mode 100644 index 360208c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f204.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f204 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f204_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f207.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f207.ecf deleted file mode 100644 index a5ea60a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f207.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f207 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f207_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f21.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f21.ecf deleted file mode 100644 index fea4ef4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f21.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f21 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f21_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f210.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f210.ecf deleted file mode 100644 index 936ff65..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f210.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f210 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f210_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f213.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f213.ecf deleted file mode 100644 index 6ebd196..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f213.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f213 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f213_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f216.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f216.ecf deleted file mode 100644 index 06e0c52..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f216.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f216 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f216_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f219.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f219.ecf deleted file mode 100644 index 828bc4c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f219.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f219 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f219_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f22.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f22.ecf deleted file mode 100644 index 421e8a9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f22.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f22 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f22_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f222.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f222.ecf deleted file mode 100644 index 8fd024a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f222.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f222 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f222_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f225.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f225.ecf deleted file mode 100644 index 4165b5f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f225.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f225 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f225_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f228.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f228.ecf deleted file mode 100644 index 8733118..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f228.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f228 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f228_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f23.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f23.ecf deleted file mode 100644 index 09e2bfd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f23.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f23 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f23_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f231.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f231.ecf deleted file mode 100644 index c917dfe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f231.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f231 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f231_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f234.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f234.ecf deleted file mode 100644 index a10d688..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f234.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f234 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f234_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f237.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f237.ecf deleted file mode 100644 index 21e126c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f237.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f237 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f237_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f24.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f24.ecf deleted file mode 100644 index 35d22fe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f24.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f24 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f24_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f240.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f240.ecf deleted file mode 100644 index b53eaa4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f240.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f240 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f240_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f25.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f25.ecf deleted file mode 100644 index d2e559a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f25.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f25 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f25_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f252.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f252.ecf deleted file mode 100644 index 35f517b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f252.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f252 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f252_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f26.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f26.ecf deleted file mode 100644 index cb28cb7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f26.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f26 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f26_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f264.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f264.ecf deleted file mode 100644 index d1d73f1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f264.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f264 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f264_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f27.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f27.ecf deleted file mode 100644 index ec7ae01..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f27.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f27 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f27_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f276.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f276.ecf deleted file mode 100644 index 8432565..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f276.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f276 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f276_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f28.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f28.ecf deleted file mode 100644 index f5a6cc6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f28.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f28 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f28_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f288.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f288.ecf deleted file mode 100644 index b837780..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f288.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f288 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f288_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f29.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f29.ecf deleted file mode 100644 index 65f1ab8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f29.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f29 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f29_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f30.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f30.ecf deleted file mode 100644 index 5422b60..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f30.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f30 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f30_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f300.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f300.ecf deleted file mode 100644 index ba8b162..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f300.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f300 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f300_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f31.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f31.ecf deleted file mode 100644 index 82275e6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f31.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f31 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f31_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f312.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f312.ecf deleted file mode 100644 index d0cfc7f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f312.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f312 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f312_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f32.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f32.ecf deleted file mode 100644 index 090e91f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f32.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f32 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f32_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f324.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f324.ecf deleted file mode 100644 index f5ed851..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f324.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f324 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f324_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f33.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f33.ecf deleted file mode 100644 index ff2eee3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f33.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f33 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f33_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f336.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f336.ecf deleted file mode 100644 index f852789..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f336.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f336 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f336_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f34.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f34.ecf deleted file mode 100644 index c38de68..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f34.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f34 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f34_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f348.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f348.ecf deleted file mode 100644 index f182069..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f348.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f348 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f348_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f35.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f35.ecf deleted file mode 100644 index ac55e7f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f35.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f35 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f35_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f36.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f36.ecf deleted file mode 100644 index 9270303..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f36.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f36 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f36_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f360.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f360.ecf deleted file mode 100644 index ff5c6f9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f360.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f360 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f360_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f37.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f37.ecf deleted file mode 100644 index 9dc6e64..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f37.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f37 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f37_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f372.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f372.ecf deleted file mode 100644 index 9c1014a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f372.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f372 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f372_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f38.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f38.ecf deleted file mode 100644 index ba8cb3f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f38.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f38 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f38_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f384.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f384.ecf deleted file mode 100644 index 7f6d6a6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f384.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f384 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f384_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f39.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f39.ecf deleted file mode 100644 index b02051d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f39.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f39 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f39_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f40.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f40.ecf deleted file mode 100644 index a154c0b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f40.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f40 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f40_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f41.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f41.ecf deleted file mode 100644 index 1dcd244..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f41.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f41 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f41_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f42.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f42.ecf deleted file mode 100644 index 73b2014..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f42.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f42 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f42_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f43.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f43.ecf deleted file mode 100644 index 08dd949..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f43.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f43 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f43_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f44.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f44.ecf deleted file mode 100644 index 52580cf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f44.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f44 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f44_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f45.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f45.ecf deleted file mode 100644 index 8644ae7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f45.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f45 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f45_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f46.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f46.ecf deleted file mode 100644 index 7f320ff..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f46.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f46 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f46_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f47.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f47.ecf deleted file mode 100644 index 5a4ea16..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f47.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f47 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f47_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f48.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f48.ecf deleted file mode 100644 index c806f0a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f48.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f48 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f48_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f49.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f49.ecf deleted file mode 100644 index df9584e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f49.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f49 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f49_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f50.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f50.ecf deleted file mode 100644 index 46dcf81..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f50.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f50 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f50_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f51.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f51.ecf deleted file mode 100644 index be69fcf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f51.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f51 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f51_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f52.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f52.ecf deleted file mode 100644 index be0a82d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f52.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f52 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f52_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f53.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f53.ecf deleted file mode 100644 index cd5a589..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f53.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f53 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f53_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f54.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f54.ecf deleted file mode 100644 index 3cc8da6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f54.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f54 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f54_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f55.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f55.ecf deleted file mode 100644 index 5480e05..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f55.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f55 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f55_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f56.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f56.ecf deleted file mode 100644 index e61e6de..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f56.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f56 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f56_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f57.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f57.ecf deleted file mode 100644 index 68834e5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f57.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f57 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f57_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f58.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f58.ecf deleted file mode 100644 index cb103f6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f58.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f58 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f58_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f59.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f59.ecf deleted file mode 100644 index 621ceec..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f59.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f59 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f59_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f60.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f60.ecf deleted file mode 100644 index 8c26227..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f60.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f60 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f60_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f61.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f61.ecf deleted file mode 100644 index e462bba..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f61.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f61 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f61_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f62.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f62.ecf deleted file mode 100644 index ebb9d19..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f62.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f62 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f62_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f63.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f63.ecf deleted file mode 100644 index ceb1631..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f63.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f63 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f63_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f64.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f64.ecf deleted file mode 100644 index da621df..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f64.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f64 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f64_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f65.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f65.ecf deleted file mode 100644 index 98de665..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f65.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f65 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f65_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f66.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f66.ecf deleted file mode 100644 index 7fc5680..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f66.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f66 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f66_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f67.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f67.ecf deleted file mode 100644 index c0eb12f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f67.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f67 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f67_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f68.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f68.ecf deleted file mode 100644 index 1f61252..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f68.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f68 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f68_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f69.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f69.ecf deleted file mode 100644 index 3088334..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f69.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f69 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f69_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f70.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f70.ecf deleted file mode 100644 index 9c2b0c9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f70.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f70 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f70_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f71.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f71.ecf deleted file mode 100644 index 0b93e1d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f71.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f71 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f71_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f72.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f72.ecf deleted file mode 100644 index 6808514..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f72.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f72 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f72_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f73.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f73.ecf deleted file mode 100644 index e5618d5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f73.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f73 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f73_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f74.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f74.ecf deleted file mode 100644 index afa66b8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f74.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f74 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f74_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f75.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f75.ecf deleted file mode 100644 index 6a16332..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f75.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f75 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f75_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f76.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f76.ecf deleted file mode 100644 index c455ab6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f76.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f76 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f76_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f77.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f77.ecf deleted file mode 100644 index e0cd2ac..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f77.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f77 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f77_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f78.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f78.ecf deleted file mode 100644 index 413f968..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f78.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f78 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f78_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f79.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f79.ecf deleted file mode 100644 index 0d8b25b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f79.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f79 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f79_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f80.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f80.ecf deleted file mode 100644 index b30321e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f80.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f80 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f80_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f81.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f81.ecf deleted file mode 100644 index df968cf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f81.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f81 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f81_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f82.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f82.ecf deleted file mode 100644 index 93c875f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f82.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f82 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f82_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f83.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f83.ecf deleted file mode 100644 index 0358e18..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f83.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f83 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f83_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f84.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f84.ecf deleted file mode 100644 index 2c2331c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f84.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f84 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f84_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f85.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f85.ecf deleted file mode 100644 index a031e30..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f85.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f85 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f85_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f86.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f86.ecf deleted file mode 100644 index e267494..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f86.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f86 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f86_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f87.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f87.ecf deleted file mode 100644 index 2ff1289..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f87.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f87 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f87_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f88.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f88.ecf deleted file mode 100644 index bd4dc74..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f88.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f88 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f88_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f89.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f89.ecf deleted file mode 100644 index 779707a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f89.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f89 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f89_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f90.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f90.ecf deleted file mode 100644 index 540ebb0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f90.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f90 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f90_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f91.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f91.ecf deleted file mode 100644 index 8e9157d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f91.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f91 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f91_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f92.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f92.ecf deleted file mode 100644 index 584d5b0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f92.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f92 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f92_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f93.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f93.ecf deleted file mode 100644 index 64bd6fa..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f93.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f93 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f93_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f94.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f94.ecf deleted file mode 100644 index 657475d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f94.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f94 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f94_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f95.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f95.ecf deleted file mode 100644 index 199e447..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f95.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f95 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f95_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f96.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f96.ecf deleted file mode 100644 index b814bf6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f96.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f96 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f96_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f97.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f97.ecf deleted file mode 100644 index 1c9d436..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f97.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f97 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f97_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f98.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f98.ecf deleted file mode 100644 index 193a1e0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f98.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f98 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f98_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f99.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f99.ecf deleted file mode 100644 index d12fbcc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_f99.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f99 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f99_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_manager.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_manager.ecf deleted file mode 100644 index 96411cd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prdgen/jgfs_pgrb2_manager.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_manager -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_manager_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_PGRB2_MANAGER - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf deleted file mode 100644 index 84962fb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_emcsfc_sfc_prep.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep.jgfs_emcsfc_sfc_prep -#BSUB -o %ECF_OUT%/gfs.prep.jgfs_emcsfc_sfc_prep_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_EMCSFC_SFC_PREP - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf deleted file mode 100644 index 2fa9acd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep.jgfs_prep -#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=3 -%include -%include - -set -x - -export ntasks=6 -export ptile=2 -export threads=9223372036854775807 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_PREP - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf deleted file mode 100644 index 3e34d93..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/prep/jgfs_prep_post.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep.jgfs_prep_post -#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_post_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_PREP_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_even.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_even.ecf deleted file mode 100644 index 061851c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_even.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.sminit_guam.jgfs_sminit_guam_even -#BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_even_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_SMINIT_GUAM_EVEN - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf b/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf deleted file mode 100644 index 2b73593..0000000 --- a/model/ecflow_fv3gfs/scripts/prod06/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.sminit_guam.jgfs_sminit_guam_odd -#BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_odd_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_SMINIT_GUAM_ODD - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/cycle_end.ecf b/model/ecflow_fv3gfs/scripts/prod12/cycle_end.ecf deleted file mode 100644 index 4e874f0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/cycle_end.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J cycle_end -#BSUB -o %ECF_OUT%/cycle_end_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/CYCLE_END - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/analysis/jgdas_analysis_high.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/analysis/jgdas_analysis_high.ecf deleted file mode 100644 index a200197..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/analysis/jgdas_analysis_high.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.analysis.jgdas_analysis_high -#BSUB -o %ECF_OUT%/gdas.analysis.jgdas_analysis_high_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=60 -%include -%include - -set -x - -export ntasks=360 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_ANALYSIS_HIGH - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf deleted file mode 100644 index 709273c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_dump.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.dump.jgdas_dump -#BSUB -o %ECF_OUT%/gdas.dump.jgdas_dump_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_DUMP - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf deleted file mode 100644 index ed05c2c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_ics.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.dump.jgdas_ics -#BSUB -o %ECF_OUT%/gdas.dump.jgdas_ics_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_ICS - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf deleted file mode 100644 index 249de65..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/dump/jgdas_tropcy_qc_reloc.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.dump.jgdas_tropcy_qc_reloc -#BSUB -o %ECF_OUT%/gdas.dump.jgdas_tropcy_qc_reloc_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_TROPCY_QC_RELOC - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf deleted file mode 100644 index 6962c93..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp1_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf deleted file mode 100644 index 9d83c54..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp10 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp10_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf deleted file mode 100644 index 3b71f69..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp11 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp11_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf deleted file mode 100644 index 20218b2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp12 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp12_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf deleted file mode 100644 index e6dcf10..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp13 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp13_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf deleted file mode 100644 index 02454db..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp14 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp14_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf deleted file mode 100644 index 39ec92b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp15 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp15_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf deleted file mode 100644 index 205d7e0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp16 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp16_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf deleted file mode 100644 index 075bc1e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp2_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf deleted file mode 100644 index ee7440e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp3_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf deleted file mode 100644 index 220b2b9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp4_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf deleted file mode 100644 index 6d76be7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp5_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf deleted file mode 100644 index 562af3e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp6_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf deleted file mode 100644 index 9ab23fd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp7_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf deleted file mode 100644 index ac0ea33..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp8_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf deleted file mode 100644 index 1492e8b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp9 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp9_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf deleted file mode 100644 index e4615f0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp1_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf deleted file mode 100644 index cb30b45..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp10 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp10_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf deleted file mode 100644 index fd29f5b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp11 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp11_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf deleted file mode 100644 index e2488d5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp12 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp12_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf deleted file mode 100644 index 683c235..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp13 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp13_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf deleted file mode 100644 index 641c407..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp14 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp14_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf deleted file mode 100644 index 0df0a8c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp15 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp15_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf deleted file mode 100644 index 3829b2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp16 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp16_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf deleted file mode 100644 index f3bf604..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp2_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf deleted file mode 100644 index e6bc15e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp3_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf deleted file mode 100644 index 73e7cb4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp4_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf deleted file mode 100644 index eb04b16..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp5_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf deleted file mode 100644 index d6b056e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp6_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf deleted file mode 100644 index a5b49b8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp7_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf deleted file mode 100644 index 630d329..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp8_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf deleted file mode 100644 index 2b064b3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp9 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp9_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf deleted file mode 100644 index b6d1c18..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_inflate_recenter.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_inflate_recenter -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_inflate_recenter_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=20 -%include -%include - -set -x - -export ntasks=80 -export ptile=4 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_ENKF_INFLATE_RECENTER - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf deleted file mode 100644 index 1abe592..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_post.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_post -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_post_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=20 -%include -%include - -set -x - -export ntasks=80 -export ptile=4 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_ENKF_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf deleted file mode 100644 index e0d4d1e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_select_obs.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_select_obs -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_select_obs_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=4 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_ENKF_SELECT_OBS - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf deleted file mode 100644 index 0843762..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/enkf/jgdas_enkf_update.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_update -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_update_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=40 -%include -%include - -set -x - -export ntasks=240 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_ENKF_UPDATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/forecast/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/forecast/jgdas_forecast.ecf deleted file mode 100644 index eed96b0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/forecast/jgdas_forecast.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.forecast.jgdas_forecast -#BSUB -o %ECF_OUT%/gdas.forecast.jgdas_forecast_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak.ecf deleted file mode 100644 index e611466..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.gempak.jgdas_gempak -#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_GEMPAK - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_meta.ecf deleted file mode 100644 index 93dc751..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_meta.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.gempak.jgdas_gempak_meta -#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_meta_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_GEMPAK_META - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_ncdc.ecf deleted file mode 100644 index a10c959..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/gempak/jgdas_gempak_ncdc.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.gempak.jgdas_gempak_ncdc -#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_ncdc_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_GEMPAK_NCDC - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf deleted file mode 100644 index e99e392..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_verfrad.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.jgdas_verfrad -#BSUB -o %ECF_OUT%/gdas.jgdas_verfrad_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_VERFRAD - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf deleted file mode 100644 index 16e857c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/jgdas_vminmon.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.jgdas_vminmon -#BSUB -o %ECF_OUT%/gdas.jgdas_vminmon_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_VMINMON - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/post/jgdas_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/post/jgdas_post.ecf deleted file mode 100644 index a83823e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/post/jgdas_post.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.jgdas_post -#BSUB -o %ECF_OUT%/gdas.post.jgdas_post_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf deleted file mode 100644 index e65c565..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post_processing.bulletins.jgdas_mknavybulls -#BSUB -o %ECF_OUT%/gdas.post_processing.bulletins.jgdas_mknavybulls_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_MKNAVYBULLS - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf deleted file mode 100644 index 4d41618..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_emcsfc_sfc_prep.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep.jgdas_emcsfc_sfc_prep -#BSUB -o %ECF_OUT%/gdas.prep.jgdas_emcsfc_sfc_prep_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_EMCSFC_SFC_PREP - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf deleted file mode 100644 index d70e3a8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep.jgdas_prep -#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=3 -%include -%include - -set -x - -export ntasks=6 -export ptile=2 -export threads=9223372036854775807 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_PREP - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf deleted file mode 100644 index e749457..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gdas/prep/jgdas_prep_post.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep.jgdas_prep_post -#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_post_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_PREP_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf deleted file mode 100644 index 2695723..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_dump.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.dump.jgfs_dump -#BSUB -o %ECF_OUT%/gfs.dump.jgfs_dump_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_DUMP - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf deleted file mode 100644 index 5cd044c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/dump/jgfs_tropcy_qc_reloc.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.dump.jgfs_tropcy_qc_reloc -#BSUB -o %ECF_OUT%/gfs.dump.jgfs_tropcy_qc_reloc_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_TROPCY_QC_RELOC - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_high.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_high.ecf deleted file mode 100644 index c3140db..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_high.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.forecast.jgfs_forecast_high -#BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_high_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_low.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_low.ecf deleted file mode 100644 index 37302ab..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/forecast/jgfs_forecast_low.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.forecast.jgfs_forecast_low -#BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_low_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak.ecf deleted file mode 100644 index 33942eb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.gempak.jgfs_gempak -#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_GEMPAK - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_meta.ecf deleted file mode 100644 index 27db5f1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_meta.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.gempak.jgfs_gempak_meta -#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_meta_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_GEMPAK_META - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_ncdc.ecf deleted file mode 100644 index 3188b7c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_ncdc.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.gempak.jgfs_gempak_ncdc -#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_ncdc_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_GEMPAK_NCDC - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_upapgif.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_upapgif.ecf deleted file mode 100644 index 8a66333..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_gempak_upapgif.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.gempak.jgfs_gempak_upapgif -#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_upapgif_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_GEMPAK_UPAPGIF - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf deleted file mode 100644 index 64ef876..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf +++ /dev/null @@ -1,33 +0,0 @@ -#! /bin/sh -{ecf_batch_resources} -%include -%include - -set -x - -{ecf_resource_more} - -export model={model_name} -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -{ecf_module_commands} -module list - -############################################################# -# WCOSS environment settings -############################################################# - -{ecf_environment_settings} - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST - -%include -%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf deleted file mode 100644 index abcf04c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_analysis.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.jgfs_analysis -#BSUB -o %ECF_OUT%/gfs.jgfs_analysis_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=60 -%include -%include - -set -x - -export ntasks=360 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_ANALYSIS - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf deleted file mode 100644 index fa7eafe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/jgfs_vminmon.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.jgfs_vminmon -#BSUB -o %ECF_OUT%/gfs.jgfs_vminmon_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_VMINMON - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/dummy.ecf deleted file mode 100644 index 700857f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/dummy.ecf +++ /dev/null @@ -1,11 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.dummy -#BSUB -o %ECF_OUT%/gfs.post.dummy_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/DUMMY -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_pgrb2_spec_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_pgrb2_spec_post.ecf deleted file mode 100644 index 0801f05..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_pgrb2_spec_post.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_pgrb2_spec_post -#BSUB -o %ECF_OUT%/gfs.post.jgfs_pgrb2_spec_post_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_PGRB2_SPEC_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_anl.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_anl.ecf deleted file mode 100644 index 45a93c4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_anl.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_anl -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_anl_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_ANL - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f00.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f00.ecf deleted file mode 100644 index 02597de..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f00.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f00 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f00_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F00 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f01.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f01.ecf deleted file mode 100644 index 108bf63..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f01.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f01 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f01_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F01 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f02.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f02.ecf deleted file mode 100644 index 4fdac2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f02.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f02 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f02_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F02 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f03.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f03.ecf deleted file mode 100644 index f9e67b3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f03.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f03 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f03_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F03 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f04.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f04.ecf deleted file mode 100644 index 5cf2213..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f04.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f04 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f04_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F04 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f05.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f05.ecf deleted file mode 100644 index 4d07699..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f05.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f05 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f05_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F05 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f06.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f06.ecf deleted file mode 100644 index 61378cf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f06.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f06 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f06_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F06 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f07.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f07.ecf deleted file mode 100644 index 358a358..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f07.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f07 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f07_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F07 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f08.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f08.ecf deleted file mode 100644 index 54f90b9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f08.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f08 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f08_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F08 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f09.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f09.ecf deleted file mode 100644 index 8b82c0e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f09.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f09 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f09_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F09 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f10.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f10.ecf deleted file mode 100644 index 3cdac7f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f10.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f10 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f10_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F10 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f100.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f100.ecf deleted file mode 100644 index 1a86b5b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f100.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f100 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f100_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F100 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f101.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f101.ecf deleted file mode 100644 index e249fa7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f101.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f101 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f101_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F101 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f102.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f102.ecf deleted file mode 100644 index 169b6f8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f102.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f102 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f102_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F102 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f103.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f103.ecf deleted file mode 100644 index 9c80f50..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f103.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f103 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f103_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F103 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f104.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f104.ecf deleted file mode 100644 index 0c91263..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f104.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f104 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f104_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F104 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f105.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f105.ecf deleted file mode 100644 index 8c838f1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f105.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f105 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f105_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F105 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f106.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f106.ecf deleted file mode 100644 index 9227194..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f106.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f106 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f106_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F106 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f107.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f107.ecf deleted file mode 100644 index e66cc39..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f107.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f107 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f107_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F107 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f108.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f108.ecf deleted file mode 100644 index 2404b80..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f108.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f108 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f108_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F108 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f109.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f109.ecf deleted file mode 100644 index 568e47e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f109.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f109 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f109_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F109 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f11.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f11.ecf deleted file mode 100644 index a8c31fe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f11.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f11 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f11_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F11 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f110.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f110.ecf deleted file mode 100644 index 7f02883..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f110.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f110 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f110_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F110 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f111.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f111.ecf deleted file mode 100644 index 84edb70..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f111.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f111 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f111_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F111 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f112.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f112.ecf deleted file mode 100644 index 2c3d749..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f112.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f112 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f112_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F112 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f113.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f113.ecf deleted file mode 100644 index fcadb88..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f113.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f113 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f113_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F113 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f114.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f114.ecf deleted file mode 100644 index e7339b4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f114.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f114 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f114_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F114 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f115.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f115.ecf deleted file mode 100644 index 4028ca9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f115.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f115 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f115_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F115 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f116.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f116.ecf deleted file mode 100644 index 25c013b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f116.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f116 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f116_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F116 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f117.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f117.ecf deleted file mode 100644 index 40b2a29..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f117.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f117 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f117_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F117 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f118.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f118.ecf deleted file mode 100644 index c4f7bad..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f118.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f118 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f118_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F118 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f119.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f119.ecf deleted file mode 100644 index 57a736c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f119.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f119 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f119_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F119 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f12.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f12.ecf deleted file mode 100644 index 791286e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f12.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f12 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f12_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F12 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f120.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f120.ecf deleted file mode 100644 index 7efc829..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f120.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f120 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f120_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F120 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f123.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f123.ecf deleted file mode 100644 index b7fed67..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f123.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f123 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f123_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F123 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f126.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f126.ecf deleted file mode 100644 index faa1ca2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f126.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f126 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f126_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F126 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f129.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f129.ecf deleted file mode 100644 index 0bff7d7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f129.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f129 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f129_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F129 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f13.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f13.ecf deleted file mode 100644 index 9370750..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f13.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f13 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f13_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F13 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f132.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f132.ecf deleted file mode 100644 index cd98649..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f132.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f132 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f132_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F132 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f135.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f135.ecf deleted file mode 100644 index adf58ff..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f135.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f135 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f135_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F135 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f138.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f138.ecf deleted file mode 100644 index 3b4d3e1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f138.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f138 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f138_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F138 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f14.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f14.ecf deleted file mode 100644 index c2eb6c2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f14.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f14 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f14_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F14 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f141.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f141.ecf deleted file mode 100644 index 845199e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f141.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f141 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f141_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F141 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f144.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f144.ecf deleted file mode 100644 index b14d208..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f144.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f144 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f144_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F144 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f147.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f147.ecf deleted file mode 100644 index 8559ed0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f147.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f147 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f147_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F147 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f15.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f15.ecf deleted file mode 100644 index 086115c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f15.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f15 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f15_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F15 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f150.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f150.ecf deleted file mode 100644 index 309c34f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f150.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f150 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f150_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F150 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f153.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f153.ecf deleted file mode 100644 index 430952c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f153.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f153 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f153_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F153 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f156.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f156.ecf deleted file mode 100644 index 064a627..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f156.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f156 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f156_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F156 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f159.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f159.ecf deleted file mode 100644 index 1ce69e7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f159.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f159 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f159_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F159 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f16.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f16.ecf deleted file mode 100644 index fd7598f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f16.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f16 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f16_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F16 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f162.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f162.ecf deleted file mode 100644 index 854d633..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f162.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f162 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f162_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F162 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f165.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f165.ecf deleted file mode 100644 index c2c60b9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f165.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f165 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f165_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F165 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f168.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f168.ecf deleted file mode 100644 index 2a9d6ba..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f168.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f168 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f168_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F168 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f17.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f17.ecf deleted file mode 100644 index 5d76cb5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f17.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f17 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f17_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F17 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f171.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f171.ecf deleted file mode 100644 index 8ea9fff..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f171.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f171 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f171_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F171 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f174.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f174.ecf deleted file mode 100644 index 4402bce..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f174.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f174 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f174_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F174 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f177.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f177.ecf deleted file mode 100644 index 107d37a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f177.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f177 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f177_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F177 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f18.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f18.ecf deleted file mode 100644 index 3b29c2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f18.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f18 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f18_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F18 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f180.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f180.ecf deleted file mode 100644 index e9c8054..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f180.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f180 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f180_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F180 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f183.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f183.ecf deleted file mode 100644 index 22d1912..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f183.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f183 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f183_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F183 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f186.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f186.ecf deleted file mode 100644 index 115a3f4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f186.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f186 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f186_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F186 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f189.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f189.ecf deleted file mode 100644 index b106e6e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f189.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f189 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f189_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F189 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f19.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f19.ecf deleted file mode 100644 index 21956ec..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f19.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f19 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f19_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F19 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f192.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f192.ecf deleted file mode 100644 index 4ae2aff..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f192.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f192 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f192_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F192 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f195.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f195.ecf deleted file mode 100644 index 0f24e2a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f195.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f195 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f195_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F195 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f198.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f198.ecf deleted file mode 100644 index ef6396a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f198.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f198 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f198_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F198 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f20.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f20.ecf deleted file mode 100644 index 7c47937..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f20.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f20 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f20_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F20 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f201.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f201.ecf deleted file mode 100644 index 2f9317a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f201.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f201 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f201_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F201 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f204.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f204.ecf deleted file mode 100644 index efa0ded..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f204.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f204 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f204_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F204 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f207.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f207.ecf deleted file mode 100644 index ec1710e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f207.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f207 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f207_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F207 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f21.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f21.ecf deleted file mode 100644 index 4f804b0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f21.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f21 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f21_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F21 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f210.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f210.ecf deleted file mode 100644 index 35362be..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f210.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f210 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f210_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F210 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f213.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f213.ecf deleted file mode 100644 index 34c4bf6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f213.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f213 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f213_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F213 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f216.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f216.ecf deleted file mode 100644 index b71785a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f216.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f216 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f216_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F216 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f219.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f219.ecf deleted file mode 100644 index e5532a7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f219.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f219 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f219_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F219 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f22.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f22.ecf deleted file mode 100644 index 14de8d7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f22.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f22 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f22_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F22 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f222.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f222.ecf deleted file mode 100644 index 1323cc2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f222.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f222 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f222_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F222 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f225.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f225.ecf deleted file mode 100644 index 455ead1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f225.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f225 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f225_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F225 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f228.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f228.ecf deleted file mode 100644 index cfac18f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f228.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f228 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f228_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F228 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f23.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f23.ecf deleted file mode 100644 index 55ae234..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f23.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f23 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f23_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F23 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f231.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f231.ecf deleted file mode 100644 index 056d407..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f231.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f231 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f231_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F231 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f234.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f234.ecf deleted file mode 100644 index 23a4639..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f234.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f234 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f234_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F234 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f237.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f237.ecf deleted file mode 100644 index c0ce852..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f237.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f237 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f237_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F237 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f24.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f24.ecf deleted file mode 100644 index c8386e3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f24.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f24 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f24_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F24 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f240.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f240.ecf deleted file mode 100644 index c81481b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f240.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f240 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f240_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F240 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f25.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f25.ecf deleted file mode 100644 index c27b146..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f25.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f25 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f25_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F25 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f252.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f252.ecf deleted file mode 100644 index de6a3e9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f252.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f252 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f252_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F252 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f26.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f26.ecf deleted file mode 100644 index 19e658b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f26.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f26 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f26_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F26 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f264.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f264.ecf deleted file mode 100644 index 6062291..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f264.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f264 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f264_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F264 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f27.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f27.ecf deleted file mode 100644 index 49aefae..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f27.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f27 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f27_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F27 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f276.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f276.ecf deleted file mode 100644 index 0acae9d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f276.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f276 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f276_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F276 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f28.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f28.ecf deleted file mode 100644 index ed73eb8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f28.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f28 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f28_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F28 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f288.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f288.ecf deleted file mode 100644 index 42d9617..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f288.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f288 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f288_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F288 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f29.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f29.ecf deleted file mode 100644 index c84c6f3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f29.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f29 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f29_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F29 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f30.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f30.ecf deleted file mode 100644 index 23927d7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f30.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f30 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f30_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F30 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f300.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f300.ecf deleted file mode 100644 index 68473ec..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f300.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f300 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f300_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F300 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f31.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f31.ecf deleted file mode 100644 index a7d4c7e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f31.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f31 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f31_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F31 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f312.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f312.ecf deleted file mode 100644 index 2b32b78..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f312.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f312 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f312_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F312 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f32.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f32.ecf deleted file mode 100644 index 7730300..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f32.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f32 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f32_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F32 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f324.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f324.ecf deleted file mode 100644 index 7a86340..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f324.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f324 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f324_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F324 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f33.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f33.ecf deleted file mode 100644 index 6b5bec0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f33.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f33 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f33_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F33 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f336.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f336.ecf deleted file mode 100644 index 191765b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f336.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f336 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f336_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F336 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f34.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f34.ecf deleted file mode 100644 index cd5061b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f34.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f34 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f34_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F34 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f348.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f348.ecf deleted file mode 100644 index 95b8f8b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f348.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f348 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f348_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F348 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f35.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f35.ecf deleted file mode 100644 index cacaf52..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f35.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f35 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f35_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F35 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f36.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f36.ecf deleted file mode 100644 index e33dde8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f36.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f36 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f36_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F36 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f360.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f360.ecf deleted file mode 100644 index 4fc0fe4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f360.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f360 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f360_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F360 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f37.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f37.ecf deleted file mode 100644 index 5a92603..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f37.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f37 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f37_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F37 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f372.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f372.ecf deleted file mode 100644 index 8b5f4b6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f372.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f372 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f372_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F372 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f38.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f38.ecf deleted file mode 100644 index 2a26048..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f38.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f38 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f38_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F38 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f384.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f384.ecf deleted file mode 100644 index 938424a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f384.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f384 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f384_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F384 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f39.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f39.ecf deleted file mode 100644 index 1b8ec9d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f39.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f39 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f39_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F39 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f40.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f40.ecf deleted file mode 100644 index 1eec893..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f40.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f40 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f40_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F40 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f41.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f41.ecf deleted file mode 100644 index c1c7542..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f41.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f41 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f41_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F41 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f42.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f42.ecf deleted file mode 100644 index 2325836..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f42.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f42 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f42_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F42 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f43.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f43.ecf deleted file mode 100644 index ff41cfb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f43.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f43 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f43_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F43 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f44.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f44.ecf deleted file mode 100644 index 4ce3278..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f44.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f44 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f44_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F44 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f45.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f45.ecf deleted file mode 100644 index 91e6446..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f45.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f45 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f45_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F45 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f46.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f46.ecf deleted file mode 100644 index 8b0dc36..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f46.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f46 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f46_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F46 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f47.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f47.ecf deleted file mode 100644 index e67c97a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f47.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f47 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f47_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F47 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f48.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f48.ecf deleted file mode 100644 index 2b62b20..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f48.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f48 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f48_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F48 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f49.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f49.ecf deleted file mode 100644 index 472ad4c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f49.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f49 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f49_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F49 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f50.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f50.ecf deleted file mode 100644 index 7553af6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f50.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f50 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f50_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F50 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f51.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f51.ecf deleted file mode 100644 index c912aaa..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f51.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f51 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f51_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F51 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f52.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f52.ecf deleted file mode 100644 index 550df2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f52.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f52 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f52_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F52 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f53.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f53.ecf deleted file mode 100644 index 92dd73f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f53.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f53 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f53_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F53 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f54.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f54.ecf deleted file mode 100644 index bea0edc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f54.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f54 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f54_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F54 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f55.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f55.ecf deleted file mode 100644 index 837811c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f55.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f55 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f55_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F55 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f56.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f56.ecf deleted file mode 100644 index d89b869..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f56.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f56 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f56_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F56 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f57.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f57.ecf deleted file mode 100644 index 348ee4f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f57.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f57 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f57_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F57 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f58.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f58.ecf deleted file mode 100644 index 18c4a48..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f58.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f58 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f58_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F58 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f59.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f59.ecf deleted file mode 100644 index 911e47a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f59.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f59 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f59_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F59 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f60.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f60.ecf deleted file mode 100644 index 5146bd0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f60.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f60 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f60_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F60 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f61.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f61.ecf deleted file mode 100644 index ae3fea3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f61.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f61 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f61_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F61 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f62.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f62.ecf deleted file mode 100644 index 436b0bc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f62.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f62 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f62_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F62 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f63.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f63.ecf deleted file mode 100644 index f0a1a8f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f63.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f63 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f63_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F63 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f64.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f64.ecf deleted file mode 100644 index 950507b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f64.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f64 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f64_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F64 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f65.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f65.ecf deleted file mode 100644 index d6c4e7f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f65.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f65 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f65_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F65 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f66.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f66.ecf deleted file mode 100644 index 5925426..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f66.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f66 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f66_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F66 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f67.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f67.ecf deleted file mode 100644 index a30b66c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f67.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f67 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f67_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F67 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f68.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f68.ecf deleted file mode 100644 index 02c4962..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f68.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f68 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f68_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F68 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f69.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f69.ecf deleted file mode 100644 index 1a4852c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f69.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f69 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f69_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F69 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f70.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f70.ecf deleted file mode 100644 index ad1dca1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f70.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f70 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f70_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F70 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f71.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f71.ecf deleted file mode 100644 index b75158b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f71.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f71 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f71_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F71 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f72.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f72.ecf deleted file mode 100644 index bfda8ea..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f72.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f72 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f72_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F72 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f73.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f73.ecf deleted file mode 100644 index 5703db6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f73.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f73 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f73_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F73 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f74.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f74.ecf deleted file mode 100644 index 4d8a171..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f74.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f74 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f74_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F74 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f75.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f75.ecf deleted file mode 100644 index df16a6d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f75.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f75 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f75_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F75 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f76.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f76.ecf deleted file mode 100644 index 8e6af05..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f76.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f76 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f76_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F76 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f77.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f77.ecf deleted file mode 100644 index cfa16fc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f77.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f77 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f77_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F77 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f78.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f78.ecf deleted file mode 100644 index 96ab8cd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f78.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f78 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f78_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F78 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f79.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f79.ecf deleted file mode 100644 index d5bacf3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f79.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f79 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f79_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F79 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f80.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f80.ecf deleted file mode 100644 index a43e62f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f80.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f80 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f80_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F80 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f81.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f81.ecf deleted file mode 100644 index 433bd18..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f81.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f81 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f81_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F81 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f82.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f82.ecf deleted file mode 100644 index a79f29b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f82.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f82 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f82_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F82 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f83.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f83.ecf deleted file mode 100644 index c0a8717..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f83.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f83 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f83_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F83 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f84.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f84.ecf deleted file mode 100644 index fc497b7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f84.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f84 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f84_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F84 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f85.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f85.ecf deleted file mode 100644 index 4b8f159..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f85.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f85 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f85_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F85 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f86.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f86.ecf deleted file mode 100644 index d277132..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f86.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f86 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f86_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F86 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f87.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f87.ecf deleted file mode 100644 index ba24c5d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f87.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f87 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f87_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F87 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f88.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f88.ecf deleted file mode 100644 index 81a30a6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f88.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f88 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f88_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F88 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f89.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f89.ecf deleted file mode 100644 index 3514e27..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f89.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f89 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f89_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F89 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f90.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f90.ecf deleted file mode 100644 index 73a0585..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f90.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f90 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f90_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F90 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f91.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f91.ecf deleted file mode 100644 index 3eceeee..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f91.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f91 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f91_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F91 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f92.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f92.ecf deleted file mode 100644 index bc30db6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f92.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f92 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f92_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F92 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f93.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f93.ecf deleted file mode 100644 index 842596d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f93.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f93 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f93_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F93 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f94.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f94.ecf deleted file mode 100644 index adc946c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f94.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f94 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f94_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F94 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f95.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f95.ecf deleted file mode 100644 index 961d45a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f95.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f95 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f95_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F95 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f96.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f96.ecf deleted file mode 100644 index e7c1809..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f96.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f96 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f96_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F96 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f97.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f97.ecf deleted file mode 100644 index 5a7b7cc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f97.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f97 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f97_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F97 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f98.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f98.ecf deleted file mode 100644 index 6aba72f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f98.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f98 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f98_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F98 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f99.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f99.ecf deleted file mode 100644 index fd493c7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_f99.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f99 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f99_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F99 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_manager.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_manager.ecf deleted file mode 100644 index cce2e41..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post/jgfs_post_manager.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_manager -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_manager_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_MANAGER - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf deleted file mode 100644 index d3ec7f0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f000 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f000_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F000 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf deleted file mode 100644 index 669ca3e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f001 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f001_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F001 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf deleted file mode 100644 index bcc4ea7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f002 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f002_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F002 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf deleted file mode 100644 index 1389451..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f003 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f003_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F003 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf deleted file mode 100644 index ff9a6db..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f004 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f004_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F004 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf deleted file mode 100644 index fa0b9da..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f005 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f005_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F005 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf deleted file mode 100644 index 216de5b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f006 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f006_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F006 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf deleted file mode 100644 index e848d86..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f007 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f007_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F007 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf deleted file mode 100644 index 8fd242a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f008 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f008_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F008 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf deleted file mode 100644 index d27fae2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f009 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f009_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F009 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf deleted file mode 100644 index 0484b7b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f010 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f010_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F010 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf deleted file mode 100644 index 3aa2ddb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f011 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f011_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F011 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf deleted file mode 100644 index ceb7bcf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f012 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f012_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F012 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf deleted file mode 100644 index ab42d41..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f013 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f013_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F013 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf deleted file mode 100644 index 59a4b64..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f014 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f014_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F014 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf deleted file mode 100644 index 53a8752..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f015 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f015_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F015 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf deleted file mode 100644 index 6a2c161..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f016 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f016_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F016 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf deleted file mode 100644 index 5643028..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f017 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f017_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F017 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf deleted file mode 100644 index 9017a9e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f018 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f018_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F018 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf deleted file mode 100644 index e330aff..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f019 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f019_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F019 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf deleted file mode 100644 index 9ed1e5e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f020 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f020_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F020 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf deleted file mode 100644 index 1db01b8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f021 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f021_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F021 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf deleted file mode 100644 index 4d37614..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f022 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f022_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F022 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf deleted file mode 100644 index 7cce8c7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f023 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f023_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F023 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf deleted file mode 100644 index d257cd9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f024 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f024_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F024 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf deleted file mode 100644 index 280cef8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f025 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f025_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F025 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf deleted file mode 100644 index 4988749..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f026 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f026_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F026 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf deleted file mode 100644 index 5d3a7a1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f027 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f027_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F027 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf deleted file mode 100644 index de2fc15..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f028 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f028_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F028 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf deleted file mode 100644 index fb40400..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f029 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f029_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F029 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf deleted file mode 100644 index 1b2d8c6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f030 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f030_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F030 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf deleted file mode 100644 index fce31a7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f031 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f031_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F031 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf deleted file mode 100644 index c657d14..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f032 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f032_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F032 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf deleted file mode 100644 index 4fc3a2c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f033 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f033_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F033 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf deleted file mode 100644 index 8f26afb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f034 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f034_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F034 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf deleted file mode 100644 index ecabe41..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f035 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f035_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F035 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf deleted file mode 100644 index 5ee87b4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f036 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f036_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F036 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf deleted file mode 100644 index 4069a46..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f037 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f037_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F037 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf deleted file mode 100644 index 9a02dd7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f038 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f038_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F038 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf deleted file mode 100644 index 196511a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f039 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f039_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F039 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf deleted file mode 100644 index 8f90a29..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f040 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f040_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F040 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf deleted file mode 100644 index 22378cc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f041 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f041_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F041 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf deleted file mode 100644 index 5926ab9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f042 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f042_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F042 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf deleted file mode 100644 index 9722311..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f043 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f043_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F043 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf deleted file mode 100644 index fe25953..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f044 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f044_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F044 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf deleted file mode 100644 index 5a97394..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f045 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f045_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F045 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf deleted file mode 100644 index 61ddb06..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f046 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f046_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F046 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf deleted file mode 100644 index 64cf3a6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f047 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f047_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F047 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf deleted file mode 100644 index 92a8d47..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f048 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f048_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F048 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf deleted file mode 100644 index a14d268..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f049 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f049_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F049 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf deleted file mode 100644 index fb8d1ca..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f050 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f050_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F050 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf deleted file mode 100644 index 6a2377c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f051 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f051_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F051 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf deleted file mode 100644 index 6161af9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f052 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f052_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F052 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf deleted file mode 100644 index 518272e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f053 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f053_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F053 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf deleted file mode 100644 index 2e1e4ab..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f054 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f054_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F054 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf deleted file mode 100644 index 7fe7456..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f055 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f055_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F055 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf deleted file mode 100644 index 895605b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f056 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f056_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F056 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf deleted file mode 100644 index 5a552bd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f057 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f057_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F057 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf deleted file mode 100644 index 4a6e5fe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f058 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f058_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F058 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf deleted file mode 100644 index 3fb2a82..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f059 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f059_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F059 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf deleted file mode 100644 index cf13c9f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f060 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f060_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F060 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf deleted file mode 100644 index b752ca2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f061 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f061_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F061 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf deleted file mode 100644 index 45a961c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f062 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f062_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F062 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf deleted file mode 100644 index 18722a0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f063 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f063_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F063 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf deleted file mode 100644 index 1ed950b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f064 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f064_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F064 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf deleted file mode 100644 index a3ee684..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f065 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f065_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F065 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf deleted file mode 100644 index a30cdf1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f066 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f066_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F066 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf deleted file mode 100644 index 971abcc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f067 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f067_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F067 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf deleted file mode 100644 index 20aae5d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f068 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f068_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F068 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf deleted file mode 100644 index 23b3f35..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f069 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f069_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F069 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf deleted file mode 100644 index 0bca73e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f070 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f070_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F070 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf deleted file mode 100644 index e18ed18..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f071 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f071_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F071 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf deleted file mode 100644 index 81b0dbd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f072 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f072_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F072 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf deleted file mode 100644 index 0afd294..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f073 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f073_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F073 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf deleted file mode 100644 index b35e294..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f074 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f074_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F074 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf deleted file mode 100644 index cdd1c9a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f075 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f075_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F075 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf deleted file mode 100644 index 463d7fc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f076 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f076_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F076 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf deleted file mode 100644 index 9b6d244..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f077 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f077_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F077 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf deleted file mode 100644 index 6a8f2e0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f078 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f078_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F078 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf deleted file mode 100644 index 77b3012..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f079 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f079_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F079 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf deleted file mode 100644 index 603586f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f080 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f080_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F080 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf deleted file mode 100644 index 28338e3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f081 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f081_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F081 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf deleted file mode 100644 index 67d2c2a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f082 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f082_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F082 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf deleted file mode 100644 index c7fa12e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f083 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f083_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F083 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf deleted file mode 100644 index 42f449d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f084 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f084_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F084 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf deleted file mode 100644 index b1a1f45..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f085 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f085_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F085 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf deleted file mode 100644 index eca4005..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f086 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f086_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F086 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf deleted file mode 100644 index f0cf3d8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f087 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f087_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F087 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf deleted file mode 100644 index acfad04..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f088 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f088_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F088 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf deleted file mode 100644 index a640f6a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f089 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f089_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F089 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf deleted file mode 100644 index e089a70..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f090 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f090_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F090 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf deleted file mode 100644 index f5b80dc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f091 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f091_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F091 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf deleted file mode 100644 index 0a98218..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f092 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f092_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F092 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf deleted file mode 100644 index 5864377..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f093 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f093_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F093 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf deleted file mode 100644 index e598259..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f094 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f094_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F094 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf deleted file mode 100644 index bbcaefa..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f095 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f095_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F095 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf deleted file mode 100644 index cacd7fa..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f096 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f096_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F096 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf deleted file mode 100644 index 26dc797..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f097 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f097_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F097 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf deleted file mode 100644 index eb95fc9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f098 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f098_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F098 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf deleted file mode 100644 index 474a79a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f099 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f099_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F099 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf deleted file mode 100644 index 25443e0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f100 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f100_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf deleted file mode 100644 index 346a86d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f101 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f101_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf deleted file mode 100644 index f49973c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f102 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f102_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf deleted file mode 100644 index 70ec792..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f103 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f103_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf deleted file mode 100644 index 732d5d0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f104 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f104_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf deleted file mode 100644 index 4efbb80..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f105 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f105_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf deleted file mode 100644 index 27e9e7e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f106 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f106_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf deleted file mode 100644 index db13b96..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f107 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f107_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf deleted file mode 100644 index 61fef46..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f108 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f108_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf deleted file mode 100644 index a7ad7c6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f109 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f109_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf deleted file mode 100644 index b8e8353..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f110 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f110_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf deleted file mode 100644 index 8092265..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f111 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f111_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf deleted file mode 100644 index 79245a5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f112 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f112_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf deleted file mode 100644 index c31419b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f113 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f113_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf deleted file mode 100644 index 57e845a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f114 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f114_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf deleted file mode 100644 index 7784fcc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f115 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f115_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf deleted file mode 100644 index 58c52d0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f116 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f116_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf deleted file mode 100644 index d222027..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f117 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f117_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf deleted file mode 100644 index 40bd197..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f118 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f118_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf deleted file mode 100644 index acc1d99..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f119 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f119_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf deleted file mode 100644 index bc4057c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f120 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f120_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf deleted file mode 100644 index 06a8220..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f123 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f123_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf deleted file mode 100644 index 0971d65..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f126 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f126_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf deleted file mode 100644 index 98b8e26..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f129 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f129_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf deleted file mode 100644 index f0149ce..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f132 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f132_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf deleted file mode 100644 index b92409f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f135 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f135_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf deleted file mode 100644 index 65b9001..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f138 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f138_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf deleted file mode 100644 index 515a95f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f141 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f141_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf deleted file mode 100644 index a83e1ee..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f144 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f144_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf deleted file mode 100644 index 513a955..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f147 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f147_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf deleted file mode 100644 index 7148c6f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f150 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f150_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf deleted file mode 100644 index 6f6c03a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f153 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f153_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf deleted file mode 100644 index 2124ce9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f156 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f156_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf deleted file mode 100644 index 845a1a9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f159 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f159_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf deleted file mode 100644 index d562347..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f162 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f162_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf deleted file mode 100644 index a7c2d69..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f165 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f165_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf deleted file mode 100644 index 9f40903..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f168 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f168_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf deleted file mode 100644 index 5e7e844..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f171 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f171_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf deleted file mode 100644 index b1ddb61..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f174 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f174_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf deleted file mode 100644 index 0b2eda4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f177 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f177_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf deleted file mode 100644 index 37b5b22..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f180 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f180_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf deleted file mode 100644 index 836131c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f183 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f183_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf deleted file mode 100644 index 5bb10f4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f186 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f186_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf deleted file mode 100644 index 295fa2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f189 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f189_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf deleted file mode 100644 index e7df28f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f192 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f192_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf deleted file mode 100644 index 84e2e0a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f195 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f195_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf deleted file mode 100644 index c4410ac..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f198 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f198_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf deleted file mode 100644 index 73c50b7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f201 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f201_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf deleted file mode 100644 index a4c0108..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f204 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f204_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf deleted file mode 100644 index fd59fac..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f207 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f207_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf deleted file mode 100644 index 8d1750d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f210 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f210_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf deleted file mode 100644 index 2c901e9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f213 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f213_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf deleted file mode 100644 index dd202cc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f216 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f216_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf deleted file mode 100644 index cf3b8af..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f219 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f219_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf deleted file mode 100644 index 8a2b14d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f222 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f222_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf deleted file mode 100644 index 72ba6d8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f225 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f225_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf deleted file mode 100644 index e6d53d8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f228 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f228_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf deleted file mode 100644 index 674137f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f231 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f231_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf deleted file mode 100644 index 423eaea..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f234 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f234_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf deleted file mode 100644 index d454c69..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f237 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f237_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf deleted file mode 100644 index 2f09456..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f240 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f240_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf deleted file mode 100644 index bf69c9c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f252 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f252_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf deleted file mode 100644 index aaaad1a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f264 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f264_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf deleted file mode 100644 index 61fbc1d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f276 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f276_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf deleted file mode 100644 index 6189c31..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f288 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f288_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf deleted file mode 100644 index f3645fe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f300 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f300_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf deleted file mode 100644 index 676d412..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f312 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f312_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf deleted file mode 100644 index 5492342..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f324 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f324_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf deleted file mode 100644 index 7f558a7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f336 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f336_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf deleted file mode 100644 index b6f52b5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f348 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f348_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf deleted file mode 100644 index e747d70..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f360 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f360_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf deleted file mode 100644 index 4eb5880..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f372 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f372_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf deleted file mode 100644 index 83608a9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f384 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f384_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf deleted file mode 100644 index 427e646..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f000 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f000_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F000 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf deleted file mode 100644 index ab2af43..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f001 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f001_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F001 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf deleted file mode 100644 index 9c3ce49..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f002 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f002_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F002 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf deleted file mode 100644 index 91ecf3d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f003 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f003_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F003 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf deleted file mode 100644 index 963ab8c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f004 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f004_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F004 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf deleted file mode 100644 index 5e1ff16..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f005 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f005_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F005 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf deleted file mode 100644 index 5f79c28..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f006 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f006_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F006 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf deleted file mode 100644 index 57a944e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f007 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f007_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F007 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf deleted file mode 100644 index d2ebc78..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f008 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f008_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F008 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf deleted file mode 100644 index e6195e8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f009 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f009_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F009 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf deleted file mode 100644 index 53301c3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f010 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f010_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F010 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf deleted file mode 100644 index 8827e9f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f011 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f011_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F011 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf deleted file mode 100644 index a11f357..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f012 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f012_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F012 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf deleted file mode 100644 index 18e01f0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f013 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f013_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F013 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf deleted file mode 100644 index e5e4f59..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f014 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f014_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F014 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf deleted file mode 100644 index 1b34c83..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f015 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f015_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F015 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf deleted file mode 100644 index cf01459..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f016 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f016_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F016 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf deleted file mode 100644 index bdca96c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f017 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f017_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F017 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf deleted file mode 100644 index 492457c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f018 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f018_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F018 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf deleted file mode 100644 index b0bf180..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f019 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f019_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F019 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf deleted file mode 100644 index 3bf1ecc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f020 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f020_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F020 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf deleted file mode 100644 index bc6d6e4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f021 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f021_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F021 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf deleted file mode 100644 index 7de3250..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f022 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f022_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F022 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf deleted file mode 100644 index e28a3cb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f023 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f023_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F023 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf deleted file mode 100644 index ff0e27c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f024 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f024_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F024 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf deleted file mode 100644 index 3e18e44..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f025 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f025_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F025 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf deleted file mode 100644 index ac56176..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f026 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f026_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F026 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf deleted file mode 100644 index 80dab35..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f027 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f027_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F027 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf deleted file mode 100644 index d79b344..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f028 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f028_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F028 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf deleted file mode 100644 index 20d63eb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f029 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f029_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F029 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf deleted file mode 100644 index 1e2b0c5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f030 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f030_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F030 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf deleted file mode 100644 index c900671..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f031 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f031_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F031 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf deleted file mode 100644 index 87be72c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f032 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f032_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F032 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf deleted file mode 100644 index e07b9d4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f033 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f033_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F033 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf deleted file mode 100644 index ebd6b4d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f034 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f034_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F034 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf deleted file mode 100644 index 0bb38cb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f035 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f035_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F035 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf deleted file mode 100644 index 3943b5e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f036 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f036_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F036 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf deleted file mode 100644 index 6ca5114..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f037 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f037_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F037 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf deleted file mode 100644 index cff0b72..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f038 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f038_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F038 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf deleted file mode 100644 index d2dbbbe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f039 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f039_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F039 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf deleted file mode 100644 index e44ab23..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f040 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f040_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F040 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf deleted file mode 100644 index aced496..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f041 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f041_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F041 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf deleted file mode 100644 index dbd4901..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f042 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f042_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F042 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf deleted file mode 100644 index b669e2a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f043 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f043_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F043 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf deleted file mode 100644 index 0d87eca..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f044 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f044_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F044 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf deleted file mode 100644 index 5fc043e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f045 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f045_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F045 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf deleted file mode 100644 index 1d44129..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f046 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f046_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F046 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf deleted file mode 100644 index 99e628a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f047 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f047_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F047 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf deleted file mode 100644 index dc202cd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f048 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f048_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F048 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf deleted file mode 100644 index 4badc2d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f049 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f049_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F049 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf deleted file mode 100644 index c5eea93..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f050 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f050_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F050 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf deleted file mode 100644 index 847867e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f051 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f051_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F051 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf deleted file mode 100644 index 5c2e61c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f052 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f052_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F052 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf deleted file mode 100644 index 9f92201..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f053 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f053_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F053 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf deleted file mode 100644 index fcbd8c0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f054 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f054_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F054 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf deleted file mode 100644 index 4b21b8e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f055 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f055_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F055 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf deleted file mode 100644 index f69e31d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f056 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f056_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F056 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf deleted file mode 100644 index 0bc7a5a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f057 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f057_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F057 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf deleted file mode 100644 index cca67b3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f058 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f058_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F058 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf deleted file mode 100644 index 82481d8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f059 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f059_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F059 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf deleted file mode 100644 index 767c16d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f060 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f060_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F060 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf deleted file mode 100644 index c3accb6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f061 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f061_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F061 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf deleted file mode 100644 index 0f1e2b4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f062 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f062_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F062 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf deleted file mode 100644 index 26cd4fd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f063 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f063_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F063 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf deleted file mode 100644 index 9e31579..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f064 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f064_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F064 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf deleted file mode 100644 index 904ad8e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f065 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f065_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F065 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf deleted file mode 100644 index 2ac1eef..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f066 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f066_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F066 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf deleted file mode 100644 index e28f3e0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f067 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f067_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F067 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf deleted file mode 100644 index 47e532d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f068 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f068_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F068 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf deleted file mode 100644 index f0795c7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f069 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f069_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F069 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf deleted file mode 100644 index 09f9386..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f070 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f070_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F070 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf deleted file mode 100644 index 7af6e71..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f071 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f071_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F071 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf deleted file mode 100644 index 163556e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f072 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f072_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F072 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf deleted file mode 100644 index 312d962..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f073 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f073_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F073 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf deleted file mode 100644 index bc5854d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f074 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f074_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F074 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf deleted file mode 100644 index 995dd06..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f075 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f075_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F075 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf deleted file mode 100644 index 037d514..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f076 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f076_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F076 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf deleted file mode 100644 index 0975f98..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f077 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f077_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F077 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf deleted file mode 100644 index 4fbf9a2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f078 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f078_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F078 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf deleted file mode 100644 index f289400..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f079 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f079_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F079 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf deleted file mode 100644 index de9d879..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f080 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f080_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F080 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf deleted file mode 100644 index 724b2c8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f081 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f081_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F081 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf deleted file mode 100644 index 26342f1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f082 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f082_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F082 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf deleted file mode 100644 index edac663..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f083 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f083_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F083 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf deleted file mode 100644 index 23a6cc3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f084 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f084_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F084 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf deleted file mode 100644 index 1c4b186..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f085 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f085_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F085 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf deleted file mode 100644 index d640e2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f086 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f086_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F086 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf deleted file mode 100644 index 2b9a3b8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f087 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f087_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F087 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf deleted file mode 100644 index a8d0f25..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f088 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f088_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F088 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf deleted file mode 100644 index d86ef52..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f089 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f089_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F089 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf deleted file mode 100644 index 4165018..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f090 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f090_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F090 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf deleted file mode 100644 index 44f317d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f091 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f091_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F091 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf deleted file mode 100644 index 5d2b709..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f092 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f092_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F092 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf deleted file mode 100644 index bf7bae7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f093 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f093_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F093 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf deleted file mode 100644 index 36cce97..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f094 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f094_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F094 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf deleted file mode 100644 index 5a253b1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f095 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f095_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F095 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf deleted file mode 100644 index dc3b558..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f096 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f096_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F096 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf deleted file mode 100644 index 7def71f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f097 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f097_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F097 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf deleted file mode 100644 index 24e1f8b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f098 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f098_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F098 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf deleted file mode 100644 index 518dc00..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f099 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f099_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F099 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf deleted file mode 100644 index 0d5469e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f100 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f100_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf deleted file mode 100644 index f78c4da..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f101 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f101_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf deleted file mode 100644 index 382154d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f102 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f102_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf deleted file mode 100644 index bec4df5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f103 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f103_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf deleted file mode 100644 index 796ad73..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f104 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f104_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf deleted file mode 100644 index 457fec0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f105 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f105_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf deleted file mode 100644 index a663fc9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f106 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f106_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf deleted file mode 100644 index 7187594..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f107 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f107_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf deleted file mode 100644 index 0384036..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f108 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f108_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf deleted file mode 100644 index 4d8d7be..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f109 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f109_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf deleted file mode 100644 index 4f72a90..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f110 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f110_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf deleted file mode 100644 index a8d57e6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f111 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f111_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf deleted file mode 100644 index 978e151..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f112 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f112_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf deleted file mode 100644 index 2455a58..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f113 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f113_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf deleted file mode 100644 index ab9fcd1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f114 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f114_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf deleted file mode 100644 index 92372a6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f115 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f115_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf deleted file mode 100644 index 565aa8b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f116 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f116_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf deleted file mode 100644 index 20dec08..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f117 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f117_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf deleted file mode 100644 index d1d3f45..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f118 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f118_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf deleted file mode 100644 index 6b9017f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f119 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f119_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf deleted file mode 100644 index 9a00979..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f120 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f120_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf deleted file mode 100644 index e828b3d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f123 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f123_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf deleted file mode 100644 index 36a4274..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f126 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f126_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf deleted file mode 100644 index 0c03f90..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f129 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f129_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf deleted file mode 100644 index e8832d6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f132 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f132_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf deleted file mode 100644 index 82befd3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f135 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f135_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf deleted file mode 100644 index 62f28da..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f138 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f138_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf deleted file mode 100644 index 89909f5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f141 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f141_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf deleted file mode 100644 index bc6533e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f144 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f144_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf deleted file mode 100644 index bbf018d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f147 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f147_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf deleted file mode 100644 index 55ec8d3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f150 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f150_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf deleted file mode 100644 index 030ee2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f153 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f153_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf deleted file mode 100644 index fdea60e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f156 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f156_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf deleted file mode 100644 index 8e38112..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f159 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f159_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf deleted file mode 100644 index e7a1186..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f162 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f162_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf deleted file mode 100644 index fe86e22..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f165 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f165_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf deleted file mode 100644 index b79211c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f168 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f168_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf deleted file mode 100644 index 15a2d3e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f171 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f171_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf deleted file mode 100644 index 94ecc78..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f174 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f174_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf deleted file mode 100644 index 34d4366..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f177 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f177_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf deleted file mode 100644 index a0d8ab1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f180 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f180_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf deleted file mode 100644 index a8b777a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f183 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f183_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf deleted file mode 100644 index 02e3a56..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f186 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f186_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf deleted file mode 100644 index 87341cf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f189 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f189_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf deleted file mode 100644 index b8d0c76..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f192 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f192_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf deleted file mode 100644 index 8bfbb73..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f195 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f195_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf deleted file mode 100644 index 0363451..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f198 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f198_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf deleted file mode 100644 index e94a022..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f201 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f201_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf deleted file mode 100644 index d0c377b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f204 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f204_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf deleted file mode 100644 index d181b4f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f207 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f207_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf deleted file mode 100644 index 009dda4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f210 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f210_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf deleted file mode 100644 index 6dc4b69..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f213 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f213_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf deleted file mode 100644 index 0e4db87..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f216 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f216_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf deleted file mode 100644 index abdfef5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f219 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f219_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf deleted file mode 100644 index f52e413..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f222 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f222_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf deleted file mode 100644 index a07a1a9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f225 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f225_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf deleted file mode 100644 index 1273cc5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f228 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f228_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf deleted file mode 100644 index 81645c8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f231 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f231_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf deleted file mode 100644 index 7c4a116..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f234 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f234_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf deleted file mode 100644 index bc34767..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f237 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f237_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf deleted file mode 100644 index 47beb8d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f240 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f240_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf deleted file mode 100644 index 9b60402..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f252 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f252_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf deleted file mode 100644 index dafeb58..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f264 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f264_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf deleted file mode 100644 index c806814..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f276 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f276_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf deleted file mode 100644 index f74a5d0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f288 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f288_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf deleted file mode 100644 index e605dfe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f300 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f300_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf deleted file mode 100644 index bf416a0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f312 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f312_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf deleted file mode 100644 index 469902f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f324 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f324_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf deleted file mode 100644 index b966479..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f336 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f336_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf deleted file mode 100644 index a84c97c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f348 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f348_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf deleted file mode 100644 index 5d84aa8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f360 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f360_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf deleted file mode 100644 index e87d5f6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f372 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f372_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf deleted file mode 100644 index 1d40fbc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f384 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f384_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf deleted file mode 100644 index 2d5ad7f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.bufr_sounding.jgfs_postsnd -#BSUB -o %ECF_OUT%/gfs.post_processing.bufr_sounding.jgfs_postsnd_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POSTSND - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf deleted file mode 100644 index 96a3c1d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.bulletins.jgfs_cyclone_tracker -#BSUB -o %ECF_OUT%/gfs.post_processing.bulletins.jgfs_cyclone_tracker_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_CYCLONE_TRACKER - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_fbwind.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_fbwind.ecf deleted file mode 100644 index 4c847e1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/bulletins/jgfs_fbwind.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.bulletins.jgfs_fbwind -#BSUB -o %ECF_OUT%/gfs.post_processing.bulletins.jgfs_fbwind_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_FBWIND - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/dummy.ecf deleted file mode 100644 index 1923883..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/dummy.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.dummy -#BSUB -o %ECF_OUT%/gfs.post_processing.dummy_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/DUMMY - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_anl.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_anl.ecf deleted file mode 100644 index b8e4792..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_anl.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.fax.jgfs_fax_anl -#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_anl_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_FAX_ANL - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_f00.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_f00.ecf deleted file mode 100644 index d1e4dad..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_f00.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.fax.jgfs_fax_f00 -#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_f00_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_FAX_F00 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf deleted file mode 100644 index 4b1f0e6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f12 -#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f12_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F12 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf deleted file mode 100644 index a395384..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f24 -#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f24_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F24 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf deleted file mode 100644 index 5aea03d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f36 -#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f36_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F36 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf deleted file mode 100644 index b577f28..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib2_wafs.jgfs_wafs_blending -#BSUB -o %ECF_OUT%/gfs.post_processing.grib2_wafs.jgfs_wafs_blending_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_BLENDING - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf deleted file mode 100644 index c59e3db..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib2_wafs.jgfs_wafs_grib2 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib2_wafs.jgfs_wafs_grib2_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_GRIB2 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf deleted file mode 100644 index adb1ad4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f00 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f00_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F00 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf deleted file mode 100644 index a46b72b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f01 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f01_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F01 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf deleted file mode 100644 index d33beaf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f02 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f02_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F02 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf deleted file mode 100644 index d88139a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f03 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f03_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F03 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf deleted file mode 100644 index fb4e900..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f04 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f04_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F04 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf deleted file mode 100644 index d486a2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f05 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f05_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F05 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf deleted file mode 100644 index cfa2bf2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f06 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f06_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F06 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf deleted file mode 100644 index dd3dbc9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f07 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f07_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F07 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf deleted file mode 100644 index ce5c372..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f08 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f08_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F08 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf deleted file mode 100644 index cb1674c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f09 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f09_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F09 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf deleted file mode 100644 index aaef77c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f10 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f10_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F10 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf deleted file mode 100644 index d62b985..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f100 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f100_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf deleted file mode 100644 index 5725afc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f101 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f101_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf deleted file mode 100644 index 354fea8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f102 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f102_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf deleted file mode 100644 index 66af597..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f103 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f103_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf deleted file mode 100644 index 48a4f64..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f104 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f104_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf deleted file mode 100644 index 95a9d3d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f105 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f105_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf deleted file mode 100644 index 031774a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f106 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f106_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf deleted file mode 100644 index 4f2cd69..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f107 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f107_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf deleted file mode 100644 index 94a862f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f108 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f108_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf deleted file mode 100644 index eea4505..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f109 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f109_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf deleted file mode 100644 index 5beac26..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f11 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f11_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F11 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf deleted file mode 100644 index 22e93df..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f110 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f110_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf deleted file mode 100644 index 9306d51..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f111 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f111_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf deleted file mode 100644 index 7cdcd3a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f112 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f112_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf deleted file mode 100644 index 539e8a1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f113 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f113_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf deleted file mode 100644 index 1c93e8a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f114 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f114_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf deleted file mode 100644 index 1190c24..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f115 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f115_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf deleted file mode 100644 index 7d389ea..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f116 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f116_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf deleted file mode 100644 index 0230c3c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f117 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f117_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf deleted file mode 100644 index d32e76f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f118 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f118_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf deleted file mode 100644 index d0fafc1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f119 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f119_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf deleted file mode 100644 index 374e644..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f12 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f12_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F12 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf deleted file mode 100644 index 03503a0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f120 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f120_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf deleted file mode 100644 index f31eb34..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f123 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f123_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf deleted file mode 100644 index 35cfc97..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f126 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f126_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf deleted file mode 100644 index bff0e91..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f129 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f129_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf deleted file mode 100644 index 4899125..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f13 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f13_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F13 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf deleted file mode 100644 index 371f9ed..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f132 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f132_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf deleted file mode 100644 index 151bab5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f135 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f135_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf deleted file mode 100644 index 1aa187d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f138 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f138_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf deleted file mode 100644 index 3fa0e14..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f14 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f14_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F14 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf deleted file mode 100644 index 94cd747..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f141 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f141_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf deleted file mode 100644 index adcc1ea..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f144 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f144_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf deleted file mode 100644 index 22d8ff0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f147 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f147_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf deleted file mode 100644 index 81367d6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f15 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f15_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F15 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf deleted file mode 100644 index ed71266..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f150 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f150_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf deleted file mode 100644 index 247b1a6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f153 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f153_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf deleted file mode 100644 index d0ea37b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f156 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f156_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf deleted file mode 100644 index bb4cf49..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f159 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f159_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf deleted file mode 100644 index 87e22d7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f16 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f16_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F16 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf deleted file mode 100644 index f4cc0fc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f162 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f162_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf deleted file mode 100644 index 497e7f1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f165 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f165_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf deleted file mode 100644 index dd3cb7d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f168 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f168_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf deleted file mode 100644 index 436e380..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f17 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f17_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F17 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf deleted file mode 100644 index f9cd5cf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f171 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f171_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf deleted file mode 100644 index 5ef8f08..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f174 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f174_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf deleted file mode 100644 index 84ef9f6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f177 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f177_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf deleted file mode 100644 index c3e3657..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f18 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f18_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F18 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf deleted file mode 100644 index 28b4cfb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f180 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f180_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf deleted file mode 100644 index 1ce8970..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f183 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f183_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf deleted file mode 100644 index 7530c7d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f186 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f186_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf deleted file mode 100644 index 1b90f28..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f189 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f189_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf deleted file mode 100644 index 6462b1a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f19 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f19_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F19 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf deleted file mode 100644 index 804cec4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f192 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f192_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf deleted file mode 100644 index f4ddb7b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f195 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f195_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf deleted file mode 100644 index 444b633..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f198 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f198_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf deleted file mode 100644 index 6705e9e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f20 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f20_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F20 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf deleted file mode 100644 index 2a28866..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f201 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f201_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf deleted file mode 100644 index e98d72f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f204 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f204_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf deleted file mode 100644 index bb5e694..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f207 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f207_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf deleted file mode 100644 index a20f7ef..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f21 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f21_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F21 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf deleted file mode 100644 index f8d307a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f210 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f210_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf deleted file mode 100644 index 2a7ee67..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f213 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f213_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf deleted file mode 100644 index 9bc5ed8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f216 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f216_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf deleted file mode 100644 index cc6320a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f219 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f219_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf deleted file mode 100644 index ede0601..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f22 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f22_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F22 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf deleted file mode 100644 index 18125ce..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f222 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f222_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf deleted file mode 100644 index 16c8377..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f225 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f225_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf deleted file mode 100644 index 57a6604..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f228 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f228_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf deleted file mode 100644 index ecf30b3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f23 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f23_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F23 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf deleted file mode 100644 index 6986c20..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f231 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f231_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf deleted file mode 100644 index 0b07b10..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f234 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f234_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf deleted file mode 100644 index 67f6965..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f237 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f237_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf deleted file mode 100644 index 4b7aae4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f24 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f24_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F24 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf deleted file mode 100644 index 52c48ac..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f240 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f240_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf deleted file mode 100644 index 06cc2c4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f25 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f25_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F25 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf deleted file mode 100644 index 3537e3c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f252 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f252_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf deleted file mode 100644 index f11863a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f26 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f26_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F26 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf deleted file mode 100644 index da38a1a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f264 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f264_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf deleted file mode 100644 index 421c406..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f27 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f27_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F27 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf deleted file mode 100644 index fa03e2d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f276 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f276_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf deleted file mode 100644 index ca48731..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f28 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f28_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F28 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf deleted file mode 100644 index 87df796..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f288 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f288_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf deleted file mode 100644 index 794de15..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f29 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f29_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F29 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf deleted file mode 100644 index 348d3ed..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f30 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f30_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F30 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf deleted file mode 100644 index a164f11..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f300 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f300_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf deleted file mode 100644 index 1339cbd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f31 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f31_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F31 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf deleted file mode 100644 index bc5f248..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f312 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f312_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf deleted file mode 100644 index 7ef94b8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f32 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f32_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F32 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf deleted file mode 100644 index fa88006..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f324 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f324_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf deleted file mode 100644 index 83634a1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f33 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f33_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F33 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf deleted file mode 100644 index c726e99..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f336 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f336_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf deleted file mode 100644 index 844e348..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f34 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f34_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F34 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf deleted file mode 100644 index 13fe822..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f348 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f348_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf deleted file mode 100644 index 1c3b581..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f35 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f35_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F35 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf deleted file mode 100644 index 051227c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f36 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f36_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F36 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf deleted file mode 100644 index 0154ac9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f360 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f360_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf deleted file mode 100644 index cdc9dbc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f37 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f37_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F37 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf deleted file mode 100644 index afc7ba1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f372 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f372_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf deleted file mode 100644 index 4b9de22..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f38 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f38_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F38 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf deleted file mode 100644 index 2020efc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f384 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f384_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf deleted file mode 100644 index 64a9a97..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f39 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f39_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F39 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf deleted file mode 100644 index 4a87b0c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f40 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f40_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F40 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf deleted file mode 100644 index a5b92bb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f41 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f41_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F41 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf deleted file mode 100644 index 3df6e13..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f42 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f42_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F42 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf deleted file mode 100644 index a43d18a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f43 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f43_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F43 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf deleted file mode 100644 index d0cb547..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f44 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f44_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F44 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf deleted file mode 100644 index 652f27a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f45 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f45_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F45 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf deleted file mode 100644 index f94e41f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f46 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f46_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F46 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf deleted file mode 100644 index 47226dd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f47 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f47_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F47 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf deleted file mode 100644 index 227e882..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f48 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f48_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F48 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf deleted file mode 100644 index abd01b3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f49 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f49_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F49 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf deleted file mode 100644 index 5feab26..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f50 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f50_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F50 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf deleted file mode 100644 index 56667e4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f51 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f51_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F51 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf deleted file mode 100644 index 53fd0c7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f52 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f52_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F52 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf deleted file mode 100644 index daaec08..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f53 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f53_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F53 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf deleted file mode 100644 index 4a3b6c8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f54 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f54_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F54 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf deleted file mode 100644 index a559b9c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f55 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f55_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F55 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf deleted file mode 100644 index 0ba8653..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f56 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f56_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F56 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf deleted file mode 100644 index 83e5c03..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f57 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f57_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F57 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf deleted file mode 100644 index 8f56133..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f58 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f58_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F58 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf deleted file mode 100644 index a0d96d9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f59 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f59_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F59 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf deleted file mode 100644 index e0b6774..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f60 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f60_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F60 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf deleted file mode 100644 index 17df620..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f61 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f61_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F61 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf deleted file mode 100644 index 52e4521..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f62 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f62_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F62 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf deleted file mode 100644 index 364bc9f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f63 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f63_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F63 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf deleted file mode 100644 index da86908..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f64 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f64_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F64 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf deleted file mode 100644 index e823346..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f65 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f65_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F65 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf deleted file mode 100644 index 323118b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f66 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f66_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F66 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf deleted file mode 100644 index 3d82494..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f67 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f67_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F67 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf deleted file mode 100644 index e6448b4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f68 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f68_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F68 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf deleted file mode 100644 index 4935ad1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f69 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f69_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F69 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf deleted file mode 100644 index 06948f6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f70 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f70_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F70 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf deleted file mode 100644 index b728e42..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f71 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f71_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F71 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf deleted file mode 100644 index f715fa8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f72 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f72_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F72 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf deleted file mode 100644 index f12b549..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f73 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f73_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F73 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf deleted file mode 100644 index ba3198c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f74 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f74_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F74 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf deleted file mode 100644 index f77d4dc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f75 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f75_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F75 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf deleted file mode 100644 index 1b4c82e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f76 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f76_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F76 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf deleted file mode 100644 index 61efcda..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f77 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f77_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F77 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf deleted file mode 100644 index 31be811..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f78 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f78_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F78 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf deleted file mode 100644 index 1137557..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f79 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f79_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F79 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf deleted file mode 100644 index 1b00c60..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f80 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f80_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F80 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf deleted file mode 100644 index 92f08a2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f81 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f81_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F81 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf deleted file mode 100644 index 0d739e1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f82 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f82_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F82 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf deleted file mode 100644 index d4eb2bb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f83 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f83_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F83 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf deleted file mode 100644 index b855201..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f84 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f84_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F84 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf deleted file mode 100644 index 1f91e3d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f85 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f85_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F85 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf deleted file mode 100644 index b47aaed..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f86 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f86_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F86 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf deleted file mode 100644 index e0500e1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f87 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f87_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F87 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf deleted file mode 100644 index 6fee43b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f88 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f88_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F88 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf deleted file mode 100644 index 538fdce..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f89 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f89_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F89 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf deleted file mode 100644 index 746f928..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f90 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f90_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F90 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf deleted file mode 100644 index 24b9e57..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f91 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f91_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F91 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf deleted file mode 100644 index 92fce21..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f92 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f92_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F92 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf deleted file mode 100644 index 5520bc9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f93 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f93_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F93 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf deleted file mode 100644 index de0b7ba..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f94 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f94_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F94 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf deleted file mode 100644 index ce1262b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f95 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f95_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F95 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf deleted file mode 100644 index 846a043..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f96 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f96_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F96 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf deleted file mode 100644 index 5703b66..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f97 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f97_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F97 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf deleted file mode 100644 index 43bd691..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f98 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f98_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F98 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf deleted file mode 100644 index 5c83443..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f99 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f99_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F99 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf deleted file mode 100644 index e7a7bf7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f00 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f00_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F00 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf deleted file mode 100644 index 0de0d83..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f06 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f06_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F06 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf deleted file mode 100644 index f2ac7e5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f102 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f102_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F102 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf deleted file mode 100644 index 7637047..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f108 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f108_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F108 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf deleted file mode 100644 index 6215b68..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f114 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f114_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F114 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf deleted file mode 100644 index e93d788..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f12 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f12_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F12 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf deleted file mode 100644 index bde20f6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f120 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f120_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F120 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf deleted file mode 100644 index 69cb691..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f18 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f18_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F18 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf deleted file mode 100644 index 2c136f7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f24 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f24_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F24 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf deleted file mode 100644 index 174fbec..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f30 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f30_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F30 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf deleted file mode 100644 index 38c22f1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f36 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f36_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F36 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf deleted file mode 100644 index adb49a3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f42 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f42_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F42 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf deleted file mode 100644 index 90c146d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f48 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f48_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F48 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf deleted file mode 100644 index 2887e6b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f54 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f54_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F54 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf deleted file mode 100644 index 703de29..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f60 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f60_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F60 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf deleted file mode 100644 index cc498f7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f66 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f66_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F66 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf deleted file mode 100644 index c341d80..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f72 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f72_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F72 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf deleted file mode 100644 index bb6c883..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f78 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f78_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F78 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf deleted file mode 100644 index 733898d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f84 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f84_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F84 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf deleted file mode 100644 index e5bea3a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f90 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f90_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F90 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf deleted file mode 100644 index df35c2c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f96 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f96_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F96 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/jgfs_wafs_gcip.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/jgfs_wafs_gcip.ecf deleted file mode 100644 index 64ef876..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/post_processing/jgfs_wafs_gcip.ecf +++ /dev/null @@ -1,33 +0,0 @@ -#! /bin/sh -{ecf_batch_resources} -%include -%include - -set -x - -{ecf_resource_more} - -export model={model_name} -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -{ecf_module_commands} -module list - -############################################################# -# WCOSS environment settings -############################################################# - -{ecf_environment_settings} - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST - -%include -%manual diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/dummy.ecf deleted file mode 100644 index 63a18a9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/dummy.ecf +++ /dev/null @@ -1,11 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.dummy -#BSUB -o %ECF_OUT%/gfs.prdgen.dummy_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/DUMMY -%include diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_anl.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_anl.ecf deleted file mode 100644 index 5ea7647..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_anl.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_anl -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_anl_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f00.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f00.ecf deleted file mode 100644 index 4dd568b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f00.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f00 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f00_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f01.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f01.ecf deleted file mode 100644 index bb71ff1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f01.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f01 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f01_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f02.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f02.ecf deleted file mode 100644 index a733d33..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f02.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f02 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f02_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f03.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f03.ecf deleted file mode 100644 index f7ec346..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f03.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f03 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f03_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f04.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f04.ecf deleted file mode 100644 index f6b154d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f04.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f04 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f04_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f05.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f05.ecf deleted file mode 100644 index 1eb124e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f05.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f05 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f05_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f06.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f06.ecf deleted file mode 100644 index 3bf03bb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f06.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f06 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f06_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f07.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f07.ecf deleted file mode 100644 index 844064d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f07.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f07 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f07_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f08.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f08.ecf deleted file mode 100644 index e98aef7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f08.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f08 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f08_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f09.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f09.ecf deleted file mode 100644 index 397555a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f09.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f09 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f09_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f10.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f10.ecf deleted file mode 100644 index c1497c9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f10.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f10 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f10_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f100.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f100.ecf deleted file mode 100644 index 22657e1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f100.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f100 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f100_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f101.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f101.ecf deleted file mode 100644 index 6920c34..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f101.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f101 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f101_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f102.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f102.ecf deleted file mode 100644 index 113cdd1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f102.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f102 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f102_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f103.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f103.ecf deleted file mode 100644 index e16ecbb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f103.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f103 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f103_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f104.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f104.ecf deleted file mode 100644 index 985d92f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f104.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f104 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f104_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f105.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f105.ecf deleted file mode 100644 index 0309914..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f105.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f105 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f105_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f106.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f106.ecf deleted file mode 100644 index ece1eb4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f106.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f106 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f106_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f107.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f107.ecf deleted file mode 100644 index ee459c1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f107.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f107 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f107_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f108.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f108.ecf deleted file mode 100644 index 60db5be..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f108.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f108 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f108_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f109.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f109.ecf deleted file mode 100644 index 1e422d7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f109.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f109 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f109_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f11.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f11.ecf deleted file mode 100644 index 02ca246..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f11.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f11 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f11_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f110.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f110.ecf deleted file mode 100644 index 6d03a9a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f110.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f110 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f110_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f111.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f111.ecf deleted file mode 100644 index 4ecff8d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f111.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f111 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f111_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f112.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f112.ecf deleted file mode 100644 index 7b17c4d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f112.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f112 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f112_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f113.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f113.ecf deleted file mode 100644 index 3451cd9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f113.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f113 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f113_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f114.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f114.ecf deleted file mode 100644 index dc4af08..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f114.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f114 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f114_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f115.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f115.ecf deleted file mode 100644 index 73af0c0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f115.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f115 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f115_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f116.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f116.ecf deleted file mode 100644 index 690a8ba..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f116.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f116 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f116_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f117.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f117.ecf deleted file mode 100644 index ca26c01..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f117.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f117 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f117_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f118.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f118.ecf deleted file mode 100644 index eea5f87..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f118.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f118 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f118_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f119.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f119.ecf deleted file mode 100644 index e55f892..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f119.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f119 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f119_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f12.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f12.ecf deleted file mode 100644 index 9130c57..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f12.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f12 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f12_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f120.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f120.ecf deleted file mode 100644 index 9ce30da..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f120.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f120 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f120_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f123.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f123.ecf deleted file mode 100644 index 9b71f34..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f123.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f123 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f123_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f126.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f126.ecf deleted file mode 100644 index 6603043..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f126.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f126 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f126_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f129.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f129.ecf deleted file mode 100644 index 629a2d7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f129.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f129 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f129_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f13.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f13.ecf deleted file mode 100644 index 5543812..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f13.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f13 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f13_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f132.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f132.ecf deleted file mode 100644 index b096da3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f132.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f132 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f132_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f135.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f135.ecf deleted file mode 100644 index 30e0880..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f135.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f135 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f135_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f138.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f138.ecf deleted file mode 100644 index 9555d9e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f138.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f138 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f138_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f14.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f14.ecf deleted file mode 100644 index 72b8add..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f14.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f14 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f14_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f141.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f141.ecf deleted file mode 100644 index 1731252..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f141.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f141 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f141_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f144.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f144.ecf deleted file mode 100644 index 9969354..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f144.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f144 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f144_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f147.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f147.ecf deleted file mode 100644 index 657915a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f147.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f147 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f147_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f15.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f15.ecf deleted file mode 100644 index 214015e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f15.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f15 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f15_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f150.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f150.ecf deleted file mode 100644 index c599570..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f150.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f150 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f150_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f153.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f153.ecf deleted file mode 100644 index 0ba529a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f153.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f153 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f153_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f156.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f156.ecf deleted file mode 100644 index 5580fe2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f156.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f156 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f156_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f159.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f159.ecf deleted file mode 100644 index 043496b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f159.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f159 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f159_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f16.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f16.ecf deleted file mode 100644 index cab490b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f16.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f16 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f16_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f162.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f162.ecf deleted file mode 100644 index 13d610e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f162.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f162 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f162_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f165.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f165.ecf deleted file mode 100644 index b9da11b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f165.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f165 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f165_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f168.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f168.ecf deleted file mode 100644 index df472e1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f168.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f168 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f168_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f17.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f17.ecf deleted file mode 100644 index 01c1346..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f17.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f17 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f17_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f171.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f171.ecf deleted file mode 100644 index 96f0047..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f171.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f171 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f171_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f174.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f174.ecf deleted file mode 100644 index bcc3189..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f174.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f174 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f174_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f177.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f177.ecf deleted file mode 100644 index a113eb2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f177.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f177 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f177_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f18.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f18.ecf deleted file mode 100644 index 6411a5a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f18.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f18 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f18_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f180.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f180.ecf deleted file mode 100644 index 9129c7a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f180.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f180 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f180_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f183.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f183.ecf deleted file mode 100644 index 8ff4dd8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f183.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f183 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f183_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f186.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f186.ecf deleted file mode 100644 index ced809f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f186.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f186 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f186_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f189.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f189.ecf deleted file mode 100644 index c20d3ad..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f189.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f189 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f189_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f19.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f19.ecf deleted file mode 100644 index d8f387a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f19.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f19 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f19_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f192.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f192.ecf deleted file mode 100644 index b4cfabf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f192.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f192 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f192_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f195.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f195.ecf deleted file mode 100644 index c564d67..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f195.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f195 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f195_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f198.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f198.ecf deleted file mode 100644 index 7c028f7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f198.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f198 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f198_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f20.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f20.ecf deleted file mode 100644 index d53c2be..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f20.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f20 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f20_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f201.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f201.ecf deleted file mode 100644 index 347b37e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f201.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f201 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f201_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f204.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f204.ecf deleted file mode 100644 index 360208c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f204.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f204 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f204_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f207.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f207.ecf deleted file mode 100644 index a5ea60a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f207.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f207 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f207_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f21.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f21.ecf deleted file mode 100644 index fea4ef4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f21.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f21 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f21_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f210.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f210.ecf deleted file mode 100644 index 936ff65..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f210.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f210 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f210_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f213.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f213.ecf deleted file mode 100644 index 6ebd196..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f213.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f213 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f213_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f216.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f216.ecf deleted file mode 100644 index 06e0c52..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f216.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f216 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f216_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f219.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f219.ecf deleted file mode 100644 index 828bc4c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f219.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f219 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f219_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f22.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f22.ecf deleted file mode 100644 index 421e8a9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f22.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f22 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f22_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f222.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f222.ecf deleted file mode 100644 index 8fd024a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f222.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f222 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f222_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f225.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f225.ecf deleted file mode 100644 index 4165b5f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f225.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f225 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f225_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f228.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f228.ecf deleted file mode 100644 index 8733118..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f228.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f228 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f228_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f23.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f23.ecf deleted file mode 100644 index 09e2bfd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f23.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f23 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f23_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f231.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f231.ecf deleted file mode 100644 index c917dfe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f231.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f231 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f231_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f234.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f234.ecf deleted file mode 100644 index a10d688..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f234.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f234 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f234_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f237.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f237.ecf deleted file mode 100644 index 21e126c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f237.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f237 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f237_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f24.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f24.ecf deleted file mode 100644 index 35d22fe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f24.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f24 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f24_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f240.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f240.ecf deleted file mode 100644 index b53eaa4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f240.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f240 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f240_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f25.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f25.ecf deleted file mode 100644 index d2e559a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f25.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f25 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f25_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f252.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f252.ecf deleted file mode 100644 index 35f517b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f252.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f252 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f252_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f26.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f26.ecf deleted file mode 100644 index cb28cb7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f26.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f26 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f26_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f264.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f264.ecf deleted file mode 100644 index d1d73f1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f264.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f264 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f264_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f27.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f27.ecf deleted file mode 100644 index ec7ae01..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f27.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f27 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f27_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f276.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f276.ecf deleted file mode 100644 index 8432565..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f276.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f276 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f276_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f28.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f28.ecf deleted file mode 100644 index f5a6cc6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f28.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f28 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f28_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f288.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f288.ecf deleted file mode 100644 index b837780..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f288.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f288 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f288_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f29.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f29.ecf deleted file mode 100644 index 65f1ab8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f29.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f29 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f29_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f30.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f30.ecf deleted file mode 100644 index 5422b60..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f30.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f30 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f30_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f300.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f300.ecf deleted file mode 100644 index ba8b162..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f300.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f300 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f300_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f31.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f31.ecf deleted file mode 100644 index 82275e6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f31.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f31 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f31_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f312.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f312.ecf deleted file mode 100644 index d0cfc7f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f312.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f312 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f312_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f32.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f32.ecf deleted file mode 100644 index 090e91f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f32.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f32 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f32_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f324.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f324.ecf deleted file mode 100644 index f5ed851..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f324.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f324 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f324_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f33.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f33.ecf deleted file mode 100644 index ff2eee3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f33.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f33 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f33_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f336.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f336.ecf deleted file mode 100644 index f852789..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f336.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f336 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f336_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f34.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f34.ecf deleted file mode 100644 index c38de68..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f34.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f34 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f34_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f348.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f348.ecf deleted file mode 100644 index f182069..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f348.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f348 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f348_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f35.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f35.ecf deleted file mode 100644 index ac55e7f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f35.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f35 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f35_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f36.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f36.ecf deleted file mode 100644 index 9270303..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f36.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f36 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f36_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f360.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f360.ecf deleted file mode 100644 index ff5c6f9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f360.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f360 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f360_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f37.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f37.ecf deleted file mode 100644 index 9dc6e64..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f37.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f37 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f37_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f372.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f372.ecf deleted file mode 100644 index 9c1014a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f372.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f372 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f372_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f38.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f38.ecf deleted file mode 100644 index ba8cb3f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f38.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f38 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f38_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f384.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f384.ecf deleted file mode 100644 index 7f6d6a6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f384.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f384 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f384_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f39.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f39.ecf deleted file mode 100644 index b02051d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f39.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f39 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f39_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f40.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f40.ecf deleted file mode 100644 index a154c0b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f40.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f40 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f40_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f41.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f41.ecf deleted file mode 100644 index 1dcd244..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f41.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f41 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f41_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f42.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f42.ecf deleted file mode 100644 index 73b2014..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f42.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f42 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f42_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f43.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f43.ecf deleted file mode 100644 index 08dd949..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f43.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f43 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f43_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f44.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f44.ecf deleted file mode 100644 index 52580cf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f44.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f44 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f44_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f45.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f45.ecf deleted file mode 100644 index 8644ae7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f45.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f45 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f45_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f46.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f46.ecf deleted file mode 100644 index 7f320ff..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f46.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f46 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f46_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f47.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f47.ecf deleted file mode 100644 index 5a4ea16..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f47.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f47 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f47_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f48.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f48.ecf deleted file mode 100644 index c806f0a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f48.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f48 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f48_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f49.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f49.ecf deleted file mode 100644 index df9584e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f49.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f49 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f49_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f50.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f50.ecf deleted file mode 100644 index 46dcf81..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f50.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f50 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f50_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f51.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f51.ecf deleted file mode 100644 index be69fcf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f51.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f51 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f51_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f52.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f52.ecf deleted file mode 100644 index be0a82d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f52.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f52 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f52_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f53.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f53.ecf deleted file mode 100644 index cd5a589..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f53.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f53 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f53_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f54.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f54.ecf deleted file mode 100644 index 3cc8da6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f54.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f54 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f54_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f55.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f55.ecf deleted file mode 100644 index 5480e05..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f55.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f55 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f55_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f56.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f56.ecf deleted file mode 100644 index e61e6de..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f56.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f56 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f56_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f57.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f57.ecf deleted file mode 100644 index 68834e5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f57.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f57 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f57_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f58.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f58.ecf deleted file mode 100644 index cb103f6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f58.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f58 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f58_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f59.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f59.ecf deleted file mode 100644 index 621ceec..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f59.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f59 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f59_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f60.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f60.ecf deleted file mode 100644 index 8c26227..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f60.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f60 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f60_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f61.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f61.ecf deleted file mode 100644 index e462bba..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f61.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f61 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f61_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f62.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f62.ecf deleted file mode 100644 index ebb9d19..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f62.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f62 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f62_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f63.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f63.ecf deleted file mode 100644 index ceb1631..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f63.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f63 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f63_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f64.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f64.ecf deleted file mode 100644 index da621df..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f64.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f64 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f64_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f65.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f65.ecf deleted file mode 100644 index 98de665..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f65.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f65 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f65_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f66.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f66.ecf deleted file mode 100644 index 7fc5680..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f66.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f66 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f66_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f67.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f67.ecf deleted file mode 100644 index c0eb12f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f67.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f67 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f67_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f68.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f68.ecf deleted file mode 100644 index 1f61252..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f68.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f68 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f68_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f69.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f69.ecf deleted file mode 100644 index 3088334..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f69.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f69 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f69_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f70.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f70.ecf deleted file mode 100644 index 9c2b0c9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f70.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f70 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f70_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f71.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f71.ecf deleted file mode 100644 index 0b93e1d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f71.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f71 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f71_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f72.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f72.ecf deleted file mode 100644 index 6808514..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f72.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f72 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f72_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f73.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f73.ecf deleted file mode 100644 index e5618d5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f73.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f73 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f73_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f74.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f74.ecf deleted file mode 100644 index afa66b8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f74.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f74 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f74_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f75.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f75.ecf deleted file mode 100644 index 6a16332..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f75.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f75 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f75_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f76.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f76.ecf deleted file mode 100644 index c455ab6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f76.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f76 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f76_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f77.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f77.ecf deleted file mode 100644 index e0cd2ac..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f77.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f77 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f77_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f78.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f78.ecf deleted file mode 100644 index 413f968..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f78.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f78 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f78_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f79.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f79.ecf deleted file mode 100644 index 0d8b25b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f79.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f79 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f79_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f80.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f80.ecf deleted file mode 100644 index b30321e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f80.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f80 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f80_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f81.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f81.ecf deleted file mode 100644 index df968cf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f81.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f81 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f81_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f82.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f82.ecf deleted file mode 100644 index 93c875f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f82.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f82 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f82_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f83.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f83.ecf deleted file mode 100644 index 0358e18..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f83.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f83 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f83_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f84.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f84.ecf deleted file mode 100644 index 2c2331c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f84.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f84 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f84_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f85.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f85.ecf deleted file mode 100644 index a031e30..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f85.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f85 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f85_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f86.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f86.ecf deleted file mode 100644 index e267494..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f86.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f86 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f86_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f87.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f87.ecf deleted file mode 100644 index 2ff1289..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f87.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f87 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f87_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f88.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f88.ecf deleted file mode 100644 index bd4dc74..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f88.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f88 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f88_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f89.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f89.ecf deleted file mode 100644 index 779707a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f89.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f89 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f89_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f90.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f90.ecf deleted file mode 100644 index 540ebb0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f90.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f90 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f90_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f91.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f91.ecf deleted file mode 100644 index 8e9157d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f91.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f91 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f91_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f92.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f92.ecf deleted file mode 100644 index 584d5b0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f92.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f92 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f92_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f93.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f93.ecf deleted file mode 100644 index 64bd6fa..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f93.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f93 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f93_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f94.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f94.ecf deleted file mode 100644 index 657475d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f94.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f94 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f94_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f95.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f95.ecf deleted file mode 100644 index 199e447..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f95.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f95 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f95_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f96.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f96.ecf deleted file mode 100644 index b814bf6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f96.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f96 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f96_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f97.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f97.ecf deleted file mode 100644 index 1c9d436..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f97.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f97 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f97_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f98.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f98.ecf deleted file mode 100644 index 193a1e0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f98.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f98 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f98_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f99.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f99.ecf deleted file mode 100644 index d12fbcc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_f99.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f99 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f99_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_manager.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_manager.ecf deleted file mode 100644 index 96411cd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prdgen/jgfs_pgrb2_manager.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_manager -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_manager_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_PGRB2_MANAGER - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf deleted file mode 100644 index 84962fb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_emcsfc_sfc_prep.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep.jgfs_emcsfc_sfc_prep -#BSUB -o %ECF_OUT%/gfs.prep.jgfs_emcsfc_sfc_prep_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_EMCSFC_SFC_PREP - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf deleted file mode 100644 index 2fa9acd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep.jgfs_prep -#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=3 -%include -%include - -set -x - -export ntasks=6 -export ptile=2 -export threads=9223372036854775807 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_PREP - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf deleted file mode 100644 index 3e34d93..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/prep/jgfs_prep_post.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep.jgfs_prep_post -#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_post_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_PREP_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_even.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_even.ecf deleted file mode 100644 index 061851c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_even.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.sminit_guam.jgfs_sminit_guam_even -#BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_even_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_SMINIT_GUAM_EVEN - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf b/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf deleted file mode 100644 index 2b73593..0000000 --- a/model/ecflow_fv3gfs/scripts/prod12/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.sminit_guam.jgfs_sminit_guam_odd -#BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_odd_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_SMINIT_GUAM_ODD - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/cycle_end.ecf b/model/ecflow_fv3gfs/scripts/prod18/cycle_end.ecf deleted file mode 100644 index 4e874f0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/cycle_end.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J cycle_end -#BSUB -o %ECF_OUT%/cycle_end_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/CYCLE_END - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/analysis/jgdas_analysis_high.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/analysis/jgdas_analysis_high.ecf deleted file mode 100644 index a200197..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/analysis/jgdas_analysis_high.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.analysis.jgdas_analysis_high -#BSUB -o %ECF_OUT%/gdas.analysis.jgdas_analysis_high_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=60 -%include -%include - -set -x - -export ntasks=360 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_ANALYSIS_HIGH - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf deleted file mode 100644 index 709273c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_dump.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.dump.jgdas_dump -#BSUB -o %ECF_OUT%/gdas.dump.jgdas_dump_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_DUMP - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf deleted file mode 100644 index ed05c2c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_ics.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.dump.jgdas_ics -#BSUB -o %ECF_OUT%/gdas.dump.jgdas_ics_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_ICS - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf deleted file mode 100644 index 249de65..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/dump/jgdas_tropcy_qc_reloc.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.dump.jgdas_tropcy_qc_reloc -#BSUB -o %ECF_OUT%/gdas.dump.jgdas_tropcy_qc_reloc_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_TROPCY_QC_RELOC - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf deleted file mode 100644 index 6962c93..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp1.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp1_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf deleted file mode 100644 index 9d83c54..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp10.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp10 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp10_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf deleted file mode 100644 index 3b71f69..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp11.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp11 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp11_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf deleted file mode 100644 index 20218b2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp12.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp12 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp12_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf deleted file mode 100644 index e6dcf10..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp13.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp13 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp13_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf deleted file mode 100644 index 02454db..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp14.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp14 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp14_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf deleted file mode 100644 index 39ec92b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp15.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp15 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp15_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf deleted file mode 100644 index 205d7e0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp16.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp16 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp16_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf deleted file mode 100644 index 075bc1e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp2.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp2_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf deleted file mode 100644 index ee7440e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp3.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp3_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf deleted file mode 100644 index 220b2b9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp4.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp4_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf deleted file mode 100644 index 6d76be7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp5.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp5_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf deleted file mode 100644 index 562af3e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp6.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp6_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf deleted file mode 100644 index 9ab23fd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp7.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp7_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf deleted file mode 100644 index ac0ea33..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp8.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp8_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf deleted file mode 100644 index 1492e8b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/forecast/jgdas_enkf_fcst_grp9.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.forecast.jgdas_enkf_fcst_grp9 -#BSUB -o %ECF_OUT%/gdas.enkf.forecast.jgdas_enkf_fcst_grp9_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf deleted file mode 100644 index e4615f0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp1.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp1 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp1_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf deleted file mode 100644 index cb30b45..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp10.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp10 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp10_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf deleted file mode 100644 index fd29f5b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp11.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp11 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp11_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf deleted file mode 100644 index e2488d5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp12.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp12 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp12_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf deleted file mode 100644 index 683c235..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp13.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp13 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp13_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf deleted file mode 100644 index 641c407..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp14.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp14 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp14_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf deleted file mode 100644 index 0df0a8c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp15.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp15 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp15_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf deleted file mode 100644 index 3829b2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp16.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp16 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp16_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf deleted file mode 100644 index f3bf604..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp2.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp2 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp2_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf deleted file mode 100644 index e6bc15e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp3.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp3 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp3_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf deleted file mode 100644 index 73e7cb4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp4.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp4 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp4_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf deleted file mode 100644 index eb04b16..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp5.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp5 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp5_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf deleted file mode 100644 index d6b056e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp6.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp6 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp6_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf deleted file mode 100644 index a5b49b8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp7.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp7 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp7_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf deleted file mode 100644 index 630d329..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp8.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp8 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp8_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_INNOVATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf deleted file mode 100644 index 2b064b3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/innovate/jgdas_enkf_innovate_obs_grp9.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp9 -#BSUB -o %ECF_OUT%/gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp9_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf deleted file mode 100644 index b6d1c18..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_inflate_recenter.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_inflate_recenter -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_inflate_recenter_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=20 -%include -%include - -set -x - -export ntasks=80 -export ptile=4 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_ENKF_INFLATE_RECENTER - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf deleted file mode 100644 index 1abe592..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_post.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_post -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_post_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=254] -#BSUB -extsched CRAYLINUX[] -export NODES=20 -%include -%include - -set -x - -export ntasks=80 -export ptile=4 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_ENKF_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf deleted file mode 100644 index e0d4d1e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_select_obs.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_select_obs -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_select_obs_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=12 -%include -%include - -set -x - -export ntasks=72 -export ptile=6 -export threads=4 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_ENKF_SELECT_OBS - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf deleted file mode 100644 index 0843762..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/enkf/jgdas_enkf_update.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.enkf.jgdas_enkf_update -#BSUB -o %ECF_OUT%/gdas.enkf.jgdas_enkf_update_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=40 -%include -%include - -set -x - -export ntasks=240 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_ENKF_UPDATE - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/forecast/jgdas_forecast.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/forecast/jgdas_forecast.ecf deleted file mode 100644 index eed96b0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/forecast/jgdas_forecast.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.forecast.jgdas_forecast -#BSUB -o %ECF_OUT%/gdas.forecast.jgdas_forecast_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak.ecf deleted file mode 100644 index e611466..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.gempak.jgdas_gempak -#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_GEMPAK - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_meta.ecf deleted file mode 100644 index 93dc751..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_meta.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.gempak.jgdas_gempak_meta -#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_meta_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_GEMPAK_META - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_ncdc.ecf deleted file mode 100644 index a10c959..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/gempak/jgdas_gempak_ncdc.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.gempak.jgdas_gempak_ncdc -#BSUB -o %ECF_OUT%/gdas.gempak.jgdas_gempak_ncdc_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_GEMPAK_NCDC - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf deleted file mode 100644 index e99e392..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_verfrad.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.jgdas_verfrad -#BSUB -o %ECF_OUT%/gdas.jgdas_verfrad_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_VERFRAD - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf deleted file mode 100644 index 16e857c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/jgdas_vminmon.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.jgdas_vminmon -#BSUB -o %ECF_OUT%/gdas.jgdas_vminmon_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_VMINMON - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/post/jgdas_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/post/jgdas_post.ecf deleted file mode 100644 index a83823e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/post/jgdas_post.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post.jgdas_post -#BSUB -o %ECF_OUT%/gdas.post.jgdas_post_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/post - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf deleted file mode 100644 index e65c565..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/post_processing/bulletins/jgdas_mknavybulls.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.post_processing.bulletins.jgdas_mknavybulls -#BSUB -o %ECF_OUT%/gdas.post_processing.bulletins.jgdas_mknavybulls_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_MKNAVYBULLS - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf deleted file mode 100644 index 4d41618..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_emcsfc_sfc_prep.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep.jgdas_emcsfc_sfc_prep -#BSUB -o %ECF_OUT%/gdas.prep.jgdas_emcsfc_sfc_prep_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_EMCSFC_SFC_PREP - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf deleted file mode 100644 index d70e3a8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep.jgdas_prep -#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=3 -%include -%include - -set -x - -export ntasks=6 -export ptile=2 -export threads=9223372036854775807 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_PREP - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf deleted file mode 100644 index e749457..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gdas/prep/jgdas_prep_post.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gdas.prep.jgdas_prep_post -#BSUB -o %ECF_OUT%/gdas.prep.jgdas_prep_post_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGDAS_PREP_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf deleted file mode 100644 index 2695723..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_dump.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.dump.jgfs_dump -#BSUB -o %ECF_OUT%/gfs.dump.jgfs_dump_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_DUMP - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf deleted file mode 100644 index 5cd044c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/dump/jgfs_tropcy_qc_reloc.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.dump.jgfs_tropcy_qc_reloc -#BSUB -o %ECF_OUT%/gfs.dump.jgfs_tropcy_qc_reloc_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_TROPCY_QC_RELOC - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_high.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_high.ecf deleted file mode 100644 index c3140db..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_high.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.forecast.jgfs_forecast_high -#BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_high_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_low.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_low.ecf deleted file mode 100644 index 37302ab..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/forecast/jgfs_forecast_low.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.forecast.jgfs_forecast_low -#BSUB -o %ECF_OUT%/gfs.forecast.jgfs_forecast_low_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=1024] -#BSUB -extsched CRAYLINUX[] -export NODES=64 -%include -%include - -set -x - -export ntasks=768 -export ptile=12 -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_FORECAST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak.ecf deleted file mode 100644 index 33942eb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.gempak.jgfs_gempak -#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_GEMPAK - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_meta.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_meta.ecf deleted file mode 100644 index 27db5f1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_meta.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.gempak.jgfs_gempak_meta -#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_meta_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_GEMPAK_META - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_ncdc.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_ncdc.ecf deleted file mode 100644 index 3188b7c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_ncdc.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.gempak.jgfs_gempak_ncdc -#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_ncdc_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_GEMPAK_NCDC - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_upapgif.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_upapgif.ecf deleted file mode 100644 index 8a66333..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_gempak_upapgif.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.gempak.jgfs_gempak_upapgif -#BSUB -o %ECF_OUT%/gfs.gempak.jgfs_gempak_upapgif_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_GEMPAK_UPAPGIF - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf deleted file mode 100644 index 64ef876..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/gempak/jgfs_pgrb2_spec_gempak.ecf +++ /dev/null @@ -1,33 +0,0 @@ -#! /bin/sh -{ecf_batch_resources} -%include -%include - -set -x - -{ecf_resource_more} - -export model={model_name} -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -{ecf_module_commands} -module list - -############################################################# -# WCOSS environment settings -############################################################# - -{ecf_environment_settings} - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST - -%include -%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf deleted file mode 100644 index abcf04c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_analysis.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.jgfs_analysis -#BSUB -o %ECF_OUT%/gfs.jgfs_analysis_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=60 -%include -%include - -set -x - -export ntasks=360 -export ptile=6 -export threads=2 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_ANALYSIS - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf deleted file mode 100644 index fa7eafe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/jgfs_vminmon.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.jgfs_vminmon -#BSUB -o %ECF_OUT%/gfs.jgfs_vminmon_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_VMINMON - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/dummy.ecf deleted file mode 100644 index 700857f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/dummy.ecf +++ /dev/null @@ -1,11 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.dummy -#BSUB -o %ECF_OUT%/gfs.post.dummy_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/DUMMY -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_pgrb2_spec_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_pgrb2_spec_post.ecf deleted file mode 100644 index 0801f05..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_pgrb2_spec_post.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_pgrb2_spec_post -#BSUB -o %ECF_OUT%/gfs.post.jgfs_pgrb2_spec_post_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_PGRB2_SPEC_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_anl.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_anl.ecf deleted file mode 100644 index 45a93c4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_anl.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_anl -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_anl_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_ANL - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f00.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f00.ecf deleted file mode 100644 index 02597de..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f00.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f00 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f00_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F00 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f01.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f01.ecf deleted file mode 100644 index 108bf63..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f01.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f01 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f01_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F01 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f02.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f02.ecf deleted file mode 100644 index 4fdac2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f02.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f02 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f02_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F02 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f03.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f03.ecf deleted file mode 100644 index f9e67b3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f03.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f03 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f03_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F03 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f04.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f04.ecf deleted file mode 100644 index 5cf2213..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f04.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f04 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f04_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F04 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f05.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f05.ecf deleted file mode 100644 index 4d07699..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f05.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f05 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f05_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F05 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f06.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f06.ecf deleted file mode 100644 index 61378cf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f06.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f06 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f06_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F06 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f07.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f07.ecf deleted file mode 100644 index 358a358..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f07.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f07 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f07_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F07 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f08.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f08.ecf deleted file mode 100644 index 54f90b9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f08.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f08 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f08_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F08 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f09.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f09.ecf deleted file mode 100644 index 8b82c0e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f09.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f09 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f09_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F09 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f10.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f10.ecf deleted file mode 100644 index 3cdac7f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f10.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f10 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f10_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F10 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f100.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f100.ecf deleted file mode 100644 index 1a86b5b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f100.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f100 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f100_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F100 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f101.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f101.ecf deleted file mode 100644 index e249fa7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f101.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f101 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f101_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F101 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f102.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f102.ecf deleted file mode 100644 index 169b6f8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f102.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f102 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f102_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F102 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f103.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f103.ecf deleted file mode 100644 index 9c80f50..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f103.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f103 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f103_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F103 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f104.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f104.ecf deleted file mode 100644 index 0c91263..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f104.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f104 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f104_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F104 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f105.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f105.ecf deleted file mode 100644 index 8c838f1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f105.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f105 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f105_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F105 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f106.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f106.ecf deleted file mode 100644 index 9227194..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f106.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f106 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f106_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F106 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f107.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f107.ecf deleted file mode 100644 index e66cc39..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f107.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f107 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f107_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F107 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f108.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f108.ecf deleted file mode 100644 index 2404b80..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f108.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f108 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f108_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F108 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f109.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f109.ecf deleted file mode 100644 index 568e47e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f109.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f109 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f109_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F109 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f11.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f11.ecf deleted file mode 100644 index a8c31fe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f11.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f11 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f11_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F11 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f110.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f110.ecf deleted file mode 100644 index 7f02883..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f110.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f110 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f110_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F110 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f111.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f111.ecf deleted file mode 100644 index 84edb70..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f111.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f111 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f111_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F111 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f112.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f112.ecf deleted file mode 100644 index 2c3d749..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f112.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f112 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f112_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F112 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f113.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f113.ecf deleted file mode 100644 index fcadb88..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f113.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f113 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f113_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F113 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f114.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f114.ecf deleted file mode 100644 index e7339b4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f114.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f114 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f114_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F114 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f115.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f115.ecf deleted file mode 100644 index 4028ca9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f115.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f115 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f115_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F115 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f116.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f116.ecf deleted file mode 100644 index 25c013b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f116.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f116 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f116_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F116 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f117.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f117.ecf deleted file mode 100644 index 40b2a29..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f117.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f117 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f117_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F117 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f118.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f118.ecf deleted file mode 100644 index c4f7bad..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f118.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f118 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f118_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F118 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f119.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f119.ecf deleted file mode 100644 index 57a736c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f119.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f119 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f119_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F119 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f12.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f12.ecf deleted file mode 100644 index 791286e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f12.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f12 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f12_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F12 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f120.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f120.ecf deleted file mode 100644 index 7efc829..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f120.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f120 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f120_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F120 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f123.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f123.ecf deleted file mode 100644 index b7fed67..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f123.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f123 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f123_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F123 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f126.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f126.ecf deleted file mode 100644 index faa1ca2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f126.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f126 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f126_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F126 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f129.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f129.ecf deleted file mode 100644 index 0bff7d7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f129.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f129 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f129_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F129 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f13.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f13.ecf deleted file mode 100644 index 9370750..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f13.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f13 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f13_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F13 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f132.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f132.ecf deleted file mode 100644 index cd98649..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f132.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f132 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f132_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F132 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f135.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f135.ecf deleted file mode 100644 index adf58ff..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f135.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f135 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f135_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F135 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f138.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f138.ecf deleted file mode 100644 index 3b4d3e1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f138.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f138 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f138_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F138 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f14.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f14.ecf deleted file mode 100644 index c2eb6c2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f14.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f14 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f14_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F14 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f141.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f141.ecf deleted file mode 100644 index 845199e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f141.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f141 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f141_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F141 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f144.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f144.ecf deleted file mode 100644 index b14d208..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f144.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f144 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f144_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F144 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f147.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f147.ecf deleted file mode 100644 index 8559ed0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f147.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f147 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f147_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F147 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f15.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f15.ecf deleted file mode 100644 index 086115c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f15.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f15 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f15_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F15 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f150.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f150.ecf deleted file mode 100644 index 309c34f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f150.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f150 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f150_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F150 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f153.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f153.ecf deleted file mode 100644 index 430952c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f153.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f153 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f153_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F153 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f156.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f156.ecf deleted file mode 100644 index 064a627..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f156.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f156 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f156_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F156 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f159.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f159.ecf deleted file mode 100644 index 1ce69e7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f159.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f159 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f159_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F159 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f16.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f16.ecf deleted file mode 100644 index fd7598f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f16.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f16 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f16_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F16 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f162.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f162.ecf deleted file mode 100644 index 854d633..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f162.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f162 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f162_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F162 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f165.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f165.ecf deleted file mode 100644 index c2c60b9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f165.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f165 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f165_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F165 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f168.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f168.ecf deleted file mode 100644 index 2a9d6ba..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f168.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f168 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f168_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F168 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f17.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f17.ecf deleted file mode 100644 index 5d76cb5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f17.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f17 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f17_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F17 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f171.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f171.ecf deleted file mode 100644 index 8ea9fff..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f171.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f171 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f171_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F171 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f174.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f174.ecf deleted file mode 100644 index 4402bce..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f174.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f174 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f174_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F174 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f177.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f177.ecf deleted file mode 100644 index 107d37a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f177.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f177 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f177_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F177 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f18.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f18.ecf deleted file mode 100644 index 3b29c2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f18.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f18 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f18_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F18 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f180.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f180.ecf deleted file mode 100644 index e9c8054..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f180.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f180 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f180_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F180 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f183.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f183.ecf deleted file mode 100644 index 22d1912..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f183.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f183 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f183_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F183 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f186.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f186.ecf deleted file mode 100644 index 115a3f4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f186.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f186 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f186_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F186 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f189.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f189.ecf deleted file mode 100644 index b106e6e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f189.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f189 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f189_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F189 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f19.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f19.ecf deleted file mode 100644 index 21956ec..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f19.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f19 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f19_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F19 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f192.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f192.ecf deleted file mode 100644 index 4ae2aff..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f192.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f192 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f192_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F192 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f195.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f195.ecf deleted file mode 100644 index 0f24e2a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f195.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f195 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f195_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F195 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f198.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f198.ecf deleted file mode 100644 index ef6396a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f198.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f198 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f198_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F198 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f20.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f20.ecf deleted file mode 100644 index 7c47937..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f20.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f20 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f20_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F20 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f201.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f201.ecf deleted file mode 100644 index 2f9317a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f201.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f201 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f201_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F201 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f204.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f204.ecf deleted file mode 100644 index efa0ded..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f204.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f204 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f204_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F204 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f207.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f207.ecf deleted file mode 100644 index ec1710e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f207.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f207 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f207_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F207 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f21.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f21.ecf deleted file mode 100644 index 4f804b0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f21.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f21 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f21_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F21 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f210.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f210.ecf deleted file mode 100644 index 35362be..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f210.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f210 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f210_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F210 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f213.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f213.ecf deleted file mode 100644 index 34c4bf6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f213.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f213 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f213_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F213 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f216.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f216.ecf deleted file mode 100644 index b71785a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f216.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f216 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f216_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F216 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f219.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f219.ecf deleted file mode 100644 index e5532a7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f219.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f219 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f219_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F219 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f22.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f22.ecf deleted file mode 100644 index 14de8d7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f22.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f22 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f22_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F22 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f222.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f222.ecf deleted file mode 100644 index 1323cc2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f222.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f222 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f222_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F222 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f225.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f225.ecf deleted file mode 100644 index 455ead1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f225.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f225 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f225_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F225 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f228.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f228.ecf deleted file mode 100644 index cfac18f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f228.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f228 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f228_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F228 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f23.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f23.ecf deleted file mode 100644 index 55ae234..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f23.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f23 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f23_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F23 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f231.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f231.ecf deleted file mode 100644 index 056d407..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f231.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f231 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f231_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F231 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f234.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f234.ecf deleted file mode 100644 index 23a4639..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f234.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f234 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f234_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F234 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f237.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f237.ecf deleted file mode 100644 index c0ce852..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f237.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f237 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f237_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F237 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f24.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f24.ecf deleted file mode 100644 index c8386e3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f24.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f24 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f24_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F24 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f240.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f240.ecf deleted file mode 100644 index c81481b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f240.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f240 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f240_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F240 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f25.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f25.ecf deleted file mode 100644 index c27b146..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f25.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f25 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f25_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F25 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f252.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f252.ecf deleted file mode 100644 index de6a3e9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f252.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f252 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f252_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F252 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f26.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f26.ecf deleted file mode 100644 index 19e658b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f26.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f26 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f26_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F26 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f264.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f264.ecf deleted file mode 100644 index 6062291..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f264.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f264 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f264_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F264 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f27.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f27.ecf deleted file mode 100644 index 49aefae..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f27.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f27 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f27_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F27 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f276.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f276.ecf deleted file mode 100644 index 0acae9d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f276.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f276 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f276_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F276 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f28.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f28.ecf deleted file mode 100644 index ed73eb8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f28.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f28 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f28_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F28 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f288.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f288.ecf deleted file mode 100644 index 42d9617..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f288.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f288 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f288_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F288 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f29.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f29.ecf deleted file mode 100644 index c84c6f3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f29.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f29 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f29_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F29 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f30.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f30.ecf deleted file mode 100644 index 23927d7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f30.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f30 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f30_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F30 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f300.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f300.ecf deleted file mode 100644 index 68473ec..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f300.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f300 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f300_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F300 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f31.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f31.ecf deleted file mode 100644 index a7d4c7e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f31.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f31 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f31_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F31 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f312.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f312.ecf deleted file mode 100644 index 2b32b78..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f312.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f312 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f312_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F312 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f32.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f32.ecf deleted file mode 100644 index 7730300..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f32.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f32 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f32_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F32 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f324.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f324.ecf deleted file mode 100644 index 7a86340..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f324.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f324 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f324_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F324 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f33.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f33.ecf deleted file mode 100644 index 6b5bec0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f33.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f33 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f33_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F33 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f336.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f336.ecf deleted file mode 100644 index 191765b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f336.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f336 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f336_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F336 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f34.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f34.ecf deleted file mode 100644 index cd5061b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f34.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f34 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f34_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F34 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f348.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f348.ecf deleted file mode 100644 index 95b8f8b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f348.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f348 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f348_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F348 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f35.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f35.ecf deleted file mode 100644 index cacaf52..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f35.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f35 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f35_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F35 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f36.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f36.ecf deleted file mode 100644 index e33dde8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f36.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f36 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f36_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F36 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f360.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f360.ecf deleted file mode 100644 index 4fc0fe4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f360.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f360 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f360_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F360 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f37.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f37.ecf deleted file mode 100644 index 5a92603..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f37.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f37 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f37_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F37 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f372.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f372.ecf deleted file mode 100644 index 8b5f4b6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f372.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f372 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f372_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F372 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f38.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f38.ecf deleted file mode 100644 index 2a26048..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f38.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f38 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f38_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F38 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f384.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f384.ecf deleted file mode 100644 index 938424a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f384.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f384 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f384_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F384 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f39.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f39.ecf deleted file mode 100644 index 1b8ec9d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f39.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f39 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f39_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F39 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f40.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f40.ecf deleted file mode 100644 index 1eec893..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f40.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f40 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f40_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F40 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f41.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f41.ecf deleted file mode 100644 index c1c7542..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f41.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f41 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f41_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F41 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f42.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f42.ecf deleted file mode 100644 index 2325836..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f42.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f42 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f42_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F42 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f43.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f43.ecf deleted file mode 100644 index ff41cfb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f43.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f43 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f43_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F43 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f44.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f44.ecf deleted file mode 100644 index 4ce3278..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f44.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f44 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f44_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F44 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f45.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f45.ecf deleted file mode 100644 index 91e6446..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f45.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f45 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f45_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F45 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f46.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f46.ecf deleted file mode 100644 index 8b0dc36..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f46.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f46 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f46_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F46 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f47.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f47.ecf deleted file mode 100644 index e67c97a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f47.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f47 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f47_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F47 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f48.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f48.ecf deleted file mode 100644 index 2b62b20..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f48.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f48 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f48_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F48 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f49.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f49.ecf deleted file mode 100644 index 472ad4c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f49.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f49 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f49_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F49 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f50.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f50.ecf deleted file mode 100644 index 7553af6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f50.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f50 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f50_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F50 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f51.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f51.ecf deleted file mode 100644 index c912aaa..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f51.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f51 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f51_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F51 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f52.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f52.ecf deleted file mode 100644 index 550df2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f52.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f52 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f52_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F52 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f53.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f53.ecf deleted file mode 100644 index 92dd73f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f53.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f53 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f53_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F53 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f54.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f54.ecf deleted file mode 100644 index bea0edc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f54.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f54 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f54_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F54 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f55.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f55.ecf deleted file mode 100644 index 837811c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f55.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f55 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f55_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F55 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f56.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f56.ecf deleted file mode 100644 index d89b869..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f56.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f56 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f56_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F56 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f57.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f57.ecf deleted file mode 100644 index 348ee4f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f57.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f57 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f57_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F57 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f58.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f58.ecf deleted file mode 100644 index 18c4a48..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f58.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f58 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f58_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F58 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f59.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f59.ecf deleted file mode 100644 index 911e47a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f59.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f59 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f59_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F59 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f60.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f60.ecf deleted file mode 100644 index 5146bd0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f60.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f60 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f60_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F60 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f61.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f61.ecf deleted file mode 100644 index ae3fea3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f61.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f61 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f61_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F61 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f62.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f62.ecf deleted file mode 100644 index 436b0bc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f62.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f62 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f62_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F62 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f63.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f63.ecf deleted file mode 100644 index f0a1a8f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f63.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f63 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f63_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F63 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f64.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f64.ecf deleted file mode 100644 index 950507b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f64.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f64 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f64_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F64 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f65.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f65.ecf deleted file mode 100644 index d6c4e7f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f65.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f65 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f65_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F65 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f66.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f66.ecf deleted file mode 100644 index 5925426..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f66.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f66 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f66_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F66 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f67.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f67.ecf deleted file mode 100644 index a30b66c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f67.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f67 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f67_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F67 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f68.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f68.ecf deleted file mode 100644 index 02c4962..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f68.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f68 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f68_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F68 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f69.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f69.ecf deleted file mode 100644 index 1a4852c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f69.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f69 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f69_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F69 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f70.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f70.ecf deleted file mode 100644 index ad1dca1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f70.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f70 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f70_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F70 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f71.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f71.ecf deleted file mode 100644 index b75158b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f71.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f71 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f71_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F71 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f72.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f72.ecf deleted file mode 100644 index bfda8ea..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f72.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f72 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f72_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F72 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f73.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f73.ecf deleted file mode 100644 index 5703db6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f73.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f73 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f73_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F73 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f74.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f74.ecf deleted file mode 100644 index 4d8a171..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f74.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f74 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f74_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F74 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f75.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f75.ecf deleted file mode 100644 index df16a6d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f75.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f75 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f75_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F75 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f76.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f76.ecf deleted file mode 100644 index 8e6af05..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f76.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f76 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f76_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F76 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f77.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f77.ecf deleted file mode 100644 index cfa16fc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f77.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f77 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f77_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F77 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f78.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f78.ecf deleted file mode 100644 index 96ab8cd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f78.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f78 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f78_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F78 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f79.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f79.ecf deleted file mode 100644 index d5bacf3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f79.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f79 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f79_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F79 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f80.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f80.ecf deleted file mode 100644 index a43e62f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f80.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f80 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f80_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F80 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f81.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f81.ecf deleted file mode 100644 index 433bd18..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f81.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f81 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f81_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F81 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f82.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f82.ecf deleted file mode 100644 index a79f29b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f82.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f82 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f82_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F82 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f83.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f83.ecf deleted file mode 100644 index c0a8717..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f83.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f83 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f83_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F83 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f84.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f84.ecf deleted file mode 100644 index fc497b7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f84.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f84 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f84_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F84 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f85.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f85.ecf deleted file mode 100644 index 4b8f159..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f85.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f85 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f85_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F85 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f86.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f86.ecf deleted file mode 100644 index d277132..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f86.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f86 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f86_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F86 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f87.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f87.ecf deleted file mode 100644 index ba24c5d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f87.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f87 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f87_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F87 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f88.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f88.ecf deleted file mode 100644 index 81a30a6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f88.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f88 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f88_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F88 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f89.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f89.ecf deleted file mode 100644 index 3514e27..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f89.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f89 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f89_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F89 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f90.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f90.ecf deleted file mode 100644 index 73a0585..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f90.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f90 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f90_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F90 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f91.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f91.ecf deleted file mode 100644 index 3eceeee..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f91.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f91 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f91_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F91 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f92.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f92.ecf deleted file mode 100644 index bc30db6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f92.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f92 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f92_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F92 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f93.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f93.ecf deleted file mode 100644 index 842596d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f93.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f93 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f93_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F93 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f94.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f94.ecf deleted file mode 100644 index adc946c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f94.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f94 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f94_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F94 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f95.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f95.ecf deleted file mode 100644 index 961d45a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f95.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f95 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f95_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F95 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f96.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f96.ecf deleted file mode 100644 index e7c1809..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f96.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f96 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f96_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F96 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f97.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f97.ecf deleted file mode 100644 index 5a7b7cc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f97.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f97 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f97_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F97 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f98.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f98.ecf deleted file mode 100644 index 6aba72f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f98.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f98 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f98_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F98 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f99.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f99.ecf deleted file mode 100644 index fd493c7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_f99.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_f99 -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_f99_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_F99 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_manager.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_manager.ecf deleted file mode 100644 index cce2e41..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post/jgfs_post_manager.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post.jgfs_post_manager -#BSUB -o %ECF_OUT%/gfs.post.jgfs_post_manager_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POST_MANAGER - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf deleted file mode 100644 index d3ec7f0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f000.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f000 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f000_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F000 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf deleted file mode 100644 index 669ca3e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f001.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f001 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f001_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F001 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf deleted file mode 100644 index bcc4ea7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f002.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f002 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f002_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F002 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf deleted file mode 100644 index 1389451..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f003.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f003 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f003_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F003 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf deleted file mode 100644 index ff9a6db..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f004.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f004 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f004_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F004 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf deleted file mode 100644 index fa0b9da..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f005.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f005 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f005_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F005 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf deleted file mode 100644 index 216de5b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f006.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f006 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f006_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F006 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf deleted file mode 100644 index e848d86..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f007.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f007 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f007_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F007 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf deleted file mode 100644 index 8fd242a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f008.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f008 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f008_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F008 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf deleted file mode 100644 index d27fae2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f009.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f009 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f009_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F009 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf deleted file mode 100644 index 0484b7b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f010.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f010 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f010_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F010 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf deleted file mode 100644 index 3aa2ddb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f011.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f011 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f011_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F011 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf deleted file mode 100644 index ceb7bcf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f012.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f012 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f012_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F012 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf deleted file mode 100644 index ab42d41..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f013.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f013 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f013_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F013 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf deleted file mode 100644 index 59a4b64..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f014.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f014 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f014_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F014 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf deleted file mode 100644 index 53a8752..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f015.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f015 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f015_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F015 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf deleted file mode 100644 index 6a2c161..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f016.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f016 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f016_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F016 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf deleted file mode 100644 index 5643028..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f017.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f017 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f017_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F017 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf deleted file mode 100644 index 9017a9e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f018.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f018 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f018_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F018 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf deleted file mode 100644 index e330aff..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f019.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f019 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f019_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F019 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf deleted file mode 100644 index 9ed1e5e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f020.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f020 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f020_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F020 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf deleted file mode 100644 index 1db01b8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f021.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f021 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f021_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F021 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf deleted file mode 100644 index 4d37614..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f022.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f022 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f022_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F022 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf deleted file mode 100644 index 7cce8c7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f023.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f023 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f023_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F023 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf deleted file mode 100644 index d257cd9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f024.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f024 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f024_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F024 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf deleted file mode 100644 index 280cef8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f025.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f025 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f025_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F025 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf deleted file mode 100644 index 4988749..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f026.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f026 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f026_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F026 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf deleted file mode 100644 index 5d3a7a1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f027.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f027 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f027_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F027 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf deleted file mode 100644 index de2fc15..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f028.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f028 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f028_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F028 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf deleted file mode 100644 index fb40400..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f029.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f029 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f029_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F029 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf deleted file mode 100644 index 1b2d8c6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f030.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f030 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f030_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F030 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf deleted file mode 100644 index fce31a7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f031.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f031 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f031_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F031 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf deleted file mode 100644 index c657d14..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f032.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f032 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f032_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F032 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf deleted file mode 100644 index 4fc3a2c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f033.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f033 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f033_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F033 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf deleted file mode 100644 index 8f26afb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f034.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f034 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f034_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F034 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf deleted file mode 100644 index ecabe41..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f035.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f035 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f035_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F035 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf deleted file mode 100644 index 5ee87b4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f036.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f036 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f036_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F036 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf deleted file mode 100644 index 4069a46..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f037.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f037 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f037_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F037 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf deleted file mode 100644 index 9a02dd7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f038.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f038 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f038_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F038 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf deleted file mode 100644 index 196511a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f039.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f039 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f039_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F039 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf deleted file mode 100644 index 8f90a29..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f040.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f040 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f040_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F040 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf deleted file mode 100644 index 22378cc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f041.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f041 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f041_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F041 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf deleted file mode 100644 index 5926ab9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f042.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f042 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f042_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F042 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf deleted file mode 100644 index 9722311..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f043.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f043 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f043_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F043 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf deleted file mode 100644 index fe25953..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f044.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f044 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f044_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F044 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf deleted file mode 100644 index 5a97394..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f045.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f045 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f045_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F045 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf deleted file mode 100644 index 61ddb06..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f046.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f046 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f046_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F046 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf deleted file mode 100644 index 64cf3a6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f047.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f047 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f047_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F047 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf deleted file mode 100644 index 92a8d47..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f048.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f048 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f048_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F048 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf deleted file mode 100644 index a14d268..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f049.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f049 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f049_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F049 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf deleted file mode 100644 index fb8d1ca..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f050.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f050 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f050_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F050 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf deleted file mode 100644 index 6a2377c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f051.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f051 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f051_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F051 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf deleted file mode 100644 index 6161af9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f052.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f052 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f052_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F052 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf deleted file mode 100644 index 518272e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f053.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f053 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f053_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F053 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf deleted file mode 100644 index 2e1e4ab..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f054.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f054 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f054_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F054 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf deleted file mode 100644 index 7fe7456..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f055.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f055 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f055_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F055 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf deleted file mode 100644 index 895605b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f056.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f056 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f056_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F056 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf deleted file mode 100644 index 5a552bd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f057.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f057 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f057_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F057 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf deleted file mode 100644 index 4a6e5fe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f058.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f058 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f058_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F058 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf deleted file mode 100644 index 3fb2a82..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f059.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f059 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f059_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F059 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf deleted file mode 100644 index cf13c9f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f060.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f060 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f060_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F060 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf deleted file mode 100644 index b752ca2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f061.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f061 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f061_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F061 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf deleted file mode 100644 index 45a961c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f062.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f062 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f062_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F062 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf deleted file mode 100644 index 18722a0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f063.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f063 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f063_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F063 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf deleted file mode 100644 index 1ed950b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f064.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f064 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f064_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F064 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf deleted file mode 100644 index a3ee684..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f065.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f065 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f065_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F065 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf deleted file mode 100644 index a30cdf1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f066.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f066 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f066_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F066 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf deleted file mode 100644 index 971abcc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f067.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f067 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f067_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F067 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf deleted file mode 100644 index 20aae5d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f068.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f068 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f068_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F068 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf deleted file mode 100644 index 23b3f35..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f069.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f069 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f069_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F069 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf deleted file mode 100644 index 0bca73e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f070.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f070 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f070_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F070 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf deleted file mode 100644 index e18ed18..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f071.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f071 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f071_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F071 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf deleted file mode 100644 index 81b0dbd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f072.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f072 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f072_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F072 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf deleted file mode 100644 index 0afd294..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f073.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f073 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f073_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F073 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf deleted file mode 100644 index b35e294..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f074.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f074 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f074_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F074 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf deleted file mode 100644 index cdd1c9a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f075.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f075 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f075_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F075 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf deleted file mode 100644 index 463d7fc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f076.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f076 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f076_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F076 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf deleted file mode 100644 index 9b6d244..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f077.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f077 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f077_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F077 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf deleted file mode 100644 index 6a8f2e0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f078.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f078 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f078_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F078 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf deleted file mode 100644 index 77b3012..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f079.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f079 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f079_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F079 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf deleted file mode 100644 index 603586f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f080.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f080 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f080_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F080 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf deleted file mode 100644 index 28338e3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f081.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f081 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f081_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F081 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf deleted file mode 100644 index 67d2c2a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f082.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f082 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f082_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F082 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf deleted file mode 100644 index c7fa12e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f083.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f083 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f083_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F083 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf deleted file mode 100644 index 42f449d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f084.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f084 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f084_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F084 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf deleted file mode 100644 index b1a1f45..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f085.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f085 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f085_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F085 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf deleted file mode 100644 index eca4005..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f086.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f086 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f086_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F086 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf deleted file mode 100644 index f0cf3d8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f087.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f087 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f087_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F087 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf deleted file mode 100644 index acfad04..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f088.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f088 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f088_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F088 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf deleted file mode 100644 index a640f6a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f089.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f089 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f089_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F089 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf deleted file mode 100644 index e089a70..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f090.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f090 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f090_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F090 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf deleted file mode 100644 index f5b80dc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f091.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f091 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f091_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F091 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf deleted file mode 100644 index 0a98218..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f092.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f092 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f092_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F092 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf deleted file mode 100644 index 5864377..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f093.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f093 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f093_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F093 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf deleted file mode 100644 index e598259..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f094.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f094 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f094_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F094 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf deleted file mode 100644 index bbcaefa..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f095.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f095 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f095_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F095 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf deleted file mode 100644 index cacd7fa..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f096.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f096 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f096_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F096 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf deleted file mode 100644 index 26dc797..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f097.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f097 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f097_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F097 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf deleted file mode 100644 index eb95fc9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f098.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f098 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f098_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F098 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf deleted file mode 100644 index 474a79a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f099.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f099 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f099_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F099 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf deleted file mode 100644 index 25443e0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f100.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f100 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f100_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf deleted file mode 100644 index 346a86d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f101.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f101 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f101_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf deleted file mode 100644 index f49973c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f102.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f102 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f102_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf deleted file mode 100644 index 70ec792..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f103.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f103 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f103_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf deleted file mode 100644 index 732d5d0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f104.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f104 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f104_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf deleted file mode 100644 index 4efbb80..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f105.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f105 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f105_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf deleted file mode 100644 index 27e9e7e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f106.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f106 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f106_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf deleted file mode 100644 index db13b96..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f107.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f107 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f107_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf deleted file mode 100644 index 61fef46..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f108.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f108 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f108_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf deleted file mode 100644 index a7ad7c6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f109.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f109 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f109_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf deleted file mode 100644 index b8e8353..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f110.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f110 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f110_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf deleted file mode 100644 index 8092265..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f111.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f111 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f111_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf deleted file mode 100644 index 79245a5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f112.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f112 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f112_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf deleted file mode 100644 index c31419b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f113.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f113 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f113_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf deleted file mode 100644 index 57e845a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f114.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f114 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f114_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf deleted file mode 100644 index 7784fcc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f115.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f115 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f115_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf deleted file mode 100644 index 58c52d0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f116.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f116 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f116_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf deleted file mode 100644 index d222027..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f117.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f117 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f117_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf deleted file mode 100644 index 40bd197..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f118.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f118 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f118_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf deleted file mode 100644 index acc1d99..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f119.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f119 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f119_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf deleted file mode 100644 index bc4057c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f120.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f120 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f120_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf deleted file mode 100644 index 06a8220..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f123.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f123 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f123_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf deleted file mode 100644 index 0971d65..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f126.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f126 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f126_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf deleted file mode 100644 index 98b8e26..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f129.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f129 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f129_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf deleted file mode 100644 index f0149ce..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f132.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f132 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f132_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf deleted file mode 100644 index b92409f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f135.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f135 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f135_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf deleted file mode 100644 index 65b9001..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f138.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f138 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f138_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf deleted file mode 100644 index 515a95f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f141.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f141 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f141_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf deleted file mode 100644 index a83e1ee..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f144.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f144 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f144_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf deleted file mode 100644 index 513a955..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f147.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f147 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f147_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf deleted file mode 100644 index 7148c6f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f150.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f150 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f150_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf deleted file mode 100644 index 6f6c03a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f153.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f153 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f153_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf deleted file mode 100644 index 2124ce9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f156.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f156 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f156_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf deleted file mode 100644 index 845a1a9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f159.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f159 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f159_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf deleted file mode 100644 index d562347..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f162.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f162 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f162_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf deleted file mode 100644 index a7c2d69..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f165.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f165 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f165_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf deleted file mode 100644 index 9f40903..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f168.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f168 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f168_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf deleted file mode 100644 index 5e7e844..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f171.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f171 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f171_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf deleted file mode 100644 index b1ddb61..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f174.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f174 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f174_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf deleted file mode 100644 index 0b2eda4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f177.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f177 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f177_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf deleted file mode 100644 index 37b5b22..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f180.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f180 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f180_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf deleted file mode 100644 index 836131c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f183.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f183 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f183_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf deleted file mode 100644 index 5bb10f4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f186.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f186 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f186_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf deleted file mode 100644 index 295fa2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f189.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f189 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f189_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf deleted file mode 100644 index e7df28f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f192.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f192 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f192_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf deleted file mode 100644 index 84e2e0a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f195.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f195 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f195_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf deleted file mode 100644 index c4410ac..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f198.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f198 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f198_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf deleted file mode 100644 index 73c50b7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f201.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f201 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f201_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf deleted file mode 100644 index a4c0108..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f204.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f204 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f204_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf deleted file mode 100644 index fd59fac..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f207.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f207 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f207_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf deleted file mode 100644 index 8d1750d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f210.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f210 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f210_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf deleted file mode 100644 index 2c901e9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f213.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f213 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f213_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf deleted file mode 100644 index dd202cc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f216.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f216 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f216_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf deleted file mode 100644 index cf3b8af..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f219.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f219 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f219_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf deleted file mode 100644 index 8a2b14d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f222.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f222 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f222_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf deleted file mode 100644 index 72ba6d8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f225.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f225 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f225_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf deleted file mode 100644 index e6d53d8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f228.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f228 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f228_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf deleted file mode 100644 index 674137f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f231.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f231 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f231_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf deleted file mode 100644 index 423eaea..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f234.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f234 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f234_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf deleted file mode 100644 index d454c69..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f237.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f237 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f237_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf deleted file mode 100644 index 2f09456..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f240.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f240 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f240_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf deleted file mode 100644 index bf69c9c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f252.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f252 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f252_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf deleted file mode 100644 index aaaad1a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f264.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f264 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f264_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf deleted file mode 100644 index 61fbc1d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f276.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f276 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f276_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf deleted file mode 100644 index 6189c31..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f288.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f288 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f288_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf deleted file mode 100644 index f3645fe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f300.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f300 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f300_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf deleted file mode 100644 index 676d412..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f312.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f312 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f312_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf deleted file mode 100644 index 5492342..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f324.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f324 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f324_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf deleted file mode 100644 index 7f558a7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f336.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f336 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f336_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf deleted file mode 100644 index b6f52b5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f348.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f348 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f348_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf deleted file mode 100644 index e747d70..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f360.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f360 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f360_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf deleted file mode 100644 index 4eb5880..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f372.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f372 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f372_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf deleted file mode 100644 index 83608a9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_1p0deg/jgfs_awips_f384.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_1p0deg.jgfs_awips_f384 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_1p0deg.jgfs_awips_f384_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf deleted file mode 100644 index 427e646..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f000.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f000 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f000_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F000 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf deleted file mode 100644 index ab2af43..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f001.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f001 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f001_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F001 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf deleted file mode 100644 index 9c3ce49..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f002.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f002 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f002_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F002 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf deleted file mode 100644 index 91ecf3d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f003.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f003 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f003_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F003 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf deleted file mode 100644 index 963ab8c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f004.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f004 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f004_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F004 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf deleted file mode 100644 index 5e1ff16..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f005.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f005 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f005_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F005 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf deleted file mode 100644 index 5f79c28..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f006.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f006 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f006_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F006 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf deleted file mode 100644 index 57a944e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f007.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f007 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f007_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F007 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf deleted file mode 100644 index d2ebc78..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f008.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f008 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f008_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F008 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf deleted file mode 100644 index e6195e8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f009.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f009 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f009_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F009 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf deleted file mode 100644 index 53301c3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f010.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f010 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f010_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F010 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf deleted file mode 100644 index 8827e9f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f011.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f011 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f011_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F011 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf deleted file mode 100644 index a11f357..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f012.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f012 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f012_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F012 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf deleted file mode 100644 index 18e01f0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f013.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f013 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f013_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F013 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf deleted file mode 100644 index e5e4f59..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f014.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f014 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f014_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F014 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf deleted file mode 100644 index 1b34c83..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f015.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f015 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f015_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F015 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf deleted file mode 100644 index cf01459..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f016.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f016 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f016_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F016 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf deleted file mode 100644 index bdca96c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f017.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f017 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f017_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F017 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf deleted file mode 100644 index 492457c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f018.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f018 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f018_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F018 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf deleted file mode 100644 index b0bf180..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f019.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f019 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f019_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F019 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf deleted file mode 100644 index 3bf1ecc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f020.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f020 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f020_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F020 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf deleted file mode 100644 index bc6d6e4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f021.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f021 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f021_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F021 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf deleted file mode 100644 index 7de3250..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f022.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f022 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f022_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F022 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf deleted file mode 100644 index e28a3cb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f023.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f023 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f023_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F023 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf deleted file mode 100644 index ff0e27c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f024.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f024 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f024_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F024 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf deleted file mode 100644 index 3e18e44..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f025.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f025 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f025_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F025 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf deleted file mode 100644 index ac56176..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f026.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f026 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f026_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F026 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf deleted file mode 100644 index 80dab35..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f027.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f027 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f027_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F027 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf deleted file mode 100644 index d79b344..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f028.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f028 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f028_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F028 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf deleted file mode 100644 index 20d63eb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f029.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f029 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f029_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F029 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf deleted file mode 100644 index 1e2b0c5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f030.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f030 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f030_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F030 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf deleted file mode 100644 index c900671..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f031.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f031 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f031_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F031 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf deleted file mode 100644 index 87be72c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f032.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f032 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f032_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F032 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf deleted file mode 100644 index e07b9d4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f033.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f033 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f033_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F033 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf deleted file mode 100644 index ebd6b4d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f034.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f034 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f034_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F034 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf deleted file mode 100644 index 0bb38cb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f035.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f035 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f035_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F035 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf deleted file mode 100644 index 3943b5e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f036.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f036 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f036_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F036 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf deleted file mode 100644 index 6ca5114..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f037.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f037 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f037_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F037 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf deleted file mode 100644 index cff0b72..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f038.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f038 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f038_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F038 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf deleted file mode 100644 index d2dbbbe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f039.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f039 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f039_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F039 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf deleted file mode 100644 index e44ab23..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f040.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f040 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f040_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F040 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf deleted file mode 100644 index aced496..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f041.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f041 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f041_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F041 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf deleted file mode 100644 index dbd4901..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f042.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f042 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f042_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F042 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf deleted file mode 100644 index b669e2a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f043.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f043 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f043_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F043 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf deleted file mode 100644 index 0d87eca..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f044.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f044 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f044_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F044 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf deleted file mode 100644 index 5fc043e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f045.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f045 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f045_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F045 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf deleted file mode 100644 index 1d44129..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f046.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f046 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f046_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F046 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf deleted file mode 100644 index 99e628a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f047.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f047 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f047_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F047 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf deleted file mode 100644 index dc202cd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f048.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f048 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f048_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F048 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf deleted file mode 100644 index 4badc2d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f049.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f049 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f049_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F049 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf deleted file mode 100644 index c5eea93..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f050.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f050 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f050_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F050 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf deleted file mode 100644 index 847867e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f051.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f051 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f051_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F051 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf deleted file mode 100644 index 5c2e61c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f052.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f052 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f052_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F052 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf deleted file mode 100644 index 9f92201..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f053.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f053 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f053_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F053 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf deleted file mode 100644 index fcbd8c0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f054.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f054 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f054_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F054 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf deleted file mode 100644 index 4b21b8e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f055.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f055 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f055_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F055 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf deleted file mode 100644 index f69e31d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f056.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f056 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f056_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F056 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf deleted file mode 100644 index 0bc7a5a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f057.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f057 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f057_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F057 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf deleted file mode 100644 index cca67b3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f058.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f058 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f058_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F058 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf deleted file mode 100644 index 82481d8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f059.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f059 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f059_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F059 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf deleted file mode 100644 index 767c16d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f060.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f060 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f060_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F060 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf deleted file mode 100644 index c3accb6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f061.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f061 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f061_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F061 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf deleted file mode 100644 index 0f1e2b4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f062.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f062 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f062_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F062 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf deleted file mode 100644 index 26cd4fd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f063.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f063 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f063_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F063 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf deleted file mode 100644 index 9e31579..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f064.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f064 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f064_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F064 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf deleted file mode 100644 index 904ad8e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f065.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f065 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f065_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F065 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf deleted file mode 100644 index 2ac1eef..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f066.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f066 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f066_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F066 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf deleted file mode 100644 index e28f3e0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f067.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f067 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f067_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F067 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf deleted file mode 100644 index 47e532d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f068.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f068 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f068_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F068 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf deleted file mode 100644 index f0795c7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f069.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f069 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f069_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F069 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf deleted file mode 100644 index 09f9386..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f070.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f070 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f070_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F070 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf deleted file mode 100644 index 7af6e71..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f071.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f071 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f071_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F071 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf deleted file mode 100644 index 163556e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f072.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f072 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f072_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F072 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf deleted file mode 100644 index 312d962..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f073.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f073 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f073_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F073 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf deleted file mode 100644 index bc5854d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f074.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f074 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f074_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F074 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf deleted file mode 100644 index 995dd06..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f075.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f075 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f075_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F075 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf deleted file mode 100644 index 037d514..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f076.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f076 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f076_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F076 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf deleted file mode 100644 index 0975f98..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f077.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f077 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f077_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F077 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf deleted file mode 100644 index 4fbf9a2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f078.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f078 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f078_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F078 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf deleted file mode 100644 index f289400..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f079.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f079 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f079_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F079 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf deleted file mode 100644 index de9d879..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f080.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f080 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f080_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F080 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf deleted file mode 100644 index 724b2c8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f081.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f081 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f081_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F081 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf deleted file mode 100644 index 26342f1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f082.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f082 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f082_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F082 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf deleted file mode 100644 index edac663..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f083.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f083 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f083_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F083 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf deleted file mode 100644 index 23a6cc3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f084.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f084 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f084_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F084 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf deleted file mode 100644 index 1c4b186..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f085.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f085 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f085_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F085 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf deleted file mode 100644 index d640e2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f086.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f086 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f086_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F086 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf deleted file mode 100644 index 2b9a3b8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f087.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f087 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f087_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F087 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf deleted file mode 100644 index a8d0f25..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f088.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f088 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f088_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F088 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf deleted file mode 100644 index d86ef52..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f089.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f089 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f089_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F089 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf deleted file mode 100644 index 4165018..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f090.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f090 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f090_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F090 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf deleted file mode 100644 index 44f317d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f091.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f091 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f091_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F091 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf deleted file mode 100644 index 5d2b709..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f092.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f092 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f092_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F092 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf deleted file mode 100644 index bf7bae7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f093.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f093 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f093_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F093 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf deleted file mode 100644 index 36cce97..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f094.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f094 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f094_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F094 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf deleted file mode 100644 index 5a253b1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f095.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f095 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f095_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F095 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf deleted file mode 100644 index dc3b558..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f096.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f096 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f096_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F096 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf deleted file mode 100644 index 7def71f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f097.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f097 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f097_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F097 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf deleted file mode 100644 index 24e1f8b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f098.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f098 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f098_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F098 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf deleted file mode 100644 index 518dc00..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f099.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f099 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f099_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F099 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf deleted file mode 100644 index 0d5469e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f100.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f100 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f100_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf deleted file mode 100644 index f78c4da..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f101.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f101 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f101_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf deleted file mode 100644 index 382154d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f102.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f102 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f102_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf deleted file mode 100644 index bec4df5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f103.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f103 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f103_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf deleted file mode 100644 index 796ad73..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f104.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f104 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f104_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf deleted file mode 100644 index 457fec0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f105.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f105 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f105_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf deleted file mode 100644 index a663fc9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f106.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f106 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f106_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf deleted file mode 100644 index 7187594..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f107.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f107 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f107_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf deleted file mode 100644 index 0384036..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f108.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f108 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f108_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf deleted file mode 100644 index 4d8d7be..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f109.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f109 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f109_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf deleted file mode 100644 index 4f72a90..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f110.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f110 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f110_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf deleted file mode 100644 index a8d57e6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f111.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f111 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f111_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf deleted file mode 100644 index 978e151..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f112.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f112 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f112_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf deleted file mode 100644 index 2455a58..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f113.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f113 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f113_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf deleted file mode 100644 index ab9fcd1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f114.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f114 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f114_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf deleted file mode 100644 index 92372a6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f115.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f115 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f115_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf deleted file mode 100644 index 565aa8b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f116.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f116 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f116_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf deleted file mode 100644 index 20dec08..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f117.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f117 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f117_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf deleted file mode 100644 index d1d3f45..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f118.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f118 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f118_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf deleted file mode 100644 index 6b9017f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f119.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f119 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f119_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf deleted file mode 100644 index 9a00979..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f120.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f120 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f120_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf deleted file mode 100644 index e828b3d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f123.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f123 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f123_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf deleted file mode 100644 index 36a4274..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f126.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f126 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f126_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf deleted file mode 100644 index 0c03f90..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f129.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f129 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f129_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf deleted file mode 100644 index e8832d6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f132.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f132 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f132_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf deleted file mode 100644 index 82befd3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f135.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f135 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f135_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf deleted file mode 100644 index 62f28da..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f138.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f138 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f138_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf deleted file mode 100644 index 89909f5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f141.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f141 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f141_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf deleted file mode 100644 index bc6533e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f144.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f144 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f144_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf deleted file mode 100644 index bbf018d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f147.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f147 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f147_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf deleted file mode 100644 index 55ec8d3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f150.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f150 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f150_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf deleted file mode 100644 index 030ee2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f153.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f153 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f153_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf deleted file mode 100644 index fdea60e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f156.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f156 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f156_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf deleted file mode 100644 index 8e38112..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f159.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f159 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f159_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf deleted file mode 100644 index e7a1186..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f162.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f162 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f162_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf deleted file mode 100644 index fe86e22..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f165.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f165 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f165_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf deleted file mode 100644 index b79211c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f168.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f168 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f168_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf deleted file mode 100644 index 15a2d3e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f171.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f171 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f171_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf deleted file mode 100644 index 94ecc78..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f174.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f174 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f174_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf deleted file mode 100644 index 34d4366..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f177.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f177 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f177_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf deleted file mode 100644 index a0d8ab1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f180.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f180 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f180_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf deleted file mode 100644 index a8b777a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f183.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f183 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f183_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf deleted file mode 100644 index 02e3a56..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f186.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f186 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f186_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf deleted file mode 100644 index 87341cf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f189.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f189 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f189_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf deleted file mode 100644 index b8d0c76..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f192.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f192 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f192_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf deleted file mode 100644 index 8bfbb73..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f195.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f195 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f195_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf deleted file mode 100644 index 0363451..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f198.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f198 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f198_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf deleted file mode 100644 index e94a022..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f201.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f201 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f201_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf deleted file mode 100644 index d0c377b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f204.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f204 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f204_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf deleted file mode 100644 index d181b4f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f207.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f207 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f207_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf deleted file mode 100644 index 009dda4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f210.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f210 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f210_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf deleted file mode 100644 index 6dc4b69..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f213.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f213 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f213_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf deleted file mode 100644 index 0e4db87..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f216.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f216 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f216_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf deleted file mode 100644 index abdfef5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f219.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f219 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f219_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf deleted file mode 100644 index f52e413..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f222.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f222 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f222_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf deleted file mode 100644 index a07a1a9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f225.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f225 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f225_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf deleted file mode 100644 index 1273cc5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f228.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f228 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f228_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf deleted file mode 100644 index 81645c8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f231.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f231 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f231_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf deleted file mode 100644 index 7c4a116..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f234.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f234 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f234_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf deleted file mode 100644 index bc34767..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f237.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f237 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f237_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf deleted file mode 100644 index 47beb8d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f240.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f240 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f240_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf deleted file mode 100644 index 9b60402..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f252.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f252 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f252_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf deleted file mode 100644 index dafeb58..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f264.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f264 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f264_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf deleted file mode 100644 index c806814..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f276.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f276 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f276_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf deleted file mode 100644 index f74a5d0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f288.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f288 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f288_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf deleted file mode 100644 index e605dfe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f300.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f300 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f300_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf deleted file mode 100644 index bf416a0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f312.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f312 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f312_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf deleted file mode 100644 index 469902f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f324.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f324 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f324_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf deleted file mode 100644 index b966479..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f336.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f336 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f336_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf deleted file mode 100644 index a84c97c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f348.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f348 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f348_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf deleted file mode 100644 index 5d84aa8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f360.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f360 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f360_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf deleted file mode 100644 index e87d5f6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f372.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f372 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f372_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf deleted file mode 100644 index 1d40fbc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/awips_20km/jgfs_awips_f384.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.awips_20km.jgfs_awips_f384 -#BSUB -o %ECF_OUT%/gfs.post_processing.awips_20km.jgfs_awips_f384_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf deleted file mode 100644 index 2d5ad7f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bufr_sounding/jgfs_postsnd.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.bufr_sounding.jgfs_postsnd -#BSUB -o %ECF_OUT%/gfs.post_processing.bufr_sounding.jgfs_postsnd_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_POSTSND - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf deleted file mode 100644 index 96a3c1d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_cyclone_tracker.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.bulletins.jgfs_cyclone_tracker -#BSUB -o %ECF_OUT%/gfs.post_processing.bulletins.jgfs_cyclone_tracker_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_CYCLONE_TRACKER - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_fbwind.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_fbwind.ecf deleted file mode 100644 index 4c847e1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/bulletins/jgfs_fbwind.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.bulletins.jgfs_fbwind -#BSUB -o %ECF_OUT%/gfs.post_processing.bulletins.jgfs_fbwind_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_FBWIND - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/dummy.ecf deleted file mode 100644 index 1923883..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/dummy.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.dummy -#BSUB -o %ECF_OUT%/gfs.post_processing.dummy_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/DUMMY - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_anl.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_anl.ecf deleted file mode 100644 index b8e4792..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_anl.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.fax.jgfs_fax_anl -#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_anl_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_FAX_ANL - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_f00.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_f00.ecf deleted file mode 100644 index d1e4dad..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_f00.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.fax.jgfs_fax_f00 -#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_f00_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_FAX_F00 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf deleted file mode 100644 index 4b1f0e6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f12.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f12 -#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f12_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F12 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf deleted file mode 100644 index a395384..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f24.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f24 -#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f24_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F24 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf deleted file mode 100644 index 5aea03d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/fax/jgfs_fax_wafs_f36.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.fax.jgfs_fax_wafs_f36 -#BSUB -o %ECF_OUT%/gfs.post_processing.fax.jgfs_fax_wafs_f36_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_FAX_WAFS_F36 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf deleted file mode 100644 index b577f28..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_blending.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib2_wafs.jgfs_wafs_blending -#BSUB -o %ECF_OUT%/gfs.post_processing.grib2_wafs.jgfs_wafs_blending_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_BLENDING - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf deleted file mode 100644 index c59e3db..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib2_wafs/jgfs_wafs_grib2.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib2_wafs.jgfs_wafs_grib2 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib2_wafs.jgfs_wafs_grib2_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_GRIB2 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf deleted file mode 100644 index adb1ad4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f00.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f00 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f00_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F00 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf deleted file mode 100644 index a46b72b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f01.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f01 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f01_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F01 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf deleted file mode 100644 index d33beaf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f02.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f02 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f02_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F02 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf deleted file mode 100644 index d88139a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f03.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f03 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f03_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F03 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf deleted file mode 100644 index fb4e900..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f04.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f04 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f04_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F04 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf deleted file mode 100644 index d486a2f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f05.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f05 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f05_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F05 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf deleted file mode 100644 index cfa2bf2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f06.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f06 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f06_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F06 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf deleted file mode 100644 index dd3dbc9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f07.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f07 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f07_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F07 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf deleted file mode 100644 index ce5c372..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f08.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f08 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f08_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F08 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf deleted file mode 100644 index cb1674c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f09.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f09 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f09_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F09 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf deleted file mode 100644 index aaef77c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f10.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f10 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f10_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F10 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf deleted file mode 100644 index d62b985..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f100.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f100 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f100_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F100 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf deleted file mode 100644 index 5725afc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f101.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f101 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f101_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F101 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf deleted file mode 100644 index 354fea8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f102.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f102 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f102_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F102 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf deleted file mode 100644 index 66af597..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f103.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f103 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f103_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F103 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf deleted file mode 100644 index 48a4f64..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f104.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f104 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f104_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F104 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf deleted file mode 100644 index 95a9d3d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f105.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f105 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f105_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F105 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf deleted file mode 100644 index 031774a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f106.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f106 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f106_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F106 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf deleted file mode 100644 index 4f2cd69..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f107.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f107 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f107_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F107 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf deleted file mode 100644 index 94a862f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f108.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f108 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f108_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F108 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf deleted file mode 100644 index eea4505..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f109.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f109 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f109_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F109 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf deleted file mode 100644 index 5beac26..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f11.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f11 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f11_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F11 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf deleted file mode 100644 index 22e93df..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f110.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f110 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f110_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F110 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf deleted file mode 100644 index 9306d51..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f111.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f111 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f111_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F111 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf deleted file mode 100644 index 7cdcd3a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f112.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f112 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f112_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F112 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf deleted file mode 100644 index 539e8a1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f113.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f113 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f113_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F113 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf deleted file mode 100644 index 1c93e8a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f114.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f114 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f114_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F114 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf deleted file mode 100644 index 1190c24..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f115.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f115 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f115_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F115 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf deleted file mode 100644 index 7d389ea..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f116.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f116 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f116_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F116 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf deleted file mode 100644 index 0230c3c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f117.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f117 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f117_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F117 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf deleted file mode 100644 index d32e76f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f118.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f118 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f118_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F118 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf deleted file mode 100644 index d0fafc1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f119.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f119 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f119_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F119 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf deleted file mode 100644 index 374e644..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f12.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f12 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f12_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F12 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf deleted file mode 100644 index 03503a0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f120.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f120 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f120_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F120 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf deleted file mode 100644 index f31eb34..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f123.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f123 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f123_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F123 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf deleted file mode 100644 index 35cfc97..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f126.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f126 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f126_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F126 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf deleted file mode 100644 index bff0e91..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f129.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f129 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f129_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F129 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf deleted file mode 100644 index 4899125..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f13.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f13 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f13_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F13 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf deleted file mode 100644 index 371f9ed..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f132.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f132 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f132_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F132 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf deleted file mode 100644 index 151bab5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f135.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f135 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f135_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F135 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf deleted file mode 100644 index 1aa187d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f138.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f138 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f138_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F138 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf deleted file mode 100644 index 3fa0e14..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f14.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f14 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f14_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F14 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf deleted file mode 100644 index 94cd747..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f141.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f141 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f141_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F141 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf deleted file mode 100644 index adcc1ea..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f144.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f144 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f144_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F144 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf deleted file mode 100644 index 22d8ff0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f147.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f147 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f147_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F147 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf deleted file mode 100644 index 81367d6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f15.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f15 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f15_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F15 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf deleted file mode 100644 index ed71266..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f150.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f150 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f150_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F150 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf deleted file mode 100644 index 247b1a6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f153.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f153 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f153_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F153 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf deleted file mode 100644 index d0ea37b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f156.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f156 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f156_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F156 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf deleted file mode 100644 index bb4cf49..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f159.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f159 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f159_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F159 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf deleted file mode 100644 index 87e22d7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f16.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f16 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f16_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F16 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf deleted file mode 100644 index f4cc0fc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f162.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f162 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f162_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F162 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf deleted file mode 100644 index 497e7f1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f165.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f165 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f165_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F165 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf deleted file mode 100644 index dd3cb7d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f168.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f168 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f168_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F168 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf deleted file mode 100644 index 436e380..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f17.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f17 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f17_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F17 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf deleted file mode 100644 index f9cd5cf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f171.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f171 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f171_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F171 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf deleted file mode 100644 index 5ef8f08..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f174.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f174 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f174_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F174 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf deleted file mode 100644 index 84ef9f6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f177.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f177 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f177_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F177 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf deleted file mode 100644 index c3e3657..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f18.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f18 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f18_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F18 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf deleted file mode 100644 index 28b4cfb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f180.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f180 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f180_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F180 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf deleted file mode 100644 index 1ce8970..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f183.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f183 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f183_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F183 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf deleted file mode 100644 index 7530c7d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f186.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f186 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f186_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F186 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf deleted file mode 100644 index 1b90f28..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f189.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f189 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f189_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F189 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf deleted file mode 100644 index 6462b1a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f19.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f19 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f19_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F19 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf deleted file mode 100644 index 804cec4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f192.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f192 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f192_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F192 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf deleted file mode 100644 index f4ddb7b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f195.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f195 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f195_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F195 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf deleted file mode 100644 index 444b633..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f198.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f198 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f198_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F198 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf deleted file mode 100644 index 6705e9e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f20.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f20 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f20_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F20 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf deleted file mode 100644 index 2a28866..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f201.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f201 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f201_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F201 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf deleted file mode 100644 index e98d72f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f204.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f204 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f204_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F204 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf deleted file mode 100644 index bb5e694..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f207.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f207 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f207_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F207 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf deleted file mode 100644 index a20f7ef..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f21.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f21 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f21_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F21 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf deleted file mode 100644 index f8d307a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f210.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f210 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f210_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F210 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf deleted file mode 100644 index 2a7ee67..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f213.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f213 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f213_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F213 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf deleted file mode 100644 index 9bc5ed8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f216.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f216 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f216_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F216 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf deleted file mode 100644 index cc6320a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f219.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f219 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f219_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F219 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf deleted file mode 100644 index ede0601..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f22.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f22 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f22_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F22 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf deleted file mode 100644 index 18125ce..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f222.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f222 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f222_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F222 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf deleted file mode 100644 index 16c8377..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f225.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f225 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f225_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F225 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf deleted file mode 100644 index 57a6604..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f228.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f228 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f228_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F228 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf deleted file mode 100644 index ecf30b3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f23.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f23 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f23_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F23 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf deleted file mode 100644 index 6986c20..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f231.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f231 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f231_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F231 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf deleted file mode 100644 index 0b07b10..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f234.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f234 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f234_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F234 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf deleted file mode 100644 index 67f6965..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f237.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f237 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f237_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F237 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf deleted file mode 100644 index 4b7aae4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f24.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f24 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f24_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F24 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf deleted file mode 100644 index 52c48ac..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f240.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f240 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f240_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F240 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf deleted file mode 100644 index 06cc2c4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f25.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f25 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f25_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F25 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf deleted file mode 100644 index 3537e3c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f252.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f252 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f252_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F252 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf deleted file mode 100644 index f11863a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f26.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f26 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f26_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F26 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf deleted file mode 100644 index da38a1a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f264.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f264 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f264_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F264 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf deleted file mode 100644 index 421c406..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f27.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f27 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f27_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F27 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf deleted file mode 100644 index fa03e2d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f276.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f276 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f276_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F276 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf deleted file mode 100644 index ca48731..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f28.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f28 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f28_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F28 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf deleted file mode 100644 index 87df796..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f288.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f288 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f288_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F288 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf deleted file mode 100644 index 794de15..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f29.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f29 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f29_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F29 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf deleted file mode 100644 index 348d3ed..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f30.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f30 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f30_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F30 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf deleted file mode 100644 index a164f11..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f300.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f300 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f300_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F300 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf deleted file mode 100644 index 1339cbd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f31.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f31 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f31_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F31 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf deleted file mode 100644 index bc5f248..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f312.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f312 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f312_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F312 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf deleted file mode 100644 index 7ef94b8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f32.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f32 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f32_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F32 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf deleted file mode 100644 index fa88006..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f324.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f324 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f324_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F324 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf deleted file mode 100644 index 83634a1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f33.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f33 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f33_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F33 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf deleted file mode 100644 index c726e99..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f336.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f336 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f336_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F336 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf deleted file mode 100644 index 844e348..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f34.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f34 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f34_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F34 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf deleted file mode 100644 index 13fe822..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f348.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f348 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f348_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F348 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf deleted file mode 100644 index 1c3b581..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f35.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f35 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f35_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F35 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf deleted file mode 100644 index 051227c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f36.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f36 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f36_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F36 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf deleted file mode 100644 index 0154ac9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f360.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f360 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f360_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F360 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf deleted file mode 100644 index cdc9dbc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f37.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f37 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f37_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F37 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf deleted file mode 100644 index afc7ba1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f372.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f372 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f372_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F372 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf deleted file mode 100644 index 4b9de22..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f38.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f38 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f38_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F38 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf deleted file mode 100644 index 2020efc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f384.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f384 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f384_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F384 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf deleted file mode 100644 index 64a9a97..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f39.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f39 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f39_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F39 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf deleted file mode 100644 index 4a87b0c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f40.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f40 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f40_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F40 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf deleted file mode 100644 index a5b92bb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f41.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f41 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f41_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F41 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf deleted file mode 100644 index 3df6e13..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f42.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f42 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f42_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F42 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf deleted file mode 100644 index a43d18a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f43.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f43 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f43_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F43 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf deleted file mode 100644 index d0cb547..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f44.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f44 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f44_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F44 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf deleted file mode 100644 index 652f27a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f45.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f45 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f45_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F45 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf deleted file mode 100644 index f94e41f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f46.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f46 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f46_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F46 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf deleted file mode 100644 index 47226dd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f47.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f47 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f47_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F47 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf deleted file mode 100644 index 227e882..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f48.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f48 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f48_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F48 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf deleted file mode 100644 index abd01b3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f49.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f49 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f49_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F49 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf deleted file mode 100644 index 5feab26..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f50.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f50 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f50_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F50 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf deleted file mode 100644 index 56667e4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f51.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f51 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f51_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F51 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf deleted file mode 100644 index 53fd0c7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f52.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f52 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f52_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F52 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf deleted file mode 100644 index daaec08..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f53.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f53 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f53_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F53 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf deleted file mode 100644 index 4a3b6c8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f54.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f54 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f54_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F54 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf deleted file mode 100644 index a559b9c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f55.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f55 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f55_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F55 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf deleted file mode 100644 index 0ba8653..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f56.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f56 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f56_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F56 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf deleted file mode 100644 index 83e5c03..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f57.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f57 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f57_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F57 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf deleted file mode 100644 index 8f56133..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f58.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f58 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f58_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F58 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf deleted file mode 100644 index a0d96d9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f59.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f59 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f59_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F59 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf deleted file mode 100644 index e0b6774..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f60.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f60 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f60_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F60 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf deleted file mode 100644 index 17df620..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f61.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f61 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f61_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F61 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf deleted file mode 100644 index 52e4521..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f62.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f62 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f62_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F62 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf deleted file mode 100644 index 364bc9f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f63.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f63 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f63_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F63 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf deleted file mode 100644 index da86908..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f64.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f64 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f64_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F64 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf deleted file mode 100644 index e823346..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f65.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f65 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f65_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F65 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf deleted file mode 100644 index 323118b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f66.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f66 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f66_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F66 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf deleted file mode 100644 index 3d82494..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f67.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f67 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f67_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F67 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf deleted file mode 100644 index e6448b4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f68.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f68 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f68_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F68 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf deleted file mode 100644 index 4935ad1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f69.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f69 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f69_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F69 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf deleted file mode 100644 index 06948f6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f70.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f70 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f70_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F70 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf deleted file mode 100644 index b728e42..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f71.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f71 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f71_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F71 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf deleted file mode 100644 index f715fa8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f72.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f72 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f72_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F72 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf deleted file mode 100644 index f12b549..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f73.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f73 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f73_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F73 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf deleted file mode 100644 index ba3198c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f74.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f74 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f74_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F74 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf deleted file mode 100644 index f77d4dc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f75.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f75 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f75_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F75 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf deleted file mode 100644 index 1b4c82e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f76.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f76 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f76_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F76 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf deleted file mode 100644 index 61efcda..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f77.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f77 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f77_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F77 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf deleted file mode 100644 index 31be811..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f78.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f78 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f78_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F78 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf deleted file mode 100644 index 1137557..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f79.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f79 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f79_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F79 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf deleted file mode 100644 index 1b00c60..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f80.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f80 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f80_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F80 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf deleted file mode 100644 index 92f08a2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f81.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f81 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f81_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F81 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf deleted file mode 100644 index 0d739e1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f82.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f82 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f82_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F82 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf deleted file mode 100644 index d4eb2bb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f83.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f83 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f83_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F83 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf deleted file mode 100644 index b855201..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f84.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f84 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f84_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F84 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf deleted file mode 100644 index 1f91e3d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f85.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f85 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f85_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F85 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf deleted file mode 100644 index b47aaed..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f86.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f86 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f86_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F86 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf deleted file mode 100644 index e0500e1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f87.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f87 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f87_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F87 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf deleted file mode 100644 index 6fee43b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f88.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f88 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f88_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F88 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf deleted file mode 100644 index 538fdce..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f89.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f89 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f89_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F89 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf deleted file mode 100644 index 746f928..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f90.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f90 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f90_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F90 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf deleted file mode 100644 index 24b9e57..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f91.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f91 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f91_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F91 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf deleted file mode 100644 index 92fce21..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f92.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f92 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f92_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F92 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf deleted file mode 100644 index 5520bc9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f93.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f93 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f93_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F93 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf deleted file mode 100644 index de0b7ba..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f94.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f94 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f94_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F94 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf deleted file mode 100644 index ce1262b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f95.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f95 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f95_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F95 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf deleted file mode 100644 index 846a043..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f96.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f96 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f96_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F96 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf deleted file mode 100644 index 5703b66..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f97.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f97 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f97_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F97 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf deleted file mode 100644 index 43bd691..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f98.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f98 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f98_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F98 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf deleted file mode 100644 index 5c83443..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_awips/jgfs_awips_f99.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_awips.jgfs_awips_f99 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_awips.jgfs_awips_f99_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_AWIPS_F99 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf deleted file mode 100644 index e7a7bf7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f00.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f00 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f00_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F00 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf deleted file mode 100644 index 0de0d83..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f06.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f06 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f06_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F06 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf deleted file mode 100644 index f2ac7e5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f102.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f102 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f102_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F102 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf deleted file mode 100644 index 7637047..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f108.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f108 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f108_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F108 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf deleted file mode 100644 index 6215b68..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f114.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f114 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f114_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F114 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf deleted file mode 100644 index e93d788..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f12.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f12 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f12_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F12 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf deleted file mode 100644 index bde20f6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f120.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f120 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f120_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F120 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf deleted file mode 100644 index 69cb691..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f18.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f18 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f18_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F18 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf deleted file mode 100644 index 2c136f7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f24.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f24 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f24_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F24 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf deleted file mode 100644 index 174fbec..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f30.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f30 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f30_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F30 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf deleted file mode 100644 index 38c22f1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f36.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f36 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f36_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F36 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf deleted file mode 100644 index adb49a3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f42.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f42 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f42_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F42 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf deleted file mode 100644 index 90c146d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f48.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f48 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f48_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F48 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf deleted file mode 100644 index 2887e6b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f54.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f54 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f54_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F54 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf deleted file mode 100644 index 703de29..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f60.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f60 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f60_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F60 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf deleted file mode 100644 index cc498f7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f66.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f66 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f66_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F66 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf deleted file mode 100644 index c341d80..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f72.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f72 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f72_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F72 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf deleted file mode 100644 index bb6c883..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f78.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f78 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f78_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F78 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf deleted file mode 100644 index 733898d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f84.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f84 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f84_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F84 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf deleted file mode 100644 index e5bea3a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f90.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f90 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f90_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F90 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf deleted file mode 100644 index df35c2c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/grib_wafs/jgfs_wafs_f96.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.post_processing.grib_wafs.jgfs_wafs_f96 -#BSUB -o %ECF_OUT%/gfs.post_processing.grib_wafs.jgfs_wafs_f96_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_WAFS_F96 - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/jgfs_wafs_gcip.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/jgfs_wafs_gcip.ecf deleted file mode 100644 index 64ef876..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/post_processing/jgfs_wafs_gcip.ecf +++ /dev/null @@ -1,33 +0,0 @@ -#! /bin/sh -{ecf_batch_resources} -%include -%include - -set -x - -{ecf_resource_more} - -export model={model_name} -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -{ecf_module_commands} -module list - -############################################################# -# WCOSS environment settings -############################################################# - -{ecf_environment_settings} - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -${{HOMEgfs}}/jobs/JGLOBAL_FORECAST - -%include -%manual diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/dummy.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/dummy.ecf deleted file mode 100644 index 63a18a9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/dummy.ecf +++ /dev/null @@ -1,11 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.dummy -#BSUB -o %ECF_OUT%/gfs.prdgen.dummy_t%CYC%z.log -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -echo ${JOBgfs}/DUMMY -%include diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_anl.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_anl.ecf deleted file mode 100644 index 5ea7647..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_anl.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_anl -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_anl_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f00.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f00.ecf deleted file mode 100644 index 4dd568b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f00.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f00 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f00_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f01.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f01.ecf deleted file mode 100644 index bb71ff1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f01.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f01 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f01_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f02.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f02.ecf deleted file mode 100644 index a733d33..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f02.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f02 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f02_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f03.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f03.ecf deleted file mode 100644 index f7ec346..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f03.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f03 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f03_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f04.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f04.ecf deleted file mode 100644 index f6b154d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f04.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f04 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f04_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f05.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f05.ecf deleted file mode 100644 index 1eb124e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f05.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f05 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f05_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f06.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f06.ecf deleted file mode 100644 index 3bf03bb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f06.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f06 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f06_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f07.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f07.ecf deleted file mode 100644 index 844064d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f07.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f07 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f07_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f08.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f08.ecf deleted file mode 100644 index e98aef7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f08.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f08 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f08_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f09.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f09.ecf deleted file mode 100644 index 397555a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f09.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f09 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f09_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f10.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f10.ecf deleted file mode 100644 index c1497c9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f10.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f10 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f10_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f100.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f100.ecf deleted file mode 100644 index 22657e1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f100.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f100 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f100_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f101.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f101.ecf deleted file mode 100644 index 6920c34..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f101.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f101 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f101_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f102.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f102.ecf deleted file mode 100644 index 113cdd1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f102.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f102 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f102_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f103.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f103.ecf deleted file mode 100644 index e16ecbb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f103.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f103 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f103_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f104.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f104.ecf deleted file mode 100644 index 985d92f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f104.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f104 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f104_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f105.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f105.ecf deleted file mode 100644 index 0309914..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f105.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f105 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f105_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f106.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f106.ecf deleted file mode 100644 index ece1eb4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f106.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f106 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f106_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f107.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f107.ecf deleted file mode 100644 index ee459c1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f107.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f107 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f107_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f108.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f108.ecf deleted file mode 100644 index 60db5be..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f108.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f108 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f108_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f109.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f109.ecf deleted file mode 100644 index 1e422d7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f109.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f109 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f109_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f11.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f11.ecf deleted file mode 100644 index 02ca246..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f11.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f11 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f11_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f110.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f110.ecf deleted file mode 100644 index 6d03a9a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f110.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f110 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f110_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f111.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f111.ecf deleted file mode 100644 index 4ecff8d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f111.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f111 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f111_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f112.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f112.ecf deleted file mode 100644 index 7b17c4d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f112.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f112 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f112_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f113.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f113.ecf deleted file mode 100644 index 3451cd9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f113.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f113 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f113_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f114.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f114.ecf deleted file mode 100644 index dc4af08..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f114.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f114 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f114_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f115.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f115.ecf deleted file mode 100644 index 73af0c0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f115.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f115 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f115_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f116.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f116.ecf deleted file mode 100644 index 690a8ba..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f116.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f116 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f116_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f117.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f117.ecf deleted file mode 100644 index ca26c01..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f117.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f117 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f117_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f118.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f118.ecf deleted file mode 100644 index eea5f87..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f118.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f118 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f118_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f119.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f119.ecf deleted file mode 100644 index e55f892..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f119.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f119 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f119_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f12.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f12.ecf deleted file mode 100644 index 9130c57..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f12.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f12 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f12_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f120.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f120.ecf deleted file mode 100644 index 9ce30da..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f120.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f120 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f120_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f123.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f123.ecf deleted file mode 100644 index 9b71f34..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f123.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f123 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f123_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f126.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f126.ecf deleted file mode 100644 index 6603043..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f126.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f126 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f126_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f129.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f129.ecf deleted file mode 100644 index 629a2d7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f129.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f129 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f129_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f13.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f13.ecf deleted file mode 100644 index 5543812..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f13.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f13 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f13_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f132.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f132.ecf deleted file mode 100644 index b096da3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f132.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f132 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f132_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f135.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f135.ecf deleted file mode 100644 index 30e0880..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f135.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f135 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f135_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f138.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f138.ecf deleted file mode 100644 index 9555d9e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f138.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f138 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f138_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f14.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f14.ecf deleted file mode 100644 index 72b8add..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f14.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f14 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f14_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f141.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f141.ecf deleted file mode 100644 index 1731252..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f141.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f141 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f141_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f144.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f144.ecf deleted file mode 100644 index 9969354..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f144.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f144 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f144_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f147.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f147.ecf deleted file mode 100644 index 657915a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f147.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f147 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f147_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f15.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f15.ecf deleted file mode 100644 index 214015e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f15.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f15 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f15_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f150.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f150.ecf deleted file mode 100644 index c599570..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f150.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f150 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f150_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f153.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f153.ecf deleted file mode 100644 index 0ba529a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f153.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f153 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f153_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f156.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f156.ecf deleted file mode 100644 index 5580fe2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f156.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f156 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f156_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f159.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f159.ecf deleted file mode 100644 index 043496b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f159.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f159 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f159_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f16.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f16.ecf deleted file mode 100644 index cab490b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f16.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f16 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f16_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f162.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f162.ecf deleted file mode 100644 index 13d610e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f162.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f162 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f162_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f165.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f165.ecf deleted file mode 100644 index b9da11b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f165.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f165 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f165_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f168.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f168.ecf deleted file mode 100644 index df472e1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f168.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f168 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f168_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f17.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f17.ecf deleted file mode 100644 index 01c1346..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f17.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f17 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f17_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f171.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f171.ecf deleted file mode 100644 index 96f0047..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f171.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f171 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f171_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f174.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f174.ecf deleted file mode 100644 index bcc3189..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f174.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f174 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f174_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f177.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f177.ecf deleted file mode 100644 index a113eb2..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f177.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f177 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f177_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f18.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f18.ecf deleted file mode 100644 index 6411a5a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f18.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f18 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f18_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f180.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f180.ecf deleted file mode 100644 index 9129c7a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f180.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f180 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f180_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f183.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f183.ecf deleted file mode 100644 index 8ff4dd8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f183.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f183 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f183_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f186.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f186.ecf deleted file mode 100644 index ced809f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f186.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f186 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f186_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f189.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f189.ecf deleted file mode 100644 index c20d3ad..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f189.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f189 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f189_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f19.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f19.ecf deleted file mode 100644 index d8f387a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f19.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f19 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f19_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f192.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f192.ecf deleted file mode 100644 index b4cfabf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f192.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f192 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f192_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f195.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f195.ecf deleted file mode 100644 index c564d67..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f195.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f195 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f195_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f198.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f198.ecf deleted file mode 100644 index 7c028f7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f198.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f198 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f198_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f20.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f20.ecf deleted file mode 100644 index d53c2be..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f20.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f20 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f20_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f201.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f201.ecf deleted file mode 100644 index 347b37e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f201.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f201 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f201_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f204.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f204.ecf deleted file mode 100644 index 360208c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f204.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f204 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f204_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f207.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f207.ecf deleted file mode 100644 index a5ea60a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f207.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f207 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f207_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f21.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f21.ecf deleted file mode 100644 index fea4ef4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f21.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f21 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f21_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f210.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f210.ecf deleted file mode 100644 index 936ff65..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f210.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f210 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f210_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f213.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f213.ecf deleted file mode 100644 index 6ebd196..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f213.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f213 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f213_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f216.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f216.ecf deleted file mode 100644 index 06e0c52..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f216.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f216 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f216_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f219.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f219.ecf deleted file mode 100644 index 828bc4c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f219.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f219 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f219_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f22.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f22.ecf deleted file mode 100644 index 421e8a9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f22.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f22 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f22_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f222.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f222.ecf deleted file mode 100644 index 8fd024a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f222.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f222 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f222_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f225.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f225.ecf deleted file mode 100644 index 4165b5f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f225.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f225 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f225_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f228.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f228.ecf deleted file mode 100644 index 8733118..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f228.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f228 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f228_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f23.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f23.ecf deleted file mode 100644 index 09e2bfd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f23.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f23 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f23_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f231.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f231.ecf deleted file mode 100644 index c917dfe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f231.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f231 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f231_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f234.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f234.ecf deleted file mode 100644 index a10d688..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f234.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f234 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f234_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f237.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f237.ecf deleted file mode 100644 index 21e126c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f237.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f237 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f237_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f24.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f24.ecf deleted file mode 100644 index 35d22fe..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f24.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f24 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f24_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f240.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f240.ecf deleted file mode 100644 index b53eaa4..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f240.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f240 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f240_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f25.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f25.ecf deleted file mode 100644 index d2e559a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f25.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f25 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f25_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f252.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f252.ecf deleted file mode 100644 index 35f517b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f252.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f252 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f252_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f26.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f26.ecf deleted file mode 100644 index cb28cb7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f26.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f26 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f26_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f264.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f264.ecf deleted file mode 100644 index d1d73f1..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f264.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f264 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f264_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f27.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f27.ecf deleted file mode 100644 index ec7ae01..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f27.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f27 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f27_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f276.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f276.ecf deleted file mode 100644 index 8432565..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f276.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f276 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f276_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f28.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f28.ecf deleted file mode 100644 index f5a6cc6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f28.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f28 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f28_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f288.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f288.ecf deleted file mode 100644 index b837780..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f288.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f288 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f288_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f29.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f29.ecf deleted file mode 100644 index 65f1ab8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f29.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f29 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f29_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f30.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f30.ecf deleted file mode 100644 index 5422b60..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f30.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f30 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f30_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f300.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f300.ecf deleted file mode 100644 index ba8b162..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f300.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f300 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f300_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f31.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f31.ecf deleted file mode 100644 index 82275e6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f31.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f31 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f31_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f312.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f312.ecf deleted file mode 100644 index d0cfc7f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f312.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f312 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f312_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f32.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f32.ecf deleted file mode 100644 index 090e91f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f32.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f32 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f32_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f324.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f324.ecf deleted file mode 100644 index f5ed851..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f324.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f324 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f324_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f33.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f33.ecf deleted file mode 100644 index ff2eee3..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f33.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f33 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f33_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f336.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f336.ecf deleted file mode 100644 index f852789..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f336.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f336 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f336_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f34.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f34.ecf deleted file mode 100644 index c38de68..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f34.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f34 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f34_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f348.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f348.ecf deleted file mode 100644 index f182069..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f348.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f348 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f348_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f35.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f35.ecf deleted file mode 100644 index ac55e7f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f35.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f35 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f35_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f36.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f36.ecf deleted file mode 100644 index 9270303..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f36.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f36 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f36_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f360.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f360.ecf deleted file mode 100644 index ff5c6f9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f360.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f360 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f360_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f37.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f37.ecf deleted file mode 100644 index 9dc6e64..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f37.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f37 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f37_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f372.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f372.ecf deleted file mode 100644 index 9c1014a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f372.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f372 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f372_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f38.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f38.ecf deleted file mode 100644 index ba8cb3f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f38.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f38 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f38_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f384.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f384.ecf deleted file mode 100644 index 7f6d6a6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f384.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f384 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f384_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f39.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f39.ecf deleted file mode 100644 index b02051d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f39.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f39 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f39_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f40.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f40.ecf deleted file mode 100644 index a154c0b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f40.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f40 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f40_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f41.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f41.ecf deleted file mode 100644 index 1dcd244..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f41.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f41 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f41_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f42.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f42.ecf deleted file mode 100644 index 73b2014..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f42.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f42 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f42_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f43.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f43.ecf deleted file mode 100644 index 08dd949..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f43.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f43 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f43_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f44.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f44.ecf deleted file mode 100644 index 52580cf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f44.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f44 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f44_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f45.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f45.ecf deleted file mode 100644 index 8644ae7..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f45.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f45 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f45_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f46.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f46.ecf deleted file mode 100644 index 7f320ff..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f46.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f46 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f46_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f47.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f47.ecf deleted file mode 100644 index 5a4ea16..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f47.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f47 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f47_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f48.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f48.ecf deleted file mode 100644 index c806f0a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f48.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f48 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f48_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f49.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f49.ecf deleted file mode 100644 index df9584e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f49.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f49 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f49_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f50.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f50.ecf deleted file mode 100644 index 46dcf81..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f50.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f50 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f50_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f51.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f51.ecf deleted file mode 100644 index be69fcf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f51.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f51 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f51_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f52.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f52.ecf deleted file mode 100644 index be0a82d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f52.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f52 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f52_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f53.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f53.ecf deleted file mode 100644 index cd5a589..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f53.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f53 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f53_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f54.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f54.ecf deleted file mode 100644 index 3cc8da6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f54.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f54 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f54_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f55.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f55.ecf deleted file mode 100644 index 5480e05..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f55.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f55 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f55_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f56.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f56.ecf deleted file mode 100644 index e61e6de..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f56.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f56 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f56_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f57.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f57.ecf deleted file mode 100644 index 68834e5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f57.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f57 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f57_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f58.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f58.ecf deleted file mode 100644 index cb103f6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f58.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f58 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f58_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f59.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f59.ecf deleted file mode 100644 index 621ceec..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f59.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f59 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f59_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f60.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f60.ecf deleted file mode 100644 index 8c26227..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f60.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f60 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f60_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f61.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f61.ecf deleted file mode 100644 index e462bba..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f61.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f61 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f61_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f62.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f62.ecf deleted file mode 100644 index ebb9d19..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f62.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f62 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f62_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f63.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f63.ecf deleted file mode 100644 index ceb1631..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f63.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f63 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f63_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f64.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f64.ecf deleted file mode 100644 index da621df..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f64.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f64 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f64_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f65.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f65.ecf deleted file mode 100644 index 98de665..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f65.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f65 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f65_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f66.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f66.ecf deleted file mode 100644 index 7fc5680..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f66.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f66 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f66_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f67.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f67.ecf deleted file mode 100644 index c0eb12f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f67.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f67 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f67_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f68.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f68.ecf deleted file mode 100644 index 1f61252..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f68.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f68 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f68_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f69.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f69.ecf deleted file mode 100644 index 3088334..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f69.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f69 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f69_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f70.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f70.ecf deleted file mode 100644 index 9c2b0c9..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f70.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f70 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f70_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f71.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f71.ecf deleted file mode 100644 index 0b93e1d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f71.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f71 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f71_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f72.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f72.ecf deleted file mode 100644 index 6808514..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f72.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f72 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f72_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f73.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f73.ecf deleted file mode 100644 index e5618d5..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f73.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f73 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f73_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f74.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f74.ecf deleted file mode 100644 index afa66b8..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f74.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f74 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f74_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f75.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f75.ecf deleted file mode 100644 index 6a16332..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f75.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f75 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f75_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f76.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f76.ecf deleted file mode 100644 index c455ab6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f76.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f76 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f76_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f77.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f77.ecf deleted file mode 100644 index e0cd2ac..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f77.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f77 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f77_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f78.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f78.ecf deleted file mode 100644 index 413f968..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f78.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f78 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f78_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f79.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f79.ecf deleted file mode 100644 index 0d8b25b..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f79.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f79 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f79_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f80.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f80.ecf deleted file mode 100644 index b30321e..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f80.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f80 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f80_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f81.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f81.ecf deleted file mode 100644 index df968cf..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f81.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f81 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f81_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f82.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f82.ecf deleted file mode 100644 index 93c875f..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f82.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f82 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f82_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f83.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f83.ecf deleted file mode 100644 index 0358e18..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f83.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f83 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f83_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f84.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f84.ecf deleted file mode 100644 index 2c2331c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f84.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f84 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f84_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f85.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f85.ecf deleted file mode 100644 index a031e30..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f85.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f85 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f85_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f86.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f86.ecf deleted file mode 100644 index e267494..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f86.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f86 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f86_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f87.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f87.ecf deleted file mode 100644 index 2ff1289..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f87.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f87 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f87_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f88.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f88.ecf deleted file mode 100644 index bd4dc74..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f88.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f88 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f88_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f89.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f89.ecf deleted file mode 100644 index 779707a..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f89.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f89 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f89_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f90.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f90.ecf deleted file mode 100644 index 540ebb0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f90.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f90 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f90_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f91.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f91.ecf deleted file mode 100644 index 8e9157d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f91.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f91 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f91_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f92.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f92.ecf deleted file mode 100644 index 584d5b0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f92.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f92 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f92_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f93.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f93.ecf deleted file mode 100644 index 64bd6fa..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f93.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f93 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f93_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f94.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f94.ecf deleted file mode 100644 index 657475d..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f94.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f94 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f94_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f95.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f95.ecf deleted file mode 100644 index 199e447..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f95.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f95 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f95_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f96.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f96.ecf deleted file mode 100644 index b814bf6..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f96.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f96 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f96_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f97.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f97.ecf deleted file mode 100644 index 1c9d436..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f97.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f97 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f97_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f98.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f98.ecf deleted file mode 100644 index 193a1e0..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f98.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f98 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f98_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f99.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f99.ecf deleted file mode 100644 index d12fbcc..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_f99.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_f99 -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_f99_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=6 -%include -%include - -set -x - -export ntasks=72 -export ptile=12 -export threads=1 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGLOBAL_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_manager.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_manager.ecf deleted file mode 100644 index 96411cd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prdgen/jgfs_pgrb2_manager.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prdgen.jgfs_pgrb2_manager -#BSUB -o %ECF_OUT%/gfs.prdgen.jgfs_pgrb2_manager_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_PGRB2_MANAGER - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf deleted file mode 100644 index 84962fb..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_emcsfc_sfc_prep.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep.jgfs_emcsfc_sfc_prep -#BSUB -o %ECF_OUT%/gfs.prep.jgfs_emcsfc_sfc_prep_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_EMCSFC_SFC_PREP - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf deleted file mode 100644 index 2fa9acd..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep.ecf +++ /dev/null @@ -1,45 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep.jgfs_prep -#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_t%CYC%z.log - -#BSUB -W 0:02 -#BSUB -R rusage[mem=3072] -#BSUB -extsched CRAYLINUX[] -export NODES=3 -%include -%include - -set -x - -export ntasks=6 -export ptile=2 -export threads=9223372036854775807 - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_PREP - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf deleted file mode 100644 index 3e34d93..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/prep/jgfs_prep_post.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.prep.jgfs_prep_post -#BSUB -o %ECF_OUT%/gfs.prep.jgfs_prep_post_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_PREP_POST - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_even.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_even.ecf deleted file mode 100644 index 061851c..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_even.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.sminit_guam.jgfs_sminit_guam_even -#BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_even_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_SMINIT_GUAM_EVEN - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf b/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf deleted file mode 100644 index 2b73593..0000000 --- a/model/ecflow_fv3gfs/scripts/prod18/gfs/sminit_guam/jgfs_sminit_guam_odd.ecf +++ /dev/null @@ -1,44 +0,0 @@ -#! /bin/sh -#BSUB -q %QUEUE% -#BSUB -P %PROJECT% -#BSUB -J gfs.sminit_guam.jgfs_sminit_guam_odd -#BSUB -o %ECF_OUT%/gfs.sminit_guam.jgfs_sminit_guam_odd_t%CYC%z.log - -#BSUB -R rusage[mem=300] -#BSUB -extsched CRAYLINUX[] -export NODES=1 -%include -%include - -set -x - -# No MPI in use, so I am not setting $ntasks. -# Neither OpenMP nor MPI are in use, so I am not setting $ptile -# No OpenMP in use, so I am not setting $threads - -export model=%MODEL_NAME% -%include - -############################################################ -# Load modules -############################################################ -. $MODULESHOME/init/sh -# Add any "module" commands here (switch, load, use, etc.) -module list - -############################################################# -# WCOSS environment settings -############################################################# - -# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - -########################################################### -export cyc=%CYC% - -# CALL executable job script here -echo ${HOMEgfs}/jobs/JGFS_SMINIT_GUAM_ODD - -%include -%manual -# FIXME: Insert manual for this job. - diff --git a/model/ecflow_fv3gfs/settings.yaml b/model/ecflow_fv3gfs/settings.yaml index c009a92..f63d997 100644 --- a/model/ecflow_fv3gfs/settings.yaml +++ b/model/ecflow_fv3gfs/settings.yaml @@ -1,24 +1,43 @@ settings: - NMEM_ENKF: 80 - ENKF_GROUPS: 8 - - ENKF_INNOVATE_GROUPS: !calc ENKF_GROUPS - ENKF_FORECAST_GROUPS: !calc ENKF_GROUPS - ENKF_ARCHIVE_GROUPS: !calc ENKF_GROUPS - - ECF_HOME: '/gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs' - ROCOTO_HOMEgfs: !calc ECF_HOME # Would be different in the real execution - ROCOTO_HOME: !calc ECF_HOME - layout_x: 8 - layout_y: 16 - experiment_name: ecfgfsfv3 - QUEUE: debug - PROJECT: GFS-T2O - QUEUESERV: dev_transfer - - forecast_hours: !calc tools.seq(0,120,1)+tools.seq(123,240,3)+tools.seq(240,384,12) + Template: *settings_validator + + # Import certain variables from doc.config_files: + Inherit: !Inherit + - [ 'doc.config_files','FH.*|QUEUE|layout.*|WRITE_GROUP|WRT.*|HOME.*' ] + + # NOTE: Additional files are set by the validator. See validator.yaml. + + resource_file: !expand >- + resources_{doc.config_files.CASE}_{doc.config_files.CASE_ENKF}.yaml + + DMPDIR: !calc doc.config_files.DMPDIR + COM: !calc doc.config_files.ROTDIR + EXPDIR: !calc doc.config_files.EXPDIR + DATAROOT: !calc doc.config_files.RUNDIR + experiment_name: !calc doc.config_files.PSLOT + + PROJECT: !calc ( doc.config_files.ACCOUNT ) + QUEUESERV: !calc ( doc.config_files.QUEUE_ARCH ) + + ENKF_INNOVATE_GROUPS: !calc >- + doc.config_files.NMEM_ENKF // doc.config_files.NMEM_EOMGGRP + + ENKF_FORECAST_GROUPS: !calc >- + doc.config_files.NMEM_ENKF // doc.config_files.NMEM_EFCSGRP + + ENKF_ARCHIVE_GROUPS: !calc >- + doc.config_files.NMEM_ENKF // doc.config_files.NMEM_EARCGRP + + start_date: !calc tools.strptime(doc.config_files.SDATE,"%Y%m%d%H") + end_date: !calc tools.strptime(doc.config_files.EDATE,"%Y%m%d%H") + + gfs_forecast_hours: !calc >- + tools.uniq(sorted( + tools.seq(FHMIN_GFS,FHMAX_HF_GFS,FHOUT_HF_GFS) + + tools.seq(FHMIN_GFS,FHMAX_GFS, FHOUT_GFS))) + gdas_forecast_hours: !calc tools.seq(FHMIN,FHMAX,FHOUT) wafs_last_hour: !calc 120 - # NOTE TO SELF: replace experiment_name with %EMCPEN% - COM: !expand '/gpfs/hps2/ptmp/emc.glopara/{experiment_name}/com' - DATAROOT: !expand '/gpfs/hps2/stmp/emc.glopara/{experiment_name}' + realtime: True + archive_to_hpss: True + four_cycle_mode: False diff --git a/model/ecflow_fv3gfs/settings_validator.yaml b/model/ecflow_fv3gfs/settings_validator.yaml new file mode 100644 index 0000000..c95e31f --- /dev/null +++ b/model/ecflow_fv3gfs/settings_validator.yaml @@ -0,0 +1,131 @@ +settings_validator: &settings_validator !Template + + ENKF_INNOVATE_GROUPS: + description: ENKF innovate will be split into this many jobs + type: int + + ENKF_FORECAST_GROUPS: + description: ENKF forecast will be split into this many jobs + type: int + + ENKF_ARCHIVE_GROUPS: + description: ENKF archiving will be split into this many jobs + type: int + + gfs_forecast_hours: + description: >- + Monotonically increasing list of lead times for which the GFS forecast outputs + type: int list + + gdas_forecast_hours: + description: >- + Monotonically increasing list of lead times for which the GDAS forecast outputs + type: int list + + resource_file: + description: >- + Name of the file that will be copied to resources.yaml. This + should contain the declaration of the "resources" map with + resources per-job referred to from suite_def.yaml. + type: string + + DMPDIR: + description: Location of the global dump for gfs and gdas + type: string + + max_job_tries: + description: Maximum number of times to try most jobs + type: int + default: 1 + + realtime: + description: Is this a real-time simulation (true), or retrospective (false)? + type: bool + + archive_to_hpss: + description: Should the simulation outputs be archived to tape (HPSS)? + type: bool + + four_cycle_mode: + description: >- + When ecFlow is used, should there be suites for only four cycles + in the workflow, as in NCEP Operations, or should there be one + suite per cycle. This variable is ignored in Rocoto mode. + type: bool + + experiment_name: + description: >- + An alphanumeric identifier for this workflow. This is used to + decide output and scrub file locations, and the ecFlow suite + name. + type: string + + start_date: + description: >- + Date and time of the first cycle of the workflow. In most + cases, this cycle will be a half cycle. + type: datetime + + end_date: + description: >- + Date and time of the last cycle of the workflow. + type: datetime + + start_date_gfs: + description: Date and time of the first GFS cycle in this workflow + type: datetime + default: !calc ( start_date+tools.to_timedelta('6:00:00') ) + + end_date_gfs: + description: Date and time of the last GFS cycle in this workflow + type: datetime + default: !calc end_date + + interval_gfs: + description: >- + Time between cycles for which GFS is run. Must be a multiple of six hours. + type: timedelta + default: !timedelta "6:00:00" + + COM: + description: Location of the top-level output directory for this workflow + type: string + + EXPDIR: + description: Location where config and yaml files reside for this workflow + type: string + + DATAROOT: + description: Location where per-task scrub areas reside for this workflow + type: string + + ECF_HOME: + description: >- + The $ECF_HOME setting for the ecFlow server. Not used in Rocoto mode. + type: string + default: !calc ( tools.env('ECF_HOME') ) + + ROCOTO_HOME: + description: >- + Directory in which to write the Rocoto XML document. + Not used in ecFlow mode. Default is to use EXPDIR. + type: string + default: !calc EXPDIR + + QUEUE: + description: >- + Batch queue in which to run jobs that take more than one processor. + type: string + + QUEUESERV: + description: >- + Batch queue in which to run jobs that have to transfer files to + or from some outside location such as a tape archiving system or website. + type: string + + QUEUESHARED: + description: >- + Batch queue in which to run single processor jobs. Default is to use + the same queue as multi-processor jobs. + type: string + default: !calc QUEUE diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index b94e151..428ed5d 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -1,260 +1,294 @@ -wcoss_cray_scheduler_settings: - name: LSFAlps - physical_cores_per_node: 24 - logical_cpus_per_core: 2 - hyperthreading_allowed: true - -theia_scheduler_settings: - name: MoabTorque - physical_cores_per_node: 24 - logical_cpus_per_core: 2 - hyperthreading_allowed: true - -scheduler_settings: !calc wcoss_cray_scheduler_settings - scheduler: !calc | tools.get_scheduler(doc.scheduler_settings.name, doc.scheduler_settings) - -shared_accounting: &shared_accounting - queue: !calc metasched.varref('QUEUESERV') - project: !calc metasched.varref('PROJECT') - -exclusive_accounting: &exclusive_accounting - queue: !calc metasched.varref('QUEUE') - project: !calc metasched.varref('PROJECT') - -shared_task_template: &shared_task_template - <<: *ecf_file_logic - accounting: *shared_accounting - J_JOB: !calc tools.to_upper(task_path_var[-1]) - Rocoto: *rocoto_task_template - -exclusive_task_template: &exclusive_task_template - <<: *ecf_file_logic - accounting: *exclusive_accounting - J_JOB: !calc tools.to_upper(task_path_list[-1]) - Rocoto: *rocoto_task_template - suite: !Cycle Clock: !Clock - start: 2018-01-01T00:00:00 - end: 2018-01-01T18:00:00 + start: !calc doc.settings.start_date + end: !calc doc.settings.end_date step: !timedelta "6:00:00" + Alarms: + first: !Clock + start: !calc suite.Clock.start + end: !calc suite.Clock.start + step: !calc suite.Clock.step + gdas: !Clock + start: !calc suite.Clock.start + end: !calc suite.Clock.end + step: !calc suite.Clock.step + gfs: !Clock + start: !calc doc.settings.start_date_gfs + end: !calc doc.settings.end_date_gfs + step: !calc doc.settings.interval_gfs + ecFlow: - suite_def_filename: "prod%H.def" - suite_name: "prod%H" + suite_def_filename: !expand "{doc.settings.experiment_name}_%Y%m%d%H.def" + suite_def_filename: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + do: !expand "{doc.settings.experiment_name}%H.def" + - otherwise: !expand "{doc.settings.experiment_name}_%Y%m%d%H.def" + suite_name: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + do: !expand "{doc.settings.experiment_name}%H" + - otherwise: !expand "{doc.settings.experiment_name}_%Y%m%d%H" scheduler: !calc doc.scheduler - - Rocoto: *Rocoto + dates_in_time_dependencies: true + + # write_cycles/analyze_cycles: Use these to generate a subset of + # the cycles in ecFlow. Make sure analyze_cycles starts at least + # one cycle before write_cycles so that dependencies are + # processed. These are overridden by update_ecflow_workflow.py + # during its execution. + + # write_cycles: !Clock + # start: 2018-01-02T00:00:00 + # end: 2018-01-02T18:00:00 + # step: !timedelta "6:00:00" + # analyze_cycles: !Clock + # start: 2018-01-02T00:00:00 + # end: 2018-01-02T18:00:00 + # step: !timedelta "6:00:00" + + ecflow_cycling_logic: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + do: "repeat day 1" + - otherwise: !expand | + autocancel 5 + edit PDY '{tools.strftime(suite.Clock.now,"%Y%m%d")}' + + before_suite_def: + extern /totality_limit:TOTALITY ecflow_def: !expand | - repeat day 1 - edit ECF_TRIES '1' - #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' - edit ECF_KILL_CMD 'lkill %ECF_NAME% %ECF_JOBOUT%' + {ecflow_cycling_logic} + edit ECF_TRIES '{doc.settings.max_job_tries}' edit ECF_HOME '{doc.settings.ECF_HOME}' - #edit ECF_HOME '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3' edit CYC '{tools.strftime(suite.Clock.now,"%H")}' edit ENVIR 'prod' - edit PROJ '{doc.settings.PROJECT}' edit E 'jecffv3' - #edit QUEUE 'dev' - edit QUEUE '{doc.settings.QUEUE}' - edit PROJENVIR '{doc.settings.PROJECT}' - #edit EMCPEN 'ecfgfsfv3' edit EMCPEN '{doc.settings.experiment_name}' - #edit COM '/gpfs/hps2/ptmp/emc.glopara/%EMCPEN%/com' edit COM '{doc.settings.COM}' - edit QUEUESERV '{doc.settings.QUEUESERV}' edit DATAROOT '{doc.settings.DATAROOT}' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' edit ECF_OUT '{doc.settings.ECF_HOME}/output' - edit ECF_LOG '{doc.settings.ECF_HOME}/ecf.log' - edit MODEL_NAME 'gfs' + edit ECF_LOG '{doc.settings.EXPDIR}/ecf.log' + edit RUN 'gfs' + edit NET 'gfs' + {common_metasched_vars} + inlimit /totality_limit:TOTALITY + + common_metasched_vars: !expand | + {metasched.defvar("QUEUE", doc.settings.QUEUE)} + {metasched.defvar("HOMEgfs", doc.settings.HOMEgfs)} + {metasched.defvar("QUEUESHARED", doc.settings.QUEUESHARED)} + {metasched.defvar("COM", doc.settings.COM)} + {metasched.defvar("QUEUESERV", doc.settings.QUEUESERV)} + {metasched.defvar("PROJENVIR", doc.settings.PROJECT)} + {metasched.defvar("PROJ", doc.settings.PROJECT)} gfs: !Family + Complete: !Depend ~ suite.has_cycle('-6:00:00') ecflow_def: !expand | edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' edit PROJ '%PROJENVIR%' - edit MODEL_NAME 'gfs' + edit RUN 'gfs' + + dump_waiter: !Task + <<: *dump_waiter_task + ecflow_def: !FirstTrue + - when: !calc doc.settings.realtime + do: "edit ECF_TRIES 72" + - otherwise: "" + Trigger: !Depend up.gdas.post.at('-6:00:00') + Complete: !Depend ~ suite.has_cycle('-6:00:00') dump: !Family + Dummy: true ## <-- Node is not implemented yet + Complete: !Depend ~ suite.has_cycle('-6:00:00') jgfs_tropcy_qc_reloc: !Task <<: *exclusive_task_template Trigger: !Depend jgfs_dump jtwc_bull_email: !DataEvent {file="/dev/null"} - resources: !calc ( doc.resource_demo.run_nothing ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) #Replaced by emc version of dump job #This dump job should be using NCO version when delivery to NCO jgfs_dump: !Task <<: *exclusive_task_template release_sfcprep: !DataEvent {file="/dev/null"} - #Time: !timedelta +3:50:00 - resources: !calc ( doc.resource_demo.run_nothing ) + Time: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + do: !timedelta +3:50:00 + - otherwise: null + resources: !calc ( doc.resources.run_one_hour_exclusive ) prep: !Family + Trigger: !Depend dump_waiter.updated_status + Complete: !Depend ~ suite.has_cycle('-6:00:00') jgfs_emcsfc_sfc_prep: !Task <<: *exclusive_task_template + Dummy: true ## <-- Node is not implemented yet Trigger: !Depend up.dump.jgfs_dump.release_sfcprep - resources: !calc ( doc.resource_demo.run_nothing ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) jgfs_prep: !Task <<: *exclusive_task_template Trigger: !Depend up.dump #Trigger: !Depend ( up.dump.jgfs_dump & up.dump.jgfs_tropcy_qc_reloc - resources: !calc ( doc.resource_demo.run_prep ) + resources: !calc ( doc.resources.run_prep ) + J_JOB: prep.sh jgfs_prep_post: !Task <<: *exclusive_task_template + Dummy: true ## <-- Node is not implemented yet Trigger: !Depend up.jgfs_analysis - resources: !calc ( doc.resource_demo.run_nothing ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) jgfs_analysis: !Task <<: *exclusive_task_template - #Trigger: !Depend ( prep.jgfs_prep & prep.jgfs_emcsfc_sfc_prep & up.gdas.enkf.jgdas_enkf_post.at('-6:00:00') ) - Trigger: !Depend ( prep.jgfs_prep & prep.jgfs_emcsfc_sfc_prep ) - resources: !calc ( doc.resource_demo.run_anal ) + Trigger: !Depend ( prep.jgfs_prep & prep.jgfs_emcsfc_sfc_prep & up.gdas.enkf.jgdas_enkf_post.at('-6:00:00') ) + #Trigger: !Depend ( prep.jgfs_prep & prep.jgfs_emcsfc_sfc_prep ) + resources: !calc ( doc.resources.run_anal ) + J_JOB: anal.sh + Complete: !Depend ~ suite.has_cycle('-6:00:00') jgfs_vminmon: !Task <<: *exclusive_task_template + Dummy: true ## <-- Node is not implemented yet Trigger: !Depend jgfs_analysis - resources: !calc ( doc.resource_demo.run_nothing ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) forecast: !Family + Complete: !Depend ~ suite.has_cycle('-6:00:00') jgfs_forecast_high: !Task <<: *exclusive_task_template Trigger: !Depend up.jgfs_analysis - resources: !calc ( doc.resource_demo.run_gfsfcst ) - J_JOB: JGLOBAL_FORECAST + resources: !calc ( doc.resources.run_gfsfcst ) + J_JOB: fcst.sh jgfs_forecast_low: !Task <<: *exclusive_task_template + Dummy: true ## <-- Node is not implemented yet Trigger: !Depend jgfs_forecast_high - resources: !calc ( doc.resource_demo.run_gfsfcst ) + resources: !calc ( doc.resources.run_gfsfcst ) J_JOB: JGLOBAL_FORECAST sminit_guam: !Family + Dummy: true ## <-- Node is not implemented yet jgfs_sminit_guam_even: !Task <<: *exclusive_task_template Trigger: !Depend ( up.post.jgfs_post_anl.is_running() | up.post.jgfs_post_anl ) - resources: !calc ( doc.resource_demo.run_nothing ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) jgfs_sminit_guam_odd: !Task <<: *exclusive_task_template Trigger: !Depend ( up.post.jgfs_post_anl.is_running() | up.post.jgfs_post_anl ) - resources: !calc ( doc.resource_demo.run_nothing ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) post_processing: !Family - #This is a dummy task as a placeholder - dummy: !Task - <<: *exclusive_task_template - Trigger: !Depend up.forecast - resources: !calc ( doc.resource_demo.run_nothing ) - + Dummy: true ## <-- Node is not implemented yet fax: !Family jgfs_fax_f00: !Task ecflow_def: | edit FCSTHR '00' <<: *exclusive_task_template Trigger: !Depend ( up.up.prdgen.jgfs_pgrb2_f00 & up.up.prdgen.jgfs_pgrb2_anl ) - resources: !calc ( doc.resource_demo.run_nothing ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) jgfs_fax_anl: !Task ecflow_def: | edit FCSTHR 'anl' <<: *exclusive_task_template Trigger: !Depend up.up.prdgen.jgfs_pgrb2_anl - resources: !calc ( doc.resource_demo.run_nothing ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) jgfs_fax_wafs_f12: !Task ecflow_def: | edit FCSTHR '12' <<: *exclusive_task_template Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f12 - resources: !calc ( doc.resource_demo.run_nothing ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) jgfs_fax_wafs_f24: !Task ecflow_def: | edit FCSTHR '24' <<: *exclusive_task_template Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f24 - resources: !calc ( doc.resource_demo.run_nothing ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) jgfs_fax_wafs_f36: !Task ecflow_def: | edit FCSTHR '36' <<: *exclusive_task_template Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f36 - resources: !calc ( doc.resource_demo.run_nothing ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) grib_wafs: !TaskArray Dimensions: fhr: !calc tools.seq(0,doc.settings.wafs_last_hour,6) jgfs_wafs: !TaskElement <<: *exclusive_task_template - Name: !expand 'jgfs_wafs_f{idx.fhr:02d}' + Name: !expand 'jgfs_wafs_f{dimval.fhr:02d}' Trigger: !FirstTrue - - when: !calc idx.fhr == 0 + - when: !calc dimval.fhr == 0 do: !Depend ( up.up.prdgen.jgfs_pgrb2_f00 & up.up.prdgen.jgfs_pgrb2_f120 & up.grib2_wafs.jgfs_wafs_grib2 ) - otherwise: !Depend >- - up.up.prdgen.depend("jgfs_pgrb2_f{N:02d}",N=[ idx.fhr ]) - & this.depend("jgfs_wafs_f{N:02d}",N=[ idx.fhr-6 ]) - resources: !calc ( doc.resource_demo.run_nothing ) - ecflow_def: !expand "edit FCSTHR '{idx.fhr:02d}'" + up.up.prdgen.depend("jgfs_pgrb2_f{N:02d}",N=[ dimval.fhr ]) + & up.grib_wafs.depend("jgfs_wafs_f{N:02d}",N=[ dimval.fhr-6 ]) + resources: !calc ( doc.resources.run_one_hour_exclusive ) + ecflow_def: !expand "edit FCSTHR '{dimval.fhr:02d}'" bufr_sounding: !Family jgfs_postsnd: !Task <<: *exclusive_task_template Trigger: !Depend up.up.post.jgfs_post_manager.release_post00 - resources: !calc ( doc.resource_demo.run_nothing ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) bulletins: !Family jgfs_fbwind: !Task <<: *exclusive_task_template Trigger: !Depend ( up.up.post.jgfs_post_f06 & up.up.post.jgfs_post_f12 & up.up.post.jgfs_post_f24 ) - resources: !calc ( doc.resource_demo.run_nothing ) - + resources: !calc ( doc.resources.run_one_hour_exclusive ) + jgfs_cyclone_tracker: !Task <<: *exclusive_task_template Trigger: !Depend >- up.up.post.depend("jgfs_post_f{N:02d}",N=tools.seq(0,180,6)) & up.up.prdgen.depend("jgfs_pgrb2_f{N:02d}",N=tools.seq(0,180,6)) - resources: !calc ( doc.resource_demo.run_nothing ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) grib2_wafs: !Family jgfs_wafs_grib2: !Task <<: *exclusive_task_template Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f00 - resources: !calc ( doc.resource_demo.run_nothing ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) jgfs_wafs_blending: !Task <<: *exclusive_task_template Trigger: !Depend jgfs_wafs_grib2 - #Time: !timedelta +4:33:00 - resources: !calc ( doc.resource_demo.run_nothing ) + Time: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + do: !timedelta +4:33:00 + - otherwise: null + resources: !calc ( doc.resources.run_one_hour_exclusive ) grib_awips: !TaskArray Dimensions: - fhr: !calc doc.settings.forecast_hours + fhr: !calc doc.settings.gfs_forecast_hours # tasks every 6 hours till f240 jgfs_awips: !TaskElement <<: *exclusive_task_template Foreach: [ fhr ] - Name: !expand "jgfs_awips_f{idx.fhr:02d}" - Trigger: !Depend up.up.prdgen.depend('jgfs_pgrb2_f{N:02d}',N=idx.fhr) - resources: !calc ( doc.resource_demo.run_nothing ) + Name: !expand "jgfs_awips_f{dimval.fhr:02d}" + Trigger: !Depend up.up.prdgen.depend('jgfs_pgrb2_f{N:02d}',N=dimval.fhr) + resources: !calc ( doc.resources.run_one_hour_exclusive ) ecflow_def: !expand | - edit FCSTHR '{idx.fhr:02d}' + edit FCSTHR '{dimval.fhr:02d}' awips_1p0deg: !TaskArray Dimensions: - fhr: !calc doc.settings.forecast_hours - ecflow_def: | + fhr: !calc doc.settings.gfs_forecast_hours + ecflow_def: !expand | edit RES '1p0deg' edit RESC '1P0DEG' #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' @@ -263,16 +297,16 @@ suite: !Cycle jgfs_awips: !TaskElement <<: *exclusive_task_template Foreach: [ fhr ] - Name: !expand "jgfs_awips_f{idx.fhr:03d}" - Trigger: !Depend up.up.prdgen.depend('jgfs_pgrb2_f{N:02d}',N=idx.fhr) - resources: !calc ( doc.resource_demo.run_nothing ) + Name: !expand "jgfs_awips_f{dimval.fhr:03d}" + Trigger: !Depend up.up.prdgen.depend('jgfs_pgrb2_f{N:02d}',N=dimval.fhr) + resources: !calc ( doc.resources.run_one_hour_exclusive ) ecflow_def: !expand | - edit FCSTHR '{idx.fhr:03d}' + edit FCSTHR '{dimval.fhr:03d}' awips_20km: !TaskArray Dimensions: - fhr: !calc doc.settings.forecast_hours - ecflow_def: | + fhr: !calc doc.settings.gfs_forecast_hours + ecflow_def: !expand | edit RES '20km' edit RESC '20KM' #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' @@ -281,53 +315,76 @@ suite: !Cycle jgfs_awips: !TaskElement <<: *exclusive_task_template Foreach: [ fhr ] - Name: !expand "jgfs_awips_f{idx.fhr:03d}" - Trigger: !Depend up.up.prdgen.depend('jgfs_pgrb2_f{N:02d}',N=idx.fhr) - resources: !calc ( doc.resource_demo.run_nothing ) + Name: !expand "jgfs_awips_f{dimval.fhr:03d}" + Trigger: !Depend up.up.prdgen.depend('jgfs_pgrb2_f{N:02d}',N=dimval.fhr) ecflow_def: !expand | - edit FCSTHR '{idx.fhr:03d}' + edit FCSTHR '{dimval.fhr:03d}' + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + #endfamily post_processing post: !TaskArray Dimensions: - fhr: !calc doc.settings.forecast_hours + fhr: !calc doc.settings.gfs_forecast_hours jgfs_post_manager_el: !TaskElement <<: *exclusive_task_template - Foreach: [] + Disable: !calc metasched.type == 'rocoto' + Trigger: !Depend up.forecast.is_running() + Complete: !Depend up.forecast + Foreach: [ ] + ecflow_command: *post_manager_job_contents + J_JOB: post_manager Name: jgfs_post_manager - Trigger: !Depend ( up.jgfs_analysis & up.forecast ) - release_postanl: !DataEvent {file="/dev/null"} + resources: !calc doc.resources.run_gfs_post_manager + release_postanl: !DataEvent + file: !expand >- + {metasched.varref("COM")}/{up.RUN}.{metasched.datestring("%Y%m%d/%H/")}{up.RUN}.t{metasched.datestring("%H")}z.logf000.nemsio release_post_fhr: !DataEventElement - Name: !expand "release_post{idx.fhr:02d}" + Name: !expand "release_post{dimval.fhr:02d}" Foreach: [ fhr ] - file: "/dev/null" - resources: !calc ( doc.resource_demo.run_nothing ) + file: !expand >- + {metasched.varref("COM")}/{up.RUN}.{metasched.datestring("%Y%m%d/%H/")}{up.RUN}.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio + # NOTE: the above files must match post_manager.yaml jgfs_post_anl: !Task ecflow_def: | + edit FHRGRP '000' + edit FHRLST 'anl' edit FHR 'anl' edit HR 'anl' <<: *exclusive_task_template - Trigger: !Depend jgfs_post_manager.release_postanl + Trigger: !Depend jgfs_post_manager.release_postanl | up.forecast release_pgrb2_anl: !DataEvent {file="/dev/null"} - resources: !calc ( doc.resource_demo.run_nothing ) - - jgfs_post_f: !TaskElement - <<: *exclusive_task_template - Name: !expand jgfs_post_f{idx.fhr:02d} - ecflow_def: !expand | - edit FHR: 'f{idx.fhr:02d}' - edit HR: '{idx.fhr:02d}' - Trigger: !Depend jgfs_post_manager.depend('release_post{N:02d}',N=idx.fhr) - resources: !calc ( doc.resource_demo.run_nothing ) - - jgfs_pgrb2_spec_post: !Task - <<: *exclusive_task_template - Trigger: !Depend ( jgfs_post_f336 & jgfs_post_f348 & jgfs_post_f360 & jgfs_post_f372 & jgfs_post_f384 ) - resources: !calc ( doc.resource_demo.run_nothing ) + resources: !calc ( doc.resources.run_gfspost ) + J_JOB: post.sh + + jgfs_post_fhr_el: !TaskElement + <<: *exclusive_task_template + Foreach: [ fhr ] + resources: !calc doc.resources.run_gfspost + Name: !expand jgfs_post_f{dimval.fhr:02d} + ecflow_def: + edit FHRGRP '{dimidx.fhr+1:03d}' + edit FHRLST '{dimval.fhr:03d}' + edit FHR '{dimval.fhr:02d}' + edit HR '{dimval.fhr:02d}' + J_JOB: post.sh + Trigger: !Depend jgfs_post_manager.depend("release_post{F:02d}",F=[dimval.fhr]) | up.forecast + + jgfs_pgrb2_spec_post: !FirstTrue + - when: !calc max(doc.settings.gfs_forecast_hours)>=384 + do: !Task + <<: *exclusive_task_template + Dummy: true ## <-- Node is not implemented yet + Trigger: !Depend ( jgfs_post_f336 & jgfs_post_f348 & jgfs_post_f360 & jgfs_post_f372 & jgfs_post_f384 ) + resources: !calc ( doc.resources.run_gfspost ) + - otherwise: null + #endfamily post prdgen: !TaskArray + Dummy: true ## <-- Node is not implemented yet Dimensions: - fhr: !calc doc.settings.forecast_hours + fhr: !calc doc.settings.gfs_forecast_hours jgfs_pgrb2_manager_el: !TaskElement <<: *exclusive_task_template @@ -335,276 +392,403 @@ suite: !Cycle Name: jgfs_pgrb2_manager Trigger: !Depend ( up.post ) #release_postanl: !DataEvent {file="/dev/null"} + resources: !calc ( doc.resources.run_one_hour_exclusive ) ecflow_first_event_number: 2 release_post_fhr: !DataEventElement - Name: !expand "release_pgrb2_{idx.fhr:02d}" + Name: !expand "release_pgrb2_{dimval.fhr:02d}" Foreach: [ fhr ] file: "/dev/null" - pgrb_f: !TaskElement + jgfs_npoess_pgrb2_0p5deg: !Task <<: *exclusive_task_template - Foreach: [ fhr ] - Name: !expand "jgfs_pgrb2_f{idx.fhr:02d}" - resources: !calc ( doc.resource_demo.run_gfspost ) - J_JOB: JGLOBAL_POST - ecflow_def: !expand | - edit FHR '{idx.fhr:02d}' - edit HR '{idx.fhr:02d}' + Trigger: !Depend ( up.post ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) jgfs_pgrb2_anl: !Task <<: *exclusive_task_template - resources: !calc ( doc.resource_demo.run_gfspost ) + Trigger: !Depend ( up.post.jgfs_post_anl.release_pgrb2_anl ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) J_JOB: JGLOBAL_POST ecflow_def: !expand | edit FHR 'anl' edit HR 'anl' - #Need to add other tasks in this prdgen family - + pgrb2_f: !TaskElement + <<: *exclusive_task_template + Foreach: [ fhr ] + Name: !expand "jgfs_pgrb2_f{dimval.fhr:02d}" + Trigger: !Depend >- + jgfs_pgrb2_manager.depend('release_pgrb2_{N:02d}',N=dimval.fhr) + & up.post.depend('jgfs_post_f{N:02d}',N=dimval.fhr) + resources: !calc ( doc.resources.run_one_hour_exclusive ) + J_JOB: JGLOBAL_POST + ecflow_def: !expand | + edit FHR '{dimval.fhr:02d}' + edit HR '{dimval.fhr:02d}' #endfamily prdgen gempak: !Family + Dummy: true ## <-- Node is not implemented yet jgfs_gempak_upapgif: !Task <<: *exclusive_task_template Trigger: !Depend up.dump.jgfs_dump - resources: !calc ( doc.resource_demo.run_nothing ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) jgfs_gempak_ncdc: !Task <<: *exclusive_task_template + #Trigger: !Depend jgfs_gempak.is_running() Trigger: !Depend jgfs_gempak.is_running() | jgfs_gempak.is_completed() - resources: !calc ( doc.resource_demo.run_nothing ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) jgfs_gempak: !Task <<: *exclusive_task_template Trigger: !Depend up.jgfs_analysis - resources: !calc ( doc.resource_demo.run_nothing ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) jgfs_gempak_meta: !Task <<: *exclusive_task_template Trigger: !Depend up.jgfs_analysis - resources: !calc ( doc.resource_demo.run_nothing ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) -# jgfs_pgrb2_spec_gempak: !Task -# <<: *exclusive_task_template -# Trigger: !Depend up.post.jgfs_pgrb2_spec_post -# resources: !calc ( doc.resource_demo.run_nothing ) - #endfamily gempak + jgfs_pgrb2_spec_gempak: !Task + <<: *exclusive_task_template + Trigger: !Depend up.post.jgfs_pgrb2_spec_post + resources: !calc ( doc.resources.run_one_hour_exclusive ) + jgfs_emc_vrfy: !Task + <<: *exclusive_task_template + Trigger: !Depend post + resources: !calc ( doc.resources.run_gfsvrfy ) + + #endfamily gempak #endfamily gfs + ###################################################################### + ## GDAS FAMILY ####################################################### + ###################################################################### + gdas: !Family - ecflow_def: | + ecflow_def: !expand | + #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' edit PROJ '%PROJENVIR%' - edit MODEL_NAME 'gdas' + edit RUN 'gdas' jgdas_verfrad: !Task <<: *exclusive_task_template + Dummy: true ## <-- Node is not implemented yet Trigger: !Depend enkf - resources: !calc ( doc.resource_demo.run_nothing ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) jgdas_vminmon: !Task <<: *exclusive_task_template + Dummy: true ## <-- Node is not implemented yet Trigger: !Depend analysis.jgdas_analysis_high - resources: !calc ( doc.resource_demo.run_nothing ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + dump_waiter: !Task + <<: *dump_waiter_task + Disable: !calc metasched.type != 'ecflow' + ecflow_def: !FirstTrue + - when: !calc doc.settings.realtime + do: "edit ECF_TRIES 72" + - otherwise: "" + Trigger: !Depend post.at('-6:00:00') dump: !Family + Dummy: true ## <-- Node is not implemented yet jgdas_ics: !Task <<: *exclusive_task_template release_gdas00_ics: !DataEvent {file="/dev/null"} - resources: !calc ( doc.resource_demo.run_nothing ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) #jgdas_dump_post: !Task # Trigger: !Depend jgdas_dump # release_sfcprep: !DataEvent {file="/dev/null"} # release_gdas00_dump_alert: !DataEvent {file="/dev/null"} # ecf_file: *ecf_file_template - # resources: !calc ( doc.resource_demo.run_nothing ) + # resources: !calc ( doc.resources.run_nothing ) # accounting: *exclusive_accounting # J_JOB: nothing jgdas_tropcy_qc_reloc: !Task <<: *exclusive_task_template Trigger: !Depend jgdas_dump - #Time: !timedelta +5:50:00 - resources: !calc ( doc.resource_demo.run_nothing ) + Time: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + do: !timedelta +5:50:00 + - otherwise: null + resources: !calc ( doc.resources.run_one_hour_exclusive ) #Replaced by emc version of dump job #This dump job should be using NCO version when delivery to NCO jgdas_dump: !Task <<: *exclusive_task_template release_sfcprep: !DataEvent {file="/dev/null"} - #Time: !timedelta +6:20:00 - resources: !calc ( doc.resource_demo.run_nothing ) + Time: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + do: !timedelta +6:20:00 + - otherwise: null + resources: !calc ( doc.resources.run_one_hour_exclusive ) + #endfamily dump prep: !Family + Trigger: !Depend dump_waiter.updated_status jgdas_emcsfc_sfc_prep: !Task <<: *exclusive_task_template + Dummy: true ## <-- Node is not implemented yet Trigger: !Depend up.dump.jgdas_dump.release_sfcprep - resources: !calc ( doc.resource_demo.run_nothing ) + resources: !calc ( doc.resources.run_prep ) jgdas_prep: !Task - #Trigger: !Depend (up.dump.jgdas_dump & up.dump.jgdas_tropcy_qc_reloc & up.gdas.post.at('-6:00:00') ) <<: *exclusive_task_template - Trigger: !Depend ( up.dump.jgdas_dump & up.dump.jgdas_tropcy_qc_reloc ) - resources: !calc ( doc.resource_demo.run_prep ) + Trigger: !Depend (up.dump.jgdas_dump & up.dump.jgdas_tropcy_qc_reloc & up.up.gdas.post.at('-6:00:00') ) + #Trigger: !Depend ( up.dump.jgdas_dump & up.dump.jgdas_tropcy_qc_reloc ) + resources: !calc ( doc.resources.run_prep ) + J_JOB: prep.sh jgdas_prep_post: !Task <<: *exclusive_task_template + Dummy: true ## <-- Node is not implemented yet Trigger: !Depend up.analysis.jgdas_analysis_high - resources: !calc ( doc.resource_demo.run_nothing ) + resources: !calc ( doc.resources.run_prep ) analysis: !Family jgdas_analysis_high: !Task <<: *exclusive_task_template - #Trigger: !Depend ( up.prep.jgdas_prep & up.prep.jgdas_emcsfc_sfc_prep & up.enkf.jgdas_enkf_post.at('-6:00:00') ) - Trigger: !Depend ( up.prep.jgdas_prep & up.prep.jgdas_emcsfc_sfc_prep ) + Trigger: !Depend ( up.prep.jgdas_prep & up.prep.jgdas_emcsfc_sfc_prep & up.enkf.jgdas_enkf_post.at('-6:00:00') ) + #Trigger: !Depend ( up.prep.jgdas_prep & up.prep.jgdas_emcsfc_sfc_prep ) release_fcst: !DataEvent {file="/dev/null"} - resources: !calc ( doc.resource_demo.run_anal ) + resources: !calc ( doc.resources.run_anal ) + J_JOB: anal.sh forecast: !Family - #jgdas_forecast_high: !Task - # <<: *exclusive_task_template - # Trigger: !Depend ( up.analysis.jgdas_analysis_high.release_fcst & up.enkf.innovate ) - # release_fcst: !DataEvent {file="/dev/null"} - # resources: !calc ( doc.resource_demo.run_gdasfcst ) - # J_JOB: JGLOBAL_FORECAST + jgdas_forecast_high: !Task + <<: *exclusive_task_template + Dummy: true ## <-- Node is not implemented yet + Trigger: !Depend ( up.analysis.jgdas_analysis_high.release_fcst & up.enkf.innovate ) | ~ suite.has_cycle('-6:00:00') + release_fcst: !DataEvent {file="/dev/null"} + resources: !calc ( doc.resources.run_gdasfcst ) + J_JOB: JGLOBAL_FORECAST jgdas_forecast: !Task <<: *exclusive_task_template Trigger: !Depend ( up.analysis.jgdas_analysis_high.release_fcst & up.enkf.innovate ) release_fcst: !DataEvent {file="/dev/null"} - resources: !calc ( doc.resource_demo.run_gdasfcst ) - J_JOB: JGLOBAL_FORECAST + #resources: !calc ( doc.resources.run_gdasfcst ) + resources: !calc ( doc.resources.run_nothing ) + J_JOB: fcst.sh post_processing: !Family + Dummy: true ## <-- Node is not implemented yet + Complete: !Depend ~ suite.has_cycle('-6:00:00') bulletins: !Family jgdas_mknavybulls: !Task <<: *exclusive_task_template #Trigger: !Depend ( up.up.dump.jgdas_dump_post ) Trigger: !Depend ( up.up.dump.jgdas_dump ) - resources: !calc ( doc.resource_demo.run_nothing ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) gempak: !Family + Dummy: true ## <-- Node is not implemented yet + Complete: !Depend ~ suite.has_cycle('-6:00:00') jgdas_gempak: !Task <<: *exclusive_task_template Trigger: !Depend up.forecast.jgdas_forecast - resources: !calc ( doc.resource_demo.run_nothing ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) jgdas_gempak_meta: !Task <<: *exclusive_task_template Trigger: !Depend jgdas_gempak - resources: !calc ( doc.resource_demo.run_nothing ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) jgdas_gempak_ncdc: !Task <<: *exclusive_task_template Trigger: !Depend jgdas_gempak - resources: !calc ( doc.resource_demo.run_nothing ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) #endfamily gempak - post: !Family - #jgdas_post_high: !Task - # <<: *exclusive_task_template - # Trigger: !Depend up.forecast.jgdas_forecast_high - # resources: !calc ( doc.resource_demo.run_gdaspost ) - # J_JOB: post - #jgdas_post_hrly_high: !Task - # <<: *exclusive_task_template - # Trigger: !Depend up.forecast.jgdas_forecast_high - # resources: !calc ( doc.resource_demo.run_gdaspost ) - # J_JOB: post - jgdas_post: !Task + + post: !TaskArray + Dimensions: + fhr: !calc doc.settings.gdas_forecast_hours + post_manager_el: !TaskElement <<: *exclusive_task_template - Trigger: !Depend up.forecast.jgdas_forecast - resources: !calc ( doc.resource_demo.run_gdaspost ) - J_JOB: post + Trigger: !Depend up.forecast.is_running() + Complete: !Depend up.forecast + Disable: !calc metasched.type=='rocoto' + Foreach: [] + J_JOB: post_manager + ecflow_command: *post_manager_job_contents + Name: jgdas_post_manager + resources: !calc doc.resources.run_gdas_post_manager + release_postanl: !DataEvent + file: !expand >- + {metasched.varref("COM")}/{up.RUN}.{metasched.datestring("%Y%m%d/%H/")}{up.RUN}.t{metasched.datestring("%H")}z.logf000.nemsio + release_post_fhr: !DataEventElement + Name: !expand "release_post{dimval.fhr:02d}" + Foreach: [ fhr ] + file: !expand > + {metasched.varref("COM")}/{up.RUN}.{metasched.datestring("%Y%m%d/%H/")}{up.RUN}.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio + # NOTE: the above files must match post_manager.yaml + + jgdas_post_anl: !Task + ecflow_def: | + edit FHRGRP '000' + edit FHRLST 'anl' + edit FHR 'anl' + edit HR 'anl' + <<: *exclusive_task_template + Trigger: !Depend jgdas_post_manager.release_postanl | up.forecast + release_pgrb2_anl: !DataEvent {file="/dev/null"} + resources: !calc ( doc.resources.run_gdaspost ) + J_JOB: post.sh + + jgdas_post_fhr_el: !TaskElement + <<: *exclusive_task_template + Foreach: [ fhr ] + resources: !calc doc.resources.run_gdaspost + Name: !expand jgdas_post_f{dimval.fhr:02d} + ecflow_def: | + edit FHRGRP '{dimidx.fhr+1:03d}' + edit FHRLST 'f{dimval.fhr:03d}' + edit FHR 'f{dimval.fhr:02d}' + edit HR '{dimval.fhr:02d}' + J_JOB: post.sh + Trigger: !Depend jgdas_post_manager.depend("release_post{F:02d}",F=[dimval.fhr]) | up.forecast #endfamily post + jgdas_emc_vrfy: !Task + <<: *exclusive_task_template + Trigger: !Depend post + resources: !calc ( doc.resources.run_gdasvrfy ) + enkf: !Family jgdas_enkf_select_obs: !Task <<: *exclusive_task_template Trigger: !Depend ( up.prep.jgdas_prep & jgdas_enkf_post.at('-6:00:00') ) - resources: !calc ( doc.resource_demo.run_eobs ) + #Trigger: !Depend ( up.prep.jgdas_prep ) + resources: !calc ( doc.resources.run_eobs ) + Complete: !Depend ~ suite.has_cycle('-6:00:00') + J_JOB: eobs.sh innovate: !TaskArray Trigger: !Depend jgdas_enkf_select_obs + Complete: !Depend ~ suite.has_cycle('-6:00:00') Dimensions: groupid: !calc tools.seq(1,doc.settings.ENKF_INNOVATE_GROUPS,1) jgdas_enkf_innovate_obs_grp: !TaskElement <<: *exclusive_task_template Foreach: [ groupid ] - Name: !expand "jgdas_enkf_innovate_obs_grp{idx.groupid}" - resources: !calc ( doc.resource_demo.run_eomg ) - J_JOB: JGDAS_INNOVATE + Name: !expand "jgdas_enkf_innovate_obs_grp{dimval.groupid}" + resources: !calc ( doc.resources.run_eomg ) + J_JOB: eomg.sh jgdas_enkf_update: !Task <<: *exclusive_task_template ecflow_def: | edit ECF_PASS 'FREE' Trigger: !Depend innovate - resources: !calc ( doc.resource_demo.run_eupd ) + Complete: !Depend ~ suite.has_cycle('-6:00:00') + resources: !calc ( doc.resources.run_eupd ) + J_JOB: eupd.sh jgdas_enkf_inflate_recenter: !Task <<: *exclusive_task_template Trigger: !Depend ( jgdas_enkf_update & up.analysis.jgdas_analysis_high ) - resources: !calc ( doc.resource_demo.run_ecen ) + resources: !calc ( doc.resources.run_ecen ) + J_JOB: ecen.sh + Complete: !Depend ~ suite.has_cycle('-6:00:00') forecast: !TaskArray - Trigger: !Depend up.enkf.jgdas_enkf_inflate_recenter + + Trigger: !Depend up.enkf.jgdas_enkf_inflate_recenter | ~ suite.has_cycle('-6:00:00') Dimensions: groupid: !calc tools.seq(1,doc.settings.ENKF_FORECAST_GROUPS,1) jgdas_enkf_fcst_grp: !TaskElement <<: *exclusive_task_template Foreach: [ groupid ] - Name: !expand "jgdas_enkf_fcst_grp{idx.groupid}" - resources: !calc ( doc.resource_demo.run_efcs ) - J_JOB: JGLOBAL_FORECAST + Name: !expand "jgdas_enkf_fcst_grp{dimval.groupid}" + resources: !calc ( doc.resources.run_efcs ) + J_JOB: efcs.hs jgdas_enkf_post: !Task <<: *exclusive_task_template Trigger: !Depend forecast - resources: !calc ( doc.resource_demo.run_epos ) - + resources: !calc ( doc.resources.run_epos ) + J_JOB: epos.sh #endfamily enkf - #endfamily gdas cycle_end: !Task - ecflow_def: !expand | - edit ECF_JOB_CMD '%ECF_JOB% 1> %ECF_JOBOUT% 2>&1' - edit ECF_PASS 'FREE' - <<: *exclusive_task_template - #time 23:00 - #Time: !timedelta +23:00:00 - resources: !calc ( doc.resource_demo.run_nothing ) - - #hpss archive tasks are run in the hpss_archive suite - #archive: !Family - # gdasarch: !Task - # <<: *shared_task_template - # Trigger: !Depend up.gdas.jgdas_verfrad - # resources: !calc ( doc.resource_demo.run_arch ) - # J_JOB: gdasarch - - # gfsarch: !Task - # <<: *shared_task_template - # Trigger: !Depend up.gfs.jgfs_vrfy - # resources: !calc ( doc.resource_demo.run_arch ) - # J_JOB: gfsarch - - # earc: !TaskArray - # Trigger: !Depend up.gdas.enkf.jgdas_enkf_post - # Dimensions: - # groupid: !calc tools.seq(1,doc.settings.ENKF_ARCHIVE_GROUPS,1) - # grp: !TaskElement - # <<: *shared_task_template - # Foreach: [ group ] - # Name: !expand "grp{idx.groupid}" - # resources: !calc ( doc.resource_demo.run_arch ) - # J_JOB: earc + <<: *exclusive_task_template + ecflow_def: !expand | + edit ECF_PASS 'FREE' + Dummy: true ## <-- Node is not implemented yet + Disable: !calc >- + not metasched.type=="ecflow" + or not doc.settings.four_cycle_mode + Time: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + do: !timedelta +23:00:00 + - otherwise: null + resources: !calc ( doc.resources.run_nothing ) + + make_next_cycles: !Task + <<: *make_next_cycles_task + Disable: !calc not metasched.type=="ecflow" + Trigger: !Depend gdas + ecflow_def: !expand | + # These variables are used by the make_next_cycles job to generate + # suites for later cycles once earlier cycles have finished. + edit WORKFLOW_FIRST_CYCLE '{tools.strftime(suite.Clock.start,"%Y%m%d%H")}' + edit WORKFLOW_LAST_CYCLE '{tools.strftime(suite.Clock.end,"%Y%m%d%H")}' + edit WORKFLOW_CYCLES_TO_GENERATE '5' + edit WORKFLOW_CROW_HOME '{doc.initial_directory}' + edit WORKFLOW_EXPDIR '{doc.settings.EXPDIR}' + + archive: !Family + ecflow_def: + edit ECF_TRIES '3' + gdasarch: !Task + <<: *service_task_template + AlarmName: gdas + Trigger: !Depend up.gdas.jgdas_emc_vrfy + resources: !calc ( doc.resources.run_arch ) + Disable: !calc not doc.settings.archive_to_hpss + J_JOB: arch.sh + RUN: gdas + + earc: !TaskArray + AlarmName: gdas + Trigger: !Depend up.gdas.enkf.jgdas_enkf_post + Dimensions: + groupid: !calc tools.seq(0,doc.settings.ENKF_ARCHIVE_GROUPS-1,1) + grp: !TaskElement + <<: *service_task_template + resources: !calc ( doc.resources.run_arch ) + J_JOB: earc.sh + Foreach: [ groupid ] + Name: !expand "grp{dimval.groupid:02d}" + more_vars: [ ENSGRP ] + ENSGRP: !expand "{dimval.groupid:02d}" + RUN: gdas + + gfsarch: !Task + <<: *service_task_template + Complete: !Depend ~ suite.has_cycle('-6:00:00') + AlarmName: gfs + Trigger: !Depend up.gfs.jgfs_emc_vrfy + resources: !calc ( doc.resources.run_arch ) + Disable: !calc not doc.settings.archive_to_hpss + J_JOB: arch.sh + RUN: gfs final: !Task - <<: *shared_task_template - resources: !calc ( doc.resource_demo.run_nothing ) + <<: *service_task_template + Disable: !calc not metasched.type=="rocoto" + resources: !calc (doc.resources.run_nothing) + rocoto_command: /bin/true + RUN: gfs # useless but required diff --git a/model/ecflow_fv3gfs/task.yaml b/model/ecflow_fv3gfs/task.yaml new file mode 100644 index 0000000..04546f9 --- /dev/null +++ b/model/ecflow_fv3gfs/task.yaml @@ -0,0 +1,148 @@ +task_template: &task_template + + Template: *task_validator + + rocoto_command: !expand "&HOMEgfs;/jobs/rocoto/{J_JOB}" + ecflow_command: !expand "${{HOMEgfs}}/jobs/rocoto/{J_JOB}" + + Rocoto: !expand | + {rocoto_command} + {sched.rocoto_accounting(accounting,jobname=task_path_var, + outerr="&LOG_DIR;/@Y@m@d/@H/&PSLOT;_"+task_path_var+".log")} + {sched.rocoto_resources(resources)} + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMP{CDUMP} + {rocoto_more_vars} + + CDUMP: !calc task_path_list[0] + + # Default resources for a job are serial + #resources: !calc doc.resources.run_nothing + + ecf_dev_overrides: !expand | + # Set data and logs to locations specified in the suite definition. + # This is needed when running without write access to the NCO com areas. + export DATAROOT=%DATAROOT% + export jlogfile=%ECF_OUT%/jlogfile + export COMROOT=%COM% + export cycle=t%CYC%z + export CDATE=%PDY%%CYC% + export EXPDIR="{doc.settings.EXPDIR}" + + ecf_more_exports: "" + + more_vars: [] + + ecf_more_exports: !calc | + "\n".join([ tools.expand("export {VAR}=%{VAR}%\n",VAR=V) for V in more_vars ]) + + rocoto_more_vars: !calc | + "\n".join([ metasched.defenvar(VAR,this[VAR]) for VAR in more_vars ]) + + ecflow_def_more_vars: !calc | + "\n".join([ metasched.defenvar(VAR,this[VAR]) for VAR in more_vars ]) + + ecflow_def: !calc ecflow_def_more_vars + + ecf_file: !expand | + #! /bin/sh + {ecf_batch_resources} + {ecf_dev_overrides} + %include + %include + + set -x + + {ecf_resource_more} + + export HOMEgfs=%HOMEgfs% + export CDUMP=%RUN% + {ecf_more_exports} + ## don't include + + ############################################################ + # Load modules + ############################################################ + #. $MODULESHOME/init/sh + {ecf_module_commands} + #module list + + ############################################################# + # WCOSS environment settings + ############################################################# + + {ecf_environment_settings} + + ########################################################### + export cyc=%CYC% + + # CALL executable job script here + {ecflow_command} + + %include + %manual + {ecf_manual} + %end + + ecf_manual: | + # FIXME: Insert manual for this job. + + ecf_module_commands: |- + # Add any "module" commands here (switch, load, use, etc.) + + ecf_environment_settings: |- + # Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + + ecf_batch_resources: !expand |- + {sched.batch_accounting(accounting,jobname=task_path_var,outerr="%ECF_OUT%/%EMCPEN%_%PDY%%CYC%_"+task_path_var+".log")} + {sched.batch_resources(resources)} + + ecf_resource_more: !expand |- + {ecf_maybe_ntasks} + {ecf_maybe_ptile} + {ecf_maybe_threads} + + ecf_maybe_ntasks: !FirstTrue + - when: !calc resources.total_ranks()>0 + do: !expand 'export ntasks={resources.total_ranks()}' + - otherwise: "# No MPI in use, so I am not setting $ntasks." + + ecf_maybe_threads: !FirstTrue + - when: !calc resources.has_threads() + do: !expand 'export threads={resources[0]["OMP_NUM_THREADS"]}' + - otherwise: "# No OpenMP in use, so I am not setting $threads" + + ecf_maybe_ptile: !FirstTrue + - when: !calc resources.has_threads() or resources.total_ranks()>0 + do: !expand 'export ptile={sched.max_ranks_per_node(resources)}' + - otherwise: "# Neither OpenMP nor MPI are in use, so I am not setting $ptile" + + + +shared_accounting: &shared_accounting + queue: !calc metasched.varref('QUEUESHARED') + project: !calc metasched.varref('PROJ') + +service_accounting: &service_accounting + queue: !calc metasched.varref('QUEUESERV') + project: !calc metasched.varref('PROJ') + +exclusive_accounting: &exclusive_accounting + queue: !calc metasched.varref('QUEUE') + project: !calc metasched.varref('PROJ') + +shared_task_template: &shared_task_template + <<: *task_template + accounting: *shared_accounting + J_JOB: !expand '{task_path_list[-1]}.sh' + +service_task_template: &service_task_template + <<: *task_template + accounting: *service_accounting + J_JOB: !expand '{task_path_list[-1]}.sh' + +exclusive_task_template: &exclusive_task_template + <<: *task_template + accounting: *exclusive_accounting + J_JOB: !expand '{task_path_list[-1]}.sh' diff --git a/model/ecflow_fv3gfs/task_validator.yaml b/model/ecflow_fv3gfs/task_validator.yaml new file mode 100644 index 0000000..c11e936 --- /dev/null +++ b/model/ecflow_fv3gfs/task_validator.yaml @@ -0,0 +1,75 @@ +task_validator: &task_validator !Template + + Rocoto: + description: >- + XML to insert in the task definition, excluding the task tag + itself, and the dependencies. + type: string + + ecf_file: + description: >- + Contents of the ecf file that will be run for this task + type: string + + rocoto_more_vars: + description: >- + Additional text to include after other envar tags in Rocoto task + definition. This is referenced by the Rocoto variable. + type: string + default: "" + + ecflow_command: + description: >- + Command to execute for this task when run in ecflow. This is + inserted into the ecf file. + type: string + + rocoto_command: + description: >- + Command to execute for this task when run in rocoto. This is + inserted into the rocoto command tag for the task. + type: string + + more_vars: + description: >- + List of variables that should be added to Rocoto envar blocks, + ecflow suite definition edits, and ecf file exports. Overriding + ecflow_def will prevent this from being passed through as edits + in the suite definition. + type: string list + + ecf_environment_settings: + description: "Tuning variables like KMP_AFFINITY and OMP_STACKSIZE." + type: string + default: "" + + ecf_module_commands: + description: >- + Any "module" commands: module load, module switch, etc. + type: string + default: "" + + ecf_resource_more: + description: >- + Generates additional resource specification variables such as + ntasks, threads, and ptile. + type: string + default: "" + + ecf_maybe_ntasks: + description: "Sets the $ntasks variable (number of MPI ranks) if needed" + type: string + default: "" + + ecf_maybe_threads: + description: "Sets the $threads variable (number of OpenMP threads) if needed" + type: string + default: "" + + ecf_maybe_ptile: + description: >- + Sets the $ptile variable (maximum number of MPI ranks per node) if needed + type: string + default: "" + + diff --git a/model/ecflow_fv3gfs/totality_limit.def b/model/ecflow_fv3gfs/totality_limit.def new file mode 100644 index 0000000..2eb08e4 --- /dev/null +++ b/model/ecflow_fv3gfs/totality_limit.def @@ -0,0 +1,3 @@ +suite totality_limit + limit TOTALITY 12 +endsuite \ No newline at end of file diff --git a/model/ecflow_fv3gfs/update_ecflow_workflow.sh b/model/ecflow_fv3gfs/update_ecflow_workflow.sh new file mode 100755 index 0000000..7d85131 --- /dev/null +++ b/model/ecflow_fv3gfs/update_ecflow_workflow.sh @@ -0,0 +1,94 @@ +#! /bin/bash + +set -ue + +# Get the directory in which this script resides. We'll assume the +# yaml files are there: +dir0=$( dirname "$0" ) +here=$( cd "$dir0" ; pwd -P ) + +export WORKTOOLS_VERBOSE=NO + +# Make sure this directory is in the python path so we find worktools.py: +export PYTHONPATH=$here:${PYTHONPATH:+:$PYTHONPATH} + +# Parse arguments: +if [[ "$1" == "-v" ]] ; then + export WORKTOOLS_VERBOSE=YES + shift 1 +fi +export EXPDIR="$1" +export FIRST_CYCLE="$2" +export LAST_CYCLE="$3" + +if [[ ! -d /usrx/local || -e /etc/redhat-release ]] ; then + echo "ERROR: This script only runs on WCOSS Cray" 1>&2 + exit 1 +fi + +if ( ! which ecflow_client > /dev/null 2>&1 ) ; then + echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." + exit 1 +fi + +if [[ "${ECF_ROOT:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_ROOT" + exit 1 +fi + +if [[ "${ECF_HOME:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_HOME. I suggest \$ECF_ROOT/submit" + exit 1 +fi + +if [[ "${ECF_PORT:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_PORT. See /usrx/local/sys/ecflow/assigned_ports.txt" + exit 1 +fi + +export ECF_HOME="${ECF_HOME:-$ECF_ROOT/submit}" + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + echo "begin_ecflow_workflow.sh: verbose mode" + export redirect=" " +else + export redirect="> /dev/null 2>&1" +fi + +echo "ecFlow server port: $ECF_PORT" +echo "ecFlow server root: $ECF_ROOT" +echo "ecFlow server home: $ECF_HOME" + +set +e +if ( ! which python3 > /dev/null 2>&1 || \ + ! python3 -c 'import yaml ; f{"1+1"}' > /dev/null 2>&1 ) ; then + python36=/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/python/3.6.1-emc/bin/python3.6 +else + python36="$( which python3 )" +fi +set -e + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + set -x +fi + +/ecf/devutils/server_check.sh "$ECF_ROOT" "$ECF_PORT" $redirect || true + +if ( ! ecflow_client --ping $redirect ) ; then + echo "Could not connect to ecflow server. Aborting." + exit 1 +fi + +$python36 -c " +import worktools ; +worktools.add_cycles_to_running_ecflow_workflow_at( + '$EXPDIR', + '$FIRST_CYCLE', + '$LAST_CYCLE' +)" + + + + + + diff --git a/model/ecflow_fv3gfs/worktools.py b/model/ecflow_fv3gfs/worktools.py new file mode 100644 index 0000000..3c8bde8 --- /dev/null +++ b/model/ecflow_fv3gfs/worktools.py @@ -0,0 +1,242 @@ +#! /usr/bin/env python3 +f'This python module requires python 3.6 or newer' + +import logging, os, io, sys, datetime, glob, shutil, subprocess, re +from collections import OrderedDict +from copy import copy +logger=logging.getLogger('crow.model.fv3gfs') + +try: + import crow +except ImportError as ie: + thisdir=os.path.dirname(os.path.abspath(__file__)) + topdir=os.path.realpath(os.path.join(thisdir,"../..")) + sys.path.append(topdir) + del thisdir, topdir + +level=logging.WARNING +if os.environ.get('WORKTOOLS_VERBOSE','NO') == 'YES': + level=logging.INFO +logging.basicConfig(stream=sys.stderr,level=level) + +import crow.tools, crow.config +from crow.metascheduler import to_ecflow, to_rocoto +from crow.config import from_dir, Suite, from_file, to_yaml +from crow.tools import Clock + +ECFNETS_INCLUDE = "/ecf/ecfnets/include" +SIX_HOURS = datetime.timedelta(seconds=6*3600) + +def read_yaml_suite(dir): + logger.info(f'{dir}: read yaml files specified in _main.yaml') + conf=from_dir(dir) + crow.config.validate(conf.settings) + suite=Suite(conf.suite) + return conf,suite + +def make_yaml_files(srcdir,tgtdir): + if not os.path.exists(tgtdir): + logger.info(f'{tgtdir}: make directory') + os.makedirs(tgtdir) + logger.info(f'{tgtdir}: send yaml files to here') + logger.info(f'{srcdir}: get yaml files from here') + for srcfile in glob.glob(f'{srcdir}/*.yaml'): + srcbase=os.path.basename(srcfile) + if srcbase.startswith('resources'): continue + if srcbase.startswith('config'): continue + tgtfile=os.path.join(tgtdir,srcbase) + logger.info(f'{srcbase}: copy yaml file') + shutil.copyfile(srcfile,tgtfile) + del srcbase,tgtfile + + readme=[ os.path.join(srcdir,'settings_validator.yaml') ] + + # Deal with the config files: + for srcfile in glob.glob(f'{srcdir}/config*.yaml'): + logger.info(f'{srcfile}: read file') + with open(srcfile,'rt') as f: + sys.stdout.write(f.read()) + doc=from_file(srcfile) + print(repr(doc)) + tgtfile=os.path.join(tgtdir,os.path.basename(srcfile)) + print('to_yaml') + yaml=to_yaml(doc) + print('back from to_yaml') + anchor=os.path.basename(srcfile)[:-5] + anchored=re.sub(r'\A([a-zA-Z][a-zA-Z0-9_]*):', + r'\1: &'+anchor,yaml) + logger.info(f'{tgtfile}: generate file') + with open(tgtfile,'wt') as fd: + fd.write('# This file is automatically generated from:\n') + fd.write(f'# {srcfile}') + fd.write('# Changes to this file may be overwritten.\n\n') + fd.write(yaml) + readme.insert(0,tgtfile) + del doc,tgtfile + + # Read the settings file + readme.append('settings.yaml') + logger.info(f'Read files: {", ".join(readme)}') + doc=from_file(*readme) + + # Now the resources: + resource_basename=doc.settings.resource_file + resource_srcfile=os.path.join(srcdir,resource_basename) + resource_tgtfile=os.path.join(tgtdir,'resources.yaml') + logger.info(f'{resource_srcfile}: use this resource yaml file') + shutil.copyfile(resource_srcfile,resource_tgtfile) + logger.info(f'{tgtdir}: yaml files created here') + +def loudly_make_dir_if_missing(dirname): + if dirname and not os.path.exists(dirname): + logger.info(f'{dirname}: make directory') + os.makedirs(dirname) + +def make_parent_dir(filename): + loudly_make_dir_if_missing(os.path.dirname(filename)) + +def make_clocks_for_cycle_range(suite,first_cycle,last_cycle,surrounding_cycles): + suite_clock=copy(suite.Clock) + logger.info(f'cycles to write: {first_cycle:%Ft%T} - {last_cycle:%Ft%T}') + suite.ecFlow.write_cycles = Clock( + start=first_cycle,end=last_cycle,step=SIX_HOURS) + first_analyzed=max(suite_clock.start,first_cycle-surrounding_cycles*SIX_HOURS) + last_analyzed=min(suite_clock.end,last_cycle+surrounding_cycles*SIX_HOURS) + logger.info(f'cycles to analyze: {first_analyzed:%Ft%T} - {last_analyzed:%Ft%T}') + suite.ecFlow.analyze_cycles=Clock( + start=first_analyzed,end=last_analyzed,step=SIX_HOURS) + +def generate_ecflow_suite_in_memory(suite,first_cycle,last_cycle,surrounding_cycles): + logger.info(f'make suite for cycles: {first_cycle:%Ft%T} - {last_cycle:%Ft%T}') + make_clocks_for_cycle_range(suite,first_cycle,last_cycle,surrounding_cycles) + suite_defs, ecf_files = to_ecflow(suite) + return suite_defs, ecf_files + +def write_ecflow_suite_to_disk(targetdir, suite_defs, ecf_files): + written_suite_defs=OrderedDict() + logger.info(f'{targetdir}: write suite here') + for deffile in suite_defs.keys(): + defname = suite_defs[deffile]['name'] + defcontents = suite_defs[deffile]['def'] + #print(f'=== contents of suite def {defname}\n{suite_defs[defname]}') + filename=os.path.realpath(os.path.join(targetdir,'defs',deffile)) + make_parent_dir(filename) + logger.info(f'{defname}: {filename}: write suite definition') + with open(os.path.join(targetdir,filename),'wt') as fd: + fd.write(defcontents) + written_suite_defs[defname]=filename + for setname in ecf_files: + logger.info(f'{defname}: write ecf file set {setname}') + for filename in ecf_files[setname]: + full_fn=os.path.realpath(os.path.join(targetdir,defname,filename)+'.ecf') + logger.debug(f'{defname}: {setname}: write ecf file {full_fn}') + make_parent_dir(full_fn) + with open(full_fn,'wt') as fd: + fd.write(ecf_files[setname][filename]) + return written_suite_defs + +def get_target_dir_and_check_ecflow_env(): + ECF_HOME=os.environ.get('ECF_HOME',None) + + if not ECF_HOME: + logger.error('Set $ECF_HOME to location where your ecflow files should reside.') + return None + elif not os.environ.get('ECF_PORT',None): + logger.error('Set $ECF_PORT to the port number of your ecflow server.') + return None + elif not os.path.isdir(ECF_HOME): + logger.error('Directory $ECF_HOME={ECF_HOME} does not exist. You need to set up your account for ecflow before you can run any ecflow workflows.') + return None + + for file in [ 'head.h', 'tail.h', 'envir-xc40.h' ]: + yourfile=os.path.join(ECF_HOME,file) + if not os.path.exists(yourfile): + logger.warning(f'{yourfile}: does not exist. I will get one for you.') + os.symlink(os.path.join(ECFNETS_INCLUDE,file),yourfile) + else: + logger.info(f'{yourfile}: exists.') + + return ECF_HOME + +def create_new_ecflow_workflow(suite,surrounding_cycles=1): + ECF_HOME=get_target_dir_and_check_ecflow_env() + if not ECF_HOME: return None,None,None,None + first_cycle=suite.Clock.start + last_cycle=min(suite.Clock.end,first_cycle+suite.Clock.step*2) + suite_defs, ecf_files = generate_ecflow_suite_in_memory( + suite,first_cycle,last_cycle,surrounding_cycles) + suite_def_files = write_ecflow_suite_to_disk( + ECF_HOME,suite_defs,ecf_files) + return ECF_HOME, suite_def_files, first_cycle, last_cycle + +def update_existing_ecflow_workflow(suite,first_cycle,last_cycle, + surrounding_cycles=1): + ECF_HOME=get_target_dir_and_check_ecflow_env() + suite_defs, ecf_files = generate_ecflow_suite_in_memory( + suite,first_cycle,last_cycle,surrounding_cycles) + suite_def_files = write_ecflow_suite_to_disk( + ECF_HOME,suite_defs,ecf_files) + return ECF_HOME, suite_def_files + +def load_and_begin_ecflow_suites(ECF_HOME,suite_def_files): + logger.info(f'{ECF_HOME}: write files for suites: ' + f'{", ".join(suite_def_files.keys())}') + with crow.tools.chdir(ECF_HOME): + for suite, file in suite_def_files.items(): + cmd=f'ecflow_client --load {file}' + logger.info(cmd) + subprocess.run(cmd,check=False,shell=True) + cmd=f'ecflow_client --begin {suite}' + logger.info(cmd) + subprocess.run(cmd,check=False,shell=True) + +######################################################################## + +# These functions are called directly from scripts, and can be thought +# of as "main programs." + +def remake_ecflow_files_for_cycles( + yamldir,first_cycle_str,last_cycle_str, + surrounding_cycles=1): + ECF_HOME=get_target_dir_and_check_ecflow_env() + conf,suite=read_yaml_suite(yamldir) + loudly_make_dir_if_missing(f'{conf.settings.COM}/log') + + first_cycle=datetime.datetime.strptime(first_cycle_str,'%Y%m%d%H') + first_cycle=max(suite.Clock.start,first_cycle) + + last_cycle=datetime.datetime.strptime(last_cycle_str,'%Y%m%d%H') + last_cycle=max(first_cycle,min(suite.Clock.end,last_cycle)) + + suite_defs, ecf_files = generate_ecflow_suite_in_memory( + suite,first_cycle,last_cycle,surrounding_cycles) + written_suite_defs = write_ecflow_suite_to_disk( + ECF_HOME, suite_defs, ecf_files) + print(f'''Suite definition files and ecf files have been written to: + + {ECF_HOME} + +If all you wanted to do was update the ecf files, then you're done. + +If you want to update the suite (cycle) definitions, or add suites +(cycles), you will need to call ecflow_client's --load, --begin, +--replace, or --delete commands.''') + +def create_and_begin_ecflow_workflow(yamldir,surrounding_cycles=1): + conf,suite=read_yaml_suite(yamldir) + loudly_make_dir_if_missing(f'{conf.settings.COM}/log') + ECF_HOME, suite_def_files, first_cycle, last_cycle = \ + create_new_ecflow_workflow(suite,surrounding_cycles) + if not ECF_HOME: + logger.error('Could not create workflow files. See prior errors for details.') + return False + load_and_begin_ecflow_suites(ECF_HOME,suite_def_files) + +def add_cycles_to_running_ecflow_workflow_at( + yamldir,first_cycle_str,last_cycle_str,surrounding_cycles=1): + conf,suite=read_yaml_suite(yamldir) + first_cycle=datetime.datetime.strptime(first_cycle_str,'%Y%m%d%H') + last_cycle=datetime.datetime.strptime(last_cycle_str,'%Y%m%d%H') + ECF_HOME, suite_def_files = update_existing_ecflow_workflow( + suite,first_cycle,last_cycle,surrounding_cycles) + load_and_begin_ecflow_suites(ECF_HOME,suite_def_files) From d4c5f9abfefe453e04ff038f00fc47934cd95682 Mon Sep 17 00:00:00 2001 From: wx20st Date: Thu, 22 Feb 2018 21:38:14 +0000 Subject: [PATCH 362/487] yet more fixes needed to run new ecflow_fv3gfs --- crow/metascheduler/algebra.py | 4 ---- crow/metascheduler/ecflow.py | 2 -- crow/metascheduler/graph.py | 14 -------------- model/ecflow_fv3gfs/begin_ecflow_workflow.sh | 8 ++++++-- model/ecflow_fv3gfs/suite_def.yaml | 2 +- model/ecflow_fv3gfs/worktools.py | 6 ------ 6 files changed, 7 insertions(+), 29 deletions(-) diff --git a/crow/metascheduler/algebra.py b/crow/metascheduler/algebra.py index 455016f..58aeedf 100644 --- a/crow/metascheduler/algebra.py +++ b/crow/metascheduler/algebra.py @@ -13,11 +13,9 @@ def assume(tree,existing_cycles,current_cycle,assume_complete=None, assume_never_run=None): typecheck('tree',tree,LogicalDependency) - #print(f'ASSUME: {tree}') if isinstance(tree,CycleExistsDependency): rel_cycle=tree.dt+current_cycle if rel_cycle in existing_cycles: - #print(f'{rel_cycle}: cylce exists in {existing_cycles}') return TRUE_DEPENDENCY return FALSE_DEPENDENCY elif isinstance(tree,TaskExistsDependency): @@ -57,10 +55,8 @@ def assume(tree,existing_cycles,current_cycle,assume_complete=None, return tree elif isinstance(tree,EventDependency): if assume_never_run and assume_never_run(tree.event.parent.path): - print(f'{tree.event.path}: event parent is never run so event cannot be set') return FALSE_DEPENDENCY if assume_complete and assume_complete(tree.event.parent.path): - print(f'{tree.event.path}: event parent is always complete so event cannot be set') return FALSE_DEPENDENCY if current_cycle+tree.path[0] not in existing_cycles: # Prior cycle events will never be set. diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py index 1b51685..5d5514b 100644 --- a/crow/metascheduler/ecflow.py +++ b/crow/metascheduler/ecflow.py @@ -264,12 +264,10 @@ def exit_fun(node): if node.trigger not in [FALSE_DEPENDENCY,TRUE_DEPENDENCY]: sio.write(f'{indent1}trigger ') - print(f'{node.path}: trigger is {node.trigger}') dep_to_ecflow(sio,node,node.trigger,clock,suite_name_format,undated) sio.write('\n') if node.complete not in [FALSE_DEPENDENCY,TRUE_DEPENDENCY]: sio.write(f'{indent1}complete ') - print(f'{node.path}: complete is {node.complete}') dep_to_ecflow(sio,node,node.complete,clock,suite_name_format,undated) sio.write('\n') if node.time>ZERO_DT: diff --git a/crow/metascheduler/graph.py b/crow/metascheduler/graph.py index 71c4382..de5d372 100644 --- a/crow/metascheduler/graph.py +++ b/crow/metascheduler/graph.py @@ -137,26 +137,20 @@ def fun_assume_never_run(path): while changed: changed=False for node in self.__nodes[cycle].values(): - print(f'{node.path}: examine') if node.is_always_complete(): - print(f'{node.path}: already always complete') continue if node.can_never_complete(): - print(f'{node.path}: already can never complete') continue if node.has_no_dependencies() and node.is_task(): - print(f'{node.path}: task with no dependencies') continue if node.assume(self.__clock,fun_assume_complete, fun_assume_never_run): changed=True if node.can_never_complete(): never_run.add(node.path) - print(f'{node.path}: can never run') for descendent in depth_first_traversal(node): never_run.add(descendent.path) descendent.force_never_run() - print(f'{node.path}: {descendent.path}: can never run') changed=True assert(not node.might_complete()) elif node.is_always_complete(): @@ -164,20 +158,16 @@ def fun_assume_never_run(path): for descendent in depth_first_traversal(node): always_complete.add(descendent.path) descendent.force_always_complete() - print(f'{node.path}: {descendent.path}: can never run') changed=True elif node.is_family(): - print(f'{node.path}: check children of family') n_always_complete=0 n_never_complete=0 n=0 for child in node: n+=1 if child.can_never_complete(): - print(f'{node.path}: {child.path} can never complete') n_never_complete+=1 if child.is_always_complete(): - print(f'{node.path}: {child.path} is always complete') n_always_complete+=1 if n==n_always_complete: @@ -185,15 +175,11 @@ def fun_assume_never_run(path): # family is always complete changed=True node.force_always_complete() - print(f'{node.path}: all children are is always complete') elif n==n_never_complete: # entirety of family is always complete so # family is always complete changed=True node.force_never_run() - print(f'{node.path}: no children will complete') - else: - print(f'{node.path}: n={n} a={n_always_complete} v={n_never_complete}') def depth_first_traversal(self,cycle,skip_fun,enter_fun,exit_fun): if cycle not in self.__cycles: diff --git a/model/ecflow_fv3gfs/begin_ecflow_workflow.sh b/model/ecflow_fv3gfs/begin_ecflow_workflow.sh index 3ba0db3..3cda469 100755 --- a/model/ecflow_fv3gfs/begin_ecflow_workflow.sh +++ b/model/ecflow_fv3gfs/begin_ecflow_workflow.sh @@ -136,14 +136,18 @@ if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then set -x fi -/ecf/devutils/server_check.sh "$ECF_ROOT" "$ECF_PORT" || true +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + /ecf/devutils/server_check.sh "$ECF_ROOT" "$ECF_PORT" || true +else + /ecf/devutils/server_check.sh "$ECF_ROOT" "$ECF_PORT" > /dev/null 2>&1 || true +fi if ( ! ecflow_client --ping ) ; then echo "Could not connect to ecflow server. Aborting." exit 1 fi -if ( ! ecflow_client --get=/totality_limit ) ; then +if ( ! ecflow_client --get=/totality_limit > /dev/null 2>&1 ) ; then ecflow_client --load ./totality_limit.def fi diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index 428ed5d..caddf9c 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -711,7 +711,7 @@ suite: !Cycle Foreach: [ groupid ] Name: !expand "jgdas_enkf_fcst_grp{dimval.groupid}" resources: !calc ( doc.resources.run_efcs ) - J_JOB: efcs.hs + J_JOB: efcs.sh jgdas_enkf_post: !Task <<: *exclusive_task_template diff --git a/model/ecflow_fv3gfs/worktools.py b/model/ecflow_fv3gfs/worktools.py index 3c8bde8..1183142 100644 --- a/model/ecflow_fv3gfs/worktools.py +++ b/model/ecflow_fv3gfs/worktools.py @@ -54,14 +54,9 @@ def make_yaml_files(srcdir,tgtdir): # Deal with the config files: for srcfile in glob.glob(f'{srcdir}/config*.yaml'): logger.info(f'{srcfile}: read file') - with open(srcfile,'rt') as f: - sys.stdout.write(f.read()) doc=from_file(srcfile) - print(repr(doc)) tgtfile=os.path.join(tgtdir,os.path.basename(srcfile)) - print('to_yaml') yaml=to_yaml(doc) - print('back from to_yaml') anchor=os.path.basename(srcfile)[:-5] anchored=re.sub(r'\A([a-zA-Z][a-zA-Z0-9_]*):', r'\1: &'+anchor,yaml) @@ -118,7 +113,6 @@ def write_ecflow_suite_to_disk(targetdir, suite_defs, ecf_files): for deffile in suite_defs.keys(): defname = suite_defs[deffile]['name'] defcontents = suite_defs[deffile]['def'] - #print(f'=== contents of suite def {defname}\n{suite_defs[defname]}') filename=os.path.realpath(os.path.join(targetdir,'defs',deffile)) make_parent_dir(filename) logger.info(f'{defname}: {filename}: write suite definition') From 39a62c20ecd7c31fdb5c63680690c47d758278dd Mon Sep 17 00:00:00 2001 From: wx20st Date: Thu, 22 Feb 2018 22:28:45 +0000 Subject: [PATCH 363/487] fixes to suite def for ecflow_fv3gfs --- model/ecflow_fv3gfs/suite_def.yaml | 24 +++++++++++++++--------- 1 file changed, 15 insertions(+), 9 deletions(-) diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index caddf9c..9ac2900 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -67,7 +67,6 @@ suite: !Cycle edit ENVIR 'prod' edit E 'jecffv3' edit EMCPEN '{doc.settings.experiment_name}' - edit COM '{doc.settings.COM}' edit DATAROOT '{doc.settings.DATAROOT}' edit ECF_OUT '{doc.settings.ECF_HOME}/output' edit ECF_LOG '{doc.settings.EXPDIR}/ecf.log' @@ -347,27 +346,30 @@ suite: !Cycle # NOTE: the above files must match post_manager.yaml jgfs_post_anl: !Task + <<: *exclusive_task_template + FHRGRP: '000' + FHRLST: anl + more_vars: [ FHRGRP, FHRLST ] ecflow_def: | - edit FHRGRP '000' - edit FHRLST 'anl' edit FHR 'anl' edit HR 'anl' - <<: *exclusive_task_template Trigger: !Depend jgfs_post_manager.release_postanl | up.forecast release_pgrb2_anl: !DataEvent {file="/dev/null"} resources: !calc ( doc.resources.run_gfspost ) J_JOB: post.sh + more_vars: [ FHRGRP, FHRLST ] jgfs_post_fhr_el: !TaskElement <<: *exclusive_task_template Foreach: [ fhr ] resources: !calc doc.resources.run_gfspost Name: !expand jgfs_post_f{dimval.fhr:02d} + FHRGRP: !expand "{dimidx.fhr+1:03d}" + FHRLST: !expand "{dimval.fhr:03d}" ecflow_def: - edit FHRGRP '{dimidx.fhr+1:03d}' - edit FHRLST '{dimval.fhr:03d}' edit FHR '{dimval.fhr:02d}' edit HR '{dimval.fhr:02d}' + more_vars: [ FHRGRP, FHRLST ] J_JOB: post.sh Trigger: !Depend jgfs_post_manager.depend("release_post{F:02d}",F=[dimval.fhr]) | up.forecast @@ -684,6 +686,8 @@ suite: !Cycle Name: !expand "jgdas_enkf_innovate_obs_grp{dimval.groupid}" resources: !calc ( doc.resources.run_eomg ) J_JOB: eomg.sh + ENSGRP: !expand "{dimval.groupid:02d}" + more_vars: [ ENSGRP ] jgdas_enkf_update: !Task <<: *exclusive_task_template @@ -712,6 +716,8 @@ suite: !Cycle Name: !expand "jgdas_enkf_fcst_grp{dimval.groupid}" resources: !calc ( doc.resources.run_efcs ) J_JOB: efcs.sh + ENSGRP: !expand "{dimval.groupid:02d}" + more_vars: [ ENSGRP ] jgdas_enkf_post: !Task <<: *exclusive_task_template @@ -751,7 +757,7 @@ suite: !Cycle archive: !Family ecflow_def: edit ECF_TRIES '3' - gdasarch: !Task + jgdas_archive: !Task <<: *service_task_template AlarmName: gdas Trigger: !Depend up.gdas.jgdas_emc_vrfy @@ -760,7 +766,7 @@ suite: !Cycle J_JOB: arch.sh RUN: gdas - earc: !TaskArray + jgdas_enkf_archive: !TaskArray AlarmName: gdas Trigger: !Depend up.gdas.enkf.jgdas_enkf_post Dimensions: @@ -775,7 +781,7 @@ suite: !Cycle ENSGRP: !expand "{dimval.groupid:02d}" RUN: gdas - gfsarch: !Task + jgfs_archive: !Task <<: *service_task_template Complete: !Depend ~ suite.has_cycle('-6:00:00') AlarmName: gfs From 2f49ccc13a9e1aba32ccb821237f59d0e42d5d3f Mon Sep 17 00:00:00 2001 From: Terry McGuinness Date: Fri, 23 Feb 2018 13:34:45 +0000 Subject: [PATCH 364/487] fixed bug for comparing gripb2 files with headers (some have date stamps) --- tests/regression/compare_folders.py | 27 ++++++++++++++++++--------- 1 file changed, 18 insertions(+), 9 deletions(-) diff --git a/tests/regression/compare_folders.py b/tests/regression/compare_folders.py index 9f26bfe..25aa6c5 100755 --- a/tests/regression/compare_folders.py +++ b/tests/regression/compare_folders.py @@ -6,6 +6,7 @@ from pathlib import Path from pathlib import PurePath +egnore_file_list = ['*.grp*','*.log','*.log*','INPUT','logs','*.idx'] def get_args(): import argparse @@ -48,7 +49,7 @@ def compare(folder1, folder2 ): def _recursive_dircmp(folder1, folder2 ): - comparison = filecmp.dircmp(folder1, folder2) + comparison = filecmp.dircmp(folder1, folder2, ignore=egnore_file_list) data = { 'left': [r'{}/{}'.format(folder1, i) for i in comparison.left_only], 'right': [r'{}/{}'.format(folder2, i) for i in comparison.right_only], @@ -134,13 +135,14 @@ def tarcmp(tar_file_one, tar_file_two): def cmp_master_grb2(grib2_file1, grib2_file2): l1 = l2 = ' ' with open(grib2_file1, 'r',encoding="ISO-8859-1") as f1, open(grib2_file2, 'r',encoding="ISO-8859-1") as f2: - f1.readline(); f1.readline() - f2.readline(); f2.readline() + firstline1 = f1.readline(); firstline2 = f2.readline() + if '!GFHDR!' in firstline1: + f1.readline(); f2.readline() while l1 != '' and l2 != '': - l1 = f1.readline() - l2 = f2.readline() if l1 != l2: return False + l1 = f1.readline() + l2 = f2.readline() return True @@ -517,10 +519,15 @@ def get_logger(): if not os.path.isdir(folder): logger.critical(logger_hdr+'directory %s does not exsist'%folder) sys.exit(-1) - diff_file = open( diff_file_name, 'w') + diff_file = open( diff_file_name,'w') cwd = os.getcwd() + total_file_count_dir1 = sum([len(files) for r, d, files in os.walk(folder1)]) + total_file_count_dir2 = sum([len(files) for r, d, files in os.walk(folder2)]) + logger.info(logger_hdr+'total number of files in %s is %d'%(folder1,total_file_count_dir1)) + logger.info(logger_hdr+'total number of files in %s is %d'%(folder2,total_file_count_dir2)) + logger.info(logger_hdr+'comparing folders:\n %s\n %s'%(folder1,folder2)) logger.info(logger_hdr+'checking for matching file counts in directories') @@ -528,7 +535,7 @@ def get_logger(): if len(results['left']) !=0 and len(results['right']) !=0: left_right = ('left','right') out_of_order_file_name = os.path.join( os.path.dirname( diff_file_name ), os.path.basename(diff_file_name).split('.',1)[0]+'.file_imbalance') - out_of_order_file = open(out_of_order_file_name , 'w') + out_of_order_file = open(out_of_order_file_name ,'w') for each_side in left_right: if each_side == 'left': foldera = folder1 @@ -543,9 +550,11 @@ def get_logger(): out_of_order_file.write('%d files found in %s that are not in %s:\n'%(num_missmatched_files,os.path.basename(foldera),os.path.basename(folderb))) for file in results[each_side]: out_of_order_file.write(' %s'%file+'\n') + out_of_order_file.flush() + else: + logger.info('after applying filters, both directories have matching file counts') logger.info(logger_hdr+'checking for file differences...') - egnore_file_list = ['*.log','*.log*','INPUT','logs','*.idx'] - compare_files = filecmp.dircmp(folder1, folder2, egnore_file_list) + compare_files = filecmp.dircmp(folder1, folder2, ignore=egnore_file_list) print_diff_files( compare_files ) elapsed_time = time.process_time() - process_time logger.info(logger_hdr+'comparing fv3gfs output directories completed. Time to process(%.4f seconds)'%elapsed_time) From 454d33fc216c18529facd263129dc7bdeea8a5f9 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 23 Feb 2018 19:27:31 +0000 Subject: [PATCH 365/487] Yet more bug fixes to model/ecflow_fv3gfs. Also, adds "defstatus complete" to dummy jobs in ecflow. --- crow/metascheduler/ecflow.py | 1 + model/ecflow_fv3gfs/config_files.yaml | 2 +- model/ecflow_fv3gfs/dump_waiter.yaml | 5 ++ model/ecflow_fv3gfs/make_next_cycles.yaml | 5 ++ model/ecflow_fv3gfs/settings.yaml | 1 + model/ecflow_fv3gfs/suite_def.yaml | 74 +++++++++++------------ 6 files changed, 50 insertions(+), 38 deletions(-) diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py index 5d5514b..ef72105 100644 --- a/crow/metascheduler/ecflow.py +++ b/crow/metascheduler/ecflow.py @@ -261,6 +261,7 @@ def exit_fun(node): if 'Dummy' in node.view and node.view.Dummy: sio.write(f"{indent1}edit ECF_DUMMY_TASK ''\n") + sio.write(f"{indent1}defstatus complete\n") if node.trigger not in [FALSE_DEPENDENCY,TRUE_DEPENDENCY]: sio.write(f'{indent1}trigger ') diff --git a/model/ecflow_fv3gfs/config_files.yaml b/model/ecflow_fv3gfs/config_files.yaml index 158ac60..9c69950 100644 --- a/model/ecflow_fv3gfs/config_files.yaml +++ b/model/ecflow_fv3gfs/config_files.yaml @@ -39,4 +39,4 @@ config_files: layout_y: !Immediate [ !calc ' int(tools.env("layout_y"),10) ' ] WRITE_GROUP: !Immediate [ !calc ' int(tools.env("WRITE_GROUP"),10) ' ] WRTTASK_PER_GROUP: !Immediate - - !calc int(tools.env("WRITE_GROUP"),10) + - !calc int(tools.env("WRTTASK_PER_GROUP"),10) diff --git a/model/ecflow_fv3gfs/dump_waiter.yaml b/model/ecflow_fv3gfs/dump_waiter.yaml index 21feca3..fd7c13f 100644 --- a/model/ecflow_fv3gfs/dump_waiter.yaml +++ b/model/ecflow_fv3gfs/dump_waiter.yaml @@ -26,6 +26,9 @@ dump_waiter: &dump_waiter_task !Task # J-Job would normally be called. This job is never run by # Rocoto, so Rocoto never uses this code block. ecflow_command: !expand | + workdir=/tmp/$USER.dump_waiter.$$.$RANDOM + mkdir -p "$workdir" + cd "$workdir" setpdy.sh source ./PDY WAITFILE=%DUMPDIR%/${{PDY}}%CYC%/{CDUMP}/{CDUMP}.t%CYC%z.updated.status.tm00.bufr_d @@ -35,3 +38,5 @@ dump_waiter: &dump_waiter_task !Task done echo "$WAITFILE: yup" ecflow_client --event updated_status + cd / + rm -rf "$workdir" diff --git a/model/ecflow_fv3gfs/make_next_cycles.yaml b/model/ecflow_fv3gfs/make_next_cycles.yaml index 3904d0a..5f4eb47 100644 --- a/model/ecflow_fv3gfs/make_next_cycles.yaml +++ b/model/ecflow_fv3gfs/make_next_cycles.yaml @@ -17,6 +17,9 @@ make_next_cycles: &make_next_cycles_task !Task # J-Job would normally be called. This job is never run by # Rocoto, so Rocoto never uses this code block. ecflow_command: !expand | + workdir=/tmp/$USER.dump_waiter.$$.$RANDOM + mkdir -p "$workdir" + cd "$workdir" export WORKFLOW_FIRST_CYCLE=%WORKFLOW_FIRST_CYCLE:1970010100% export WORKFLOW_LAST_CYCLE=%WORKFLOW_LAST_CYCLE:ETERNITY% export WORKFLOW_CYCLES_TO_GENERATE=%WORKFLOW_CYCLES_TO_GENERATE:5% @@ -62,3 +65,5 @@ make_next_cycles: &make_next_cycles_task !Task ./update_ecflow_workflow.sh "$WORKFLOW_EXPDIR" "$first_cycle" "$last_cycle" + cd / + rm -rf "$workdir" diff --git a/model/ecflow_fv3gfs/settings.yaml b/model/ecflow_fv3gfs/settings.yaml index f63d997..e9b1a87 100644 --- a/model/ecflow_fv3gfs/settings.yaml +++ b/model/ecflow_fv3gfs/settings.yaml @@ -41,3 +41,4 @@ settings: realtime: True archive_to_hpss: True four_cycle_mode: False + ecflow_machine: xc40-prod diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index 9ac2900..8d3ca77 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -64,6 +64,7 @@ suite: !Cycle edit ECF_TRIES '{doc.settings.max_job_tries}' edit ECF_HOME '{doc.settings.ECF_HOME}' edit CYC '{tools.strftime(suite.Clock.now,"%H")}' + edit MACHINE '{doc.settings.ecflow_machine}' edit ENVIR 'prod' edit E 'jecffv3' edit EMCPEN '{doc.settings.experiment_name}' @@ -87,19 +88,19 @@ suite: !Cycle gfs: !Family Complete: !Depend ~ suite.has_cycle('-6:00:00') ecflow_def: !expand | - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - edit PROJ '%PROJENVIR%' edit RUN 'gfs' dump_waiter: !Task <<: *dump_waiter_task - ecflow_def: !FirstTrue + Disable: !calc metasched.type != 'ecflow' + realtime_logic: !FirstTrue - when: !calc doc.settings.realtime do: "edit ECF_TRIES 72" - otherwise: "" + ecflow_def: !expand | + {realtime_logic} + edit DUMPDIR '{doc.settings.DMPDIR}' Trigger: !Depend up.gdas.post.at('-6:00:00') - Complete: !Depend ~ suite.has_cycle('-6:00:00') dump: !Family Dummy: true ## <-- Node is not implemented yet @@ -349,10 +350,9 @@ suite: !Cycle <<: *exclusive_task_template FHRGRP: '000' FHRLST: anl - more_vars: [ FHRGRP, FHRLST ] - ecflow_def: | - edit FHR 'anl' - edit HR 'anl' + more_vars: [ FHRGRP, FHRLST, FHR, HR ] + FHR: !expand 'anl' + HR: !expand 'anl' Trigger: !Depend jgfs_post_manager.release_postanl | up.forecast release_pgrb2_anl: !DataEvent {file="/dev/null"} resources: !calc ( doc.resources.run_gfspost ) @@ -365,11 +365,10 @@ suite: !Cycle resources: !calc doc.resources.run_gfspost Name: !expand jgfs_post_f{dimval.fhr:02d} FHRGRP: !expand "{dimidx.fhr+1:03d}" - FHRLST: !expand "{dimval.fhr:03d}" - ecflow_def: - edit FHR '{dimval.fhr:02d}' - edit HR '{dimval.fhr:02d}' - more_vars: [ FHRGRP, FHRLST ] + FHRLST: !expand "f{dimval.fhr:03d}" + FHR: !expand 'f{dimval.fhr:02d}' + HR: !expand '{dimval.fhr:02d}' + more_vars: [ FHRGRP, FHRLST, FHR, HR ] J_JOB: post.sh Trigger: !Depend jgfs_post_manager.depend("release_post{F:02d}",F=[dimval.fhr]) | up.forecast @@ -461,7 +460,8 @@ suite: !Cycle <<: *exclusive_task_template Trigger: !Depend post resources: !calc ( doc.resources.run_gfsvrfy ) - + J_JOB: vrfy.sh + #endfamily gempak #endfamily gfs @@ -471,10 +471,6 @@ suite: !Cycle gdas: !Family ecflow_def: !expand | - #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' - edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - edit PROJ '%PROJENVIR%' edit RUN 'gdas' jgdas_verfrad: !Task @@ -492,10 +488,13 @@ suite: !Cycle dump_waiter: !Task <<: *dump_waiter_task Disable: !calc metasched.type != 'ecflow' - ecflow_def: !FirstTrue + realtime_logic: !FirstTrue - when: !calc doc.settings.realtime do: "edit ECF_TRIES 72" - otherwise: "" + ecflow_def: !expand | + {realtime_logic} + edit DUMPDIR '{doc.settings.DMPDIR}' Trigger: !Depend post.at('-6:00:00') dump: !Family @@ -561,26 +560,26 @@ suite: !Cycle <<: *exclusive_task_template Trigger: !Depend ( up.prep.jgdas_prep & up.prep.jgdas_emcsfc_sfc_prep & up.enkf.jgdas_enkf_post.at('-6:00:00') ) #Trigger: !Depend ( up.prep.jgdas_prep & up.prep.jgdas_emcsfc_sfc_prep ) - release_fcst: !DataEvent {file="/dev/null"} + #release_fcst: !DataEvent {file="/dev/null"} resources: !calc ( doc.resources.run_anal ) J_JOB: anal.sh forecast: !Family jgdas_forecast_high: !Task <<: *exclusive_task_template - Dummy: true ## <-- Node is not implemented yet - Trigger: !Depend ( up.analysis.jgdas_analysis_high.release_fcst & up.enkf.innovate ) | ~ suite.has_cycle('-6:00:00') - release_fcst: !DataEvent {file="/dev/null"} + Trigger: !Depend ( up.analysis.jgdas_analysis_high & up.enkf.innovate ) | ~ suite.has_cycle('-6:00:00') + #release_fcst: !DataEvent {file="/dev/null"} resources: !calc ( doc.resources.run_gdasfcst ) - J_JOB: JGLOBAL_FORECAST + J_JOB: fcst.sh jgdas_forecast: !Task <<: *exclusive_task_template - Trigger: !Depend ( up.analysis.jgdas_analysis_high.release_fcst & up.enkf.innovate ) - release_fcst: !DataEvent {file="/dev/null"} + Trigger: !Depend ( up.analysis.jgdas_analysis_high & up.enkf.innovate ) | ~ suite.has_cycle('-6:00:00') + #release_fcst: !DataEvent {file="/dev/null"} #resources: !calc ( doc.resources.run_gdasfcst ) resources: !calc ( doc.resources.run_nothing ) J_JOB: fcst.sh + Dummy: true ## <-- Node is not implemented yet post_processing: !Family Dummy: true ## <-- Node is not implemented yet @@ -636,12 +635,12 @@ suite: !Cycle # NOTE: the above files must match post_manager.yaml jgdas_post_anl: !Task - ecflow_def: | - edit FHRGRP '000' - edit FHRLST 'anl' - edit FHR 'anl' - edit HR 'anl' <<: *exclusive_task_template + FHR: 'anl' + HR: 'anl' + FHRGRP: '000' + FHRLST: 'anl' + more_vars: [ FHRGRP, FHRLST, HR, FHR ] Trigger: !Depend jgdas_post_manager.release_postanl | up.forecast release_pgrb2_anl: !DataEvent {file="/dev/null"} resources: !calc ( doc.resources.run_gdaspost ) @@ -652,19 +651,20 @@ suite: !Cycle Foreach: [ fhr ] resources: !calc doc.resources.run_gdaspost Name: !expand jgdas_post_f{dimval.fhr:02d} - ecflow_def: | - edit FHRGRP '{dimidx.fhr+1:03d}' - edit FHRLST 'f{dimval.fhr:03d}' - edit FHR 'f{dimval.fhr:02d}' - edit HR '{dimval.fhr:02d}' + FHRGRP: !expand '{dimidx.fhr+1:03d}' + FHRLST: !expand 'f{dimval.fhr:03d}' + FHR: !expand 'f{dimval.fhr:02d}' + HR: !expand '{dimval.fhr:02d}' J_JOB: post.sh Trigger: !Depend jgdas_post_manager.depend("release_post{F:02d}",F=[dimval.fhr]) | up.forecast + more_vars: [ FHR, HR, FHRGRP, FHRLST ] #endfamily post jgdas_emc_vrfy: !Task <<: *exclusive_task_template Trigger: !Depend post resources: !calc ( doc.resources.run_gdasvrfy ) + J_JOB: vrfy.sh enkf: !Family jgdas_enkf_select_obs: !Task From b14000dc53e13f947a7b3578dfcf1c19e16c5984 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 26 Feb 2018 16:37:22 +0000 Subject: [PATCH 366/487] Workarounds for jobs that do not make their directories --- model/ecflow_fv3gfs/begin_ecflow_workflow.sh | 2 ++ model/ecflow_fv3gfs/task.yaml | 3 +++ 2 files changed, 5 insertions(+) diff --git a/model/ecflow_fv3gfs/begin_ecflow_workflow.sh b/model/ecflow_fv3gfs/begin_ecflow_workflow.sh index 3cda469..083229a 100755 --- a/model/ecflow_fv3gfs/begin_ecflow_workflow.sh +++ b/model/ecflow_fv3gfs/begin_ecflow_workflow.sh @@ -105,6 +105,8 @@ make_yaml_files() { echo "EXPDIR=\"$EXPDIR\"" > "$tmpfile" + mkdir -p "$EXPDIR"/logs + set +ue ( maybe_verbose_source "$CONFIGDIR"/config.earc ; echo "export NMEM_EARCGRP=\"$NMEM_EARCGRP\"" >> "$tmpfile" ) diff --git a/model/ecflow_fv3gfs/task.yaml b/model/ecflow_fv3gfs/task.yaml index 04546f9..8150f8f 100644 --- a/model/ecflow_fv3gfs/task.yaml +++ b/model/ecflow_fv3gfs/task.yaml @@ -56,6 +56,9 @@ task_template: &task_template {ecf_resource_more} + # Workaround for vrfy bug + mkdir -p %COM%/logs/%PDY%%CYC%/ + export HOMEgfs=%HOMEgfs% export CDUMP=%RUN% {ecf_more_exports} From fd85bbd7c15e83258f3af427f204bcc6c956ad21 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 28 Feb 2018 16:36:55 +0000 Subject: [PATCH 367/487] Increase wallclock limit for archive job, slightly. --- model/ecflow_fv3gfs/resources_C192_C192.yaml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/model/ecflow_fv3gfs/resources_C192_C192.yaml b/model/ecflow_fv3gfs/resources_C192_C192.yaml index 3f1933a..0926ea6 100644 --- a/model/ecflow_fv3gfs/resources_C192_C192.yaml +++ b/model/ecflow_fv3gfs/resources_C192_C192.yaml @@ -171,7 +171,7 @@ resources: - memory: "3072M" exclusive: false mpi_ranks: 1 - walltime: !timedelta "01:00:00" + walltime: !timedelta "01:40:00" exe: placeholder max_ppn: 1 OMP_NUM_THREADS: 2 From 2b3baa8b87e231d36e9a539b9205518e4fc092de Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Wed, 28 Feb 2018 16:37:25 +0000 Subject: [PATCH 368/487] update to run J-Jobs directly instead of rocoto jobs, when j-jobs exist --- model/ecflow_fv3gfs/suite_def.yaml | 83 +++++++++++++++++++++--------- model/ecflow_fv3gfs/task.yaml | 10 ++-- 2 files changed, 64 insertions(+), 29 deletions(-) diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index 8d3ca77..79bd28a 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -136,7 +136,7 @@ suite: !Cycle Trigger: !Depend up.dump #Trigger: !Depend ( up.dump.jgfs_dump & up.dump.jgfs_tropcy_qc_reloc resources: !calc ( doc.resources.run_prep ) - J_JOB: prep.sh + J_JOB: rocoto/prep.sh jgfs_prep_post: !Task <<: *exclusive_task_template @@ -149,7 +149,7 @@ suite: !Cycle Trigger: !Depend ( prep.jgfs_prep & prep.jgfs_emcsfc_sfc_prep & up.gdas.enkf.jgdas_enkf_post.at('-6:00:00') ) #Trigger: !Depend ( prep.jgfs_prep & prep.jgfs_emcsfc_sfc_prep ) resources: !calc ( doc.resources.run_anal ) - J_JOB: anal.sh + J_JOB: JGLOBAL_ANALYSIS Complete: !Depend ~ suite.has_cycle('-6:00:00') jgfs_vminmon: !Task @@ -164,7 +164,7 @@ suite: !Cycle <<: *exclusive_task_template Trigger: !Depend up.jgfs_analysis resources: !calc ( doc.resources.run_gfsfcst ) - J_JOB: fcst.sh + J_JOB: JGLOBAL_FORECAST jgfs_forecast_low: !Task <<: *exclusive_task_template @@ -356,7 +356,12 @@ suite: !Cycle Trigger: !Depend jgfs_post_manager.release_postanl | up.forecast release_pgrb2_anl: !DataEvent {file="/dev/null"} resources: !calc ( doc.resources.run_gfspost ) - J_JOB: post.sh + J_JOB: JGLOBAL_NCEPPOST + ecflow_command: !expand | + export post_times=anl FHRLST={FHRLST} FHRGRP={FHRGRP} + $HOMEgfs/jobs/{J_JOB} + rocoto_command: !expand >- + /usr/bin/env post_times=anl &HOMEgfs;/jobs/{J_JOB} more_vars: [ FHRGRP, FHRLST ] jgfs_post_fhr_el: !TaskElement @@ -369,8 +374,13 @@ suite: !Cycle FHR: !expand 'f{dimval.fhr:02d}' HR: !expand '{dimval.fhr:02d}' more_vars: [ FHRGRP, FHRLST, FHR, HR ] - J_JOB: post.sh + J_JOB: JGLOBAL_NCEPPOST Trigger: !Depend jgfs_post_manager.depend("release_post{F:02d}",F=[dimval.fhr]) | up.forecast + ecflow_command: !expand | + export post_times={dimval.fhr:03d} FHRLST={FHRLST} FHRGRP={FHRGRP} + $HOMEgfs/jobs/{J_JOB} + rocoto_command: !expand >- + /usr/bin/env post_times={dimval.fhr:03d} &HOMEgfs;/jobs/{J_JOB} jgfs_pgrb2_spec_post: !FirstTrue - when: !calc max(doc.settings.gfs_forecast_hours)>=384 @@ -460,7 +470,8 @@ suite: !Cycle <<: *exclusive_task_template Trigger: !Depend post resources: !calc ( doc.resources.run_gfsvrfy ) - J_JOB: vrfy.sh + J_JOB: rocoto/vrfy.sh + ecf_module_commands: "# vrfy.sh will load modules instead" #endfamily gempak #endfamily gfs @@ -547,7 +558,9 @@ suite: !Cycle Trigger: !Depend (up.dump.jgdas_dump & up.dump.jgdas_tropcy_qc_reloc & up.up.gdas.post.at('-6:00:00') ) #Trigger: !Depend ( up.dump.jgdas_dump & up.dump.jgdas_tropcy_qc_reloc ) resources: !calc ( doc.resources.run_prep ) - J_JOB: prep.sh + J_JOB: rocoto/prep.sh + ecf_module_commands: "# prep.sh will load modules instead" + jgdas_prep_post: !Task <<: *exclusive_task_template @@ -562,7 +575,7 @@ suite: !Cycle #Trigger: !Depend ( up.prep.jgdas_prep & up.prep.jgdas_emcsfc_sfc_prep ) #release_fcst: !DataEvent {file="/dev/null"} resources: !calc ( doc.resources.run_anal ) - J_JOB: anal.sh + J_JOB: JGLOBAL_ANALYSIS forecast: !Family jgdas_forecast_high: !Task @@ -570,7 +583,7 @@ suite: !Cycle Trigger: !Depend ( up.analysis.jgdas_analysis_high & up.enkf.innovate ) | ~ suite.has_cycle('-6:00:00') #release_fcst: !DataEvent {file="/dev/null"} resources: !calc ( doc.resources.run_gdasfcst ) - J_JOB: fcst.sh + J_JOB: JGLOBAL_FORECAST jgdas_forecast: !Task <<: *exclusive_task_template @@ -578,7 +591,7 @@ suite: !Cycle #release_fcst: !DataEvent {file="/dev/null"} #resources: !calc ( doc.resources.run_gdasfcst ) resources: !calc ( doc.resources.run_nothing ) - J_JOB: fcst.sh + J_JOB: JGLOBAL_FORECAST Dummy: true ## <-- Node is not implemented yet post_processing: !Family @@ -644,7 +657,12 @@ suite: !Cycle Trigger: !Depend jgdas_post_manager.release_postanl | up.forecast release_pgrb2_anl: !DataEvent {file="/dev/null"} resources: !calc ( doc.resources.run_gdaspost ) - J_JOB: post.sh + J_JOB: JGLOBAL_NCEPPOST + ecflow_command: !expand | + export post_times=anl FHRLST={FHRLST} FHRGRP={FHRGRP} + $HOMEgfs/jobs/{J_JOB} + rocoto_command: !expand >- + /usr/bin/env post_times=anl &HOMEgfs;/jobs/{J_JOB} jgdas_post_fhr_el: !TaskElement <<: *exclusive_task_template @@ -655,7 +673,12 @@ suite: !Cycle FHRLST: !expand 'f{dimval.fhr:03d}' FHR: !expand 'f{dimval.fhr:02d}' HR: !expand '{dimval.fhr:02d}' - J_JOB: post.sh + J_JOB: JGLOBAL_NCEPPOST + ecflow_command: !expand | + export post_times={dimval.fhr:03d} FHRLST={FHRLST} FHRGRP={FHRGRP} + $HOMEgfs/jobs/{J_JOB} + rocoto_command: !expand >- + /usr/bin/env post_times={dimval.fhr:03d} &HOMEgfs;/jobs/{J_JOB} Trigger: !Depend jgdas_post_manager.depend("release_post{F:02d}",F=[dimval.fhr]) | up.forecast more_vars: [ FHR, HR, FHRGRP, FHRLST ] #endfamily post @@ -664,7 +687,8 @@ suite: !Cycle <<: *exclusive_task_template Trigger: !Depend post resources: !calc ( doc.resources.run_gdasvrfy ) - J_JOB: vrfy.sh + J_JOB: rocoto/vrfy.sh + ecf_module_commands: "# vrfy.sh will load modules instead" enkf: !Family jgdas_enkf_select_obs: !Task @@ -673,7 +697,7 @@ suite: !Cycle #Trigger: !Depend ( up.prep.jgdas_prep ) resources: !calc ( doc.resources.run_eobs ) Complete: !Depend ~ suite.has_cycle('-6:00:00') - J_JOB: eobs.sh + J_JOB: JGDAS_ENKF_SELECT_OBS innovate: !TaskArray Trigger: !Depend jgdas_enkf_select_obs @@ -685,7 +709,7 @@ suite: !Cycle Foreach: [ groupid ] Name: !expand "jgdas_enkf_innovate_obs_grp{dimval.groupid}" resources: !calc ( doc.resources.run_eomg ) - J_JOB: eomg.sh + J_JOB: JGDAS_ENKF_INNOVATE_OBS ENSGRP: !expand "{dimval.groupid:02d}" more_vars: [ ENSGRP ] @@ -696,13 +720,13 @@ suite: !Cycle Trigger: !Depend innovate Complete: !Depend ~ suite.has_cycle('-6:00:00') resources: !calc ( doc.resources.run_eupd ) - J_JOB: eupd.sh + J_JOB: JGDAS_ENKF_UPDATE jgdas_enkf_inflate_recenter: !Task <<: *exclusive_task_template Trigger: !Depend ( jgdas_enkf_update & up.analysis.jgdas_analysis_high ) resources: !calc ( doc.resources.run_ecen ) - J_JOB: ecen.sh + J_JOB: JGDAS_ENKF_RECENTER Complete: !Depend ~ suite.has_cycle('-6:00:00') forecast: !TaskArray @@ -715,7 +739,7 @@ suite: !Cycle Foreach: [ groupid ] Name: !expand "jgdas_enkf_fcst_grp{dimval.groupid}" resources: !calc ( doc.resources.run_efcs ) - J_JOB: efcs.sh + J_JOB: JGDAS_ENKF_FCST ENSGRP: !expand "{dimval.groupid:02d}" more_vars: [ ENSGRP ] @@ -723,7 +747,7 @@ suite: !Cycle <<: *exclusive_task_template Trigger: !Depend forecast resources: !calc ( doc.resources.run_epos ) - J_JOB: epos.sh + J_JOB: JGDAS_ENKF_POST #endfamily enkf #endfamily gdas @@ -760,11 +784,15 @@ suite: !Cycle jgdas_archive: !Task <<: *service_task_template AlarmName: gdas - Trigger: !Depend up.gdas.jgdas_emc_vrfy + Trigger: !Depend up.gdas + #Trigger: !Depend up.gdas.jgdas_emc_vrfy resources: !calc ( doc.resources.run_arch ) Disable: !calc not doc.settings.archive_to_hpss - J_JOB: arch.sh + J_JOB: rocoto/arch.sh + ecf_module_commands: "# arch.sh will load modules instead" RUN: gdas + ecflow_def: + time +00:10 jgdas_enkf_archive: !TaskArray AlarmName: gdas @@ -774,12 +802,14 @@ suite: !Cycle grp: !TaskElement <<: *service_task_template resources: !calc ( doc.resources.run_arch ) - J_JOB: earc.sh + J_JOB: rocoto/earc.sh + ecf_module_commands: "# arch.sh will load modules instead" Foreach: [ groupid ] Name: !expand "grp{dimval.groupid:02d}" - more_vars: [ ENSGRP ] - ENSGRP: !expand "{dimval.groupid:02d}" RUN: gdas + ecflow_def: !expand | + time +00:10 + edit ENSGRP '{dimval.groupid:02d}' jgfs_archive: !Task <<: *service_task_template @@ -788,8 +818,11 @@ suite: !Cycle Trigger: !Depend up.gfs.jgfs_emc_vrfy resources: !calc ( doc.resources.run_arch ) Disable: !calc not doc.settings.archive_to_hpss - J_JOB: arch.sh + J_JOB: rocoto/arch.sh + ecf_module_commands: "# arch.sh will load modules instead" RUN: gfs + ecflow_def: + time +00:10 final: !Task <<: *service_task_template diff --git a/model/ecflow_fv3gfs/task.yaml b/model/ecflow_fv3gfs/task.yaml index 8150f8f..745511d 100644 --- a/model/ecflow_fv3gfs/task.yaml +++ b/model/ecflow_fv3gfs/task.yaml @@ -2,8 +2,9 @@ task_template: &task_template Template: *task_validator - rocoto_command: !expand "&HOMEgfs;/jobs/rocoto/{J_JOB}" - ecflow_command: !expand "${{HOMEgfs}}/jobs/rocoto/{J_JOB}" + rocoto_command: !expand >- + source &HOMEgfs;/ush/load_fv3gfs_modules.sh ; &HOMEgfs;/jobs/{J_JOB} + ecflow_command: !expand "${{HOMEgfs}}/jobs/{J_JOB}" Rocoto: !expand | {rocoto_command} @@ -69,7 +70,8 @@ task_template: &task_template ############################################################ #. $MODULESHOME/init/sh {ecf_module_commands} - #module list + module load ecflow + module list ############################################################# # WCOSS environment settings @@ -92,7 +94,7 @@ task_template: &task_template # FIXME: Insert manual for this job. ecf_module_commands: |- - # Add any "module" commands here (switch, load, use, etc.) + source "$HOMEgfs/ush/load_fv3gfs_modules.sh" ecf_environment_settings: |- # Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. From d1bac8911377e0dc7315b17d810afb80bac99f1d Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 5 Mar 2018 14:48:50 +0000 Subject: [PATCH 369/487] Delete begin_ecflow_workflow.sh. It is usually unwise to automatically begin a new ecFlow workflow --- model/ecflow_fv3gfs/begin_ecflow_workflow.sh | 162 ------------------- 1 file changed, 162 deletions(-) delete mode 100755 model/ecflow_fv3gfs/begin_ecflow_workflow.sh diff --git a/model/ecflow_fv3gfs/begin_ecflow_workflow.sh b/model/ecflow_fv3gfs/begin_ecflow_workflow.sh deleted file mode 100755 index 083229a..0000000 --- a/model/ecflow_fv3gfs/begin_ecflow_workflow.sh +++ /dev/null @@ -1,162 +0,0 @@ -#! /bin/bash - -set -ue - -# Get the directory in which this script resides. We'll assume the -# yaml files are there: -dir0=$( dirname "$0" ) -here=$( cd "$dir0" ; pwd -P ) - -export WORKTOOLS_VERBOSE=NO - -crowdir=$( cd ../../ ; pwd -P ) - -# Make sure this directory is in the python path so we find worktools.py: -export PYTHONPATH=$here:$crowdir:${PYTHONPATH:+:$PYTHONPATH} - -# Parse arguments: -if [[ "$1" == "-v" ]] ; then - export WORKTOOLS_VERBOSE=YES - shift 1 -fi -export CONFIGDIR="$1" - -if [[ ! -d /usrx/local || -e /etc/redhat-release ]] ; then - echo "ERROR: This script only runs on WCOSS Cray" 1>&2 - exit 1 -fi - -if ( ! which ecflow_client > /dev/null 2>&1 ) ; then - echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." - exit 1 -fi - -if [[ "${ECF_ROOT:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_ROOT" - exit 1 -fi - -if [[ "${ECF_HOME:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_HOME. I suggest \$ECF_ROOT/submit" - exit 1 -fi - -if [[ "${ECF_HOST:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_HOST." - exit 1 -fi - -if [[ "${ECF_PORT:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_PORT. See /usrx/local/sys/ecflow/assigned_ports.txt" - exit 1 -fi - -export ECF_HOME="${ECF_HOME:-$ECF_ROOT/submit}" - -if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - echo "begin_ecflow_workflow.sh: verbose mode" -fi - -echo 'ecFlow server settings:' -echo " port: $ECF_PORT" -echo " root: $ECF_ROOT" -echo " home: $ECF_HOME" -echo " host: $ECF_HOST" - -set +e -if ( ! which python3 > /dev/null 2>&1 || \ - ! python3 -c 'import yaml ; f{"1+1"}' > /dev/null 2>&1 ) ; then - python36=/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/python/3.6.1-emc/bin/python3.6 -else - python36="$( which python3 )" -fi -set -e - -tmpfile=${TMPDIR:-/tmp}/find-expdir.$RANDOM.$RANDOM.$$ - -maybe_verbose_source() { - if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - echo "$1: source" - source "$1" - else - source "$1" > /dev/null 2>&1 - fi -} - -make_yaml_files() { - if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - set -x - fi - - # NOTE: Sourcing config.base clobbers the ecflow variables, so we - # must do it in a subshell. - set +ue - maybe_verbose_source "$CONFIGDIR"/config.base - set -ue - - if [[ "$FHMAX_GFS" != 240 ]] ; then - echo "ERROR: This script requires FHMAX_GFS = 240" 1>&2 - exit 1 - fi - - if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - set -x - fi - - echo "EXPDIR=\"$EXPDIR\"" > "$tmpfile" - - mkdir -p "$EXPDIR"/logs - - set +ue - ( maybe_verbose_source "$CONFIGDIR"/config.earc ; - echo "export NMEM_EARCGRP=\"$NMEM_EARCGRP\"" >> "$tmpfile" ) - ( maybe_verbose_source "$CONFIGDIR"/config.efcs ; - echo "export NMEM_EFCSGRP=\"$NMEM_EFCSGRP\"" >> "$tmpfile" ) - ( maybe_verbose_source "$CONFIGDIR"/config.eobs ; - echo "export NMEM_EOMGGRP=\"$NMEM_EOMGGRP\"" >> "$tmpfile" ) - ( maybe_verbose_source "$CONFIGDIR"/config.fcst ; - echo "export layout_x=\"$layout_x\"" >> "$tmpfile" ; - echo "export layout_y=\"$layout_y\"" >> "$tmpfile" ; - echo "export WRITE_GROUP=\"$WRITE_GROUP\"" >> "$tmpfile" ; - echo "export WRTTASK_PER_GROUP=\"$WRTTASK_PER_GROUP\"" >> "$tmpfile" ) - set -ue - - source "$tmpfile" - - $python36 -c "import worktools ; worktools.make_yaml_files('$here','$EXPDIR')" -} - -if ( ! ( make_yaml_files ) ) ; then - echo "Failed to make YAML files" - exit 1 -fi - -source "$tmpfile" -rm -f "$tmpfile" - -if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - set -x -fi - -if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - /ecf/devutils/server_check.sh "$ECF_ROOT" "$ECF_PORT" || true -else - /ecf/devutils/server_check.sh "$ECF_ROOT" "$ECF_PORT" > /dev/null 2>&1 || true -fi - -if ( ! ecflow_client --ping ) ; then - echo "Could not connect to ecflow server. Aborting." - exit 1 -fi - -if ( ! ecflow_client --get=/totality_limit > /dev/null 2>&1 ) ; then - ecflow_client --load ./totality_limit.def -fi - -$python36 -c "import worktools ; worktools.create_and_begin_ecflow_workflow('$EXPDIR')" - - - - - - From 3cd7b57126c3552edcf4210ba5cfbf1a9afd4cfe Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 5 Mar 2018 14:49:29 +0000 Subject: [PATCH 370/487] load_ecflow_workflow.sh: replacement for begin_ecflow_workflow.sh --- model/ecflow_fv3gfs/load_ecflow_workflow.sh | 161 ++++++++++++++++++++ 1 file changed, 161 insertions(+) create mode 100755 model/ecflow_fv3gfs/load_ecflow_workflow.sh diff --git a/model/ecflow_fv3gfs/load_ecflow_workflow.sh b/model/ecflow_fv3gfs/load_ecflow_workflow.sh new file mode 100755 index 0000000..8d1bf06 --- /dev/null +++ b/model/ecflow_fv3gfs/load_ecflow_workflow.sh @@ -0,0 +1,161 @@ +#! /bin/bash + +set -ue + +# Get the directory in which this script resides. We'll assume the +# yaml files are there: +dir0=$( dirname "$0" ) +here=$( cd "$dir0" ; pwd -P ) + +export WORKTOOLS_VERBOSE=NO + +crowdir=$( cd ../../ ; pwd -P ) + +# Make sure this directory is in the python path so we find worktools.py: +export PYTHONPATH=$here:$crowdir:${PYTHONPATH:+:$PYTHONPATH} + +# Parse arguments: +if [[ "$1" == "-v" ]] ; then + export WORKTOOLS_VERBOSE=YES + shift 1 +fi +export CONFIGDIR="$1" + +if [[ ! -d /usrx/local || -e /etc/redhat-release ]] ; then + echo "ERROR: This script only runs on WCOSS Cray" 1>&2 + exit 1 +fi + +if ( ! which ecflow_client > /dev/null 2>&1 ) ; then + echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." + exit 1 +fi + +if [[ "${ECF_ROOT:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_ROOT" + exit 1 +fi + +if [[ "${ECF_HOME:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_HOME. I suggest \$ECF_ROOT/submit" + exit 1 +fi + +if [[ "${ECF_HOST:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_HOST." + exit 1 +fi + +if [[ "${ECF_PORT:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_PORT. See /usrx/local/sys/ecflow/assigned_ports.txt" + exit 1 +fi + +export ECF_HOME="${ECF_HOME:-$ECF_ROOT/submit}" + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + echo "load_ecflow_workflow.sh: verbose mode" +fi + +echo 'ecFlow server settings:' +echo " port: $ECF_PORT" +echo " root: $ECF_ROOT" +echo " home: $ECF_HOME" +echo " host: $ECF_HOST" + +set +e +if ( ! which python3 > /dev/null 2>&1 || \ + ! python3 -c 'import yaml ; f{"1+1"}' > /dev/null 2>&1 ) ; then + python36=/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/python/3.6.1-emc/bin/python3.6 +else + python36="$( which python3 )" +fi +set -e + +tmpfile=${TMPDIR:-/tmp}/find-expdir.$RANDOM.$RANDOM.$$ + +maybe_verbose_source() { + if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + echo "$1: source" + source "$1" + else + source "$1" > /dev/null 2>&1 + fi +} + +make_yaml_files() { + if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + set -x + fi + + # NOTE: Sourcing config.base clobbers the ecflow variables, so we + # must do it in a subshell. + set +ue + maybe_verbose_source "$CONFIGDIR"/config.base + set -ue + + if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + set -x + fi + + echo "EXPDIR=\"$EXPDIR\"" > "$tmpfile" + + mkdir -p "$EXPDIR"/logs + + set +ue + ( maybe_verbose_source "$CONFIGDIR"/config.earc ; + echo "export NMEM_EARCGRP=\"$NMEM_EARCGRP\"" >> "$tmpfile" ) + ( maybe_verbose_source "$CONFIGDIR"/config.efcs ; + echo "export NMEM_EFCSGRP=\"$NMEM_EFCSGRP\"" >> "$tmpfile" ; + echo "export ENKF_layout_x=\"$layout_x\"" >> "$tmpfile" ; + echo "export ENKF_layout_y=\"$layout_y\"" >> "$tmpfile" ; + echo "export ENKF_WRITE_GROUP=\"$WRITE_GROUP\"" >> "$tmpfile" ; + echo "export ENKF_WRTTASK_PER_GROUP=\"$WRTTASK_PER_GROUP\"" >> "$tmpfile" ) + ( maybe_verbose_source "$CONFIGDIR"/config.eobs ; + echo "export NMEM_EOMGGRP=\"$NMEM_EOMGGRP\"" >> "$tmpfile" ) + ( maybe_verbose_source "$CONFIGDIR"/config.fcst ; + echo "export layout_x=\"$layout_x\"" >> "$tmpfile" ; + echo "export layout_y=\"$layout_y\"" >> "$tmpfile" ; + echo "export WRITE_GROUP=\"$WRITE_GROUP\"" >> "$tmpfile" ; + echo "export WRTTASK_PER_GROUP=\"$WRTTASK_PER_GROUP\"" >> "$tmpfile" ) + set -ue + + source "$tmpfile" + + $python36 -c "import worktools ; worktools.make_yaml_files('$here','$EXPDIR')" +} + +if ( ! ( make_yaml_files ) ) ; then + echo "Failed to make YAML files" + exit 1 +fi + +source "$tmpfile" +rm -f "$tmpfile" + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + set -x +fi + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + /ecf/devutils/server_check.sh "$ECF_ROOT" "$ECF_PORT" || true +else + /ecf/devutils/server_check.sh "$ECF_ROOT" "$ECF_PORT" > /dev/null 2>&1 || true +fi + +if ( ! ecflow_client --ping ) ; then + echo "Could not connect to ecflow server. Aborting." + exit 1 +fi + +if ( ! ecflow_client --get=/totality_limit > /dev/null 2>&1 ) ; then + ecflow_client --load ./totality_limit.def +fi + +$python36 -c "import worktools ; worktools.create_and_load_ecflow_workflow('$EXPDIR',begin=False)" + + + + + + From cf0ca930c7aac2b821a497370a349790e30d40ea Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 5 Mar 2018 14:50:37 +0000 Subject: [PATCH 371/487] Changes needed to get operational configuration of C768 to work --- model/ecflow_fv3gfs/config_files.yaml | 10 ++ .../ecflow_fv3gfs/remake_ecflow_files_for.sh | 13 +- model/ecflow_fv3gfs/resources_C768_C384.yaml | 138 +++++++++++------- model/ecflow_fv3gfs/settings.yaml | 2 +- model/ecflow_fv3gfs/suite_def.yaml | 3 +- model/ecflow_fv3gfs/worktools.py | 23 ++- 6 files changed, 124 insertions(+), 65 deletions(-) diff --git a/model/ecflow_fv3gfs/config_files.yaml b/model/ecflow_fv3gfs/config_files.yaml index 9c69950..0559b8b 100644 --- a/model/ecflow_fv3gfs/config_files.yaml +++ b/model/ecflow_fv3gfs/config_files.yaml @@ -40,3 +40,13 @@ config_files: WRITE_GROUP: !Immediate [ !calc ' int(tools.env("WRITE_GROUP"),10) ' ] WRTTASK_PER_GROUP: !Immediate - !calc int(tools.env("WRTTASK_PER_GROUP"),10) + + # config.efcs / config.fv3: + ENKF_layout_x: !Immediate + - !calc ' int(tools.env("ENKF_layout_x"),10) ' + ENKF_layout_y: !Immediate + - !calc ' int(tools.env("ENKF_layout_y"),10) ' + ENKF_WRITE_GROUP: !Immediate + - !calc ' int(tools.env("ENKF_WRITE_GROUP"),10) ' + ENKF_WRTTASK_PER_GROUP: !Immediate + - !calc int(tools.env("ENKF_WRTTASK_PER_GROUP"),10) diff --git a/model/ecflow_fv3gfs/remake_ecflow_files_for.sh b/model/ecflow_fv3gfs/remake_ecflow_files_for.sh index 434ed7d..2386510 100755 --- a/model/ecflow_fv3gfs/remake_ecflow_files_for.sh +++ b/model/ecflow_fv3gfs/remake_ecflow_files_for.sh @@ -95,11 +95,6 @@ make_yaml_files() { set +ue maybe_verbose_source "$CONFIGDIR"/config.base set -ue - - if [[ "$FHMAX_GFS" != 240 ]] ; then - echo "ERROR: This script requires FHMAX_GFS = 240" 1>&2 - exit 1 - fi if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then set -x @@ -107,11 +102,17 @@ make_yaml_files() { echo "EXPDIR=\"$EXPDIR\"" > "$tmpfile" + mkdir -p "$EXPDIR"/logs + set +ue ( maybe_verbose_source "$CONFIGDIR"/config.earc ; echo "export NMEM_EARCGRP=\"$NMEM_EARCGRP\"" >> "$tmpfile" ) ( maybe_verbose_source "$CONFIGDIR"/config.efcs ; - echo "export NMEM_EFCSGRP=\"$NMEM_EFCSGRP\"" >> "$tmpfile" ) + echo "export NMEM_EFCSGRP=\"$NMEM_EFCSGRP\"" >> "$tmpfile" ; + echo "export ENKF_layout_x=\"$layout_x\"" >> "$tmpfile" ; + echo "export ENKF_layout_y=\"$layout_y\"" >> "$tmpfile" ; + echo "export ENKF_WRITE_GROUP=\"$WRITE_GROUP\"" >> "$tmpfile" ; + echo "export ENKF_WRTTASK_PER_GROUP=\"$WRTTASK_PER_GROUP\"" >> "$tmpfile" ) ( maybe_verbose_source "$CONFIGDIR"/config.eobs ; echo "export NMEM_EOMGGRP=\"$NMEM_EOMGGRP\"" >> "$tmpfile" ) ( maybe_verbose_source "$CONFIGDIR"/config.fcst ; diff --git a/model/ecflow_fv3gfs/resources_C768_C384.yaml b/model/ecflow_fv3gfs/resources_C768_C384.yaml index d8402cc..f717887 100644 --- a/model/ecflow_fv3gfs/resources_C768_C384.yaml +++ b/model/ecflow_fv3gfs/resources_C768_C384.yaml @@ -1,16 +1,11 @@ resources: - run_test: !JobRequest - - exe: nothing - mpi_ranks: 1 - # From if[[...ecen]] block in config.resources: run_ecen: !JobRequest - - memory: "3072M" - walltime: 00:02:00 + - memory: "254M" + walltime: !timedelta "00:30:00" mpi_ranks: 80 exe: placeholder - # max_ppn comes from THEIA.env: 84/12 = 7 max_ppn: 4 OMP_NUM_THREADS: 2 @@ -22,31 +17,53 @@ resources: run_nothing: !JobRequest # Special placeholder for "do nothing" - memory: "300M" - exe: nothing + exe: placeholder + walltime: !timedelta "00:02:00" + exclusive: false + + run_one_hour_exclusive: !JobRequest # Placeholder for one node jobs + - memory: "2000M" + exe: placeholder + mpi_ranks: 0 + walltime: !timedelta "00:02:00" + exclusive: true + + run_dump_waiter: !JobRequest + - memory: "300M" + exe: placeholder + walltime: !FirstTrue + - when: !calc doc.settings.realtime + do: !timedelta "01:00:00" + - otherwise: !timedelta "00:05:00" + + run_make_next_cycles: !JobRequest + - memory: "300M" + exe: placeholder + walltime: !timedelta "00:15:00" run_eobs: !JobRequest - memory: "3072M" - walltime: 00:02:00 - mpi_ranks: !calc 12*6 + walltime: !timedelta "00:30:00" + mpi_ranks: !calc 12*12 exe: placeholder - max_ppn: 6 - OMP_NUM_THREADS: 4 + max_ppn: 12 + OMP_NUM_THREADS: 2 run_eomg: !JobRequest - memory: "3072M" - walltime: 00:02:00 - mpi_ranks: !calc 6*12 + walltime: !timedelta "03:00:00" + mpi_ranks: !calc 12*12 exe: placeholder - max_ppn: 6 + max_ppn: 12 OMP_NUM_THREADS: 2 run_eupd: !JobRequest - memory: "3072M" - walltime: 00:02:00 - mpi_ranks: !calc 20*12 + walltime: !timedelta "00:30:00" + mpi_ranks: !calc 40*6 exe: placeholder max_ppn: 6 - OMP_NUM_THREADS: 2 + OMP_NUM_THREADS: 4 # run_enkf: !JobRequest # pi_ranks: !calc 10*12 @@ -56,34 +73,34 @@ resources: # OMP_NUM_THREADS: 4 run_efcs: !JobRequest - - walltime: 00:02:00 - mpi_ranks: !calc "doc.settings.layout_x*doc.settings.layout_y*6" + - walltime: !timedelta "03:00:00" + mpi_ranks: !calc >- + doc.settings.ENKF_layout_x*doc.settings.ENKF_layout_y*6 + + doc.settings.ENKF_WRITE_GROUP*doc.settings.ENKF_WRTTASK_PER_GROUP max_ppn: 12 memory: "254M" run_epos: !JobRequest - memory: "254M" mpi_ranks: 80 - walltime: 00:02:00 + walltime: !timedelta "02:00:00" exe: placeholder - max_ppn: 4 - OMP_NUM_THREADS: 2 + max_ppn: 1 + OMP_NUM_THREADS: 24 run_prep: !JobRequest - memory: "3072M" - walltime: 00:02:00 + walltime: !timedelta "00:15:00" + max_ppn: 2 mpi_ranks: 6 exe: placeholder - max_ppn: 2 - OMP_NUM_THREADS: max run_anal: !JobRequest - memory: "3072M" - mpi_ranks: 360 - walltime: 00:02:00 + mpi_ranks: !calc 60*6 + walltime: !timedelta "1:30:00" exe: placeholder max_ppn: 6 - OMP_NUM_THREADS: 2 # run_gsi: !JobRequest # pi_ranks: !calc 24*6 @@ -93,46 +110,68 @@ resources: # OMP_NUM_THREADS: 4 run_gdasfcst: !JobRequest - - mpi_ranks: !calc "doc.settings.layout_x*doc.settings.layout_y*6" - walltime: 00:02:00 + - mpi_ranks: !calc >- + doc.settings.layout_x*doc.settings.layout_y*6 + + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP + walltime: !timedelta "01:00:00" max_ppn: 12 memory: "1024M" + run_gdas_post_manager: !JobRequest + - memory: "300M" + exe: placeholder + walltime: !calc >- + doc.resources.run_gdasfcst[0].walltime + tools.to_timedelta('00:15:00') + run_gfsfcst: !JobRequest - - mpi_ranks: !calc "doc.settings.layout_x*doc.settings.layout_y*6" - walltime: 00:02:00 + - mpi_ranks: !calc >- + doc.settings.layout_x*doc.settings.layout_y*6 + + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP + walltime: !timedelta "06:00:00" max_ppn: 12 memory: "1024M" + run_gfs_post_manager: !JobRequest + - memory: "300M" + exe: placeholder + walltime: !calc >- + doc.resources.run_gfsfcst[0].walltime + tools.to_timedelta('00:15:00') + run_gdaspost: !JobRequest - memory: "3072M" - mpi_ranks: 72 - walltime: 00:02:00 + mpi_ranks: !calc 6*max_ppn + walltime: !timedelta "00:30:00" exe: placeholder max_ppn: 12 OMP_NUM_THREADS: 1 run_gfspost: !JobRequest - memory: "3072M" - mpi_ranks: 72 - walltime: 00:02:00 + mpi_ranks: !calc 6*max_ppn + walltime: !timedelta "00:30:00" exe: placeholder max_ppn: 12 OMP_NUM_THREADS: 1 - run_vrfy: !JobRequest + run_gfsvrfy: !JobRequest - memory: "3072M" mpi_ranks: 1 - walltime: 00:02:00 + walltime: !timedelta "04:00:00" + exe: placeholder + max_ppn: 1 + + run_gdasvrfy: !JobRequest + - memory: "3072M" + mpi_ranks: 1 + walltime: !timedelta "02:00:00" exe: placeholder max_ppn: 1 - OMP_NUM_THREADS: 2 run_arch: !JobRequest - memory: "3072M" exclusive: false mpi_ranks: 1 - walltime: 00:02:00 + walltime: !timedelta "06:00:00" exe: placeholder max_ppn: 1 OMP_NUM_THREADS: 2 @@ -140,19 +179,18 @@ resources: run_final: !JobRequest - memory: "2M" mpi_ranks: 1 - walltime: 00:01:00 + walltime: !timedelta "00:01:00" exe: placeholder max_ppn: 1 OMP_NUM_THREADS: 2 -# run_earc: !JobRequest -# emory: "3072M" -# mpi_ranks: 1 -# walltime: 00:15:00 -# resources: !JobRequest -# - exe: placeholder -# max_ppn: 1 -# OMP_NUM_THREADS: 2 + run_earc: !JobRequest + - memory: "3072M" + mpi_ranks: 1 + walltime: !timedelta "06:00:00" + exe: placeholder + max_ppn: 1 + exclusive: false run_fv3ic: !JobRequest - memory: "3072M" diff --git a/model/ecflow_fv3gfs/settings.yaml b/model/ecflow_fv3gfs/settings.yaml index e9b1a87..ccddf59 100644 --- a/model/ecflow_fv3gfs/settings.yaml +++ b/model/ecflow_fv3gfs/settings.yaml @@ -3,7 +3,7 @@ settings: # Import certain variables from doc.config_files: Inherit: !Inherit - - [ 'doc.config_files','FH.*|QUEUE|layout.*|WRITE_GROUP|WRT.*|HOME.*' ] + - [ 'doc.config_files','FH.*|QUEUE|.*layout.*|.*WRITE_GROUP|.*WRT.*|HOME.*' ] # NOTE: Additional files are set by the validator. See validator.yaml. diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index 79bd28a..137833d 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -86,7 +86,8 @@ suite: !Cycle {metasched.defvar("PROJ", doc.settings.PROJECT)} gfs: !Family - Complete: !Depend ~ suite.has_cycle('-6:00:00') + Complete: !Depend ~ suite.has_cycle('-6:00:00') + AlarmName: gfs ecflow_def: !expand | edit RUN 'gfs' diff --git a/model/ecflow_fv3gfs/worktools.py b/model/ecflow_fv3gfs/worktools.py index 1183142..c1a769b 100644 --- a/model/ecflow_fv3gfs/worktools.py +++ b/model/ecflow_fv3gfs/worktools.py @@ -172,14 +172,20 @@ def update_existing_ecflow_workflow(suite,first_cycle,last_cycle, ECF_HOME,suite_defs,ecf_files) return ECF_HOME, suite_def_files -def load_and_begin_ecflow_suites(ECF_HOME,suite_def_files): - logger.info(f'{ECF_HOME}: write files for suites: ' +def load_ecflow_suites(ECF_HOME,suite_def_files): + logger.info(f'{ECF_HOME}: load suites: ' f'{", ".join(suite_def_files.keys())}') with crow.tools.chdir(ECF_HOME): - for suite, file in suite_def_files.items(): + for file in suite_def_files.values(): cmd=f'ecflow_client --load {file}' logger.info(cmd) subprocess.run(cmd,check=False,shell=True) + +def begin_ecflow_suites(ECF_HOME,suite_def_files): + logger.info(f'{ECF_HOME}: begin suites: ' + f'{", ".join(suite_def_files.keys())}') + with crow.tools.chdir(ECF_HOME): + for suite in suite_def_files.keys(): cmd=f'ecflow_client --begin {suite}' logger.info(cmd) subprocess.run(cmd,check=False,shell=True) @@ -216,7 +222,7 @@ def remake_ecflow_files_for_cycles( (cycles), you will need to call ecflow_client's --load, --begin, --replace, or --delete commands.''') -def create_and_begin_ecflow_workflow(yamldir,surrounding_cycles=1): +def create_and_load_ecflow_workflow(yamldir,surrounding_cycles=1,begin=False): conf,suite=read_yaml_suite(yamldir) loudly_make_dir_if_missing(f'{conf.settings.COM}/log') ECF_HOME, suite_def_files, first_cycle, last_cycle = \ @@ -224,8 +230,10 @@ def create_and_begin_ecflow_workflow(yamldir,surrounding_cycles=1): if not ECF_HOME: logger.error('Could not create workflow files. See prior errors for details.') return False - load_and_begin_ecflow_suites(ECF_HOME,suite_def_files) - + load_ecflow_suites(ECF_HOME,suite_def_files) + if begin: + begin_ecflow_suites(ECF_HOME,suite_def_files) + def add_cycles_to_running_ecflow_workflow_at( yamldir,first_cycle_str,last_cycle_str,surrounding_cycles=1): conf,suite=read_yaml_suite(yamldir) @@ -233,4 +241,5 @@ def add_cycles_to_running_ecflow_workflow_at( last_cycle=datetime.datetime.strptime(last_cycle_str,'%Y%m%d%H') ECF_HOME, suite_def_files = update_existing_ecflow_workflow( suite,first_cycle,last_cycle,surrounding_cycles) - load_and_begin_ecflow_suites(ECF_HOME,suite_def_files) + load_ecflow_suites(ECF_HOME,suite_def_files) + begin_ecflow_suites(ECF_HOME,suite_def_files) From 428fa548fa39d7dbc0276a28478b3ab005e97005 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Mon, 5 Mar 2018 16:27:57 +0000 Subject: [PATCH 372/487] Add back in Rocoto support. Only partially tested. --- crow/metascheduler/rocoto.py | 27 ++++-- model/ecflow_fv3gfs/_main.yaml | 1 + model/ecflow_fv3gfs/dump_waiter.yaml | 2 +- model/ecflow_fv3gfs/load_ecflow_workflow.sh | 94 +------------------ model/ecflow_fv3gfs/make-ecflow-suite.py | 38 -------- model/ecflow_fv3gfs/make-rocoto-xml.py | 12 --- model/ecflow_fv3gfs/make_rocoto_xml_for.sh | 51 ++++++++++ .../ecflow_fv3gfs/remake_ecflow_files_for.sh | 93 +----------------- model/ecflow_fv3gfs/resources_C192_C192.yaml | 61 ++++++------ model/ecflow_fv3gfs/rocoto.yaml | 3 + model/ecflow_fv3gfs/suite_def.yaml | 21 +++-- model/ecflow_fv3gfs/task.yaml | 6 +- model/ecflow_fv3gfs/update_ecflow_workflow.sh | 35 +------ model/ecflow_fv3gfs/worktools.py | 11 +++ model/ecflow_fv3gfs/worktools.sh.inc | 92 ++++++++++++++++++ 15 files changed, 240 insertions(+), 307 deletions(-) delete mode 100755 model/ecflow_fv3gfs/make-ecflow-suite.py delete mode 100755 model/ecflow_fv3gfs/make-rocoto-xml.py create mode 100755 model/ecflow_fv3gfs/make_rocoto_xml_for.sh create mode 100644 model/ecflow_fv3gfs/worktools.sh.inc diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index 871fda5..fd4d7b7 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -94,7 +94,7 @@ def _none_are_in_alarm(item,desired_alarm,recursed_alarm): recursed_alarm=item.AlarmName if desired_alarm==recursed_alarm: - print(f'NAIA: {item.path}: alarm {recursed_alarm} is in alarm {desired_alarm}') + #print(f'NAIA: {item.path}: alarm {recursed_alarm} is in alarm {desired_alarm}') return False if item.is_family() or item.is_cycle(): @@ -102,9 +102,9 @@ def _none_are_in_alarm(item,desired_alarm,recursed_alarm): if subitem.is_family() or subitem.is_task(): if not _none_are_in_alarm( subitem,desired_alarm,recursed_alarm): - print(f'NAIA: {item.path}: subitem {subitem.path} in alarm {desired_alarm}') + #print(f'NAIA: {item.path}: subitem {subitem.path} in alarm {desired_alarm}') return False - print(f'NAIA: {item.path}: self and children not in {desired_alarm}') + #print(f'NAIA: {item.path}: self and children not in {desired_alarm}') return True def stringify_clock(name,clock,indent): @@ -398,6 +398,7 @@ def _validate_cycle(self): def _record_item(self,view,complete,alarm_name): if view.get('Disable',False): return + if view.get('Dummy',False): return my_completes = view.get_complete_dep() self.__all_defined.add(view.path) @@ -432,6 +433,7 @@ def _record_item(self,view,complete,alarm_name): def _convert_item(self,fd,indent,view,trigger,complete,time,alarm_name): if view.get('Disable',False): return + if view.get('Dummy',False): return trigger=trigger & view.get_trigger_dep() complete=complete | view.get_complete_dep() time=max(time,view.get_time_dep()) @@ -531,7 +533,9 @@ def _final_task_deps_no_alarms(self,item): path=SuitePath(item.path[1:]) with_completes=self.__families_with_completes - if 'Disabled' in item and item.Disabled: + if 'Disable' in item and item.Disable: + return TRUE_DEPENDENCY + if 'Dummy' in item and item.Dummy: return TRUE_DEPENDENCY if item.is_task(): @@ -597,13 +601,16 @@ def _final_task_deps_for_alarm(self,item,for_alarm,alarm_name=''): # Disabled applies recursively to families, so if this node is # disabled, the netire tree is done: - if 'Disabled' in item and item.Disabled: - print(f'{path}: disabled') + if 'Disable' in item and item.Disable: + #print(f'{path}: disabled') + return TRUE_DEPENDENCY + if 'Dummy' in item and item.Dummy: + #print(f'{path}: dummy') return TRUE_DEPENDENCY # If nothing in the entire tree is in the alarm, then we're done. if _none_are_in_alarm(item,for_alarm,alarm_name): - print(f'{path}: entire tree is not in alarm') + #print(f'{path}: entire tree is not in alarm') return TRUE_DEPENDENCY if len(path)==1 and '_is_final_' in path: @@ -616,7 +623,7 @@ def _final_task_deps_for_alarm(self,item,for_alarm,alarm_name=''): if item.is_task(): # No children. We're done. - print(f'{path}: task dep {dep}') + #print(f'{path}: task dep {dep}') return dep else: # This is a suite. @@ -642,7 +649,7 @@ def _final_task_deps_for_alarm(self,item,for_alarm,alarm_name=''): dep=subdep if item.path in self.__completes: dep = self.__completes[item.path][1] | subdep - print(f'{path}: family or suite dep {dep}') + #print(f'{path}: family or suite dep {dep}') return dep def _handle_final_task(self,fd,indent): @@ -686,7 +693,7 @@ def _handle_final_task(self,fd,indent): alarms = set(self.__alarms_used) alarms.add('') for alarm_name in alarms: - print(f'find final for {alarm_name}') + #print(f'find final for {alarm_name}') dep = self._final_task_deps_for_alarm(self.suite,alarm_name) dep = simplify(dep) task_name=f'final_for_{alarm_name}' if alarm_name else 'final_no_alarm' diff --git a/model/ecflow_fv3gfs/_main.yaml b/model/ecflow_fv3gfs/_main.yaml index 23c4216..561f10a 100644 --- a/model/ecflow_fv3gfs/_main.yaml +++ b/model/ecflow_fv3gfs/_main.yaml @@ -2,6 +2,7 @@ include: - "config*.yaml" - "*_validator.yaml" - schedulers.yaml + - rocoto.yaml - task.yaml - "*.yaml" - suite_def.yaml diff --git a/model/ecflow_fv3gfs/dump_waiter.yaml b/model/ecflow_fv3gfs/dump_waiter.yaml index fd7c13f..d02ea96 100644 --- a/model/ecflow_fv3gfs/dump_waiter.yaml +++ b/model/ecflow_fv3gfs/dump_waiter.yaml @@ -20,7 +20,7 @@ dump_waiter: &dump_waiter_task !Task updated_status: !DataEvent file: !expand >- - {doc.settings.DUMPDIR}/@Y@m@d@H/{up.CDUMP}/{up.CDUMP}.t@Hz.updated.status.tm00.bufr_d + {doc.settings.DMPDIR}/@Y@m@d@H/{up.CDUMP}/{up.CDUMP}.t@Hz.updated.status.tm00.bufr_d # The batch_job_command is dumped into the ecf file where the # J-Job would normally be called. This job is never run by diff --git a/model/ecflow_fv3gfs/load_ecflow_workflow.sh b/model/ecflow_fv3gfs/load_ecflow_workflow.sh index 8d1bf06..bf9af77 100755 --- a/model/ecflow_fv3gfs/load_ecflow_workflow.sh +++ b/model/ecflow_fv3gfs/load_ecflow_workflow.sh @@ -14,6 +14,8 @@ crowdir=$( cd ../../ ; pwd -P ) # Make sure this directory is in the python path so we find worktools.py: export PYTHONPATH=$here:$crowdir:${PYTHONPATH:+:$PYTHONPATH} +source "$dir0/worktools.sh.inc" + # Parse arguments: if [[ "$1" == "-v" ]] ; then export WORKTOOLS_VERBOSE=YES @@ -31,27 +33,7 @@ if ( ! which ecflow_client > /dev/null 2>&1 ) ; then exit 1 fi -if [[ "${ECF_ROOT:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_ROOT" - exit 1 -fi - -if [[ "${ECF_HOME:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_HOME. I suggest \$ECF_ROOT/submit" - exit 1 -fi - -if [[ "${ECF_HOST:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_HOST." - exit 1 -fi - -if [[ "${ECF_PORT:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_PORT. See /usrx/local/sys/ecflow/assigned_ports.txt" - exit 1 -fi - -export ECF_HOME="${ECF_HOME:-$ECF_ROOT/submit}" +check_ecf_variables if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then echo "load_ecflow_workflow.sh: verbose mode" @@ -64,67 +46,11 @@ echo " home: $ECF_HOME" echo " host: $ECF_HOST" set +e -if ( ! which python3 > /dev/null 2>&1 || \ - ! python3 -c 'import yaml ; f{"1+1"}' > /dev/null 2>&1 ) ; then - python36=/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/python/3.6.1-emc/bin/python3.6 -else - python36="$( which python3 )" -fi +find_python36 set -e tmpfile=${TMPDIR:-/tmp}/find-expdir.$RANDOM.$RANDOM.$$ -maybe_verbose_source() { - if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - echo "$1: source" - source "$1" - else - source "$1" > /dev/null 2>&1 - fi -} - -make_yaml_files() { - if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - set -x - fi - - # NOTE: Sourcing config.base clobbers the ecflow variables, so we - # must do it in a subshell. - set +ue - maybe_verbose_source "$CONFIGDIR"/config.base - set -ue - - if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - set -x - fi - - echo "EXPDIR=\"$EXPDIR\"" > "$tmpfile" - - mkdir -p "$EXPDIR"/logs - - set +ue - ( maybe_verbose_source "$CONFIGDIR"/config.earc ; - echo "export NMEM_EARCGRP=\"$NMEM_EARCGRP\"" >> "$tmpfile" ) - ( maybe_verbose_source "$CONFIGDIR"/config.efcs ; - echo "export NMEM_EFCSGRP=\"$NMEM_EFCSGRP\"" >> "$tmpfile" ; - echo "export ENKF_layout_x=\"$layout_x\"" >> "$tmpfile" ; - echo "export ENKF_layout_y=\"$layout_y\"" >> "$tmpfile" ; - echo "export ENKF_WRITE_GROUP=\"$WRITE_GROUP\"" >> "$tmpfile" ; - echo "export ENKF_WRTTASK_PER_GROUP=\"$WRTTASK_PER_GROUP\"" >> "$tmpfile" ) - ( maybe_verbose_source "$CONFIGDIR"/config.eobs ; - echo "export NMEM_EOMGGRP=\"$NMEM_EOMGGRP\"" >> "$tmpfile" ) - ( maybe_verbose_source "$CONFIGDIR"/config.fcst ; - echo "export layout_x=\"$layout_x\"" >> "$tmpfile" ; - echo "export layout_y=\"$layout_y\"" >> "$tmpfile" ; - echo "export WRITE_GROUP=\"$WRITE_GROUP\"" >> "$tmpfile" ; - echo "export WRTTASK_PER_GROUP=\"$WRTTASK_PER_GROUP\"" >> "$tmpfile" ) - set -ue - - source "$tmpfile" - - $python36 -c "import worktools ; worktools.make_yaml_files('$here','$EXPDIR')" -} - if ( ! ( make_yaml_files ) ) ; then echo "Failed to make YAML files" exit 1 @@ -137,12 +63,6 @@ if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then set -x fi -if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - /ecf/devutils/server_check.sh "$ECF_ROOT" "$ECF_PORT" || true -else - /ecf/devutils/server_check.sh "$ECF_ROOT" "$ECF_PORT" > /dev/null 2>&1 || true -fi - if ( ! ecflow_client --ping ) ; then echo "Could not connect to ecflow server. Aborting." exit 1 @@ -153,9 +73,3 @@ if ( ! ecflow_client --get=/totality_limit > /dev/null 2>&1 ) ; then fi $python36 -c "import worktools ; worktools.create_and_load_ecflow_workflow('$EXPDIR',begin=False)" - - - - - - diff --git a/model/ecflow_fv3gfs/make-ecflow-suite.py b/model/ecflow_fv3gfs/make-ecflow-suite.py deleted file mode 100755 index 1ad0adf..0000000 --- a/model/ecflow_fv3gfs/make-ecflow-suite.py +++ /dev/null @@ -1,38 +0,0 @@ -#! /usr/bin/env python3 -f'This script requires Python 3.6 or newer.' - -import os -from crow.metascheduler import to_ecflow -from crow.config import from_file, Suite - -conf=from_file('ecf_file.yaml', 'rocoto.yaml', 'settings.yaml', - 'suite_def.yaml', 'resources.yaml') -suite=Suite(conf.suite) -suite_defs, ecf_files = to_ecflow(suite) - -def make_parent_dir(filename): - dirname=os.path.dirname(filename) - if dirname and not os.path.exists(dirname): - os.makedirs(os.path.dirname(filename)) - -for deffile in suite_defs.keys(): - defname = suite_defs[deffile]['name'] - defcontents = suite_defs[deffile]['def'] - #print(f'=== contents of suite def {defname}\n{suite_defs[defname]}') - filename=os.path.join('defs',deffile) - make_parent_dir(filename) - dirname=os.path.dirname(filename) - if dirname and not os.path.exists(dirname): - os.makedirs(os.path.dirname(filename)) - with open(filename,'wt') as fd: - fd.write(defcontents) - - for setname in ecf_files: - print(f'ecf file set {setname}:\n') - for filename in ecf_files[setname]: - full_fn=os.path.join('scripts',defname,filename)+'.ecf' - print(f' file {full_fn}') - make_parent_dir(full_fn) - with open(full_fn,'wt') as fd: - fd.write(ecf_files[setname][filename]) - diff --git a/model/ecflow_fv3gfs/make-rocoto-xml.py b/model/ecflow_fv3gfs/make-rocoto-xml.py deleted file mode 100755 index 474e21d..0000000 --- a/model/ecflow_fv3gfs/make-rocoto-xml.py +++ /dev/null @@ -1,12 +0,0 @@ -#! /usr/bin/env python3 -f'This script requires Python 3.6 or newer.' - -import os -from crow.metascheduler import to_rocoto -from crow.config import from_file, Suite - -conf=from_file('resources.yaml','rocoto.yaml','suite_def.yaml','settings.yaml') -suite=Suite(conf.suite) -with open('workflow.xml','wt') as fd: - print('workflow.xml') - fd.write(to_rocoto(suite)) diff --git a/model/ecflow_fv3gfs/make_rocoto_xml_for.sh b/model/ecflow_fv3gfs/make_rocoto_xml_for.sh new file mode 100755 index 0000000..e0f6ec2 --- /dev/null +++ b/model/ecflow_fv3gfs/make_rocoto_xml_for.sh @@ -0,0 +1,51 @@ +#! /bin/bash + +set -ue + +# Get the directory in which this script resides. We'll assume the +# yaml files are there: +dir0=$( dirname "$0" ) +here=$( cd "$dir0" ; pwd -P ) + +export WORKTOOLS_VERBOSE=NO + +crowdir=$( cd ../../ ; pwd -P ) + +# Make sure this directory is in the python path so we find worktools.py: +export PYTHONPATH=$here:$crowdir:${PYTHONPATH:+:$PYTHONPATH} + +source "$dir0/worktools.sh.inc" + +# Parse arguments: +if [[ "$1" == "-v" ]] ; then + export WORKTOOLS_VERBOSE=YES + shift 1 +fi +export CONFIGDIR="$1" + +if [[ ! -d /usrx/local || -e /etc/redhat-release ]] ; then + echo "ERROR: This script only runs on WCOSS Cray" 1>&2 + exit 1 +fi + +set +e +find_python36 +set -e + +tmpfile=${TMPDIR:-/tmp}/find-expdir.$RANDOM.$RANDOM.$$ + +if ( ! ( make_yaml_files ) ) ; then + echo "Failed to make YAML files" + exit 1 +fi + +source "$tmpfile" +rm -f "$tmpfile" + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + echo "make_rocoto_xml_for.sh: EXPDIR=$EXPDIR" + set -x +fi + +$python36 -c "import worktools ; worktools.make_rocoto_xml_for( + '$EXPDIR')" diff --git a/model/ecflow_fv3gfs/remake_ecflow_files_for.sh b/model/ecflow_fv3gfs/remake_ecflow_files_for.sh index 2386510..180a3d6 100755 --- a/model/ecflow_fv3gfs/remake_ecflow_files_for.sh +++ b/model/ecflow_fv3gfs/remake_ecflow_files_for.sh @@ -14,6 +14,8 @@ crowdir=$( cd ../../ ; pwd -P ) # Make sure this directory is in the python path so we find worktools.py: export PYTHONPATH=$here:$crowdir:${PYTHONPATH:+:$PYTHONPATH} +source "$dir0/worktools.sh.inc" + # Parse arguments: if [[ "$1" == "-v" ]] ; then export WORKTOOLS_VERBOSE=YES @@ -28,32 +30,7 @@ if [[ ! -d /usrx/local || -e /etc/redhat-release ]] ; then exit 1 fi -if ( ! which ecflow_client > /dev/null 2>&1 ) ; then - echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." - exit 1 -fi - -if [[ "${ECF_ROOT:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_ROOT" - exit 1 -fi - -if [[ "${ECF_HOME:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_HOME. I suggest \$ECF_ROOT/submit" - exit 1 -fi - -if [[ "${ECF_HOST:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_HOST." - exit 1 -fi - -if [[ "${ECF_PORT:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_PORT. See /usrx/local/sys/ecflow/assigned_ports.txt" - exit 1 -fi - -export ECF_HOME="${ECF_HOME:-$ECF_ROOT/submit}" +check_ecf_variables if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then echo "remake_ecflow_files_for.sh: verbose mode" @@ -66,67 +43,11 @@ echo " home: $ECF_HOME" echo " host: $ECF_HOST" set +e -if ( ! which python3 > /dev/null 2>&1 || \ - ! python3 -c 'import yaml ; f{"1+1"}' > /dev/null 2>&1 ) ; then - python36=/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/python/3.6.1-emc/bin/python3.6 -else - python36="$( which python3 )" -fi +find_python36 set -e tmpfile=${TMPDIR:-/tmp}/find-expdir.$RANDOM.$RANDOM.$$ -maybe_verbose_source() { - if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - echo "$1: source" - source "$1" - else - source "$1" > /dev/null 2>&1 - fi -} - -make_yaml_files() { - if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - set -x - fi - - # NOTE: Sourcing config.base clobbers the ecflow variables, so we - # must do it in a subshell. - set +ue - maybe_verbose_source "$CONFIGDIR"/config.base - set -ue - - if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - set -x - fi - - echo "EXPDIR=\"$EXPDIR\"" > "$tmpfile" - - mkdir -p "$EXPDIR"/logs - - set +ue - ( maybe_verbose_source "$CONFIGDIR"/config.earc ; - echo "export NMEM_EARCGRP=\"$NMEM_EARCGRP\"" >> "$tmpfile" ) - ( maybe_verbose_source "$CONFIGDIR"/config.efcs ; - echo "export NMEM_EFCSGRP=\"$NMEM_EFCSGRP\"" >> "$tmpfile" ; - echo "export ENKF_layout_x=\"$layout_x\"" >> "$tmpfile" ; - echo "export ENKF_layout_y=\"$layout_y\"" >> "$tmpfile" ; - echo "export ENKF_WRITE_GROUP=\"$WRITE_GROUP\"" >> "$tmpfile" ; - echo "export ENKF_WRTTASK_PER_GROUP=\"$WRTTASK_PER_GROUP\"" >> "$tmpfile" ) - ( maybe_verbose_source "$CONFIGDIR"/config.eobs ; - echo "export NMEM_EOMGGRP=\"$NMEM_EOMGGRP\"" >> "$tmpfile" ) - ( maybe_verbose_source "$CONFIGDIR"/config.fcst ; - echo "export layout_x=\"$layout_x\"" >> "$tmpfile" ; - echo "export layout_y=\"$layout_y\"" >> "$tmpfile" ; - echo "export WRITE_GROUP=\"$WRITE_GROUP\"" >> "$tmpfile" ; - echo "export WRTTASK_PER_GROUP=\"$WRTTASK_PER_GROUP\"" >> "$tmpfile" ) - set -ue - - source "$tmpfile" - - $python36 -c "import worktools ; worktools.make_yaml_files('$here','$EXPDIR')" -} - if ( ! ( make_yaml_files ) ) ; then echo "Failed to make YAML files" exit 1 @@ -144,9 +65,3 @@ $python36 -c "import worktools ; worktools.remake_ecflow_files_for_cycles( '$EXPDIR', '$FIRST_CYCLE', '$LAST_CYCLE')" - - - - - - diff --git a/model/ecflow_fv3gfs/resources_C192_C192.yaml b/model/ecflow_fv3gfs/resources_C192_C192.yaml index 0926ea6..8466120 100644 --- a/model/ecflow_fv3gfs/resources_C192_C192.yaml +++ b/model/ecflow_fv3gfs/resources_C192_C192.yaml @@ -4,10 +4,9 @@ resources: run_ecen: !JobRequest - memory: "254M" walltime: !timedelta "00:10:00" - mpi_ranks: 84 + mpi_ranks: 80 exe: placeholder - # max_ppn comes from THEIA.env: 84/12 = 7 - max_ppn: 12 + max_ppn: 4 OMP_NUM_THREADS: 2 run_chgres: !JobRequest @@ -44,27 +43,27 @@ resources: run_eobs: !JobRequest - memory: "3072M" - walltime: !timedelta "00:15:00" - mpi_ranks: !calc 12*6 + walltime: !timedelta "00:30:00" + mpi_ranks: !calc 12*12 exe: placeholder - max_ppn: 6 - OMP_NUM_THREADS: 4 + max_ppn: 12 + OMP_NUM_THREADS: 2 run_eomg: !JobRequest - memory: "3072M" - walltime: !timedelta "01:20:00" - mpi_ranks: !calc 6*12 + walltime: !timedelta "03:00:00" + mpi_ranks: !calc 12*12 exe: placeholder - max_ppn: 6 + max_ppn: 12 OMP_NUM_THREADS: 2 run_eupd: !JobRequest - memory: "3072M" - walltime: !timedelta "00:15:00" - mpi_ranks: !calc 10*12 + walltime: !timedelta "00:30:00" + mpi_ranks: !calc 40*6 exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 2 + max_ppn: 6 + OMP_NUM_THREADS: 4 # run_enkf: !JobRequest # pi_ranks: !calc 10*12 @@ -74,7 +73,7 @@ resources: # OMP_NUM_THREADS: 4 run_efcs: !JobRequest - - walltime: !timedelta "00:45:00" + - walltime: !timedelta "03:00:00" mpi_ranks: !calc >- doc.settings.layout_x*doc.settings.layout_y*6 + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP @@ -83,22 +82,23 @@ resources: run_epos: !JobRequest - memory: "254M" - mpi_ranks: 84 + mpi_ranks: 80 walltime: !timedelta "00:10:00" exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 2 + max_ppn: 1 + OMP_NUM_THREADS: 24 run_prep: !JobRequest - memory: "3072M" walltime: !timedelta "00:15:00" - mpi_ranks: 12 + max_ppn: 2 + mpi_ranks: 6 exe: placeholder run_anal: !JobRequest - memory: "3072M" - mpi_ranks: 144 - walltime: !timedelta "0:40:00" + mpi_ranks: !calc 60*6 + walltime: !timedelta "1:30:00" exe: placeholder max_ppn: 6 @@ -113,7 +113,7 @@ resources: - mpi_ranks: !calc >- doc.settings.layout_x*doc.settings.layout_y*6 + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP - walltime: !timedelta "00:10:00" + walltime: !timedelta "01:00:00" max_ppn: 12 memory: "1024M" @@ -127,7 +127,7 @@ resources: - mpi_ranks: !calc >- doc.settings.layout_x*doc.settings.layout_y*6 + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP - walltime: !timedelta "00:45:00" + walltime: !timedelta "06:00:00" max_ppn: 12 memory: "1024M" @@ -139,15 +139,15 @@ resources: run_gdaspost: !JobRequest - memory: "3072M" - mpi_ranks: 72 - walltime: !timedelta "00:10:00" + mpi_ranks: !calc 6*max_ppn + walltime: !timedelta "00:30:00" exe: placeholder max_ppn: 12 OMP_NUM_THREADS: 1 run_gfspost: !JobRequest - memory: "3072M" - mpi_ranks: 72 + mpi_ranks: !calc 6*max_ppn walltime: !timedelta "00:10:00" exe: placeholder max_ppn: 12 @@ -156,14 +156,14 @@ resources: run_gfsvrfy: !JobRequest - memory: "3072M" mpi_ranks: 1 - walltime: !timedelta "02:00:00" + walltime: !timedelta "03:00:00" exe: placeholder max_ppn: 1 run_gdasvrfy: !JobRequest - memory: "3072M" mpi_ranks: 1 - walltime: !timedelta "02:00:00" + walltime: !timedelta "01:30:00" exe: placeholder max_ppn: 1 @@ -171,7 +171,7 @@ resources: - memory: "3072M" exclusive: false mpi_ranks: 1 - walltime: !timedelta "01:40:00" + walltime: !timedelta "06:00:00" exe: placeholder max_ppn: 1 OMP_NUM_THREADS: 2 @@ -187,9 +187,10 @@ resources: run_earc: !JobRequest - memory: "3072M" mpi_ranks: 1 - walltime: !timedelta "01:00:00" + walltime: !timedelta "06:00:00" exe: placeholder max_ppn: 1 + exclusive: false run_fv3ic: !JobRequest - memory: "3072M" diff --git a/model/ecflow_fv3gfs/rocoto.yaml b/model/ecflow_fv3gfs/rocoto.yaml index 225534b..c8db2fe 100644 --- a/model/ecflow_fv3gfs/rocoto.yaml +++ b/model/ecflow_fv3gfs/rocoto.yaml @@ -12,6 +12,9 @@ Rocoto: &Rocoto + + + {suite.common_metasched_vars} ]> - - {metasched.varref("COM")}/{up.RUN}.{metasched.datestring("%Y%m%d/%H/")}{up.RUN}.t{metasched.datestring("%H")}z.logf000.nemsio + {metasched.varref("COM")}/gfs.{metasched.datestring("%Y%m%d/%H/")}gfs.t{metasched.datestring("%H")}z.logf000.nemsio release_post_fhr: !DataEventElement Name: !expand "release_post{dimval.fhr:02d}" Foreach: [ fhr ] file: !expand >- - {metasched.varref("COM")}/{up.RUN}.{metasched.datestring("%Y%m%d/%H/")}{up.RUN}.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio + {metasched.varref("COM")}/gfs.{metasched.datestring("%Y%m%d/%H/")}gfs.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio # NOTE: the above files must match post_manager.yaml jgfs_post_anl: !Task <<: *exclusive_task_template + RUN: !calc up.RUN FHRGRP: '000' FHRLST: anl more_vars: [ FHRGRP, FHRLST, FHR, HR ] @@ -482,8 +488,9 @@ suite: !Cycle ###################################################################### gdas: !Family + RUN: gdas ecflow_def: !expand | - edit RUN 'gdas' + edit RUN '{RUN}' jgdas_verfrad: !Task <<: *exclusive_task_template @@ -626,10 +633,12 @@ suite: !Cycle post: !TaskArray + RUN: !calc up.RUN Dimensions: fhr: !calc doc.settings.gdas_forecast_hours post_manager_el: !TaskElement <<: *exclusive_task_template + RUN: !calc up.RUN Trigger: !Depend up.forecast.is_running() Complete: !Depend up.forecast Disable: !calc metasched.type=='rocoto' @@ -640,12 +649,12 @@ suite: !Cycle resources: !calc doc.resources.run_gdas_post_manager release_postanl: !DataEvent file: !expand >- - {metasched.varref("COM")}/{up.RUN}.{metasched.datestring("%Y%m%d/%H/")}{up.RUN}.t{metasched.datestring("%H")}z.logf000.nemsio + {metasched.varref("COM")}/gdas.{metasched.datestring("%Y%m%d/%H/")}gdas.t{metasched.datestring("%H")}z.logf000.nemsio release_post_fhr: !DataEventElement Name: !expand "release_post{dimval.fhr:02d}" Foreach: [ fhr ] file: !expand > - {metasched.varref("COM")}/{up.RUN}.{metasched.datestring("%Y%m%d/%H/")}{up.RUN}.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio + {metasched.varref("COM")}/gdas.{metasched.datestring("%Y%m%d/%H/")}gdas.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio # NOTE: the above files must match post_manager.yaml jgdas_post_anl: !Task diff --git a/model/ecflow_fv3gfs/task.yaml b/model/ecflow_fv3gfs/task.yaml index 745511d..2daae76 100644 --- a/model/ecflow_fv3gfs/task.yaml +++ b/model/ecflow_fv3gfs/task.yaml @@ -7,13 +7,17 @@ task_template: &task_template ecflow_command: !expand "${{HOMEgfs}}/jobs/{J_JOB}" Rocoto: !expand | - {rocoto_command} + sh -c '{rocoto_command}' {sched.rocoto_accounting(accounting,jobname=task_path_var, outerr="&LOG_DIR;/@Y@m@d/@H/&PSLOT;_"+task_path_var+".log")} {sched.rocoto_resources(resources)} CDATE@Y@m@d@H + PDY@Y@m@d + cyc@H EXPDIR&EXPDIR; CDUMP{CDUMP} + RUN_ENVIRemc + HOMEgfs&HOMEgfs; {rocoto_more_vars} CDUMP: !calc task_path_list[0] diff --git a/model/ecflow_fv3gfs/update_ecflow_workflow.sh b/model/ecflow_fv3gfs/update_ecflow_workflow.sh index 7d85131..645cb53 100755 --- a/model/ecflow_fv3gfs/update_ecflow_workflow.sh +++ b/model/ecflow_fv3gfs/update_ecflow_workflow.sh @@ -12,6 +12,8 @@ export WORKTOOLS_VERBOSE=NO # Make sure this directory is in the python path so we find worktools.py: export PYTHONPATH=$here:${PYTHONPATH:+:$PYTHONPATH} +source "$dir0/worktools.sh.inc" + # Parse arguments: if [[ "$1" == "-v" ]] ; then export WORKTOOLS_VERBOSE=YES @@ -31,22 +33,8 @@ if ( ! which ecflow_client > /dev/null 2>&1 ) ; then exit 1 fi -if [[ "${ECF_ROOT:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_ROOT" - exit 1 -fi - -if [[ "${ECF_HOME:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_HOME. I suggest \$ECF_ROOT/submit" - exit 1 -fi - -if [[ "${ECF_PORT:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_PORT. See /usrx/local/sys/ecflow/assigned_ports.txt" - exit 1 -fi - -export ECF_HOME="${ECF_HOME:-$ECF_ROOT/submit}" +check_ecf_host=NO +check_ecf_variables if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then echo "begin_ecflow_workflow.sh: verbose mode" @@ -60,20 +48,13 @@ echo "ecFlow server root: $ECF_ROOT" echo "ecFlow server home: $ECF_HOME" set +e -if ( ! which python3 > /dev/null 2>&1 || \ - ! python3 -c 'import yaml ; f{"1+1"}' > /dev/null 2>&1 ) ; then - python36=/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/python/3.6.1-emc/bin/python3.6 -else - python36="$( which python3 )" -fi +find_python36 set -e if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then set -x fi -/ecf/devutils/server_check.sh "$ECF_ROOT" "$ECF_PORT" $redirect || true - if ( ! ecflow_client --ping $redirect ) ; then echo "Could not connect to ecflow server. Aborting." exit 1 @@ -86,9 +67,3 @@ worktools.add_cycles_to_running_ecflow_workflow_at( '$FIRST_CYCLE', '$LAST_CYCLE' )" - - - - - - diff --git a/model/ecflow_fv3gfs/worktools.py b/model/ecflow_fv3gfs/worktools.py index c1a769b..d922ce3 100644 --- a/model/ecflow_fv3gfs/worktools.py +++ b/model/ecflow_fv3gfs/worktools.py @@ -190,6 +190,12 @@ def begin_ecflow_suites(ECF_HOME,suite_def_files): logger.info(cmd) subprocess.run(cmd,check=False,shell=True) +def make_rocoto_xml(suite,filename): + with open(filename,'wt') as fd: + logger.info(f'{filename}: create Rocoto XML document') + fd.write(to_rocoto(suite)) + print(f'{filename}: Rocoto XML document created here.') + ######################################################################## # These functions are called directly from scripts, and can be thought @@ -243,3 +249,8 @@ def add_cycles_to_running_ecflow_workflow_at( suite,first_cycle,last_cycle,surrounding_cycles) load_ecflow_suites(ECF_HOME,suite_def_files) begin_ecflow_suites(ECF_HOME,suite_def_files) + +def make_rocoto_xml_for(yamldir): + conf,suite=read_yaml_suite(yamldir) + loudly_make_dir_if_missing(f'{conf.settings.COM}/log') + make_rocoto_xml(suite,f'{yamldir}/workflow.xml') diff --git a/model/ecflow_fv3gfs/worktools.sh.inc b/model/ecflow_fv3gfs/worktools.sh.inc new file mode 100644 index 0000000..cf0365d --- /dev/null +++ b/model/ecflow_fv3gfs/worktools.sh.inc @@ -0,0 +1,92 @@ +check_ecf_variables() { + +if ( ! which ecflow_client > /dev/null 2>&1 ) ; then + echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." + exit 1 +fi + +if [[ "${ECF_ROOT:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_ROOT" + exit 1 +fi + +if [[ "${ECF_HOME:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_HOME. I suggest \$ECF_ROOT/submit" + exit 1 +fi + +if [[ "${check_ecf_host:-YES}" == YES ]] ; then + if [[ "${ECF_HOST:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_HOST." + exit 1 + fi +fi + +if [[ "${ECF_PORT:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_PORT. See /usrx/local/sys/ecflow/assigned_ports.txt" + exit 1 +fi + +export ECF_HOME="${ECF_HOME:-$ECF_ROOT/submit}" + +} + +maybe_verbose_source() { + if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + echo "$1: source" + source "$1" + else + source "$1" > /dev/null 2>&1 + fi +} + +find_python36() { + if ( ! which python3 > /dev/null 2>&1 || \ + ! python3 -c 'import yaml ; f{"1+1"}' > /dev/null 2>&1 ) ; then + python36=/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/python/3.6.1-emc/bin/python3.6 + else + python36="$( which python3 )" + fi +} + +make_yaml_files() { + if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + set -x + fi + + # NOTE: Sourcing config.base clobbers the ecflow variables, so we + # must do it in a subshell. + set +ue + maybe_verbose_source "$CONFIGDIR"/config.base + set -ue + + if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + set -x + fi + + echo "EXPDIR=\"$EXPDIR\"" > "$tmpfile" + + mkdir -p "$EXPDIR"/logs + + set +ue + ( maybe_verbose_source "$CONFIGDIR"/config.earc ; + echo "export NMEM_EARCGRP=\"$NMEM_EARCGRP\"" >> "$tmpfile" ) + ( maybe_verbose_source "$CONFIGDIR"/config.efcs ; + echo "export NMEM_EFCSGRP=\"$NMEM_EFCSGRP\"" >> "$tmpfile" ; + echo "export ENKF_layout_x=\"$layout_x\"" >> "$tmpfile" ; + echo "export ENKF_layout_y=\"$layout_y\"" >> "$tmpfile" ; + echo "export ENKF_WRITE_GROUP=\"$WRITE_GROUP\"" >> "$tmpfile" ; + echo "export ENKF_WRTTASK_PER_GROUP=\"$WRTTASK_PER_GROUP\"" >> "$tmpfile" ) + ( maybe_verbose_source "$CONFIGDIR"/config.eobs ; + echo "export NMEM_EOMGGRP=\"$NMEM_EOMGGRP\"" >> "$tmpfile" ) + ( maybe_verbose_source "$CONFIGDIR"/config.fcst ; + echo "export layout_x=\"$layout_x\"" >> "$tmpfile" ; + echo "export layout_y=\"$layout_y\"" >> "$tmpfile" ; + echo "export WRITE_GROUP=\"$WRITE_GROUP\"" >> "$tmpfile" ; + echo "export WRTTASK_PER_GROUP=\"$WRTTASK_PER_GROUP\"" >> "$tmpfile" ) + set -ue + + source "$tmpfile" + + $python36 -c "import worktools ; worktools.make_yaml_files('$here','$EXPDIR')" +} From ec81cbe258f0884711b3a86bd8ae1ab5dc0dac07 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 6 Mar 2018 22:47:00 +0000 Subject: [PATCH 373/487] Increased wallclock limit for gdaspost on C768-C384 due to i/o issues on wcoss --- model/ecflow_fv3gfs/resources_C768_C384.yaml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/model/ecflow_fv3gfs/resources_C768_C384.yaml b/model/ecflow_fv3gfs/resources_C768_C384.yaml index f717887..656c338 100644 --- a/model/ecflow_fv3gfs/resources_C768_C384.yaml +++ b/model/ecflow_fv3gfs/resources_C768_C384.yaml @@ -140,7 +140,7 @@ resources: run_gdaspost: !JobRequest - memory: "3072M" mpi_ranks: !calc 6*max_ppn - walltime: !timedelta "00:30:00" + walltime: !timedelta "00:45:00" exe: placeholder max_ppn: 12 OMP_NUM_THREADS: 1 From 411170769709a6420ba262511e9ea739b9d8d8e2 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 6 Mar 2018 22:47:44 +0000 Subject: [PATCH 374/487] explicitly mark some jobs with complete if no prior cycle. This is needed because the logic in rocoto.py is not as smart as in ecflow.py --- model/ecflow_fv3gfs/suite_def.yaml | 3 +++ 1 file changed, 3 insertions(+) diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index ec2e6bf..28a93de 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -555,6 +555,7 @@ suite: !Cycle prep: !Family Trigger: !Depend dump_waiter.updated_status + Complete: !Depend ( ~ suite.has_cycle('-6:00:00') ) jgdas_emcsfc_sfc_prep: !Task <<: *exclusive_task_template Dummy: true ## <-- Node is not implemented yet @@ -577,6 +578,7 @@ suite: !Cycle resources: !calc ( doc.resources.run_prep ) analysis: !Family + Complete: !Depend ( ~ suite.has_cycle('-6:00:00') ) jgdas_analysis_high: !Task <<: *exclusive_task_template Trigger: !Depend ( up.prep.jgdas_prep & up.prep.jgdas_emcsfc_sfc_prep & up.enkf.jgdas_enkf_post.at('-6:00:00') ) @@ -695,6 +697,7 @@ suite: !Cycle jgdas_emc_vrfy: !Task <<: *exclusive_task_template + Complete: !Depend ( ~ suite.has_cycle('-6:00:00') ) Trigger: !Depend post resources: !calc ( doc.resources.run_gdasvrfy ) J_JOB: rocoto/vrfy.sh From 9361ee86ac1d8043381aff5799a34a199a80c421 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Tue, 6 Mar 2018 22:48:18 +0000 Subject: [PATCH 375/487] Bug fix to rocoto.py. State definition completion detection did not include anscestor families. --- crow/metascheduler/rocoto.py | 13 +++++++------ 1 file changed, 7 insertions(+), 6 deletions(-) diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index fd4d7b7..e1e1f76 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -353,9 +353,8 @@ def _rocotoify_dep_impl(self,dep,defining_path): elif isinstance(dep,StateDependency) and dep.state==COMPLETED: zero_path=SuitePath([_ZERO_DT]+dep.view.path[1:]) if dep.view.is_task(): - if zero_path in self.__completes: - return dep | _dep_rel(dep.view.path[0],self._rocotoify_dep( - self._completes_for(dep.view),defining_path)) + completes=self._completes_for(dep.view) + return dep | _dep_rel(dep.view.path[0],completes) elif SuitePath(dep.view.path[1:]) in self.__families_with_completes: deplist=TRUE_DEPENDENCY for t in dep.view.walk_task_tree(): @@ -524,9 +523,11 @@ def _write_task_text(self,fd,attr,indent,view,dependency,time,alarm_name, def _completes_for(self,item): dep=FALSE_DEPENDENCY for i in range(1,len(item.path)): - item_path=SuitePath(item.path[0:i+1]) - if item_path in self.__completes: - dep=dep | self.__completes[item_path][1] + + zero_path=SuitePath([_ZERO_DT]+item.path[1:i+1]) +# item_path=SuitePath(item.path[0:i+1]) + if zero_path in self.__completes: + dep=dep | self.__completes[zero_path][1] return dep def _final_task_deps_no_alarms(self,item): From 5b5b52c81e376d5eef61bfa715b07e6960d949cd Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 8 Mar 2018 00:59:01 +0000 Subject: [PATCH 376/487] numerous bug fixes needed for Theia support --- crow/sysenv/nodes.py | 3 +- crow/sysenv/schedulers/MoabTorque.py | 4 +- crow/tools.py | 8 +- model/ecflow_fv3gfs/config_files.yaml | 1 + model/ecflow_fv3gfs/make_rocoto_xml_for.sh | 6 +- model/ecflow_fv3gfs/resources_C192_C192.yaml | 86 ++++++++++++-------- model/ecflow_fv3gfs/settings.yaml | 2 + model/ecflow_fv3gfs/settings_validator.yaml | 2 +- model/ecflow_fv3gfs/suite_def.yaml | 4 + model/ecflow_fv3gfs/task.yaml | 6 +- model/ecflow_fv3gfs/worktools.sh.inc | 60 +++++++------- 11 files changed, 109 insertions(+), 73 deletions(-) diff --git a/crow/sysenv/nodes.py b/crow/sysenv/nodes.py index 7d03815..c76562f 100644 --- a/crow/sysenv/nodes.py +++ b/crow/sysenv/nodes.py @@ -132,7 +132,8 @@ def omp_threads_for(self,rank_spec): return max_ranks_per_node//ppn def max_ranks_per_node(self,rank_spec): - typecheck('rank_spec',rank_spec,crow.sysenv.jobs.JobRankSpec) + typecheck('rank_spec',rank_spec,crow.sysenv.jobs.JobRankSpec, + print_contents=True) can_hyper=self.hyperthreading_allowed max_per_node=self.cores_per_node if can_hyper and rank_spec.get('hyperthreading',False): diff --git a/crow/sysenv/schedulers/MoabTorque.py b/crow/sysenv/schedulers/MoabTorque.py index ae4f5cf..696af56 100644 --- a/crow/sysenv/schedulers/MoabTorque.py +++ b/crow/sysenv/schedulers/MoabTorque.py @@ -24,7 +24,7 @@ def __init__(self,settings,**kwargs): def max_ranks_per_node(self,spec): if not spec.is_pure_serial() and not spec.is_pure_openmp(): # MPI program. Merge ranks if allowed. - spec=self.nodes.to_nodes_ppn( + spec=self.nodes.with_similar_ranks_merged( spec,can_merge_ranks=self.nodes.same_except_exe) return max([ self.nodes.max_ranks_per_node(j) for j in spec ]) @@ -157,8 +157,6 @@ def rocoto_resources(self,spec,indent=0): bytes=tools.memory_in_bytes(memory) megabytes=int(math.ceil(bytes/1048576.)) sio.write(f'{indent*space}{megabytes:d}M\n') - else: - sio.write(f'{indent*space}{megabytes:d}M\n') if 'outerr' in spec: sio.write(f'{indent*space}{spec["outerr"]}\n') diff --git a/crow/tools.py b/crow/tools.py index 8aca701..6b70515 100644 --- a/crow/tools.py +++ b/crow/tools.py @@ -203,10 +203,14 @@ def str_to_posix_sh(s,encoding='ascii'): return b'"'+s+b'"' -def typecheck(name,obj,cls,tname=None): +def typecheck(name,obj,cls,tname=None,print_contents=False): if not isinstance(obj,cls): if tname is None: tname=cls.__name__ - msg=f'{name!s} must be type {tname} not {type(obj).__name__!s}' + if print_contents: + msg=f'{name!s} must be type {tname} not {type(obj).__name__!s}' \ + f' {repr(obj)[:80]}' + else: + msg=f'{name!s} must be type {tname} not {type(obj).__name__!s}' raise TypeError(msg) ######################################################################## diff --git a/model/ecflow_fv3gfs/config_files.yaml b/model/ecflow_fv3gfs/config_files.yaml index 0559b8b..63d5a8b 100644 --- a/model/ecflow_fv3gfs/config_files.yaml +++ b/model/ecflow_fv3gfs/config_files.yaml @@ -24,6 +24,7 @@ config_files: QUEUE: !Immediate [ !calc tools.env("QUEUE") ] QUEUE_ARCH: !Immediate [ !calc tools.env("QUEUE_ARCH") ] ACCOUNT: !Immediate [ !calc tools.env("ACCOUNT") ] + machine: !Immediate [ !calc tools.env("machine") ] # config.eobs: NMEM_EOMGGRP: !Immediate [ !calc ' int(tools.env("NMEM_EOMGGRP"),10) ' ] diff --git a/model/ecflow_fv3gfs/make_rocoto_xml_for.sh b/model/ecflow_fv3gfs/make_rocoto_xml_for.sh index e0f6ec2..6cab9bd 100755 --- a/model/ecflow_fv3gfs/make_rocoto_xml_for.sh +++ b/model/ecflow_fv3gfs/make_rocoto_xml_for.sh @@ -23,8 +23,10 @@ if [[ "$1" == "-v" ]] ; then fi export CONFIGDIR="$1" -if [[ ! -d /usrx/local || -e /etc/redhat-release ]] ; then - echo "ERROR: This script only runs on WCOSS Cray" 1>&2 +if [[ ! ( -d /scratch4 && -d /scratch3 || \ + -d /usrx/local && ! -e /etc/redhat-release ) \ + ]] ; then + echo "ERROR: This script only runs on WCOSS Cray and Theia" 1>&2 exit 1 fi diff --git a/model/ecflow_fv3gfs/resources_C192_C192.yaml b/model/ecflow_fv3gfs/resources_C192_C192.yaml index 8466120..f6dd244 100644 --- a/model/ecflow_fv3gfs/resources_C192_C192.yaml +++ b/model/ecflow_fv3gfs/resources_C192_C192.yaml @@ -1,12 +1,27 @@ resources: + memory_3072M_on_wcoss_cray: !FirstTrue + - when: !calc doc.settings.fv3gfs_machine != 'WCOSS_C' + do: null + - otherwise: "3072M" + + memory_1024M_on_wcoss_cray: !FirstTrue + - when: !calc doc.settings.fv3gfs_machine != 'WCOSS_C' + do: null + - otherwise: "1024M" + + memory_254M_on_wcoss_cray: !FirstTrue + - when: !calc doc.settings.fv3gfs_machine != 'WCOSS_C' + do: null + - otherwise: "254M" + # From if[[...ecen]] block in config.resources: run_ecen: !JobRequest - - memory: "254M" + - memory: !calc doc.resources.memory_3072M_on_wcoss_cray walltime: !timedelta "00:10:00" - mpi_ranks: 80 + mpi_ranks: 84 exe: placeholder - max_ppn: 4 + max_ppn: 12 OMP_NUM_THREADS: 2 run_chgres: !JobRequest @@ -22,7 +37,7 @@ resources: exclusive: false run_one_hour_exclusive: !JobRequest # Placeholder for one node jobs - - memory: "2000M" + - memory: "300M" exe: placeholder mpi_ranks: 0 walltime: !timedelta "00:02:00" @@ -42,15 +57,15 @@ resources: walltime: !timedelta "00:15:00" run_eobs: !JobRequest - - memory: "3072M" + - memory: !calc doc.resources.memory_3072M_on_wcoss_cray walltime: !timedelta "00:30:00" - mpi_ranks: !calc 12*12 + mpi_ranks: !calc 10*max_ppn exe: placeholder max_ppn: 12 OMP_NUM_THREADS: 2 run_eomg: !JobRequest - - memory: "3072M" + - memory: !calc doc.resources.memory_3072M_on_wcoss_cray walltime: !timedelta "03:00:00" mpi_ranks: !calc 12*12 exe: placeholder @@ -58,7 +73,7 @@ resources: OMP_NUM_THREADS: 2 run_eupd: !JobRequest - - memory: "3072M" + - memory: !calc doc.resources.memory_3072M_on_wcoss_cray walltime: !timedelta "00:30:00" mpi_ranks: !calc 40*6 exe: placeholder @@ -73,31 +88,28 @@ resources: # OMP_NUM_THREADS: 4 run_efcs: !JobRequest - - walltime: !timedelta "03:00:00" - mpi_ranks: !calc >- - doc.settings.layout_x*doc.settings.layout_y*6 - + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP + - memory: !calc doc.resources.memory_254M_on_wcoss_cray + walltime: !timedelta "03:00:00" + mpi_ranks: !calc doc.settings.ENKF_layout_x*doc.settings.ENKF_layout_y*6 max_ppn: 12 - memory: "254M" run_epos: !JobRequest - - memory: "254M" - mpi_ranks: 80 + - memory: !calc doc.resources.memory_254M_on_wcoss_cray + mpi_ranks: 84 walltime: !timedelta "00:10:00" exe: placeholder - max_ppn: 1 - OMP_NUM_THREADS: 24 + max_ppn: 12 run_prep: !JobRequest - - memory: "3072M" + - memory: !calc doc.resources.memory_3072M_on_wcoss_cray walltime: !timedelta "00:15:00" - max_ppn: 2 - mpi_ranks: 6 + max_ppn: 12 + mpi_ranks: 12 exe: placeholder run_anal: !JobRequest - - memory: "3072M" - mpi_ranks: !calc 60*6 + - memory: !calc doc.resources.memory_3072M_on_wcoss_cray + mpi_ranks: !calc 144 walltime: !timedelta "1:30:00" exe: placeholder max_ppn: 6 @@ -110,12 +122,12 @@ resources: # OMP_NUM_THREADS: 4 run_gdasfcst: !JobRequest - - mpi_ranks: !calc >- + - memory: !calc doc.resources.memory_1024M_on_wcoss_cray + mpi_ranks: !calc >- doc.settings.layout_x*doc.settings.layout_y*6 + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP walltime: !timedelta "01:00:00" max_ppn: 12 - memory: "1024M" run_gdas_post_manager: !JobRequest - memory: "300M" @@ -124,12 +136,12 @@ resources: doc.resources.run_gdasfcst[0].walltime + tools.to_timedelta('00:15:00') run_gfsfcst: !JobRequest - - mpi_ranks: !calc >- + - memory: !calc doc.resources.memory_1024M_on_wcoss_cray + mpi_ranks: !calc >- doc.settings.layout_x*doc.settings.layout_y*6 + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP walltime: !timedelta "06:00:00" max_ppn: 12 - memory: "1024M" run_gfs_post_manager: !JobRequest - memory: "300M" @@ -138,7 +150,7 @@ resources: doc.resources.run_gfsfcst[0].walltime + tools.to_timedelta('00:15:00') run_gdaspost: !JobRequest - - memory: "3072M" + - memory: !calc doc.resources.memory_3072M_on_wcoss_cray mpi_ranks: !calc 6*max_ppn walltime: !timedelta "00:30:00" exe: placeholder @@ -146,7 +158,7 @@ resources: OMP_NUM_THREADS: 1 run_gfspost: !JobRequest - - memory: "3072M" + - memory: !calc doc.resources.memory_3072M_on_wcoss_cray mpi_ranks: !calc 6*max_ppn walltime: !timedelta "00:10:00" exe: placeholder @@ -154,21 +166,27 @@ resources: OMP_NUM_THREADS: 1 run_gfsvrfy: !JobRequest - - memory: "3072M" + - memory: !FirstTrue + - when: !calc doc.settings.fv3gfs_machine == 'THEIA' + do: "16384M" + - otherwise: "3072M" mpi_ranks: 1 walltime: !timedelta "03:00:00" exe: placeholder max_ppn: 1 run_gdasvrfy: !JobRequest - - memory: "3072M" + - memory: !FirstTrue + - when: !calc doc.settings.fv3gfs_machine == 'THEIA' + do: "16384M" + - otherwise: "3072M" mpi_ranks: 1 walltime: !timedelta "01:30:00" exe: placeholder max_ppn: 1 run_arch: !JobRequest - - memory: "3072M" + - memory: !calc doc.resources.memory_3072M_on_wcoss_cray exclusive: false mpi_ranks: 1 walltime: !timedelta "06:00:00" @@ -177,7 +195,7 @@ resources: OMP_NUM_THREADS: 2 run_final: !JobRequest - - memory: "2M" + - memory: "1024M" mpi_ranks: 1 walltime: !timedelta "00:01:00" exe: placeholder @@ -185,7 +203,7 @@ resources: OMP_NUM_THREADS: 2 run_earc: !JobRequest - - memory: "3072M" + - memory: !calc doc.resources.memory_3072M_on_wcoss_cray mpi_ranks: 1 walltime: !timedelta "06:00:00" exe: placeholder @@ -193,6 +211,6 @@ resources: exclusive: false run_fv3ic: !JobRequest - - memory: "3072M" + - memory: !calc doc.resources.memory_3072M_on_wcoss_cray mpi_ranks: 24 exe: placeholder diff --git a/model/ecflow_fv3gfs/settings.yaml b/model/ecflow_fv3gfs/settings.yaml index ccddf59..b84f646 100644 --- a/model/ecflow_fv3gfs/settings.yaml +++ b/model/ecflow_fv3gfs/settings.yaml @@ -42,3 +42,5 @@ settings: archive_to_hpss: True four_cycle_mode: False ecflow_machine: xc40-prod + + fv3gfs_machine: !calc doc.config_files.machine diff --git a/model/ecflow_fv3gfs/settings_validator.yaml b/model/ecflow_fv3gfs/settings_validator.yaml index c95e31f..c52cfd6 100644 --- a/model/ecflow_fv3gfs/settings_validator.yaml +++ b/model/ecflow_fv3gfs/settings_validator.yaml @@ -103,7 +103,7 @@ settings_validator: &settings_validator !Template description: >- The $ECF_HOME setting for the ecFlow server. Not used in Rocoto mode. type: string - default: !calc ( tools.env('ECF_HOME') ) + default: !calc ( tools.env('ECF_HOME','localhost') ) ROCOTO_HOME: description: >- diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml index 28a93de..5393f4c 100644 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ b/model/ecflow_fv3gfs/suite_def.yaml @@ -368,6 +368,7 @@ suite: !Cycle export post_times=anl FHRLST={FHRLST} FHRGRP={FHRGRP} $HOMEgfs/jobs/{J_JOB} rocoto_command: !expand >- + {rocoto_load_modules} ; /usr/bin/env post_times=anl &HOMEgfs;/jobs/{J_JOB} more_vars: [ FHRGRP, FHRLST ] @@ -387,6 +388,7 @@ suite: !Cycle export post_times={dimval.fhr:03d} FHRLST={FHRLST} FHRGRP={FHRGRP} $HOMEgfs/jobs/{J_JOB} rocoto_command: !expand >- + {rocoto_load_modules} ; /usr/bin/env post_times={dimval.fhr:03d} &HOMEgfs;/jobs/{J_JOB} jgfs_pgrb2_spec_post: !FirstTrue @@ -674,6 +676,7 @@ suite: !Cycle export post_times=anl FHRLST={FHRLST} FHRGRP={FHRGRP} $HOMEgfs/jobs/{J_JOB} rocoto_command: !expand >- + {rocoto_load_modules} ; /usr/bin/env post_times=anl &HOMEgfs;/jobs/{J_JOB} jgdas_post_fhr_el: !TaskElement @@ -690,6 +693,7 @@ suite: !Cycle export post_times={dimval.fhr:03d} FHRLST={FHRLST} FHRGRP={FHRGRP} $HOMEgfs/jobs/{J_JOB} rocoto_command: !expand >- + {rocoto_load_modules} ; /usr/bin/env post_times={dimval.fhr:03d} &HOMEgfs;/jobs/{J_JOB} Trigger: !Depend jgdas_post_manager.depend("release_post{F:02d}",F=[dimval.fhr]) | up.forecast more_vars: [ FHR, HR, FHRGRP, FHRLST ] diff --git a/model/ecflow_fv3gfs/task.yaml b/model/ecflow_fv3gfs/task.yaml index 2daae76..9979507 100644 --- a/model/ecflow_fv3gfs/task.yaml +++ b/model/ecflow_fv3gfs/task.yaml @@ -2,8 +2,12 @@ task_template: &task_template Template: *task_validator + rocoto_load_modules: !expand >- + source &HOMEgfs;/ush/load_fv3gfs_modules.sh ; + module list rocoto_command: !expand >- - source &HOMEgfs;/ush/load_fv3gfs_modules.sh ; &HOMEgfs;/jobs/{J_JOB} + {rocoto_load_modules} ; + &HOMEgfs;/jobs/{J_JOB} ecflow_command: !expand "${{HOMEgfs}}/jobs/{J_JOB}" Rocoto: !expand | diff --git a/model/ecflow_fv3gfs/worktools.sh.inc b/model/ecflow_fv3gfs/worktools.sh.inc index cf0365d..7e4ad20 100644 --- a/model/ecflow_fv3gfs/worktools.sh.inc +++ b/model/ecflow_fv3gfs/worktools.sh.inc @@ -1,34 +1,32 @@ check_ecf_variables() { - -if ( ! which ecflow_client > /dev/null 2>&1 ) ; then - echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." - exit 1 -fi - -if [[ "${ECF_ROOT:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_ROOT" - exit 1 -fi - -if [[ "${ECF_HOME:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_HOME. I suggest \$ECF_ROOT/submit" - exit 1 -fi - -if [[ "${check_ecf_host:-YES}" == YES ]] ; then - if [[ "${ECF_HOST:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_HOST." - exit 1 + if ( ! which ecflow_client > /dev/null 2>&1 ) ; then + echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." + exit 1 fi -fi - -if [[ "${ECF_PORT:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_PORT. See /usrx/local/sys/ecflow/assigned_ports.txt" - exit 1 -fi - -export ECF_HOME="${ECF_HOME:-$ECF_ROOT/submit}" - + + if [[ "${ECF_ROOT:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_ROOT" + exit 1 + fi + + if [[ "${ECF_HOME:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_HOME. I suggest \$ECF_ROOT/submit" + exit 1 + fi + + if [[ "${check_ecf_host:-YES}" == YES ]] ; then + if [[ "${ECF_HOST:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_HOST." + exit 1 + fi + fi + + if [[ "${ECF_PORT:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_PORT. See /usrx/local/sys/ecflow/assigned_ports.txt" + exit 1 + fi + + export ECF_HOME="${ECF_HOME:-$ECF_ROOT/submit}" } maybe_verbose_source() { @@ -44,6 +42,10 @@ find_python36() { if ( ! which python3 > /dev/null 2>&1 || \ ! python3 -c 'import yaml ; f{"1+1"}' > /dev/null 2>&1 ) ; then python36=/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/python/3.6.1-emc/bin/python3.6 + if [[ ! -x "$python36" ]] ; then + module load intelpython/3.6.1.0 + python36="$( which python3 )" + fi else python36="$( which python3 )" fi From e206a81f96bf7fb54a0f304a1519b6f6a4e96dce Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 8 Mar 2018 01:22:44 +0000 Subject: [PATCH 377/487] remove some emacs autosave files --- crow/sysenv/parallelism/HydraIMPI.py~ | 22 ---------------------- crow/sysenv/parallelism/__init__.py~ | 15 --------------- 2 files changed, 37 deletions(-) delete mode 100644 crow/sysenv/parallelism/HydraIMPI.py~ delete mode 100644 crow/sysenv/parallelism/__init__.py~ diff --git a/crow/sysenv/parallelism/HydraIMPI.py~ b/crow/sysenv/parallelism/HydraIMPI.py~ deleted file mode 100644 index 6e45828..0000000 --- a/crow/sysenv/parallelism/HydraIMPI.py~ +++ /dev/null @@ -1,22 +0,0 @@ -import itertools -from io import StringIO - -from crow.sysenv.exceptions import * -from crow.sysenv.util import ranks_to_nodes_ppn -from crow.sysenv.spec import JobResourceSpec - -#from crow.sysenv.parallelisms.base import Parallelism as BaseParallelism - -from collections import Sequence - -__all__=['Parallelism'] - -class Parallelism(object): # (BaseParallelism): - def __init__(self,settings): - self.settings=dict(settings) - self.cores_per_node=int(settings['physical_cores_per_node']) - self.cpus_per_core=int(settings.get('logical_cpus_per_core',1)) - self.hyperthreading_allowed=bool( - settings.get('hyperthreading_allowed',False)) - self.parallelism='HydraIMPI' - self.indent_text=str(settings.get('indent_text',' ')) diff --git a/crow/sysenv/parallelism/__init__.py~ b/crow/sysenv/parallelism/__init__.py~ deleted file mode 100644 index 34ad3fb..0000000 --- a/crow/sysenv/parallelism/__init__.py~ +++ /dev/null @@ -1,15 +0,0 @@ -from crow.sysenv.exceptions import UnknownSchedulerError -from crow.sysenv.schedulers.MoabTorque import Scheduler as MoabTorqueScheduler - -KNOWN_SCHEDULERS={ - 'MoabTorque': MoabTorqueScheduler - } - -def get_scheduler(name,settings): - if name not in KNOWN_SCHEDULERS: - raise UnknownSchedulerError(name) - cls=KNOWN_SCHEDULERS[name] - return cls(settings) - -def has_scheduler(name): - return name in KNOWN_SCHEDULERS From 95c65c008bac1bbebb6c26e954d209db52c68229 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 8 Mar 2018 01:43:59 +0000 Subject: [PATCH 378/487] Tell git to ignore __pycache__ files --- .gitignore | 1 + 1 file changed, 1 insertion(+) create mode 100644 .gitignore diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..bee8a64 --- /dev/null +++ b/.gitignore @@ -0,0 +1 @@ +__pycache__ From 2a915126cda573d01bb28168b4f065449724fff8 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 8 Mar 2018 16:56:53 +0000 Subject: [PATCH 379/487] remove defunct to_ecflow.py --- crow/metascheduler/to_ecflow.py | 8 -------- 1 file changed, 8 deletions(-) delete mode 100644 crow/metascheduler/to_ecflow.py diff --git a/crow/metascheduler/to_ecflow.py b/crow/metascheduler/to_ecflow.py deleted file mode 100644 index 42d1bed..0000000 --- a/crow/metascheduler/to_ecflow.py +++ /dev/null @@ -1,8 +0,0 @@ -from crow.config import * -import ecflow - -def to_ecflow(suite): - start=suite.Clock.start - end=suite.Clock.end - step=suite.Clock.step - for entry in From c1064304a8ef020f984ab4728da3d25bf4efe21d Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 9 Mar 2018 15:59:28 +0000 Subject: [PATCH 380/487] Split "memory" into "compute_memory" and "batch_memory" to handle ALPS vs. everyone else well. Also, add a YES_NO function to crow.config.tools to easily convert logicals into YES/NO --- crow/config/tools.py | 5 ++++ crow/sysenv/jobs.py | 3 ++- crow/sysenv/schedulers/LSFAlps.py | 37 +++++++++++++++++++--------- crow/sysenv/schedulers/MoabTorque.py | 14 ++++++++--- 4 files changed, 43 insertions(+), 16 deletions(-) diff --git a/crow/config/tools.py b/crow/config/tools.py index 5c2da50..a96a390 100644 --- a/crow/config/tools.py +++ b/crow/config/tools.py @@ -25,6 +25,11 @@ def join(L,J): return J.join([str(i) for i in L]) def seq(start,end,step): return [ r for r in range(start,end+1,step) ] +def yes_no(value): + return 'yes' if value else 'no' +def YES_NO(value): + return 'YES' if value else 'NO' + def fort(value,scope='scope'): """!Convenience function to convert a python object to a syntax valid in fortran namelists. """ diff --git a/crow/sysenv/jobs.py b/crow/sysenv/jobs.py index e3ef1ca..0448f0f 100644 --- a/crow/sysenv/jobs.py +++ b/crow/sysenv/jobs.py @@ -19,7 +19,8 @@ class JobRankSpec(Mapping): OPTIONAL_ATTRIBUTES=[ - 'walltime', 'memory', 'outer', 'stdout', 'stderr', 'jobname' ] + 'walltime', 'memory', 'outer', 'stdout', 'stderr', 'jobname', + 'batch_memory', 'compute_memory' ] def __init__(self,OMP_NUM_THREADS=0,mpi_ranks=0, exe=MISSING,args=MISSING,exclusive=True, diff --git a/crow/sysenv/schedulers/LSFAlps.py b/crow/sysenv/schedulers/LSFAlps.py index ce5afa2..2871a75 100644 --- a/crow/sysenv/schedulers/LSFAlps.py +++ b/crow/sysenv/schedulers/LSFAlps.py @@ -69,14 +69,21 @@ def batch_resources(self,spec,**kwargs): minutes=int((dt%3600)//60) seconds=int(math.floor(dt%60)) sio.write(f'#BSUB -W {hours}:{minutes:02d}\n') - - if spec[0].get('memory',''): - memory=spec[0]['memory'] - bytes=tools.memory_in_bytes(memory) + + # Handle memory. + if spec[0].is_exclusive() and spec[0].get('batch_memory',''): + bytes=tools.memory_in_bytes(spec[0]['batch_memory']) + megabytes=int(math.ceil(bytes/1048576.)) + elif not spec[0].is_exclusive() and spec[0].get('compute_memory',''): + bytes=tools.memory_in_bytes(spec[0]['compute_memory']) + megabytes=int(math.ceil(bytes/1048576.)) + elif spec[0].get('memory',''): + memory=tools.memory_in_bytes(spec[0]['memory']) megabytes=int(math.ceil(bytes/1048576.)) - sio.write(f'#BSUB -R rusage[mem={megabytes:d}]\n') else: - sio.write(f'#BSUB -R rusage[mem=2000]\n') + megabytes=2000 + + sio.write(f'#BSUB -R rusage[mem={megabytes:d}]\n') if spec[0].get('outerr',''): sio.write(f'#BSUB -o {spec[0]["outerr"]}\n') @@ -161,13 +168,21 @@ def rocoto_resources(self,spec,indent=0): seconds=int(math.floor(dt%60)) sio.write(f'{indent*space}{hours}:{minutes:02d}:{seconds:02d}\n') - if spec[0].get('memory',''): - memory=spec[0]['memory'] - bytes=tools.memory_in_bytes(memory) + + # Handle memory. + if spec[0].is_exclusive() and spec[0].get('batch_memory',''): + bytes=tools.memory_in_bytes(spec[0]['batch_memory']) + megabytes=int(math.ceil(bytes/1048576.)) + elif not spec[0].is_exclusive() and spec[0].get('compute_memory',''): + bytes=tools.memory_in_bytes(spec[0]['compute_memory']) + megabytes=int(math.ceil(bytes/1048576.)) + elif spec[0].get('memory',''): + memory=tools.memory_in_bytes(spec[0]['memory']) megabytes=int(math.ceil(bytes/1048576.)) - sio.write(f'{indent*space}{megabytes:d}M\n') else: - sio.write(f'{indent*space}{megabytes:d}M\n') + megabytes=2000 + + sio.write(f'{indent*space}{megabytes:d}M\n') if 'outerr' in spec: sio.write(f'{indent*space}{spec["outerr"]}\n') diff --git a/crow/sysenv/schedulers/MoabTorque.py b/crow/sysenv/schedulers/MoabTorque.py index 696af56..ccd9af5 100644 --- a/crow/sysenv/schedulers/MoabTorque.py +++ b/crow/sysenv/schedulers/MoabTorque.py @@ -66,11 +66,14 @@ def batch_resources(self,spec,**kwargs): seconds=int(math.floor(dt%60)) sio.write(f'#PBS -l walltime={hours:d}:{minutes:02d}' f':{seconds:02d}\n') - if spec[0].get('memory',''): - memory=spec[0]['memory'] + for memvar in [ 'compute_memory', 'memory' ]: + memory=spec[0].get(memvar,''): + if not memory: continue bytes=tools.memory_in_bytes(memory) megabytes=int(math.ceil(bytes/1048576.)) sio.write(f'#PBS -l vmem={megabytes:d}M\n') + break + if spec[0].get('outerr',''): sio.write(f'#PBS -j oe -o {spec[0]["outerr"]}\n') else: @@ -80,6 +83,7 @@ def batch_resources(self,spec,**kwargs): sio.write('#PBS -e {spec[0]["stderr"]}\n') if spec[0].get('jobname'): sio.write('#PBS -J {spec[0]["jobname"]}\n') + # -------------------------------------------------------------- # Request processors. if spec.is_pure_serial(): @@ -152,11 +156,13 @@ def rocoto_resources(self,spec,indent=0): seconds=int(math.floor(dt%60)) sio.write(f'{indent*space}{hours}:{minutes:02d}:{seconds:02d}\n') - if spec[0].get('memory',''): - memory=spec[0]['memory'] + for memvar in [ 'compute_memory', 'memory' ]: + memory=spec[0].get(memvar,''): + if not memory: continue bytes=tools.memory_in_bytes(memory) megabytes=int(math.ceil(bytes/1048576.)) sio.write(f'{indent*space}{megabytes:d}M\n') + break if 'outerr' in spec: sio.write(f'{indent*space}{spec["outerr"]}\n') From f4977f786d6b07b4160719281fa01b0e6ff061b1 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Sun, 11 Mar 2018 02:01:29 +0000 Subject: [PATCH 381/487] Features needed by new config system: 1. !Select - select/case statement 2. !MergeMapping - merges multiple mappings 3. _do_not_validate - in dict_eval objects, tells validation not to validate nor recurse into these. --- crow/config/__init__.py | 13 +++++------ crow/config/eval_tools.py | 2 +- crow/config/from_yaml.py | 6 ++++++ crow/config/represent.py | 32 ++++++++++++++++++++++++++-- crow/config/tasks.py | 2 +- crow/config/to_yaml.py | 2 ++ crow/config/tools.py | 4 ++++ crow/sysenv/jobs.py | 6 ++++++ crow/sysenv/schedulers/MoabTorque.py | 4 ++-- 9 files changed, 59 insertions(+), 12 deletions(-) diff --git a/crow/config/__init__.py b/crow/config/__init__.py index 7803454..0dda3b9 100644 --- a/crow/config/__init__.py +++ b/crow/config/__init__.py @@ -62,6 +62,7 @@ def _recursive_validate(obj,stage,memo=None): if memo is None: memo=set() if id(obj) in memo: return memo.add(id(obj)) + if hasattr(obj,'_do_not_validate'): return if hasattr(obj,'_validate'): obj._validate(stage) for k,v in obj.items(): @@ -76,14 +77,14 @@ def validate(obj,stage='',recurse=False): def document_root(obj): return obj._globals()['doc'] -def from_dir(reldir,evaluate_immediates=True,validation_stage=None,more_globals=None): +def from_dir(reldir,evaluate_immediates=True,validation_stage=None,main_globals=None): with io.StringIO() as fd: - follow_main(fd,reldir,more_globals) + follow_main(fd,reldir,main_globals) yaml=fd.getvalue() return from_string(yaml,evaluate_immediates=True,validation_stage=None) -def follow_main(fd,reldir,more_globals=None): - if more_globals is None: more_globals={} +def follow_main(fd,reldir,main_globals=None): + if main_globals is None: main_globals={} _logger.debug(f"{reldir}: enter directory") mainfile=os.path.join(reldir,"_main.yaml") @@ -91,7 +92,7 @@ def follow_main(fd,reldir,more_globals=None): if os.path.exists(mainfile): _logger.debug(f"{mainfile}: read \"include\" array") maindat=crow.config.from_file(mainfile) - maindat.update(more_globals) + maindat.update(main_globals) if "include" not in maindat or \ not isinstance(maindat.include,Sequence): epicfail(f"{mainfile} has no \"include\" array") @@ -121,7 +122,7 @@ def follow_main(fd,reldir,more_globals=None): if not is_literal and basename in literals: continue if basename == "_main.yaml": continue if os.path.isdir(path): - follow_main(fd,path,more_globals) + follow_main(fd,path,main_globals) else: _logger.debug(f"{path}: read yaml") included.add(basename) diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 2d1c326..560acc8 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -84,7 +84,7 @@ def from_config(key,val,globals,locals,path): return val except(KeyError,NameError,AttributeError) as ae: raise CalcKeyError(f'{path}: {type(val).__name__} {str(val)[0:80]} - ' - f'{type(ae).__name__} {str(ae)} --- in --- ' + f'{type(ae).__name__} {str(ae)} --- not in --- ' f'{{{", ".join([ k for k in locals.keys() ])}}}') except(SyntaxError,TypeError,IndexError) as ke: raise CalcKeyError(f'{path}: {type(val).__name__} {str(val)[0:80]} - ' diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index fc6349c..164e71c 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -29,6 +29,7 @@ # YAML representation objects: class PlatformYAML(YAMLObject): yaml_tag=u'!Platform' +class SelectYAML(YAMLObject): yaml_tag=u'!Select' class ActionYAML(YAMLObject): yaml_tag=u'!Action' #class TemplateYAML(YAMLObject): yaml_tag=u'!Template' @@ -38,6 +39,7 @@ class FirstTrueYAML(list): yaml_tag=u'!FirstTrue' class LastTrueYAML(list): yaml_tag=u'!LastTrue' class ImmediateYAML(list): yaml_tag=u'!Immediate' class InheritYAML(list): yaml_tag=u'!Inherit' +class MergeMappingYAML(list): yaml_tag=u'!MergeMapping' class ClockYAML(dict): yaml_tag=u'!Clock' class EvalYAML(dict): pass @@ -60,6 +62,7 @@ class JobResourceSpecMakerYAML(list): pass # * internal representation class # * python core class for intermediate conversion TYPE_MAP={ PlatformYAML: [ Platform, dict, None ], + SelectYAML: [ Select, dict, None ], TemplateYAML: [ Template, OrderedDict, None ], ActionYAML: [ Action, dict, None ], ShellCommandYAML: [ ShellCommand, OrderedDict, None ], @@ -157,6 +160,7 @@ def constructor(loader,node): add_yaml_sequence(u'!FirstTrue',FirstTrueYAML) add_yaml_sequence(u'!Immediate',ImmediateYAML) add_yaml_sequence(u'!Inherit',InheritYAML) +add_yaml_sequence(u'!MergeMapping',MergeMappingYAML) add_yaml_sequence(u'!JobRequest',JobResourceSpecMakerYAML) ## @var CONDITIONALS @@ -271,6 +275,8 @@ def to_eval_impl(self,v,locals,path): return self.from_list(v,locals,Immediate,path) elif cls is InheritYAML: return self.from_list(v,locals,Inherit,path) + elif cls is MergeMappingYAML: + return self.from_list(v,locals,MergeMapping,path) elif cls is JobResourceSpecMakerYAML: return self.from_list(v,locals,JobResourceSpecMaker,path) elif isinstance(v,list) and v and isinstance(v[0],tuple) \ diff --git a/crow/config/represent.py b/crow/config/represent.py index 455eaea..38c4dff 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -2,7 +2,7 @@ embedded yaml calculations, as well as internal representations of all custom data types in the yaml files.""" -import re, abc, logging, sys +import re, abc, logging, sys, collections from datetime import timedelta from copy import deepcopy from crow.config.exceptions import * @@ -16,7 +16,8 @@ __all__=[ 'Action','Platform', 'Conditional', 'calc','FirstMin', 'FirstMax', 'LastTrue', 'FirstTrue', 'GenericList', 'GenericDict', 'GenericOrderedDict', 'ShellCommand', - 'Immediate', 'ClockMaker', 'JobResourceSpecMaker' ] + 'Immediate', 'ClockMaker', 'JobResourceSpecMaker', + 'MergeMapping', 'Select' ] ######################################################################## @@ -51,6 +52,33 @@ def _result(self,globals,locals): return Clock(start=self.start,step=self.step, end=self.get('end',None), now=self.get('now',None)) + +class Select(dict_eval): + def __result(self,globals,locals): + if 'select' not in self or 'otherwise' not in self or 'cases' not in self: + raise KeyError(f'{self._path}: !Select must contain select, otherwise, and cases.') + if not isinstance(self.cases,collections.Mapping): + raise TypeError(f'{self._path}.cases: !Select cases must be a map') + value=from_config('select',self._raw('select'), + globals,locals,self._path) + if value in self.cases: + if hasattr(self.cases,'_raw'): + return self.cases._raw(value) + return self.cases[value] + return self._raw('otherwise') + +class MergeMapping(list_eval): + def _do_not_validate(self): pass + def _result(self,globals,locals): + result={} + for d in self: + if not isinstance(d,collections.Mapping): continue + if not d: continue + if hasattr(d,'_raw_child'): + result.update(d._raw_child()) + else: + result.update(d) + return dict_eval(result,self._path,self._get_globals()) class Immediate(list_eval): def _result(self,globals,locals): diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 0c9cdd5..2266a31 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -440,7 +440,7 @@ def _as_dependency(self,globals,locals,path): result=as_dependency(result,path) return result except(AttributeError,KeyError,NameError) as ne: - raise DependError(f'{".".join(path[1:])}@{path[0]}: !Depend {self}: {ne} --- in --- {{{", ".join([k for k in locals.keys()])}}}') + raise DependError(f'{".".join(path[1:])}@{path[0]}: !Depend {self}: {ne} --- not in --- {{{", ".join([k for k in locals.keys()])}}}') except(ValueError,SyntaxError,TypeError,IndexError) as ke: raise DependError(f'{path}: !Depend {self}: {ke}') diff --git a/crow/config/to_yaml.py b/crow/config/to_yaml.py index 5f943c2..09884f7 100644 --- a/crow/config/to_yaml.py +++ b/crow/config/to_yaml.py @@ -43,6 +43,7 @@ def representer(dumper,data): add_yaml_list_eval(u'!Immediate',Immediate) add_yaml_list_eval(u'!JobRequest',JobResourceSpecMaker) add_yaml_list_eval(u'!Inherit',Inherit) +add_yaml_list_eval(u'!MergeMapping',MergeMapping) add_yaml_list_eval(None,GenericList) ######################################################################## @@ -67,6 +68,7 @@ def representer(dumper,data): add_yaml_dict_eval(None,GenericDict) add_yaml_dict_eval(u'!Platform',Platform) +add_yaml_dict_eval(u'!Select',Select) add_yaml_dict_eval(u'!Action',Action) add_yaml_dict_eval(u'!Eval',Eval) add_yaml_dict_eval(u'!InputSlot',InputSlot) diff --git a/crow/config/tools.py b/crow/config/tools.py index a96a390..6be4821 100644 --- a/crow/config/tools.py +++ b/crow/config/tools.py @@ -99,6 +99,9 @@ def uniq(inlist): outlist.append(i) return outlist +def can_write(f): + return os.access(f, os.W_OK) + ## The CONFIG_TOOLS contains the tools available to configuration yaml ## "!calc" expressions in their "tools" variable. CONFIG_TOOLS=crow.tools.ImmutableMapping({ @@ -114,6 +117,7 @@ def uniq(inlist): 'dirname':os.path.dirname, 'abspath':os.path.abspath, 'realpath':os.path.realpath, + 'can_write':can_write, 'isdir':os.path.isdir, 'isfile':os.path.isfile, 'env':env, diff --git a/crow/sysenv/jobs.py b/crow/sysenv/jobs.py index 0448f0f..a93eecd 100644 --- a/crow/sysenv/jobs.py +++ b/crow/sysenv/jobs.py @@ -26,6 +26,12 @@ def __init__(self,OMP_NUM_THREADS=0,mpi_ranks=0, exe=MISSING,args=MISSING,exclusive=True, separate_node=False,hyperthreads=1,max_ppn=MISSING, **kwargs): + if OMP_NUM_THREADS is None: OMP_NUM_THREADS=0 + if mpi_ranks is None: mpi_ranks=0 + if args is None: args=MISSING + if exclusive is None: exclusive=True + if hyperthreads is None: hyperthreads=1 + if max_ppn is None: max_ppn=MISSING if OMP_NUM_THREADS == 'max': OMP_NUM_THREADS=MAXIMUM_THREADS self.__spec={ diff --git a/crow/sysenv/schedulers/MoabTorque.py b/crow/sysenv/schedulers/MoabTorque.py index ccd9af5..3b0e713 100644 --- a/crow/sysenv/schedulers/MoabTorque.py +++ b/crow/sysenv/schedulers/MoabTorque.py @@ -67,7 +67,7 @@ def batch_resources(self,spec,**kwargs): sio.write(f'#PBS -l walltime={hours:d}:{minutes:02d}' f':{seconds:02d}\n') for memvar in [ 'compute_memory', 'memory' ]: - memory=spec[0].get(memvar,''): + memory=spec[0].get(memvar,'') if not memory: continue bytes=tools.memory_in_bytes(memory) megabytes=int(math.ceil(bytes/1048576.)) @@ -157,7 +157,7 @@ def rocoto_resources(self,spec,indent=0): sio.write(f'{indent*space}{hours}:{minutes:02d}:{seconds:02d}\n') for memvar in [ 'compute_memory', 'memory' ]: - memory=spec[0].get(memvar,''): + memory=spec[0].get(memvar,'') if not memory: continue bytes=tools.memory_in_bytes(memory) megabytes=int(math.ceil(bytes/1048576.)) From a2c732a605601ed56ba9738f02f352b6c16a8c90 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Sun, 11 Mar 2018 05:34:45 +0000 Subject: [PATCH 382/487] update validation logic so immediates are handled before validation in from_yaml. Also, a few minor bug fixes --- crow/config/__init__.py | 8 ++++---- crow/config/eval_tools.py | 27 +++++++++++++++++++++------ crow/config/from_yaml.py | 24 +++++++++++++++++------- crow/config/represent.py | 8 +++++--- crow/config/tasks.py | 2 +- crow/config/tools.py | 5 +++-- 6 files changed, 51 insertions(+), 23 deletions(-) diff --git a/crow/config/__init__.py b/crow/config/__init__.py index 0dda3b9..ee6077f 100644 --- a/crow/config/__init__.py +++ b/crow/config/__init__.py @@ -43,9 +43,8 @@ def expand_text(text,scope): def from_string(s,evaluate_immediates=True,validation_stage=None): if not s: raise TypeError('Cannot parse null string') c=ConvertFromYAML(yaml.load(s),CONFIG_TOOLS,ENV) - result=c.convert(validation_stage=validation_stage) - if evaluate_immediates: - _evaluate_immediates(result,recurse=True) + result=c.convert(validation_stage=validation_stage, + evaluate_immediates=evaluate_immediates) return result def from_file(*args,evaluate_immediates=True,validation_stage=None): @@ -81,7 +80,8 @@ def from_dir(reldir,evaluate_immediates=True,validation_stage=None,main_globals= with io.StringIO() as fd: follow_main(fd,reldir,main_globals) yaml=fd.getvalue() - return from_string(yaml,evaluate_immediates=True,validation_stage=None) + return from_string(yaml,evaluate_immediates=evaluate_immediates, + validation_stage=validation_stage) def follow_main(fd,reldir,main_globals=None): if main_globals is None: main_globals={} diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 560acc8..94cc007 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -84,14 +84,17 @@ def from_config(key,val,globals,locals,path): return val except(KeyError,NameError,AttributeError) as ae: raise CalcKeyError(f'{path}: {type(val).__name__} {str(val)[0:80]} - ' - f'{type(ae).__name__} {str(ae)} --- not in --- ' + f'{type(ae).__name__} {str(ae)} --in-- ' f'{{{", ".join([ k for k in locals.keys() ])}}}') except(SyntaxError,TypeError,IndexError) as ke: + if 'f-string: unterminated string' in str(ke): +# raise CalcKeyError(f'{path}: {type(val).__name__} + raise CalcKeyError(f'''{path}: {type(val).__name__}: probable unbalanced parentheses ([{{"''"}}]) in {str(val)[0:80]} {str(ke)[:80]}''') raise CalcKeyError(f'{path}: {type(val).__name__} {str(val)[0:80]} - ' - f'{type(ke).__name__} {str(ke)}') + f'{type(ke).__name__} {str(ke)[:80]}') except RecursionError as re: - raise CalcRecursionTooDeep('%s: !%s %s'%( - str(key),type(val).__name__,str(val))) + raise CalcRecursionTooDeep( + f'{path}: !{key} {type(val).__name__}') class multidict(MutableMapping): """!This is a dict-like object that makes multiple dicts act as one. @@ -226,14 +229,15 @@ def __iter__(self): for k in self.__child.keys(): yield k def _validate(self,stage,memo=None): """!Validates this dict_eval using its embedded Template object, if present """ + if self.__is_validated: return + self.__is_validated=True + # Make sure we don't get infinite recursion: if memo is None: memo=set() if id(self) in memo: raise ValidationRecursionError( f'{self._path}: cyclic Inherit detected') memo.add(id(self)) - if self.__is_validated: return - self.__is_validated=True # Inherit from other scopes: if 'Inherit' in self: @@ -414,6 +418,17 @@ def _result(self,globals,locals): raise EvalMissingCalc('"!Eval" block lacks a "result: !calc"') return self.result +def recursively_validate(obj,stage,validation_memo=None,inheritence_memo=None): + if validation_memo is None: validation_memo=set() + if id(obj) in validation_memo: return + validation_memo.add(id(obj)) + + if hasattr(obj,'_validate'): + obj._validate(stage) + if hasattr(obj,'_iter_raw'): + for subobj in obj._iter_raw(): + recursively_validate(subobj,stage,validation_memo,inheritence_memo) + def _invalidate_cache_one_obj(obj,key=None): if hasattr(obj,'_invalidate_cache'): #print(f'invalidate cache {obj.path}') diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index 164e71c..8a4657f 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -11,12 +11,12 @@ from datetime import timedelta from collections import namedtuple, OrderedDict -import collections -import re -import yaml + +import collections, re, yaml, logging + from yaml import YAMLObject from yaml.nodes import MappingNode - +import crow.config.eval_tools from crow.config.eval_tools import * from crow.config.represent import * from crow.config.tasks import * @@ -27,6 +27,8 @@ __all__=['ConvertFromYAML'] +logger=logging.getLogger('crow.config') + # YAML representation objects: class PlatformYAML(YAMLObject): yaml_tag=u'!Platform' class SelectYAML(YAMLObject): yaml_tag=u'!Select' @@ -242,15 +244,23 @@ def __init__(self,tree,tools,ENV): self.tree=tree self.tools=tools self.validatable=dict() + self.immediates=dict() self.ENV=ENV - def convert(self,validation_stage): + def convert(self,validation_stage,evaluate_immediates): self.result=self.from_dict(self.tree,path='doc') globals={ 'tools':self.tools, 'doc':self.result, 'ENV': self.ENV } self.result._recursively_set_globals(globals) + if evaluate_immediates: + logger.debug('evaluate immediates') + crow.config.eval_tools.evaluate_immediates( + self.result,recurse=True) if validation_stage is not None: - for i,v in self.validatable.items(): - v._validate(validation_stage) + logger.debug(f'validate in {validation_stage}') + crow.config.eval_tools.recursively_validate( + self.result,validation_stage) + else: + logger.debug('do not validate') return self.result def to_eval(self,v,locals,path): diff --git a/crow/config/represent.py b/crow/config/represent.py index 38c4dff..4fca87d 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -54,7 +54,7 @@ def _result(self,globals,locals): now=self.get('now',None)) class Select(dict_eval): - def __result(self,globals,locals): + def _result(self,globals,locals): if 'select' not in self or 'otherwise' not in self or 'cases' not in self: raise KeyError(f'{self._path}: !Select must contain select, otherwise, and cases.') if not isinstance(self.cases,collections.Mapping): @@ -68,7 +68,8 @@ def __result(self,globals,locals): return self._raw('otherwise') class MergeMapping(list_eval): - def _do_not_validate(self): pass + def _is_immediate(self): pass + def _validate(self,*args,**kwargs): assert(False) def _result(self,globals,locals): result={} for d in self: @@ -78,7 +79,8 @@ def _result(self,globals,locals): result.update(d._raw_child()) else: result.update(d) - return dict_eval(result,self._path,self._get_globals()) + result=dict_eval(result,self._path,self._get_globals()) + return result class Immediate(list_eval): def _result(self,globals,locals): diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 2266a31..a21bb67 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -440,7 +440,7 @@ def _as_dependency(self,globals,locals,path): result=as_dependency(result,path) return result except(AttributeError,KeyError,NameError) as ne: - raise DependError(f'{".".join(path[1:])}@{path[0]}: !Depend {self}: {ne} --- not in --- {{{", ".join([k for k in locals.keys()])}}}') + raise DependError(f'{".".join(path[1:])}@{path[0]}: !Depend {self}: {ne} --in-- {{{", ".join([k for k in locals.keys()])}}}') except(ValueError,SyntaxError,TypeError,IndexError) as ke: raise DependError(f'{path}: !Depend {self}: {ke}') diff --git a/crow/config/tools.py b/crow/config/tools.py index 6be4821..6b25710 100644 --- a/crow/config/tools.py +++ b/crow/config/tools.py @@ -45,8 +45,7 @@ def fort(value,scope='scope'): return ", ".join(result) elif isinstance(value,Mapping): # For mappings, assume a derived type. - subscope_keys=[ (f'{scope}%{key}',value) for key in value ] - return ', '.join([f'{k}={fort(v,k)}' for (k,v) in subscope_keys]) + return ', '.join([f'{scope}%{k}={v}' for k,v in value.items()]) elif value is True or value is False: # Booleans get a "." around them: return '.'+str(bool(value))+'.' @@ -107,6 +106,8 @@ def can_write(f): CONFIG_TOOLS=crow.tools.ImmutableMapping({ 'fort':fort, 'seq':seq, + 'YES_NO': YES_NO, + 'yes_no': yes_no, 'expand':expand, 'crow_install_dir':crow_install_dir, 'to_upper':(lambda s: s.upper()), From 1d90274b512893bb022bfaa6aa5ac27c4788e327 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Sun, 11 Mar 2018 14:02:52 +0000 Subject: [PATCH 383/487] Lower-case .true. .false. by default in crow.config.tools.fort --- crow/config/tools.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/crow/config/tools.py b/crow/config/tools.py index 6b25710..0c0caa6 100644 --- a/crow/config/tools.py +++ b/crow/config/tools.py @@ -48,7 +48,7 @@ def fort(value,scope='scope'): return ', '.join([f'{scope}%{k}={v}' for k,v in value.items()]) elif value is True or value is False: # Booleans get a "." around them: - return '.'+str(bool(value))+'.' + return '.'+str(bool(value)).lower()+'.' elif isinstance(value,float): return '%.12g'%value else: From a71595d27cf994d0a6afe449c8040235927e6966 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Sun, 11 Mar 2018 19:18:45 +0000 Subject: [PATCH 384/487] changes needed to add compset+workflow merge --- crow/config/__init__.py | 4 ++-- crow/config/eval_tools.py | 23 +++++++++++++++---- crow/config/tasks.py | 14 +++++++----- crow/config/tools.py | 9 ++++---- crow/metascheduler/rocoto.py | 11 ++++----- crow/tools.py | 43 +++++++++++++++++++++++++++++++----- 6 files changed, 78 insertions(+), 26 deletions(-) diff --git a/crow/config/__init__.py b/crow/config/__init__.py index ee6077f..e20b0d5 100644 --- a/crow/config/__init__.py +++ b/crow/config/__init__.py @@ -15,7 +15,7 @@ CycleExistsDependency, InputSlot, OutputSlot, EventDependency, \ Event, DataEvent, ShellEvent, TaskExistsDependency from .to_yaml import to_yaml -from .eval_tools import invalidate_cache +from .eval_tools import invalidate_cache, update_globals from .eval_tools import evaluate_immediates as _evaluate_immediates from .exceptions import ConfigError, ConfigUserError @@ -24,7 +24,7 @@ 'Taskable', 'Task', 'Family', 'CycleAt', 'CycleTime', 'Cycle', 'Trigger', 'Depend', 'Timespec', 'SuitePath', 'ShellEvent', 'Event', 'DataEvent', 'CycleExistsDependency', 'validate', 'EventDependency', - 'TaskExistsDependency', 'follow_main', 'from_dir' ] + 'TaskExistsDependency', 'follow_main', 'from_dir', 'update_globals' ] _logger=logging.getLogger('crow.config') diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 94cc007..1a51a76 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -293,15 +293,18 @@ def _to_py(self,recurse=True): cls=type(self.__child) return cls([(k, to_py(v)) for k,v in self.items()]) def _child(self): return self.__child - def _recursively_set_globals(self,globals): + def _recursively_set_globals(self,globals,memo=None): """Recurses through the object tree setting the globals for eval() calls""" assert('tools' in globals) assert('doc' in globals) + if memo is None: memo=set() + if id(self) in memo: return + memo.add(id(self)) if self.__globals is globals: return self.__globals=globals for k,v in self.__child.items(): try: - v._recursively_set_globals(globals) + v._recursively_set_globals(globals,memo) except AttributeError: pass def __repr__(self): return '%s(%s)'%(type(self).__name__,repr(self.__child),) @@ -392,12 +395,15 @@ def __getitem__(self,index): def _to_py(self,recurse=True): """!Converts to a python core object; does not work for cyclic object trees""" return [ to_py(v) for v in self ] - def _recursively_set_globals(self,globals): + def _recursively_set_globals(self,globals,memo): + if memo is None: memo=set() + if id(self) in memo: return + memo.add(id(self)) if self.__globals is globals: return self.__globals=globals for v in self.__child: if isinstance(v,dict_eval) or isinstance(v,list_eval): - v._recursively_set_globals(globals) + v._recursively_set_globals(globals,memo) def __repr__(self): return '%s(%s)'%(type(self).__name__,repr(self.__child),) def __str__(self): @@ -418,6 +424,15 @@ def _result(self,globals,locals): raise EvalMissingCalc('"!Eval" block lacks a "result: !calc"') return self.result +def update_globals(s,globals): + gcopy=dict(s._get_globals()) + doc=gcopy['doc'] + tools=gcopy['tools'] + gcopy.update(globals) + gcopy['doc']=doc + gcopy['tools']=tools + doc._recursively_set_globals(gcopy) + def recursively_validate(obj,stage,validation_memo=None,inheritence_memo=None): if validation_memo is None: validation_memo=set() if id(obj) in validation_memo: return diff --git a/crow/config/tasks.py b/crow/config/tasks.py index a21bb67..f897691 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -17,7 +17,7 @@ from collections.abc import Mapping, Sequence from copy import copy, deepcopy from crow.config.exceptions import * -from crow.config.eval_tools import dict_eval, strcalc, multidict, from_config +from crow.config.eval_tools import dict_eval, strcalc, multidict, from_config, update_globals from crow.tools import to_timedelta, typecheck, NamedConstant, MISSING __all__=[ 'SuiteView', 'Suite', 'Depend', 'LogicalDependency', @@ -96,7 +96,8 @@ def __init__(self,suite,viewed,path,parent, self.viewed.task_path_list=path[1:] self.viewed.task_path_str='/'+'/'.join(path[1:]) self.viewed.task_path_var='.'.join(path[1:]) - self.viewed._path=self.viewed.task_path_var + if self.viewed.task_path_var: + self.viewed._path=self.viewed.task_path_var if type(self.viewed) in SUITE_CLASS_MAP: self.viewed.up=parent self.viewed.this=self.viewed @@ -408,10 +409,11 @@ def __init__(self,suite,more_globals=EMPTY_DICT): RUNNING=RUNNING,COMPLETED=COMPLETED, FAILED=FAILED) self._more_globals=dict(more_globals) - globals.update(self._more_globals) + super().__init__(self,viewed,[ZERO_DT],self) - viewed._recursively_set_globals(globals) + + update_globals(self.viewed._globals()['doc'],globals) def has_cycle(self,dt): return CycleExistsDependency(to_timedelta(dt)) def make_empty_copy(self,more_globals=EMPTY_DICT): @@ -420,9 +422,9 @@ def make_empty_copy(self,more_globals=EMPTY_DICT): new_more_globals.update(more_globals) return Suite(suite_copy,new_more_globals) def update_globals(self,*args,**kwargs): - globals=self.viewed._get_globals() + globals=dict() globals.update(*args,**kwargs) - self.viewed._recursively_set_globals(globals) + update_globals(self.viewed,globals) def get_alarm_with_name(self,alarm_name): return self["Alarms"][alarm_name] diff --git a/crow/config/tools.py b/crow/config/tools.py index 0c0caa6..ea1700d 100644 --- a/crow/config/tools.py +++ b/crow/config/tools.py @@ -1,8 +1,5 @@ import crow.tools -import os.path -import os -import re -import datetime +import os, re, datetime from collections import Sequence, Mapping from crow.config.exceptions import * from crow.tools import typecheck @@ -101,6 +98,9 @@ def uniq(inlist): def can_write(f): return os.access(f, os.W_OK) +def day_of(d): + return datetime.datetime(d.year,d.month,d.day) + ## The CONFIG_TOOLS contains the tools available to configuration yaml ## "!calc" expressions in their "tools" variable. CONFIG_TOOLS=crow.tools.ImmutableMapping({ @@ -139,4 +139,5 @@ def can_write(f): 'node_tool_for':crow.sysenv.node_tool_for, 'command_without_exe':command_without_exe, 'indent':indent, + 'day_of':day_of, }) diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index e1e1f76..dce765d 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -14,7 +14,8 @@ StateDependency, Dependable, Taskable, Task, \ Family, Cycle, RUNNING, COMPLETED, FAILED, invalidate_cache, \ TRUE_DEPENDENCY, FALSE_DEPENDENCY, SuitePath, TaskExistsDependency, \ - CycleExistsDependency, DataEvent, ShellEvent, EventDependency + CycleExistsDependency, DataEvent, ShellEvent, EventDependency, \ + document_root, update_globals from crow.metascheduler.algebra import simplify __all__=['to_rocoto','RocotoConfigError','ToRocoto', @@ -210,14 +211,14 @@ def __init__(self,suite): raise ValueError('A Suite must define a Rocoto section containing ' 'a "parallelism" and a "scheduler."') - update_globals={ 'sched':scheduler, 'to_rocoto':self, + globals={ 'sched':scheduler, 'to_rocoto':self, 'metasched':self } if 'parallelism' in suite.Rocoto: - update_globals['parallelism']=suite.Rocoto.parallelism + globals['parallelism']=suite.Rocoto.parallelism self.type='rocoto' self.suite=suite - self.suite.update_globals(**update_globals) + self.suite.update_globals(globals) self.settings=self.suite.Rocoto self.sched=scheduler self.__all_defined=set() @@ -262,7 +263,7 @@ def make_time_xml(self,indent=1): sio.write(stringify_clock( name,alarm,indent*self.__spacing)) - sio.write(stringify_clock(None,alarm,indent*self.__spacing)) + sio.write(stringify_clock(None,self.suite.Clock,indent*self.__spacing)) return sio.getvalue() def make_task_xml(self,indent=1): diff --git a/crow/tools.py b/crow/tools.py index 6b70515..b899255 100644 --- a/crow/tools.py +++ b/crow/tools.py @@ -1,6 +1,6 @@ -import subprocess, os, re, logging, tempfile, datetime, shutil +import subprocess, os, re, logging, tempfile, datetime, shutil, math from datetime import timedelta -from copy import deepcopy +from copy import deepcopy, copy from contextlib import suppress, contextmanager from collections.abc import Mapping @@ -56,10 +56,12 @@ def deliver_file(from_file: str,to_file: str,*,blocksize: int=1048576, def panasas_gb(dir,pan_df='pan_df'): rdir=os.path.realpath(dir) stdout=subprocess.check_output([pan_df,'-B','1G','-P',rdir]) + result=0 for line in stdout.splitlines(): if rdir in str(line): - return int(line.split()[3],10) - return 0 + result=int(line.split()[3],10) + _logger.info(f'{pan_df} of {dir} is {result}') + return result #pan_df -B 1G -P /scratch4/NCEPDEV/stmp3/ #Filesystem 1073741824-blocks Used Available Capacity Mounted on #panfs://10.181.12.11/ 94530 76432 18098 81% /scratch4/NCEPDEV/stmp3/ @@ -222,7 +224,7 @@ def __init__(self,start,step,end=None,now=None): typecheck('step',step,datetime.timedelta) if end is not None: typecheck('end',end,datetime.datetime) - self.start=start + self.start=copy(start) self.end=end self.step=step self.__now=start @@ -236,6 +238,37 @@ def __repr__(self): return f'Clock(start={self.start!r},step={self.step!r},'\ f'end={self.end!r},now={self.now!r})' + def for_alarm(self,alarm): + typecheck('alarm',alarm,Clock) + if alarm.step Date: Mon, 12 Mar 2018 00:11:08 +0000 Subject: [PATCH 385/487] Bug fix to logic for running with no alarms. The self._final_task_deps was not updated to self._final_task_deps_no_alarms --- crow/metascheduler/rocoto.py | 5 +---- 1 file changed, 1 insertion(+), 4 deletions(-) diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index dce765d..f7824ab 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -678,10 +678,7 @@ def _handle_final_task(self,fd,indent): # There are no alarms in use, so there is only one final task. # Generate dependency for it: fd.write(f'\n{self.__spacing*indent}\n\n') - alarm_dep, complete_dep=self._final_task_deps(self.suite) - dep = alarm_dep - if complete_dep is not None: - dep = dep | complete_dep + dep=self._final_task_deps_no_alarms(self.suite) self._write_task_text(fd,' final="true"',indent,final,dep,timedelta.min,'') return From 4282965715efde9fb22210bc1754a5a951149b9f Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Mon, 12 Mar 2018 18:47:48 +0000 Subject: [PATCH 386/487] several bug fixes to wcoss cray support --- crow/config/tools.py | 4 +++- crow/sysenv/schedulers/LSFAlps.py | 18 ++++++++---------- crow/tools.py | 9 ++++++--- 3 files changed, 17 insertions(+), 14 deletions(-) diff --git a/crow/config/tools.py b/crow/config/tools.py index ea1700d..e6e48c6 100644 --- a/crow/config/tools.py +++ b/crow/config/tools.py @@ -1,10 +1,12 @@ import crow.tools -import os, re, datetime +import os, re, datetime, logging from collections import Sequence, Mapping from crow.config.exceptions import * from crow.tools import typecheck import crow.sysenv +logger=logging.getLogger('crow.config') + class Environment(dict): def __getattr__(self,key): if key in self: return self[key] diff --git a/crow/sysenv/schedulers/LSFAlps.py b/crow/sysenv/schedulers/LSFAlps.py index 2871a75..f405061 100644 --- a/crow/sysenv/schedulers/LSFAlps.py +++ b/crow/sysenv/schedulers/LSFAlps.py @@ -73,15 +73,14 @@ def batch_resources(self,spec,**kwargs): # Handle memory. if spec[0].is_exclusive() and spec[0].get('batch_memory',''): bytes=tools.memory_in_bytes(spec[0]['batch_memory']) - megabytes=int(math.ceil(bytes/1048576.)) elif not spec[0].is_exclusive() and spec[0].get('compute_memory',''): bytes=tools.memory_in_bytes(spec[0]['compute_memory']) - megabytes=int(math.ceil(bytes/1048576.)) elif spec[0].get('memory',''): - memory=tools.memory_in_bytes(spec[0]['memory']) - megabytes=int(math.ceil(bytes/1048576.)) + bytes=tools.memory_in_bytes(spec[0]['memory']) else: - megabytes=2000 + bytes=2000*1048576. + + megabytes=int(math.ceil(bytes/1048576.)) sio.write(f'#BSUB -R rusage[mem={megabytes:d}]\n') @@ -172,15 +171,14 @@ def rocoto_resources(self,spec,indent=0): # Handle memory. if spec[0].is_exclusive() and spec[0].get('batch_memory',''): bytes=tools.memory_in_bytes(spec[0]['batch_memory']) - megabytes=int(math.ceil(bytes/1048576.)) elif not spec[0].is_exclusive() and spec[0].get('compute_memory',''): bytes=tools.memory_in_bytes(spec[0]['compute_memory']) - megabytes=int(math.ceil(bytes/1048576.)) elif spec[0].get('memory',''): - memory=tools.memory_in_bytes(spec[0]['memory']) - megabytes=int(math.ceil(bytes/1048576.)) + bytes=tools.memory_in_bytes(spec[0]['memory']) else: - megabytes=2000 + bytes=2000*1048576. + + megabytes=int(math.ceil(bytes/1048576.)) sio.write(f'{indent*space}{megabytes:d}M\n') diff --git a/crow/tools.py b/crow/tools.py index b899255..087652b 100644 --- a/crow/tools.py +++ b/crow/tools.py @@ -68,10 +68,10 @@ def panasas_gb(dir,pan_df='pan_df'): def gpfs_gb(dir,fileset,device,mmlsquota='mmlsquota'): mmlsquota=subprocess.check_output([ - mmlsquota, '--block-size', '1T']) + mmlsquota, '--block-size', '1T','-j',fileset,device]) for m in re.finditer(b'''(?isx) (?: - \S+ \s+ FILESET + (?P\S+) \s+ FILESET \s+ (?P \d+ ) \s+ (?P \d+ ) \s+ (?P \d+ ) @@ -84,7 +84,10 @@ def gpfs_gb(dir,fileset,device,mmlsquota='mmlsquota'): if m.group('bad') or not m.group('TBused') \ or not m.group('TBlimit'): continue - return 1024*(int(m.group('TBlimit')) - int(m.group('TBused'))) + result=1024*(int(m.group('TBlimit')) - int(m.group('TBused'))) + _logger.info(f'{device}:{fileset}: space={result}') + return result + _logger.error(f'{device}:{fileset}: not found or no quota') return 0 class ImmutableMapping(Mapping): From e93355d54cbd78c3a70988936bd19b9ad8963a51 Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Fri, 16 Mar 2018 12:16:35 -0400 Subject: [PATCH 387/487] Add GAEA support to schedulers --- crow/sysenv/schedulers/MoabAlps.py | 20 ++++++++++++++++++++ crow/sysenv/schedulers/MoabTorque.py | 25 +++++++++++++------------ crow/sysenv/schedulers/__init__.py | 2 ++ 3 files changed, 35 insertions(+), 12 deletions(-) create mode 100644 crow/sysenv/schedulers/MoabAlps.py diff --git a/crow/sysenv/schedulers/MoabAlps.py b/crow/sysenv/schedulers/MoabAlps.py new file mode 100644 index 0000000..f47694d --- /dev/null +++ b/crow/sysenv/schedulers/MoabAlps.py @@ -0,0 +1,20 @@ +from .MoabTorque import Scheduler as MoabTorqueScheduler + +import math +import crow.tools as tools + +__all__=['Scheduler'] + +class Scheduler(MoabTorqueScheduler): + def __init__(self,settings,**kwargs): + super().__init__(settings,**kwargs) + self.rocoto_name='Moab' + + def get_memory_from_resource_spec(self,spec): + for memvar in [ 'batch_memory', 'memory' ]: + memory=spec[0].get(memvar,'') + if not memory: continue + bytes=tools.memory_in_bytes(memory) + return int(math.ceil(bytes/1048576.)) + return None + diff --git a/crow/sysenv/schedulers/MoabTorque.py b/crow/sysenv/schedulers/MoabTorque.py index 3b0e713..26d5490 100644 --- a/crow/sysenv/schedulers/MoabTorque.py +++ b/crow/sysenv/schedulers/MoabTorque.py @@ -49,6 +49,14 @@ def batch_accounting(self,spec,**kwargs): sio.close() return ret + def get_memory_from_resource_spec(self,spec): + for memvar in [ 'compute_memory', 'memory' ]: + memory=spec[0].get(memvar,'') + if not memory: continue + bytes=tools.memory_in_bytes(memory) + return int(math.ceil(bytes/1048576.)) + return None + def batch_resources(self,spec,**kwargs): if kwargs: spec=dict(spec,**kwargs) @@ -66,13 +74,10 @@ def batch_resources(self,spec,**kwargs): seconds=int(math.floor(dt%60)) sio.write(f'#PBS -l walltime={hours:d}:{minutes:02d}' f':{seconds:02d}\n') - for memvar in [ 'compute_memory', 'memory' ]: - memory=spec[0].get(memvar,'') - if not memory: continue - bytes=tools.memory_in_bytes(memory) - megabytes=int(math.ceil(bytes/1048576.)) + + megabytes=self.get_memory_from_resource_spec(spec) + if megabytes is not None: sio.write(f'#PBS -l vmem={megabytes:d}M\n') - break if spec[0].get('outerr',''): sio.write(f'#PBS -j oe -o {spec[0]["outerr"]}\n') @@ -156,13 +161,9 @@ def rocoto_resources(self,spec,indent=0): seconds=int(math.floor(dt%60)) sio.write(f'{indent*space}{hours}:{minutes:02d}:{seconds:02d}\n') - for memvar in [ 'compute_memory', 'memory' ]: - memory=spec[0].get(memvar,'') - if not memory: continue - bytes=tools.memory_in_bytes(memory) - megabytes=int(math.ceil(bytes/1048576.)) + megabytes=self.get_memory_from_resource_spec(spec) + if megabytes is not None: sio.write(f'{indent*space}{megabytes:d}M\n') - break if 'outerr' in spec: sio.write(f'{indent*space}{spec["outerr"]}\n') diff --git a/crow/sysenv/schedulers/__init__.py b/crow/sysenv/schedulers/__init__.py index 6cb3138..2c64a7b 100644 --- a/crow/sysenv/schedulers/__init__.py +++ b/crow/sysenv/schedulers/__init__.py @@ -1,9 +1,11 @@ from crow.sysenv.exceptions import UnknownSchedulerError from crow.sysenv.schedulers.MoabTorque import Scheduler as MoabTorqueScheduler +from crow.sysenv.schedulers.MoabAlps import Scheduler as MoabAlpsScheduler from crow.sysenv.schedulers.LSFAlps import Scheduler as LSFAlpsScheduler KNOWN_SCHEDULERS={ 'MoabTorque': MoabTorqueScheduler, + 'MoabAlps': MoabAlpsScheduler, 'LSFAlps': LSFAlpsScheduler } From 0d169d885afb05084f5cf21d0fc0d22d38d364b4 Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Tue, 5 Jun 2018 13:26:57 -0400 Subject: [PATCH 388/487] add the capability of overriding variables within a suite using an xpath-like language --- crow/config/eval_tools.py | 16 ++++- crow/config/from_yaml.py | 4 ++ crow/config/represent.py | 17 ++++- crow/config/tasks.py | 102 ++++++++++++++++++++++++++- crow/config/to_yaml.py | 1 + crow/metascheduler/rocoto.py | 8 ++- crow/sysenv/schedulers/LSFAlps.py | 10 ++- crow/sysenv/schedulers/MoabTorque.py | 37 ++++++---- crow/tools.py | 15 +++- 9 files changed, 181 insertions(+), 29 deletions(-) diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 1a51a76..0cc65a4 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -174,7 +174,12 @@ def __copy__(self): d=cls(self.__child,self._path) d.__globals=self.__globals return d - + def _copy_in_scope(self,globals=None,locals=None): + if globals is None: globals=self.__globals + cls=type(self) + d=cls(self.__child,self._path) + d.__globals=globals + return d def _invalidate_cache(self,key=None): _logger.debug(f'{self._path}: invalidate cache') self._is_validated=False @@ -352,9 +357,16 @@ def _has_raw(self,i): return i>=0 and len(self.__child)>i def __copy__(self): cls=type(self) - L=cls(copy(self.__child),self.__locals) + L=cls(copy(self.__child),self.__locals,self._path) L.__globals=self.__globals return L + def _copy_in_scope(self,globals=None,locals=None): + if globals is None: globals=self.__globals + if locals is None: locals=self.__locals + cls=type(self) + L=cls(copy(self.__child),locals,self._path) + L.__globals=globals + return L def __deepcopy__(self,memo): cls=type(self) r=cls([],{}) diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index 8a4657f..be84131 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -42,6 +42,7 @@ class LastTrueYAML(list): yaml_tag=u'!LastTrue' class ImmediateYAML(list): yaml_tag=u'!Immediate' class InheritYAML(list): yaml_tag=u'!Inherit' class MergeMappingYAML(list): yaml_tag=u'!MergeMapping' +class AppendSequenceYAML(list): yaml_tag=u'!AppendSequence' class ClockYAML(dict): yaml_tag=u'!Clock' class EvalYAML(dict): pass @@ -162,6 +163,7 @@ def constructor(loader,node): add_yaml_sequence(u'!FirstTrue',FirstTrueYAML) add_yaml_sequence(u'!Immediate',ImmediateYAML) add_yaml_sequence(u'!Inherit',InheritYAML) +add_yaml_sequence(u'!AppendSequence',AppendSequenceYAML) add_yaml_sequence(u'!MergeMapping',MergeMappingYAML) add_yaml_sequence(u'!JobRequest',JobResourceSpecMakerYAML) @@ -287,6 +289,8 @@ def to_eval_impl(self,v,locals,path): return self.from_list(v,locals,Inherit,path) elif cls is MergeMappingYAML: return self.from_list(v,locals,MergeMapping,path) + elif cls is AppendSequenceYAML: + return self.from_list(v,locals,AppendSequence,path) elif cls is JobResourceSpecMakerYAML: return self.from_list(v,locals,JobResourceSpecMaker,path) elif isinstance(v,list) and v and isinstance(v[0],tuple) \ diff --git a/crow/config/represent.py b/crow/config/represent.py index 4fca87d..ee47c54 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -17,7 +17,7 @@ 'FirstMax', 'LastTrue', 'FirstTrue', 'GenericList', 'GenericDict', 'GenericOrderedDict', 'ShellCommand', 'Immediate', 'ClockMaker', 'JobResourceSpecMaker', - 'MergeMapping', 'Select' ] + 'MergeMapping', 'AppendSequence', 'Select' ] ######################################################################## @@ -82,6 +82,21 @@ def _result(self,globals,locals): result=dict_eval(result,self._path,self._get_globals()) return result +class AppendSequence(list_eval): + def _is_immediate(self): pass + def _validate(self,*args,**kwargs): assert(False) + def _result(self,globals,locals): + result=[] + for d in self: + if not isinstance(d,collections.Mapping): continue + if not d: continue + if hasattr(d,'_raw_child'): + result.extend(d._raw_child()) + else: + result.extend(d) + result=list_eval(result,self._path,self._get_globals()) + return result + class Immediate(list_eval): def _result(self,globals,locals): return self[0] diff --git a/crow/config/tasks.py b/crow/config/tasks.py index f897691..3263a81 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -10,7 +10,7 @@ """ from functools import reduce -import operator, io, logging, itertools +import operator, io, logging, itertools, re from datetime import timedelta from abc import abstractmethod from collections import namedtuple, OrderedDict, Sequence @@ -56,6 +56,45 @@ def subdict_iter(d): for j in range(len(dvalues)): yield dict([i for i in zip(dkeys,dvalues[j])]) + +class _count_pipes(object): + def __init__(self): + self.count=0 + def __call__(self,match): + self.count+=1 + return '|' + +def _make_regex_for_search_string(search_string): + + # Backslash all regular expression control characters except ? and * + # and remove duplicate / + # + # Handle globbing: + # ** = search recursively (.+) + # ? = any one character other than / ([^/]) + # * = search locally ([^/]*) + # + # Allow any / to match more than one / (/+) + + pipe_count=0 + def backslash(m): return '\\'+m.group(1) + def optional_slash(m): return '/'+m.group(1)+'/{0,}' + + pipe=_count_pipes() + a=re.sub(r'([.+^$\\(){}[\]])',backslash,search_string) + b=re.sub(r',\s+',pipe,a) + c=re.sub('/+','/',b) + d=c.replace('**','.+') \ + .replace('?','[^/]') \ + .replace('*','[^/]*') + e=re.sub('/(?![\{\]])','/+',d) + if pipe.count: + f='(?:'+e+')$' + else: + f=e+'$' + + return f,None + class SuitePath(list): """!Simply a list that can be hashed.""" def __hash__(self): @@ -142,6 +181,22 @@ def _invalidate_cache(self,key): if hasattr(self.viewed,'_invalidate_cache'): self.viewed._invalidate_cache(key) + def _invalidate_non_dependables_in_tree(self): + deleteme=False + for k,v in self.viewed._raw_cache().items(): + if not isinstance(v,Dependable): + if not deleteme: + deleteme=set([k]) + else: + deleteme.add(k) + elif k in [ 'up', 'this' ]: + continue + else: + self[k]._invalidate_non_dependables_in_tree() + if deleteme: + for k in deleteme: + self.viewed._invalidate_cache(k) + def _globals(self): return self.viewed._globals() @@ -203,15 +258,16 @@ def child_iter(self): #if hasattr(val,'_is_suite_view'): # yield val - def walk_task_tree(self): + def walk_task_tree(self,depth=False): """!Iterates over the entire tree of descendants below this SuiteView in a depth-first manner, yielding a SuiteView of each.""" for val in self.child_iter(): - yield val + if not depth: yield val if hasattr(val,'_is_suite_view'): for t in val.walk_task_tree(): yield t + if depth: yield val def __contains__(self,key): return key in self.viewed @@ -427,6 +483,46 @@ def update_globals(self,*args,**kwargs): update_globals(self.viewed,globals) def get_alarm_with_name(self,alarm_name): return self["Alarms"][alarm_name] + def apply_overrides(self): + if 'Overrides' not in self or not self.Overrides \ + or 'rules' not in self.Overrides or not self.Overrides.rules: + return # no rules to apply + if not 'allowed' in self.Overrides: + raise KeyError(f'{self.viewed._path}: suite.Overrides must contain "allowed"') + allowed=[ str(s) for s in self.Overrides.allowed ] + replace_me=[] + irule=-1 + + # Copy the override rules into a more useful data structure: + for rule in self.Overrides.rules: + irule+=1 + if not 'Search' in rule or not isinstance(rule.Search,str): + raise KeyError(f'{rule._path}: all override rules must contain a "Search" string.') + search_regex, descendant_expr = _make_regex_for_search_string(rule.Search) + replace_dict={} + for key in rule.keys(): + if key=='Search': + continue + if key not in allowed: + raise KeyError(f'{rule._path}[{irule}].{key}: this key is forbidden by {self.viewed._path}.Overrides.allowed') + replace_dict[key]=rule._raw(key) + if not replace_dict: + continue # rule only contains a Search + replace_me.append([search_regex, descendant_expr, replace_dict]) + + # Now loop through and do the overriding. + for task in self.walk_task_tree(depth=True): + for search_regex, descendant_expr, replace_dict in replace_me: + if not re.search(search_regex,task.task_path_str): + continue + for key,value in replace_dict.items(): + if hasattr(value,'_copy_in_scope'): + value_copy=value._copy_in_scope(globals=task.viewed._get_globals(),locals=task.viewed) + else: + value_copy=copy(value) + del task.viewed._raw_cache()[key] + task.viewed._raw_child()[key]=value_copy + self._invalidate_non_dependables_in_tree() class Message(str): def _as_dependency(self,globals,locals,path): diff --git a/crow/config/to_yaml.py b/crow/config/to_yaml.py index 09884f7..f6243c9 100644 --- a/crow/config/to_yaml.py +++ b/crow/config/to_yaml.py @@ -44,6 +44,7 @@ def representer(dumper,data): add_yaml_list_eval(u'!JobRequest',JobResourceSpecMaker) add_yaml_list_eval(u'!Inherit',Inherit) add_yaml_list_eval(u'!MergeMapping',MergeMapping) +add_yaml_list_eval(u'!AppendSequence',AppendSequence) add_yaml_list_eval(None,GenericList) ######################################################################## diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index f7824ab..2ebaca7 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -199,7 +199,7 @@ def _xml_quote(s): .replace('<','<') class ToRocoto(object): - def __init__(self,suite): + def __init__(self,suite,apply_overrides): if not isinstance(suite,Suite): raise TypeError('The suite argument must be a Suite, ' 'not a '+type(suite).__name__) @@ -219,6 +219,8 @@ def __init__(self,suite): self.type='rocoto' self.suite=suite self.suite.update_globals(globals) + if apply_overrides: + self.suite.apply_overrides() self.settings=self.suite.Rocoto self.sched=scheduler self.__all_defined=set() @@ -718,9 +720,9 @@ def _handle_final_task(self,fd,indent): fd,' final="true"',indent,final, TRUE_DEPENDENCY,timedelta.min,'', manual_dependency=manual_dependency) -def to_rocoto(suite): +def to_rocoto(suite,apply_overrides=True): typecheck('suite',suite,Suite) - return ToRocoto(suite)._expand_workflow_xml() + return ToRocoto(suite,apply_overrides=apply_overrides)._expand_workflow_xml() def test(): def to_string(action): diff --git a/crow/sysenv/schedulers/LSFAlps.py b/crow/sysenv/schedulers/LSFAlps.py index f405061..2ca3285 100644 --- a/crow/sysenv/schedulers/LSFAlps.py +++ b/crow/sysenv/schedulers/LSFAlps.py @@ -29,9 +29,8 @@ def max_ranks_per_node(self,spec): # Generation of batch cards - def batch_accounting(self,spec,**kwargs): - if kwargs: - spec=dict(spec,**kwargs) + def batch_accounting(self,*args,**kwargs): + spec=tools.make_dict_from(args,kwargs) space=self.indent_text sio=StringIO() if 'queue' in spec: @@ -127,9 +126,8 @@ def batch_resources(self,spec,**kwargs): # Generation of Rocoto XML - def rocoto_accounting(self,spec,indent=0,**kwargs): - if kwargs: - spec=dict(spec,**kwargs) + def rocoto_accounting(self,*args,indent=0,**kwargs): + spec=tools.make_dict_from(args,kwargs) space=self.indent_text sio=StringIO() if 'queue' in spec: diff --git a/crow/sysenv/schedulers/MoabTorque.py b/crow/sysenv/schedulers/MoabTorque.py index 26d5490..1214255 100644 --- a/crow/sysenv/schedulers/MoabTorque.py +++ b/crow/sysenv/schedulers/MoabTorque.py @@ -32,19 +32,31 @@ def max_ranks_per_node(self,spec): # Batch card generation - def batch_accounting(self,spec,**kwargs): - if kwargs: - spec=dict(spec,**kwargs) + def batch_accounting(self,*args,**kwargs): + spec=tools.make_dict_from(args,kwargs) space=self.indent_text sio=StringIO() + if 'queue' in spec: sio.write(f'#PBS -q {spec["queue"]!s}\n') if 'project' in spec: sio.write(f'#PBS -A {spec["project"]!s}\n') - if 'partition' in spec: - sio.write(f'#PBS -l partition={spec["partition"]!s}\n') if 'account' in spec: sio.write(f'#PBS -A {spec["account"]!s}\n') + if 'partition' in spec: + sio.write(f'#PBS -l partition={spec["partition"]!s}\n') + if 'jobname' in spec: + sio.write(f'#PBS -N {spec["jobname"]}\n') + if 'reservation' in spec: + sio.write(f'#PBS -l flags=ADVRES:{spec["reservation"]}\n') + if 'outerr' in spec: + sio.write(f'#PBS -joe -o {spec["outerr"]}\n') + else: + if 'stdout' in spec: + sio.write('#PBS -o {spec["stdout"]}\n') + if 'stderr' in spec: + sio.write('#PBS -e {spec["stderr"]}\n') + ret=sio.getvalue() sio.close() return ret @@ -57,9 +69,8 @@ def get_memory_from_resource_spec(self,spec): return int(math.ceil(bytes/1048576.)) return None - def batch_resources(self,spec,**kwargs): - if kwargs: - spec=dict(spec,**kwargs) + def batch_resources(self,*args,**kwargs): + spec=tools.make_dict_from(args,kwargs) space=self.indent_text sio=StringIO() if not isinstance(spec,JobResourceSpec): @@ -118,9 +129,8 @@ def batch_resources(self,spec,**kwargs): # Rocoto XML generation - def rocoto_accounting(self,spec,indent=0,**kwargs): - if kwargs: - spec=dict(spec,**kwargs) + def rocoto_accounting(self,*args,indent=0,**kwargs): + spec=tools.make_dict_from(args,kwargs) space=self.indent_text sio=StringIO() if 'queue' in spec: @@ -136,6 +146,8 @@ def rocoto_accounting(self,spec,indent=0,**kwargs): sio.write(f'{indent*space}{spec["account"]!s}\n') if 'jobname' in spec: sio.write(f'{indent*space}{spec["jobname"]!s}\n') + if 'reservation' in spec: + sio.write(f'{indent*space}-l flags=ADVRES:{spec["reservation"]}\n') if 'outerr' in spec: sio.write(f'{indent*space}{spec["outerr"]}\n') else: @@ -147,7 +159,8 @@ def rocoto_accounting(self,spec,indent=0,**kwargs): sio.close() return ret - def rocoto_resources(self,spec,indent=0): + def rocoto_resources(self,*args,indent=0,**kwargs): + spec=tools.make_dict_from(args,kwargs) sio=StringIO() space=self.indent_text if not isinstance(spec,JobResourceSpec): diff --git a/crow/tools.py b/crow/tools.py index 087652b..55cdce3 100644 --- a/crow/tools.py +++ b/crow/tools.py @@ -6,11 +6,22 @@ __all__=['panasas_gb','gpfs_gb','to_timedelta','deliver_file','NamedConstant', 'Clock','str_timedelta','memory_in_bytes','to_printf_octal', - 'str_to_posix_sh','typecheck','ZER_DT','shell_to_python_type', - 'MISSING','chdir'] + 'str_to_posix_sh','typecheck','ZERO_DT','shell_to_python_type', + 'MISSING','chdir','make_dict_from'] _logger=logging.getLogger('crow.tools') +def make_dict_from(dict_list,kwargs): + if dict_list: + result=copy(dict_list[0]) + for d in dict_list[1:]: + result.update(d) + else: + result={} + if kwargs: + result.update(**kwargs) + return result + @contextmanager def chdir(dir): olddir=os.getcwd() From 04fa1bd278e7c4d8f4dbc6f44614fd77c1e0fa35 Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Tue, 5 Jun 2018 15:54:01 -0400 Subject: [PATCH 389/487] allow partition=None to be ignored in MoabTorque.py --- crow/sysenv/schedulers/MoabTorque.py | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/crow/sysenv/schedulers/MoabTorque.py b/crow/sysenv/schedulers/MoabTorque.py index 1214255..17434c9 100644 --- a/crow/sysenv/schedulers/MoabTorque.py +++ b/crow/sysenv/schedulers/MoabTorque.py @@ -43,7 +43,7 @@ def batch_accounting(self,*args,**kwargs): sio.write(f'#PBS -A {spec["project"]!s}\n') if 'account' in spec: sio.write(f'#PBS -A {spec["account"]!s}\n') - if 'partition' in spec: + if 'partition' in spec and partition: sio.write(f'#PBS -l partition={spec["partition"]!s}\n') if 'jobname' in spec: sio.write(f'#PBS -N {spec["jobname"]}\n') @@ -139,7 +139,7 @@ def rocoto_accounting(self,*args,indent=0,**kwargs): sio.write(f'{indent*space}{spec["account"]!s}\n') if 'project' in spec: sio.write(f'{indent*space}{spec["project"]!s}\n') - if 'partition' in spec: + if 'partition' in spec and partition: sio.write(f'{indent*space}-l partition=' f'{spec["partition"]!s}\n') if 'account' in spec: From 767fbad6026446e1f46411220c02bc679c51d982 Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Wed, 6 Jun 2018 13:51:39 -0400 Subject: [PATCH 390/487] correct errors in mergemapping and appendsequence logic. Add a tools.ref in crow.configure to handle references to raw values. --- crow/config/eval_tools.py | 1 + crow/config/represent.py | 7 ++++--- crow/config/tools.py | 5 +++++ 3 files changed, 10 insertions(+), 3 deletions(-) diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 0cc65a4..30ea89b 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -453,6 +453,7 @@ def recursively_validate(obj,stage,validation_memo=None,inheritence_memo=None): if hasattr(obj,'_validate'): obj._validate(stage) if hasattr(obj,'_iter_raw'): + _logger.debug(f'{obj._path}: validate recursively into children') for subobj in obj._iter_raw(): recursively_validate(subobj,stage,validation_memo,inheritence_memo) diff --git a/crow/config/represent.py b/crow/config/represent.py index ee47c54..c18f6f5 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -69,7 +69,9 @@ def _result(self,globals,locals): class MergeMapping(list_eval): def _is_immediate(self): pass - def _validate(self,*args,**kwargs): assert(False) + def _validate(self,*args,**kwargs): + _logger.debug(f'{self._path}: do not validate !MergeMapping') + return def _result(self,globals,locals): result={} for d in self: @@ -84,11 +86,10 @@ def _result(self,globals,locals): class AppendSequence(list_eval): def _is_immediate(self): pass - def _validate(self,*args,**kwargs): assert(False) def _result(self,globals,locals): result=[] for d in self: - if not isinstance(d,collections.Mapping): continue + if not isinstance(d,collections.Sequence): continue if not d: continue if hasattr(d,'_raw_child'): result.extend(d._raw_child()) diff --git a/crow/config/tools.py b/crow/config/tools.py index e6e48c6..9633a42 100644 --- a/crow/config/tools.py +++ b/crow/config/tools.py @@ -103,6 +103,11 @@ def can_write(f): def day_of(d): return datetime.datetime(d.year,d.month,d.day) +def ref(scope,key): + if hasattr(scope,'_raw'): + return scope._raw(key) + return scope[key] + ## The CONFIG_TOOLS contains the tools available to configuration yaml ## "!calc" expressions in their "tools" variable. CONFIG_TOOLS=crow.tools.ImmutableMapping({ From b00ef8b9c850e0f63d205681710299b5dc37a2c0 Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Wed, 6 Jun 2018 21:01:16 +0000 Subject: [PATCH 391/487] bug fix to deepcopy, and add a way to reference a raw value from a config (tools.ref) --- crow/config/eval_tools.py | 5 ++++- crow/config/represent.py | 7 +++++-- crow/config/tools.py | 2 ++ 3 files changed, 11 insertions(+), 3 deletions(-) diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 30ea89b..8c4dae9 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -79,7 +79,10 @@ def from_config(key,val,globals,locals,path): Other types are returned unmodified.""" try: if hasattr(val,'_result'): + _logger.debug(f'{path}: evaluate _result() of a {type(val).__name__}') result=val._result(globals,locals) + if hasattr(result,'_path'): + _logger.debug(f'{path}: result is at path {result._path}') return from_config(key,result,globals,locals,path) return val except(KeyError,NameError,AttributeError) as ae: @@ -376,7 +379,7 @@ def __deepcopy__(self,memo): def _deepcopy_privates_from(self,memo,other): self.__child=deepcopy(other.__child,memo) self.__cache=deepcopy(other.__cache,memo) - self._path=deepcopy(other._path) + self._path=deepcopy(other._path,memo) self.__globals=deepcopy(other.__globals,memo) self.__cache=deepcopy(other.__cache,memo) def _invalidate_cache(self,index=None): diff --git a/crow/config/represent.py b/crow/config/represent.py index c18f6f5..1ee62db 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -33,14 +33,17 @@ class ShellCommand(dict_eval): pass class JobResourceSpecMaker(list_eval): def _result(self,globals,locals): rank_specs=list() + i=-1 for spec in self: + i+=1 + _logger.debug(f'Look at spec #{i} in {self._path}...') if not hasattr(spec,'_raw_child'): rank_specs.append(spec) continue # Create a new dict_eval containing parent locals: spec2dict=copy(locals) spec2dict.update(spec._raw_child()) - spec2=dict_eval(spec2dict,spec._path,self._get_globals()) + spec2=dict_eval(spec2dict,f'{self._path}[{i}]',self._get_globals()) # Get the value, from that new dict_eval, of all keys in spec. # Store it in the rank_specs list for the later constructor. @@ -131,7 +134,7 @@ def _gather_keys_and_values(self,globals,locals): vk_locals=multidict(vk,locals) raw_when=vk._raw('when') keys.append(from_config('when',raw_when,globals,vk_locals, - self._path)) + f'{self._path}[{i}]')) else: raise ConditionalMissingDoWhen( f'{self._path}[{i}]: entries must have both "do" and "when"' diff --git a/crow/config/tools.py b/crow/config/tools.py index 9633a42..73d2966 100644 --- a/crow/config/tools.py +++ b/crow/config/tools.py @@ -1,4 +1,5 @@ import crow.tools +from copy import copy import os, re, datetime, logging from collections import Sequence, Mapping from crow.config.exceptions import * @@ -113,6 +114,7 @@ def ref(scope,key): CONFIG_TOOLS=crow.tools.ImmutableMapping({ 'fort':fort, 'seq':seq, + 'ref':ref, 'YES_NO': YES_NO, 'yes_no': yes_no, 'expand':expand, From 33ed76871a3da7b30ac3d4d651dba82b3ec5fd1a Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Thu, 7 Jun 2018 22:14:58 +0000 Subject: [PATCH 392/487] numerous changes needed to get suite override fully working. Also, add a new debug mode "superdebug" enabled by setting crow.set_superdebug(True). Sends a lot of extra stuff to DEBUG level logging. --- crow/__init__.py | 8 +++++++ crow/_superdebug.py | 1 + crow/config/__init__.py | 1 + crow/config/eval_tools.py | 20 +++++++++++------- crow/config/represent.py | 10 +++++---- crow/config/tasks.py | 21 ++++++++++++++++--- crow/config/template.py | 31 +++++++++++++++++++++------- crow/metascheduler/__init__.py | 3 ++- crow/metascheduler/dummy.py | 19 +++++++++++++++++ crow/metascheduler/ecflow.py | 12 +++++------ crow/metascheduler/rocoto.py | 12 +---------- crow/sysenv/schedulers/MoabTorque.py | 4 ++-- 12 files changed, 101 insertions(+), 41 deletions(-) create mode 100644 crow/_superdebug.py create mode 100644 crow/metascheduler/dummy.py diff --git a/crow/__init__.py b/crow/__init__.py index c1c9bdd..372d0a9 100644 --- a/crow/__init__.py +++ b/crow/__init__.py @@ -1 +1,9 @@ +import crow._superdebug + version="0.01" + +def set_superdebug(val): + crow._superdebug.superdebug=bool(val) + +def get_superdebug(val): + return crow._superdebug.superdebug diff --git a/crow/_superdebug.py b/crow/_superdebug.py new file mode 100644 index 0000000..2bb0943 --- /dev/null +++ b/crow/_superdebug.py @@ -0,0 +1 @@ +superdebug=False diff --git a/crow/config/__init__.py b/crow/config/__init__.py index e20b0d5..3ea120c 100644 --- a/crow/config/__init__.py +++ b/crow/config/__init__.py @@ -117,6 +117,7 @@ def follow_main(fd,reldir,main_globals=None): paths=[ x for x in glob.glob(os.path.join(reldir,item)) ] _logger.debug(f"{reldir}: {item}: paths = {paths}") for path in paths: + if path[0]=='.': continue # skip hidden files basename=os.path.basename(path) if basename in included: continue if not is_literal and basename in literals: continue diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 8c4dae9..87d0230 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -34,6 +34,7 @@ from copy import copy,deepcopy from crow.config.exceptions import * from crow.tools import typecheck +from crow._superdebug import superdebug __all__=[ 'expand', 'strcalc', 'from_config', 'dict_eval', 'list_eval', 'multidict', 'Eval', 'user_error_message' ] @@ -79,9 +80,13 @@ def from_config(key,val,globals,locals,path): Other types are returned unmodified.""" try: if hasattr(val,'_result'): - _logger.debug(f'{path}: evaluate _result() of a {type(val).__name__}') + if superdebug: + if isinstance(val,str): + _logger.debug(f'{path}: evaluate _result() of a {val!r}') + else: + _logger.debug(f'{path}: evaluate _result() of a {type(val).__name__}') result=val._result(globals,locals) - if hasattr(result,'_path'): + if superdebug and hasattr(result,'_path'): _logger.debug(f'{path}: result is at path {result._path}') return from_config(key,result,globals,locals,path) return val @@ -184,7 +189,8 @@ def _copy_in_scope(self,globals=None,locals=None): d.__globals=globals return d def _invalidate_cache(self,key=None): - _logger.debug(f'{self._path}: invalidate cache') + if superdebug: + _logger.debug(f'{self._path}: invalidate cache') self._is_validated=False if key is None: #print(f'{self._path}: reset') @@ -249,13 +255,13 @@ def _validate(self,stage,memo=None): # Inherit from other scopes: if 'Inherit' in self: - _logger.debug(f'{self._path}: has Inherit') + if superdebug: _logger.debug(f'{self._path}: has Inherit') if hasattr(self.Inherit,'_update'): self.Inherit._update(self,self.__globals,self,stage,memo) - _logger.debug(f'{self._path}: after inherit, {{{", ".join([k for k in self.keys()])}}}') + if superdebug: _logger.debug(f'{self._path}: after inherit, {{{", ".join([k for k in self.keys()])}}}') else: _logger.warning(f'{self._path}: Inherit is not an !Inherit. Error?') - else: + elif superdebug: _logger.debug(f'{self._path}: no Inherit') # Validate this scope: @@ -456,7 +462,7 @@ def recursively_validate(obj,stage,validation_memo=None,inheritence_memo=None): if hasattr(obj,'_validate'): obj._validate(stage) if hasattr(obj,'_iter_raw'): - _logger.debug(f'{obj._path}: validate recursively into children') + if superdebug: _logger.debug(f'{obj._path}: validate recursively into children') for subobj in obj._iter_raw(): recursively_validate(subobj,stage,validation_memo,inheritence_memo) diff --git a/crow/config/represent.py b/crow/config/represent.py index 1ee62db..2dbf17a 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -10,6 +10,7 @@ from crow.tools import to_timedelta, Clock from copy import copy import crow.sysenv +from crow._superdebug import superdebug _logger=logging.getLogger('crow.config') @@ -36,7 +37,7 @@ def _result(self,globals,locals): i=-1 for spec in self: i+=1 - _logger.debug(f'Look at spec #{i} in {self._path}...') + if superdebug: _logger.debug(f'Look at spec #{i} in {self._path}...') if not hasattr(spec,'_raw_child'): rank_specs.append(spec) continue @@ -92,7 +93,8 @@ def _is_immediate(self): pass def _result(self,globals,locals): result=[] for d in self: - if not isinstance(d,collections.Sequence): continue + if not isinstance(d,collections.Sequence) or isinstance(d,str): + raise TypeError(f'{self._path}: can only append lists.') if not d: continue if hasattr(d,'_raw_child'): result.extend(d._raw_child()) @@ -158,11 +160,11 @@ def _result(self,globals,locals): f'{self._path}: no clauses match and no ' f'"otherwise" value was given. {keys} {values}') self.__result=self[otherwise_idx]._raw('otherwise') - _logger.debug(f'{self._path}: result=otherwise: {self.__result!r}') + if superdebug: _logger.debug(f'{self._path}: result=otherwise: {self.__result!r}') idx=otherwise_idx else: self.__result=values[idx] - _logger.debug(f'{self._path}: result index {idx}: {self.__result!r}') + if superdebug: _logger.debug(f'{self._path}: result index {idx}: {self.__result!r}') if 'message' in self[idx]: message=from_config('message',self[idx].message,globals,locals,self._path) _logger.info(f'{self._path}[{idx}]: {message}') diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 3263a81..e74f377 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -19,6 +19,7 @@ from crow.config.exceptions import * from crow.config.eval_tools import dict_eval, strcalc, multidict, from_config, update_globals from crow.tools import to_timedelta, typecheck, NamedConstant, MISSING +from crow._superdebug import superdebug __all__=[ 'SuiteView', 'Suite', 'Depend', 'LogicalDependency', 'AndDependency', 'OrDependency', 'NotDependency', @@ -484,11 +485,19 @@ def update_globals(self,*args,**kwargs): def get_alarm_with_name(self,alarm_name): return self["Alarms"][alarm_name] def apply_overrides(self): - if 'Overrides' not in self or not self.Overrides \ - or 'rules' not in self.Overrides or not self.Overrides.rules: + if 'Overrides' not in self or self.Overrides is None: + _logger.info(f'{self.viewed._path}: no overrides requested.') return # no rules to apply + if not 'rules' in self.Overrides or not 'allowed' in self.Overrides: + raise ValueError(f'''{self.viewed.Overrides._path}: suite.Overrides must contain "allowed" and "rules"''') + if not self.Overrides.rules or not self.Overrides.allowed: + raise ValueError(f'''{self.viewed.Overrides._path}: suite.Overrides "allowed" and "rules" must not be empty''') if not 'allowed' in self.Overrides: raise KeyError(f'{self.viewed._path}: suite.Overrides must contain "allowed"') + + _logger.info(f'{self.viewed._path}: apply overrides to suite') + _logger.debug(f'{self.viewed._path}: override rules: {self.Overrides.rules}') + allowed=[ str(s) for s in self.Overrides.allowed ] replace_me=[] irule=-1 @@ -507,20 +516,26 @@ def apply_overrides(self): raise KeyError(f'{rule._path}[{irule}].{key}: this key is forbidden by {self.viewed._path}.Overrides.allowed') replace_dict[key]=rule._raw(key) if not replace_dict: + _logger.debug(f'{self.viewed._path}: No override rules to apply.') continue # rule only contains a Search + _logger.debug(f'Accept rule {search_regex} keys {{{", ".join(replace_dict.keys())}}}') replace_me.append([search_regex, descendant_expr, replace_dict]) # Now loop through and do the overriding. for task in self.walk_task_tree(depth=True): for search_regex, descendant_expr, replace_dict in replace_me: if not re.search(search_regex,task.task_path_str): + _logger.debug(f'{task.task_path_str}: does not match override Search {search_regex}') continue + _logger.debug(f'{task.task_path_str}: matches override Search {search_regex}') for key,value in replace_dict.items(): if hasattr(value,'_copy_in_scope'): value_copy=value._copy_in_scope(globals=task.viewed._get_globals(),locals=task.viewed) else: value_copy=copy(value) - del task.viewed._raw_cache()[key] + _logger.info(f'{task.viewed._path}: override {key}') + if key in task.viewed._raw_cache(): + del task.viewed._raw_cache()[key] task.viewed._raw_child()[key]=value_copy self._invalidate_non_dependables_in_tree() diff --git a/crow/config/template.py b/crow/config/template.py index e37a022..54ea5b9 100644 --- a/crow/config/template.py +++ b/crow/config/template.py @@ -19,6 +19,7 @@ from crow.config.eval_tools import list_eval, dict_eval, multidict, from_config from crow.config.represent import GenericList, GenericDict, GenericOrderedDict from collections.abc import Mapping +from crow._superdebug import superdebug _logger=logging.getLogger('crow.config') IGNORE_WHILE_INHERITING = [ 'Inherit', 'Template' ] @@ -48,10 +49,10 @@ def _update(self,target,globals,locals,stage,memo): except TemplateErrors as te: errors.append(f'{target._path}: when including {scope._path}') errors.extend(te.template_errors) - if not inherited: + if superdebug and not inherited: _logger.debug(f'{target._path}: inherit nothing from {scopename} with regex {regex} keys {{{", ".join([k for k in scope.keys()])}}}') if errors: raise TemplateErrors(errors) - _logger.debug(f'{target._path}: now has keys {{{", ".join([k for k in target.keys()])}}}') + if superdebug: _logger.debug(f'{target._path}: now has keys {{{", ".join([k for k in target.keys()])}}}') class Template(dict_eval): """!Internal implementation of the YAML Template type. Validates a @@ -63,11 +64,15 @@ def __init__(self,child,path='',globals=None): def _check_scope(self,scope,stage,memo): if self.__my_id in memo: - _logger.debug(f'{scope._path}: do not re-validate with {self._path}') + if superdebug: + _logger.debug(f'{scope._path}: do not re-validate with {self._path}') return memo.add(self.__my_id) - _logger.debug(f'{scope._path}: validate with {self._path}') + if stage: + _logger.debug(f'{scope._path}: validate with {self._path} for stage {stage}') + else: + _logger.debug(f'{scope._path}: validate with {self._path} (no stage)') checked=set() errors=list() @@ -77,16 +82,29 @@ def _check_scope(self,scope,stage,memo): # Main validation loop. Iteratively validate, adding new # Templates as they become available via is_present. for var in template: - _logger.debug(f'{scope._path}.{var}: validate...') + if superdebug: + if stage: + _logger.debug(f'{scope._path}.{var}: validate for stage {stage}?') + else: + _logger.debug(f'{scope._path}.{var}: validate (no stage)?') try: scheme=template[var] + if superdebug: + _logger.debug(f'{scope._path}.{var}: scheme {scheme._path} stage {scheme.get("stages","(none)")}') if not isinstance(scheme,Mapping): continue # not a template if stage and 'stages' in scheme: if stage not in scheme.stages: + if superdebug: + _logger.debug(f'{scheme._path}: rule rejected: stage {stage} not in stages {scheme.stages} for {scheme._path}') continue # skip validation; wrong stage elif 'stages' in scheme: + if superdebug: + _logger.debug(f'{scheme._path}: rule rejected: not in stages {scheme.stages} for {scheme._path} (validating for no stage)') continue # skip validation of stage-specific schemes + if superdebug: + _logger.debug(f'{scheme._path}: rule accepted: validate for stage {stage} using {scheme._path}') + if 'precheck' in scheme: scope[var]=scheme.precheck @@ -105,7 +123,7 @@ def _check_scope(self,scope,stage,memo): ip=from_config( var,scheme._raw('if_present'),self._globals(),scope, f'{scope._path}.{var}') - _logger.debug(f'{scope._path}.{var}: result = {ip!r}') + if superdebug: _logger.debug(f'{scope._path}.{var}: result = {ip!r}') if not ip: continue if not isinstance(ip,Template): if not isinstance(ip,Mapping): continue @@ -129,7 +147,6 @@ def _check_scope(self,scope,stage,memo): errors.append(str(ce)) _logger.debug(f'{scope._path}.{var}: {type(ce).__name__}: {ce}',exc_info=True) - # Insert default values for all templates found thus far and # detect any missing, non-optional, variables missing=list() diff --git a/crow/metascheduler/__init__.py b/crow/metascheduler/__init__.py index 609320d..d73de21 100644 --- a/crow/metascheduler/__init__.py +++ b/crow/metascheduler/__init__.py @@ -1,4 +1,5 @@ from .rocoto import to_rocoto from .ecflow import to_ecflow +from .dummy import to_dummy -__all__=[ 'to_rocoto', 'to_ecflow' ] +__all__=[ 'to_rocoto', 'to_ecflow', 'to_dummy' ] diff --git a/crow/metascheduler/dummy.py b/crow/metascheduler/dummy.py new file mode 100644 index 0000000..9f31b3d --- /dev/null +++ b/crow/metascheduler/dummy.py @@ -0,0 +1,19 @@ +__all__=['to_dummy'] + +class ToDummy(object): + def __init__(self,suite,apply_overrides): + globals={ 'to_dummy':self,'metasched':self } + self.type='dummy' + self.suite=suite + self.suite.update_globals(globals) + if apply_overrides: + self.suite.apply_overrides() + + def defenvar(self,name,value): return 'dummy' + def datestring(self,name,value): return 'dummy' + def defvar(self,name,value): return 'dummy' + def varref(self,name): return 'dummy' + def to_dummy(self): return 'dummy' + +def to_dummy(suite,apply_overrides=True): + return ToDummy(suite,apply_overrides=apply_overrides).to_dummy() diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py index ef72105..49df77f 100644 --- a/crow/metascheduler/ecflow.py +++ b/crow/metascheduler/ecflow.py @@ -121,14 +121,13 @@ def __init__(self,suite): 'not a '+type(suite).__name__) try: - scheduler=suite.ecFlow.scheduler clock=copy(suite.Clock) except(AttributeError,IndexError,TypeError,ValueError) as e: raise ValueError( 'A Suite must define an ecFlow section containing ' - 'scheduler, and suite_name; and the suite must have a Clock') + 'suite_name, and the suite must have a Clock') - update_globals={ 'sched':scheduler, 'to_ecflow':self, 'clock':clock, + update_globals={ 'to_ecflow':self, 'clock':clock, 'metasched':self } if 'parallelism' in suite.ecFlow: @@ -144,9 +143,10 @@ def __init__(self,suite): self.suite=suite self.suite.update_globals(**update_globals) + if apply_overrides: + self.suite.apply_overrides() self.settings=self.suite.ecFlow self.indent=self.settings.get('indent',' ') - self.sched=scheduler self.clock=copy(self.suite.Clock) self.undated=OrderedDict() self.graph=Graph(self.suite,self.suite.Clock) @@ -365,6 +365,6 @@ def to_ecflow(self): del self.suite return suite_def_files,ecf_files -def to_ecflow(suite): +def to_ecflow(suite,apply_overrides=True): typecheck('suite',suite,Suite) - return ToEcflow(suite).to_ecflow() + return ToEcflow(suite,apply_overrides=apply_overrides).to_ecflow() diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index 2ebaca7..1595621 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -27,7 +27,6 @@ class SelfReferentialDependency(RocotoConfigError): pass _KEY_WARNINGS={ 'cyclethrottle':'Did you mean cycle_throttle?' } _REQUIRED_KEYS={ 'workflow_install':'directory to receive Rocoto workflow', - 'scheduler':'Scheduler class', 'workflow_xml': 'Contents of Rocoto XML file'} _ROCOTO_STATE_MAP={ COMPLETED:'SUCCEEDED', @@ -204,15 +203,7 @@ def __init__(self,suite,apply_overrides): raise TypeError('The suite argument must be a Suite, ' 'not a '+type(suite).__name__) - try: - settings=suite.Rocoto.scheduler - scheduler=suite.Rocoto.scheduler - except(AttributeError,IndexError,TypeError,ValueError) as e: - raise ValueError('A Suite must define a Rocoto section containing ' - 'a "parallelism" and a "scheduler."') - - globals={ 'sched':scheduler, 'to_rocoto':self, - 'metasched':self } + globals={ 'to_rocoto':self,'metasched':self } if 'parallelism' in suite.Rocoto: globals['parallelism']=suite.Rocoto.parallelism @@ -222,7 +213,6 @@ def __init__(self,suite,apply_overrides): if apply_overrides: self.suite.apply_overrides() self.settings=self.suite.Rocoto - self.sched=scheduler self.__all_defined=set() self.__completes=dict() diff --git a/crow/sysenv/schedulers/MoabTorque.py b/crow/sysenv/schedulers/MoabTorque.py index 17434c9..9131562 100644 --- a/crow/sysenv/schedulers/MoabTorque.py +++ b/crow/sysenv/schedulers/MoabTorque.py @@ -43,7 +43,7 @@ def batch_accounting(self,*args,**kwargs): sio.write(f'#PBS -A {spec["project"]!s}\n') if 'account' in spec: sio.write(f'#PBS -A {spec["account"]!s}\n') - if 'partition' in spec and partition: + if 'partition' in spec and spec['partition']: sio.write(f'#PBS -l partition={spec["partition"]!s}\n') if 'jobname' in spec: sio.write(f'#PBS -N {spec["jobname"]}\n') @@ -139,7 +139,7 @@ def rocoto_accounting(self,*args,indent=0,**kwargs): sio.write(f'{indent*space}{spec["account"]!s}\n') if 'project' in spec: sio.write(f'{indent*space}{spec["project"]!s}\n') - if 'partition' in spec and partition: + if 'partition' in spec and spec['partition']: sio.write(f'{indent*space}-l partition=' f'{spec["partition"]!s}\n') if 'account' in spec: From 0f294d0ebc8021f1214dd56100df4a4e25079d97 Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Tue, 12 Jun 2018 17:13:08 +0000 Subject: [PATCH 393/487] when a gpfs fileset has no quota, report the quota as 1 exabyte for the purposes of disk availability comparison --- crow/tools.py | 10 +++++++++- 1 file changed, 9 insertions(+), 1 deletion(-) diff --git a/crow/tools.py b/crow/tools.py index 087652b..d0fe7e1 100644 --- a/crow/tools.py +++ b/crow/tools.py @@ -76,11 +76,19 @@ def gpfs_gb(dir,fileset,device,mmlsquota='mmlsquota'): \s+ (?P \d+ ) \s+ (?P \d+ ) [^\r\n]* (?: [\r\n] | [\r\n]*\Z ) + | + (?P\S+) \s+ FILESET + (?P\s+ no \s+ limits) + [^\r\n]* (?: [\r\n] | [\r\n]*\Z ) | (?P [^\r\n]*[\r\n] | [^\r\n]*\Z ) ) ''',mmlsquota): - + + if m.group('device2'): + _logger.warning(f'{device}:{fileset}: no limit (assume 1 exabyte)') + return 1024**4.0 + if m.group('bad') or not m.group('TBused') \ or not m.group('TBlimit'): continue From 3f6c19f7438b3bead709fb0606ecd8b112bd3f0d Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Thu, 14 Jun 2018 10:54:51 -0400 Subject: [PATCH 394/487] improvements to eval_tools error reporting, and bug fixes to suite overrides --- crow/config/eval_tools.py | 3 ++- crow/config/tasks.py | 26 ++++++++++++++++++-------- crow/sysenv/nodes.py | 3 ++- 3 files changed, 22 insertions(+), 10 deletions(-) diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 87d0230..0cbfd51 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -34,6 +34,7 @@ from copy import copy,deepcopy from crow.config.exceptions import * from crow.tools import typecheck +from crow.exceptions import CROWException from crow._superdebug import superdebug __all__=[ 'expand', 'strcalc', 'from_config', 'dict_eval', @@ -90,7 +91,7 @@ def from_config(key,val,globals,locals,path): _logger.debug(f'{path}: result is at path {result._path}') return from_config(key,result,globals,locals,path) return val - except(KeyError,NameError,AttributeError) as ae: + except(KeyError,NameError,AttributeError,CROWException) as ae: raise CalcKeyError(f'{path}: {type(val).__name__} {str(val)[0:80]} - ' f'{type(ae).__name__} {str(ae)} --in-- ' f'{{{", ".join([ k for k in locals.keys() ])}}}') diff --git a/crow/config/tasks.py b/crow/config/tasks.py index e74f377..a262866 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -498,13 +498,14 @@ def apply_overrides(self): _logger.info(f'{self.viewed._path}: apply overrides to suite') _logger.debug(f'{self.viewed._path}: override rules: {self.Overrides.rules}') + assert(len(self.Overrides.rules)==2) + allowed=[ str(s) for s in self.Overrides.allowed ] replace_me=[] - irule=-1 # Copy the override rules into a more useful data structure: - for rule in self.Overrides.rules: - irule+=1 + for irule in range(len(self.Overrides.rules)): + rule=self.Overrides.rules[irule] if not 'Search' in rule or not isinstance(rule.Search,str): raise KeyError(f'{rule._path}: all override rules must contain a "Search" string.') search_regex, descendant_expr = _make_regex_for_search_string(rule.Search) @@ -516,16 +517,20 @@ def apply_overrides(self): raise KeyError(f'{rule._path}[{irule}].{key}: this key is forbidden by {self.viewed._path}.Overrides.allowed') replace_dict[key]=rule._raw(key) if not replace_dict: - _logger.debug(f'{self.viewed._path}: No override rules to apply.') + _logger.info(f'{self.viewed._path}.Overrides.rules[irule]: No override rules to apply.') continue # rule only contains a Search _logger.debug(f'Accept rule {search_regex} keys {{{", ".join(replace_dict.keys())}}}') - replace_me.append([search_regex, descendant_expr, replace_dict]) + replace_me.append([search_regex, descendant_expr, replace_dict, rule.Search]) + + matches=[0]*len(replace_me) # Now loop through and do the overriding. for task in self.walk_task_tree(depth=True): - for search_regex, descendant_expr, replace_dict in replace_me: + for i in range(len(replace_me)): + search_regex, descendant_expr, replace_dict, orig_expr = replace_me[i] if not re.search(search_regex,task.task_path_str): - _logger.debug(f'{task.task_path_str}: does not match override Search {search_regex}') + if superdebug: + _logger.debug(f'{task.task_path_str}: does not match override Search {search_regex}') continue _logger.debug(f'{task.task_path_str}: matches override Search {search_regex}') for key,value in replace_dict.items(): @@ -533,12 +538,17 @@ def apply_overrides(self): value_copy=value._copy_in_scope(globals=task.viewed._get_globals(),locals=task.viewed) else: value_copy=copy(value) - _logger.info(f'{task.viewed._path}: override {key}') + _logger.info(f'{task.viewed._path}: override {key} from rule {orig_expr}') + matches[i]+=1 if key in task.viewed._raw_cache(): del task.viewed._raw_cache()[key] task.viewed._raw_child()[key]=value_copy self._invalidate_non_dependables_in_tree() + for m in matches: + if not m: + _logger.warning(f'{self.viewed._path}: no match to override {replace_me[i][3]}') + class Message(str): def _as_dependency(self,globals,locals,path): try: diff --git a/crow/sysenv/nodes.py b/crow/sysenv/nodes.py index c76562f..bfd111c 100644 --- a/crow/sysenv/nodes.py +++ b/crow/sysenv/nodes.py @@ -104,6 +104,7 @@ def __init__(self,settings): self.settings=dict(settings) self.cores_per_node=int(settings['physical_cores_per_node']) self.cpus_per_core=int(settings.get('logical_cpus_per_core',1)) + assert(self.cores_per_node>0) self.hyperthreading_allowed=bool( settings.get('hyperthreading_allowed',False)) self.indent_text=str(settings.get('indent_text',' ')) @@ -149,7 +150,7 @@ def max_ranks_per_node(self,rank_spec): max_per_node=min(max_ppn,max_per_node) if max_per_node<1: - raise MachineTooSmallError(f'Specification too large for node: max {threads_per_node} for {rank_spec!r}') + raise MachineTooSmallError(f'Specification too large for node: max threads {threads_per_node} for {rank_spec!r} in partition with {self.cores_per_node} cores per node.') return max_per_node def can_merge_ranks(self,R1,R2): From 86398f3e8ae24d9396e8f6ae98f31c2cf1d7b351 Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Fri, 15 Jun 2018 13:25:29 +0000 Subject: [PATCH 395/487] Remove an unneeded assertion, and update one sanity check --- crow/config/represent.py | 3 ++- crow/config/tasks.py | 2 -- 2 files changed, 2 insertions(+), 3 deletions(-) diff --git a/crow/config/represent.py b/crow/config/represent.py index 2dbf17a..6892e01 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -95,7 +95,8 @@ def _result(self,globals,locals): for d in self: if not isinstance(d,collections.Sequence) or isinstance(d,str): raise TypeError(f'{self._path}: can only append lists.') - if not d: continue + if not len(d): + continue if hasattr(d,'_raw_child'): result.extend(d._raw_child()) else: diff --git a/crow/config/tasks.py b/crow/config/tasks.py index a262866..657cbe3 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -498,8 +498,6 @@ def apply_overrides(self): _logger.info(f'{self.viewed._path}: apply overrides to suite') _logger.debug(f'{self.viewed._path}: override rules: {self.Overrides.rules}') - assert(len(self.Overrides.rules)==2) - allowed=[ str(s) for s in self.Overrides.allowed ] replace_me=[] From f08b80725664eeb940d49374d545ba10c044d400 Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Fri, 15 Jun 2018 19:05:16 +0000 Subject: [PATCH 396/487] allow suite.Override.rules to be empty; do not override anything in that case --- crow/config/tasks.py | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 657cbe3..be863f0 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -490,10 +490,10 @@ def apply_overrides(self): return # no rules to apply if not 'rules' in self.Overrides or not 'allowed' in self.Overrides: raise ValueError(f'''{self.viewed.Overrides._path}: suite.Overrides must contain "allowed" and "rules"''') - if not self.Overrides.rules or not self.Overrides.allowed: - raise ValueError(f'''{self.viewed.Overrides._path}: suite.Overrides "allowed" and "rules" must not be empty''') - if not 'allowed' in self.Overrides: - raise KeyError(f'{self.viewed._path}: suite.Overrides must contain "allowed"') + if not self.Overrides.allowed: + raise ValueError(f'''{self.viewed.Overrides._path}: suite.Overrides.allowed must not be empty''') + if not self.Overrides.rules: + _logger.info(f'{self.viewed._path}: override rules are empty; no overrides requested') _logger.info(f'{self.viewed._path}: apply overrides to suite') _logger.debug(f'{self.viewed._path}: override rules: {self.Overrides.rules}') From 7f98fc5782c0787874ceab518435983f74efdaa7 Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Fri, 22 Jun 2018 01:27:33 +0000 Subject: [PATCH 397/487] Allow "take" instead of "do" in conditionals. Add a !ref class which points to the raw value in a scope, rather than the calculated value. This allows calculations to be done in scopes other than their original definition. --- crow/config/eval_tools.py | 19 ++++++++++++++++++- crow/config/from_yaml.py | 1 + crow/config/represent.py | 24 +++++++++++++++--------- 3 files changed, 34 insertions(+), 10 deletions(-) diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 0cbfd51..0d0987a 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -37,7 +37,7 @@ from crow.exceptions import CROWException from crow._superdebug import superdebug -__all__=[ 'expand', 'strcalc', 'from_config', 'dict_eval', +__all__=[ 'expand', 'strcalc', 'from_config', 'dict_eval', 'strref', 'list_eval', 'multidict', 'Eval', 'user_error_message' ] _logger=logging.getLogger('crow.config') @@ -76,6 +76,23 @@ def _result(self,globals,locals): c['this']=locals return eval(self,c,locals) +class strref(str): + """Represents a reference to a variable within some scope (ie. abc.def[32].ghi)""" + def __repr__(self): + return '%s(%s)'%(type(self).__name__, + super().__repr__()) + def _result(self,globals,locals): + idot=self.rfind('.') + if idot<0: raise ValueError(f'{self!r}: no key') + key=self[idot+1:] + if not key: raise ValueError(f'{self!r}: key is the empty string') + scope_expr=self[:idot] + if not scope_expr: raise ValueError(f'{self!r}: begins with "."') + c=copy(globals) + c['this']=locals + scope=eval(scope_expr,c,locals) + return scope._raw(key) if hasattr(scope,'_raw') else scope[key] + def from_config(key,val,globals,locals,path): """!Converts s strcalc cor Conditional to another data type via eval(). Other types are returned unmodified.""" diff --git a/crow/config/from_yaml.py b/crow/config/from_yaml.py index be84131..72bfdc7 100644 --- a/crow/config/from_yaml.py +++ b/crow/config/from_yaml.py @@ -125,6 +125,7 @@ def constructor(loader,node): add_yaml_string(u'!expand',expand) add_yaml_string(u'!calc',calc) +add_yaml_string(u'!ref',ref) add_yaml_string(u'!error',user_error_message) add_yaml_string(u'!Depend',Depend) add_yaml_string(u'!Message',Message) diff --git a/crow/config/represent.py b/crow/config/represent.py index 6892e01..6974e5d 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -6,7 +6,7 @@ from datetime import timedelta from copy import deepcopy from crow.config.exceptions import * -from crow.config.eval_tools import list_eval, dict_eval, multidict, from_config, strcalc +from crow.config.eval_tools import list_eval, dict_eval, multidict, from_config, strcalc, strref from crow.tools import to_timedelta, Clock from copy import copy import crow.sysenv @@ -14,7 +14,7 @@ _logger=logging.getLogger('crow.config') -__all__=[ 'Action','Platform', 'Conditional', 'calc','FirstMin', +__all__=[ 'Action','Platform', 'Conditional', 'ref','calc','FirstMin', 'FirstMax', 'LastTrue', 'FirstTrue', 'GenericList', 'GenericDict', 'GenericOrderedDict', 'ShellCommand', 'Immediate', 'ClockMaker', 'JobResourceSpecMaker', @@ -123,25 +123,30 @@ def _gather_keys_and_values(self,globals,locals): has_otherwise = vk._has_raw('otherwise') has_when = vk._has_raw('when') has_do = vk._has_raw('do') - if has_otherwise and ( has_when or has_do ): + has_take = vk._has_raw('take') + if has_do and has_take: raise ConditionalOverspecified( - f'{self._path}[{i}]: cannot have "otherwise," ' - '"when," and "do" in the same entry') + f'{self._path}[{i}]: cannot have "do" and "take" in one entry') + if has_otherwise and ( has_when or has_do or has_take ): + raise ConditionalOverspecified( + f'{self._path}[{i}]: cannot have "otherwise" in the same entry ' + 'as "when," "take," or "do"') elif has_otherwise and i!=len(self)-1: raise ConditionalInvalidOtherwise( f'{self._path}[{i}]: "otherwise" must be the last item') elif has_otherwise: otherwise_idx=i - elif has_when and has_do: - values.append(vk._raw('do')) + elif has_when and ( has_do or has_take ): + values.append(vk._raw('do') if has_do else vk._raw('take')) vk_locals=multidict(vk,locals) raw_when=vk._raw('when') keys.append(from_config('when',raw_when,globals,vk_locals, f'{self._path}[{i}]')) else: raise ConditionalMissingDoWhen( - f'{self._path}[{i}]: entries must have both "do" and "when"' - 'or "otherwise" (or "message"). Saw keys: '+ + f'{self._path}[{i}]: entries must have both "take" and "when"' + 'or "otherwise" (or "message"). You can use "do" in place of ' + '"take" for backward compatibility. Saw keys: '+ ', '.join(list(vk.keys()))) return keys, values, otherwise_idx @@ -207,3 +212,4 @@ def _index(self,lst): return None class calc(strcalc): pass +class ref(strref): pass From acdb6a9816d9828ebb2c882e23e2f2e6398f1929 Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Fri, 22 Jun 2018 02:59:43 +0000 Subject: [PATCH 398/487] 1. Do not force MergeMapping and AppendSequence to be Immediate 2. Remove a debug statement that evaluates scopes which should not be evaluated 3. Add support for limiting the number of MPI ranks per node to what can fit based on memory requirements --- crow/config/represent.py | 2 -- crow/config/tasks.py | 2 +- crow/sysenv/jobs.py | 5 ++++- crow/sysenv/nodes.py | 8 +++++++- 4 files changed, 12 insertions(+), 5 deletions(-) diff --git a/crow/config/represent.py b/crow/config/represent.py index 6974e5d..c92eec6 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -72,7 +72,6 @@ def _result(self,globals,locals): return self._raw('otherwise') class MergeMapping(list_eval): - def _is_immediate(self): pass def _validate(self,*args,**kwargs): _logger.debug(f'{self._path}: do not validate !MergeMapping') return @@ -89,7 +88,6 @@ def _result(self,globals,locals): return result class AppendSequence(list_eval): - def _is_immediate(self): pass def _result(self,globals,locals): result=[] for d in self: diff --git a/crow/config/tasks.py b/crow/config/tasks.py index be863f0..cda02d3 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -496,7 +496,7 @@ def apply_overrides(self): _logger.info(f'{self.viewed._path}: override rules are empty; no overrides requested') _logger.info(f'{self.viewed._path}: apply overrides to suite') - _logger.debug(f'{self.viewed._path}: override rules: {self.Overrides.rules}') + #_logger.debug(f'{self.viewed._path}: override rules: {self.Overrides.rules}') allowed=[ str(s) for s in self.Overrides.allowed ] replace_me=[] diff --git a/crow/sysenv/jobs.py b/crow/sysenv/jobs.py index a93eecd..99452fa 100644 --- a/crow/sysenv/jobs.py +++ b/crow/sysenv/jobs.py @@ -25,13 +25,15 @@ class JobRankSpec(Mapping): def __init__(self,OMP_NUM_THREADS=0,mpi_ranks=0, exe=MISSING,args=MISSING,exclusive=True, separate_node=False,hyperthreads=1,max_ppn=MISSING, - **kwargs): + memory_per_rank=MISSING,**kwargs): if OMP_NUM_THREADS is None: OMP_NUM_THREADS=0 if mpi_ranks is None: mpi_ranks=0 if args is None: args=MISSING if exclusive is None: exclusive=True if hyperthreads is None: hyperthreads=1 if max_ppn is None: max_ppn=MISSING + if memory_per_rank is None or memory_per_rank is MISSING: + memory_per_rank=0 if OMP_NUM_THREADS == 'max': OMP_NUM_THREADS=MAXIMUM_THREADS self.__spec={ @@ -40,6 +42,7 @@ def __init__(self,OMP_NUM_THREADS=0,mpi_ranks=0, 'separate_node':separate_node, 'hyperthreads':int(hyperthreads), 'OMP_NUM_THREADS':max(0,int(OMP_NUM_THREADS)), + 'memory_per_rank':max(1,memory_per_rank), 'exe':( None if exe is MISSING else exe ), 'args':( [] if args is MISSING else list(args) ) } diff --git a/crow/sysenv/nodes.py b/crow/sysenv/nodes.py index bfd111c..5a61199 100644 --- a/crow/sysenv/nodes.py +++ b/crow/sysenv/nodes.py @@ -104,6 +104,7 @@ def __init__(self,settings): self.settings=dict(settings) self.cores_per_node=int(settings['physical_cores_per_node']) self.cpus_per_core=int(settings.get('logical_cpus_per_core',1)) + self.memory_per_node=int(settings.get('memory_per_node',0)) assert(self.cores_per_node>0) self.hyperthreading_allowed=bool( settings.get('hyperthreading_allowed',False)) @@ -149,14 +150,18 @@ def max_ranks_per_node(self,rank_spec): if max_ppn: max_per_node=min(max_ppn,max_per_node) + if self.memory_per_node: + max_per_node=int(min(max_per_node,self.memory_per_node/rank_spec.memory_per_rank)) + if max_per_node<1: - raise MachineTooSmallError(f'Specification too large for node: max threads {threads_per_node} for {rank_spec!r} in partition with {self.cores_per_node} cores per node.') + raise MachineTooSmallError(f'Specification too large for node: max threads {threads_per_node} for {rank_spec!r} in partition with {self.cores_per_node} cores per node{"" if not self.memory_per_node else ("and "+str(self.memory_per_node)+" MB of RAM per node")}.') return max_per_node def can_merge_ranks(self,R1,R2): return not R1['separate_node'] and not R2['separate_node'] and \ R1['OMP_NUM_THREADS']==R2['OMP_NUM_THREADS'] and \ R1.get('max_ppn',0)==R2.get('max_ppn',0) and \ + R1.get('memory_per_node',1)==R2.get('memory_per_node',1) and \ R1.get('exe','') == R2.get('exe','') and ( not self.hyperthreading_allowed or \ R1.get('hyperthreads',1) == R2.get('hyperthreads',1) ) @@ -164,6 +169,7 @@ def can_merge_ranks(self,R1,R2): def same_except_exe(self,R1,R2): return not R1['separate_node'] and not R2['separate_node'] and \ R1['OMP_NUM_THREADS']==R2['OMP_NUM_THREADS'] and \ + R1.get('memory_per_node',1)==R2.get('memory_per_node',1) and \ R1.get('max_ppn',0)==R2.get('max_ppn',0) and ( \ not self.hyperthreading_allowed or \ R1.get('hyperthreads',1) == R2.get('hyperthreads',1) ) From 5193e64a203c439b67e69e1db2453c9b0b8ed859 Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Fri, 22 Jun 2018 17:58:42 +0000 Subject: [PATCH 399/487] bug fixes to allow suite overrides in ecflow --- crow/metascheduler/ecflow.py | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py index 49df77f..b74a8be 100644 --- a/crow/metascheduler/ecflow.py +++ b/crow/metascheduler/ecflow.py @@ -115,7 +115,7 @@ def dep_to_ecflow(fd,task,dep,clock,time_format,undated): dep.event.path[-1],clock,time_format,False,undated) class ToEcflow(object): - def __init__(self,suite): + def __init__(self,suite,apply_overrides=True): if not isinstance(suite,Suite): raise TypeError('The suite argument must be a Suite, ' 'not a '+type(suite).__name__) @@ -142,15 +142,15 @@ def __init__(self,suite): raise ValueError(f'ecFlow.analyze_cycles: Cycles to analyze must be a subset of the suite clock.') self.suite=suite - self.suite.update_globals(**update_globals) - if apply_overrides: - self.suite.apply_overrides() self.settings=self.suite.ecFlow + self.type='ecflow' self.indent=self.settings.get('indent',' ') self.clock=copy(self.suite.Clock) self.undated=OrderedDict() + self.suite.update_globals(**update_globals) + if apply_overrides: + self.suite.apply_overrides() self.graph=Graph(self.suite,self.suite.Clock) - self.type='ecflow' def datestring(self,format): def replacer(m): From b6652ea0f5518e25011d1a22e5977ecbba785edd Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Mon, 25 Jun 2018 23:26:07 +0000 Subject: [PATCH 400/487] Correct some errors in the ranks per node calculation. Improve diagnostic information elsewhere. --- crow/config/__init__.py | 2 ++ crow/config/eval_tools.py | 4 ++-- crow/config/represent.py | 6 ++++-- crow/sysenv/nodes.py | 39 +++++++++++++++++++++++++++------------ 4 files changed, 35 insertions(+), 16 deletions(-) diff --git a/crow/config/__init__.py b/crow/config/__init__.py index 3ea120c..bb8c0f1 100644 --- a/crow/config/__init__.py +++ b/crow/config/__init__.py @@ -80,6 +80,8 @@ def from_dir(reldir,evaluate_immediates=True,validation_stage=None,main_globals= with io.StringIO() as fd: follow_main(fd,reldir,main_globals) yaml=fd.getvalue() + if not yaml: + raise ValueError(f'{reldir}: all YAML files in directory are empty or no YAML files are present') return from_string(yaml,evaluate_immediates=evaluate_immediates, validation_stage=validation_stage) diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 0d0987a..629b285 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -94,8 +94,8 @@ def _result(self,globals,locals): return scope._raw(key) if hasattr(scope,'_raw') else scope[key] def from_config(key,val,globals,locals,path): - """!Converts s strcalc cor Conditional to another data type via eval(). - Other types are returned unmodified.""" + """!Converts a class with _result method to another data type by + calling that method. Other types are returned unmodified.""" try: if hasattr(val,'_result'): if superdebug: diff --git a/crow/config/represent.py b/crow/config/represent.py index c92eec6..773b091 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -77,7 +77,8 @@ def _validate(self,*args,**kwargs): return def _result(self,globals,locals): result={} - for d in self: + for i in range(len(self)): + d=from_config('MergeMapping',self._raw(i),globals,locals,f'{self._path}[{i}]') if not isinstance(d,collections.Mapping): continue if not d: continue if hasattr(d,'_raw_child'): @@ -90,7 +91,8 @@ def _result(self,globals,locals): class AppendSequence(list_eval): def _result(self,globals,locals): result=[] - for d in self: + for i in range(len(self)): + d=from_config('AppendSequence',self._raw(i),globals,locals,f'{self._path}[{i}]') if not isinstance(d,collections.Sequence) or isinstance(d,str): raise TypeError(f'{self._path}: can only append lists.') if not len(d): diff --git a/crow/sysenv/nodes.py b/crow/sysenv/nodes.py index 5a61199..7860634 100644 --- a/crow/sysenv/nodes.py +++ b/crow/sysenv/nodes.py @@ -110,36 +110,47 @@ def __init__(self,settings): settings.get('hyperthreading_allowed',False)) self.indent_text=str(settings.get('indent_text',' ')) + def __repr__(self): + return f'GenericNodeSpec{self.settings!r}' + # Implement NodeSpec abstract methods: def omp_threads_for(self,rank_spec): typecheck('rank_spec',rank_spec,crow.sysenv.jobs.JobRankSpec) omp_threads=max(1,rank_spec.get('OMP_NUM_THREADS',1)) + if omp_threads != MAXIMUM_THREADS: return omp_threads can_hyper=self.hyperthreading_allowed - max_ranks_per_node=self.cores_per_node + max_threads_per_node=self.cores_per_node if can_hyper and rank_spec.get('hyperthreading',False): - max_ranks_per_node*=self.cpus_per_core - if rank_spec.is_mpi(): - ppn=max_ranks_per_node - else: - ppn=1 + max_threads_per_node*=max(1,min(self.cpus_per_core,rank_spec.hyperthreads)) - max_ppn=rank_spec.get('max_ppn',0) - if max_ppn: - ppn=min(max_ppn,ppn) + result=max_threads_per_node // self.max_ranks_per_node(rank_spec) + return result + # if rank_spec.is_mpi(): + # ppn=max_ranks_per_node + # else: + # ppn=1 + + # max_ppn=rank_spec.get('max_ppn',0) + # if max_ppn: + # ppn=min(max_ppn,ppn) - return max_ranks_per_node//ppn + # return max_ranks_per_node//ppn def max_ranks_per_node(self,rank_spec): typecheck('rank_spec',rank_spec,crow.sysenv.jobs.JobRankSpec, print_contents=True) can_hyper=self.hyperthreading_allowed max_per_node=self.cores_per_node + max_threads_per_node=self.cores_per_node + if can_hyper and rank_spec.get('hyperthreading',False): - max_per_node*=self.cpus_per_core + max_threads_per_node*=max(1,min(self.cpus_per_core,rank_spec.hyperthreads)) + max_per_node*=max(1,min(self.cpus_per_core,rank_spec.hyperthreads)) + threads_per_node=max_per_node omp_threads=max(1,rank_spec.get('OMP_NUM_THREADS',1)) @@ -153,8 +164,12 @@ def max_ranks_per_node(self,rank_spec): if self.memory_per_node: max_per_node=int(min(max_per_node,self.memory_per_node/rank_spec.memory_per_rank)) + if omp_threads!=MAXIMUM_THREADS: + assert(max_per_node*omp_threads <= max_threads_per_node) + if max_per_node<1: raise MachineTooSmallError(f'Specification too large for node: max threads {threads_per_node} for {rank_spec!r} in partition with {self.cores_per_node} cores per node{"" if not self.memory_per_node else ("and "+str(self.memory_per_node)+" MB of RAM per node")}.') + return max_per_node def can_merge_ranks(self,R1,R2): @@ -179,5 +194,5 @@ def node_size(self,rank_spec): can_hyper=self.hyperthreading_allowed max_per_node=self.cores_per_node if can_hyper and rank_spec.get('hyperthreading',False): - max_per_node*=self.cpus_per_core + max_per_node*=max(1,min(self.cpus_per_core,rank_spec.hyperthreads)) return max_per_node From 2dda09340b499d36fe59a9b8a1b4af0633cea623 Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Tue, 26 Jun 2018 17:31:38 +0000 Subject: [PATCH 401/487] (from Sam) In the YAML, contents of !JobRequest tags are evaluated in the scope of both the defining and evaluating scopes, as well as the scope of the ranks themselves. --- crow/config/eval_tools.py | 8 +++++++- crow/config/represent.py | 15 +++++++-------- 2 files changed, 14 insertions(+), 9 deletions(-) diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 629b285..8f57ca5 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -108,14 +108,20 @@ def from_config(key,val,globals,locals,path): _logger.debug(f'{path}: result is at path {result._path}') return from_config(key,result,globals,locals,path) return val - except(KeyError,NameError,AttributeError,CROWException) as ae: + except(CROWException) as ce: + _logger.error(f'{path}: {type(ce).__name__} error {str(ce)[:80]}') + raise + except(KeyError,NameError,AttributeError) as ae: + _logger.error(f'{path}: {type(ae).__name__} error {str(ae)[:80]}') raise CalcKeyError(f'{path}: {type(val).__name__} {str(val)[0:80]} - ' f'{type(ae).__name__} {str(ae)} --in-- ' f'{{{", ".join([ k for k in locals.keys() ])}}}') except(SyntaxError,TypeError,IndexError) as ke: if 'f-string: unterminated string' in str(ke): + _logger.error(f'{path}: {type(ke).__name__} f string error {str(ke)[:80]}') # raise CalcKeyError(f'{path}: {type(val).__name__} raise CalcKeyError(f'''{path}: {type(val).__name__}: probable unbalanced parentheses ([{{"''"}}]) in {str(val)[0:80]} {str(ke)[:80]}''') + _logger.error(f'{path}: {type(ke).__name__} error {str(ke)[:80]}') raise CalcKeyError(f'{path}: {type(val).__name__} {str(val)[0:80]} - ' f'{type(ke).__name__} {str(ke)[:80]}') except RecursionError as re: diff --git a/crow/config/represent.py b/crow/config/represent.py index 773b091..c1ebaa9 100644 --- a/crow/config/represent.py +++ b/crow/config/represent.py @@ -34,21 +34,20 @@ class ShellCommand(dict_eval): pass class JobResourceSpecMaker(list_eval): def _result(self,globals,locals): rank_specs=list() - i=-1 - for spec in self: - i+=1 + for i in range(len(self)): + spec=from_config('JobResourceSpecMaker',self._raw(i),globals,locals,f'{self._path}[{i}]') if superdebug: _logger.debug(f'Look at spec #{i} in {self._path}...') if not hasattr(spec,'_raw_child'): rank_specs.append(spec) continue - # Create a new dict_eval containing parent locals: - spec2dict=copy(locals) - spec2dict.update(spec._raw_child()) - spec2=dict_eval(spec2dict,f'{self._path}[{i}]',self._get_globals()) # Get the value, from that new dict_eval, of all keys in spec. # Store it in the rank_specs list for the later constructor. - rank_specs.append(dict([ (k,spec2[k]) for k in spec ])) + with_parent_scope=multidict(spec,self._get_locals(),locals) + ranks=dict() + for key in spec.keys(): + ranks[key]=from_config(key,with_parent_scope._raw(key),globals,locals,f'{self._path}[{i}].{key}') + rank_specs.append(ranks) return crow.sysenv.JobResourceSpec(rank_specs) class ClockMaker(dict_eval): From cf5f0155e904289aac4f31b68757ffbbbb220c60 Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Tue, 3 Jul 2018 13:07:49 -0400 Subject: [PATCH 402/487] bug fix to resource handling: allow floating-point numbers for memory per rank --- crow/sysenv/nodes.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/crow/sysenv/nodes.py b/crow/sysenv/nodes.py index 7860634..9d8b6c7 100644 --- a/crow/sysenv/nodes.py +++ b/crow/sysenv/nodes.py @@ -104,7 +104,7 @@ def __init__(self,settings): self.settings=dict(settings) self.cores_per_node=int(settings['physical_cores_per_node']) self.cpus_per_core=int(settings.get('logical_cpus_per_core',1)) - self.memory_per_node=int(settings.get('memory_per_node',0)) + self.memory_per_node=float(settings.get('memory_per_node',0)) assert(self.cores_per_node>0) self.hyperthreading_allowed=bool( settings.get('hyperthreading_allowed',False)) From 9e4f3e2e9963967584c0f3d62c2bf429889f9545 Mon Sep 17 00:00:00 2001 From: Terry McGuinness Date: Thu, 5 Jul 2018 20:48:13 +0000 Subject: [PATCH 403/487] The functionality in suite_overrides to report rules with no matches was looping over a different variable and always reported that the last index did not match (even when it did). --- crow/config/tasks.py | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/crow/config/tasks.py b/crow/config/tasks.py index cda02d3..9904d04 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -543,8 +543,8 @@ def apply_overrides(self): task.viewed._raw_child()[key]=value_copy self._invalidate_non_dependables_in_tree() - for m in matches: - if not m: + for i in range(len(matches)): + if not matches[i]: _logger.warning(f'{self.viewed._path}: no match to override {replace_me[i][3]}') class Message(str): From 63f7459db18d52666b9fbcee648664ea5fef2b2b Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Tue, 10 Jul 2018 01:57:19 -0400 Subject: [PATCH 404/487] check branch --- README | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/README b/README index e7bd72b..919ea0f 100644 --- a/README +++ b/README @@ -1,3 +1,4 @@ + Basic aspects of front-end: # Read YAML files: @@ -323,4 +324,4 @@ options: Template: *options_verification fcst_length: !timedelta 12d18:00:00 ensemble_members: 80 - project: fv3-cpu \ No newline at end of file + project: fv3-cpu From 78629ed63dafb677d255fdd1a9d46b1e5794a335 Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Wed, 11 Jul 2018 14:00:47 -0400 Subject: [PATCH 405/487] sandbox mode in progress --- README | 3 +- crow/config/__init__.py | 4 +- crow/config/eval_tools.py | 16 ++++- crow/dataflow/from_suite_impl.py | 2 +- crow/metascheduler/rocoto.py | 2 +- crow/tools.py | 105 ++++++++++++++++++++++--------- 6 files changed, 92 insertions(+), 40 deletions(-) diff --git a/README b/README index 919ea0f..e7bd72b 100644 --- a/README +++ b/README @@ -1,4 +1,3 @@ - Basic aspects of front-end: # Read YAML files: @@ -324,4 +323,4 @@ options: Template: *options_verification fcst_length: !timedelta 12d18:00:00 ensemble_members: 80 - project: fv3-cpu + project: fv3-cpu \ No newline at end of file diff --git a/crow/config/__init__.py b/crow/config/__init__.py index bb8c0f1..83493ab 100644 --- a/crow/config/__init__.py +++ b/crow/config/__init__.py @@ -76,14 +76,14 @@ def validate(obj,stage='',recurse=False): def document_root(obj): return obj._globals()['doc'] -def from_dir(reldir,evaluate_immediates=True,validation_stage=None,main_globals=None): +def from_dir(reldir,evaluate_immediates=True,validation_stage=None,main_globals=None): with io.StringIO() as fd: follow_main(fd,reldir,main_globals) yaml=fd.getvalue() if not yaml: raise ValueError(f'{reldir}: all YAML files in directory are empty or no YAML files are present') return from_string(yaml,evaluate_immediates=evaluate_immediates, - validation_stage=validation_stage) + validation_stage=validation_stage) def follow_main(fd,reldir,main_globals=None): if main_globals is None: main_globals={} diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 8f57ca5..957c327 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -41,6 +41,13 @@ 'list_eval', 'multidict', 'Eval', 'user_error_message' ] _logger=logging.getLogger('crow.config') +try: + import sandbox + sandbox_flag = sandbox.extern_sandbox +except ImportError as ie: + sandbox_flag = False + pass + class user_error_message(str): """!Used to embed assertions in configuration code.""" def _result(self,globals,locals): @@ -138,7 +145,7 @@ def __init__(self,*args): self.__dicts=list(args) self.__keys=frozenset().union(*args) def __len__(self): return len(self.__keys) - def __contains__(self,k): return k in self.__keys +# def __contains__(self,k): return k in self.__keys def __copy__(self): return multidict(self.__dicts) def __setitem__(self,k,v): raise NotImplementedError('immutable') def __delitem__(self,k): raise NotImplementedError('immutable') @@ -265,7 +272,9 @@ def __setitem__(self,k,v): def __delitem__(self,k): del(self.__child[k], self.__cache[k]) def __iter__(self): for k in self.__child.keys(): yield k - def _validate(self,stage,memo=None): + def _validate(self,stage,memo=None,sandbox=False): + if sandbox_flag: + sandbox = True """!Validates this dict_eval using its embedded Template object, if present """ if self.__is_validated: return self.__is_validated=True @@ -301,7 +310,8 @@ def _validate(self,stage,memo=None): if not isinstance(tmpl,Mapping): continue if not hasattr(tmpl,'_check_scope'): tmpl=Template(tmpl,self._path+'.Template',self.__globals) - tmpl._check_scope(self,stage,memo) + if not sandbox: + tmpl._check_scope(self,stage,memo) def __getitem__(self,key): if key not in self.__cache: if key not in self.__child: diff --git a/crow/dataflow/from_suite_impl.py b/crow/dataflow/from_suite_impl.py index 35276b6..2c9e919 100644 --- a/crow/dataflow/from_suite_impl.py +++ b/crow/dataflow/from_suite_impl.py @@ -33,7 +33,7 @@ def _parse_slot(actor,slot,sdata,flow): val0=val[0] if isinstance(val0,str) or isinstance(val0,int) \ or isinstance(val0,float) or isinstance(val0,datetime) \ - or isinstance(val0,timdelta): + or isinstance(val0,timedelta): metakeep[key]=[ v for v in val ] _logger.debug(f"{actor}.{slot}: metadata subsetted from {meta} is {metakeep}") if flow=='O': diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index 1595621..0b77a11 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -174,7 +174,7 @@ def _to_rocoto_dep_impl(dep,fd,indent): dt=crow.tools.str_timedelta(event.age).sub('d',':') fd.write(f' age={dt}') if 'minsize' in dep: - nbytes=crow.tools.in_bytes(event.size) + nbytes=crow.tools.memory_in_bytes(event.size) fd.write(f' size={nbytes}') fd.write(f'>\S+) \s+ FILESET - \s+ (?P \d+ ) - \s+ (?P \d+ ) - \s+ (?P \d+ ) - [^\r\n]* (?: [\r\n] | [\r\n]*\Z ) - | - (?P\S+) \s+ FILESET - (?P\s+ no \s+ limits) - [^\r\n]* (?: [\r\n] | [\r\n]*\Z ) - | - (?P [^\r\n]*[\r\n] | [^\r\n]*\Z ) - ) - ''',mmlsquota): - - if m.group('device2'): - _logger.warning(f'{device}:{fileset}: no limit (assume 1 exabyte)') - return 1024**4.0 +def gpfs_gb(dir,fileset,device,mmlsquota='mmlsquota',sandbox=False): + sandbox = sandbox_flag + if sandbox: + return 10000 + else: + mmlsquota=subprocess.check_output([ + mmlsquota, '--block-size', '1T','-j',fileset,device]) + for m in re.finditer(b'''(?isx) + (?: + (?P\S+) \s+ FILESET + \s+ (?P \d+ ) + \s+ (?P \d+ ) + \s+ (?P \d+ ) + [^\r\n]* (?: [\r\n] | [\r\n]*\Z ) + | + (?P\S+) \s+ FILESET + (?P\s+ no \s+ limits) + [^\r\n]* (?: [\r\n] | [\r\n]*\Z ) + | + (?P [^\r\n]*[\r\n] | [^\r\n]*\Z ) + ) + ''',mmlsquota): + + if m.group('device2'): + _logger.warning(f'{device}:{fileset}: no limit (assume 1 exabyte)') + return 1024**4.0 - if m.group('bad') or not m.group('TBused') \ - or not m.group('TBlimit'): - continue - result=1024*(int(m.group('TBlimit')) - int(m.group('TBused'))) - _logger.info(f'{device}:{fileset}: space={result}') - return result - _logger.error(f'{device}:{fileset}: not found or no quota') - return 0 + if m.group('bad') or not m.group('TBused') \ + or not m.group('TBlimit'): + continue + result=1024*(int(m.group('TBlimit')) - int(m.group('TBused'))) + _logger.info(f'{device}:{fileset}: space={result}') + return result + _logger.error(f'{device}:{fileset}: not found or no quota') + return 0 + +# def gpfs_gb(dir,fileset,device,mmlsquota='mmlsquota'): +# mmlsquota=subprocess.check_output([ +# mmlsquota, '--block-size', '1T','-j',fileset,device]) +# for m in re.finditer(b'''(?isx) +# (?: +# (?P\S+) \s+ FILESET +# \s+ (?P \d+ ) +# \s+ (?P \d+ ) +# \s+ (?P \d+ ) +# [^\r\n]* (?: [\r\n] | [\r\n]*\Z ) +# | +# (?P\S+) \s+ FILESET +# (?P\s+ no \s+ limits) +# [^\r\n]* (?: [\r\n] | [\r\n]*\Z ) +# | +# (?P [^\r\n]*[\r\n] | [^\r\n]*\Z ) +# ) +# ''',mmlsquota): +# +# if m.group('device2'): +# _logger.warning(f'{device}:{fileset}: no limit (assume 1 exabyte)') +# return 1024**4.0 +# +# if m.group('bad') or not m.group('TBused') \ +# or not m.group('TBlimit'): +# continue +# result=1024*(int(m.group('TBlimit')) - int(m.group('TBused'))) +# _logger.info(f'{device}:{fileset}: space={result}') +# return result +# _logger.error(f'{device}:{fileset}: not found or no quota') +# return 0 class ImmutableMapping(Mapping): """Immutable dictionary""" @@ -370,7 +413,7 @@ def prior(self,mul=1): def shell_to_python_type(arg): split=arg.split('::',1) - if len(split)>1 and split[0] in CLASS_MAP: + if len(split)>1 and split[0] in _SHELL_CLASS_MAP: typename, strval=split if typename not in _SHELL_CLASS_MAP: raise ValueError(f'{arg}: unknown type {typename}') From fd3a1754430d00fd1c852a0d65f45048246a5e76 Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Wed, 11 Jul 2018 19:45:25 +0000 Subject: [PATCH 406/487] retain ordering of final tasks when making a rocoto workflow --- crow/metascheduler/rocoto.py | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) diff --git a/crow/metascheduler/rocoto.py b/crow/metascheduler/rocoto.py index 1595621..3261a73 100644 --- a/crow/metascheduler/rocoto.py +++ b/crow/metascheduler/rocoto.py @@ -683,7 +683,9 @@ def _handle_final_task(self,fd,indent): {self.__spacing*(indent+1)}\n''' alarms = set(self.__alarms_used) alarms.add('') - for alarm_name in alarms: + # Reverse-sort the alarm names so the final tasks show up in + # the same order each time, with the task "final" at the end. + for alarm_name in reversed(sorted(alarms)): #print(f'find final for {alarm_name}') dep = self._final_task_deps_for_alarm(self.suite,alarm_name) dep = simplify(dep) From 3bfaeceab2509a5138475c928ed3e034dae08ef9 Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Wed, 11 Jul 2018 19:45:48 +0000 Subject: [PATCH 407/487] convert memory per rank to a float in jobs.py; it should be treated as a float everywhere --- crow/sysenv/jobs.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/crow/sysenv/jobs.py b/crow/sysenv/jobs.py index 99452fa..3d8c7fe 100644 --- a/crow/sysenv/jobs.py +++ b/crow/sysenv/jobs.py @@ -42,7 +42,7 @@ def __init__(self,OMP_NUM_THREADS=0,mpi_ranks=0, 'separate_node':separate_node, 'hyperthreads':int(hyperthreads), 'OMP_NUM_THREADS':max(0,int(OMP_NUM_THREADS)), - 'memory_per_rank':max(1,memory_per_rank), + 'memory_per_rank':max(1,float(memory_per_rank)), 'exe':( None if exe is MISSING else exe ), 'args':( [] if args is MISSING else list(args) ) } From 1c5df79cd636fd14a7dddb92b06503cede1fa52b Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Fri, 13 Jul 2018 14:39:54 -0400 Subject: [PATCH 408/487] unit test upgrade --- crow/config/__init__.py | 6 +- crow/config/eval_tools.py | 19 ++--- crow/dataflow/from_suite_impl.py | 2 +- crow/tools.py | 103 ++++++++------------------- tests/unittests/test_AprunCrayMPI.py | 2 + tests/unittests/test_simplify.py | 19 +++-- tests/unittests/test_util.py | 4 -- 7 files changed, 50 insertions(+), 105 deletions(-) diff --git a/crow/config/__init__.py b/crow/config/__init__.py index 83493ab..b2473e9 100644 --- a/crow/config/__init__.py +++ b/crow/config/__init__.py @@ -76,14 +76,14 @@ def validate(obj,stage='',recurse=False): def document_root(obj): return obj._globals()['doc'] -def from_dir(reldir,evaluate_immediates=True,validation_stage=None,main_globals=None): +def from_dir(reldir,evaluate_immediates=True,validation_stage=None,main_globals=None): with io.StringIO() as fd: follow_main(fd,reldir,main_globals) yaml=fd.getvalue() if not yaml: raise ValueError(f'{reldir}: all YAML files in directory are empty or no YAML files are present') return from_string(yaml,evaluate_immediates=evaluate_immediates, - validation_stage=validation_stage) + validation_stage=validation_stage) def follow_main(fd,reldir,main_globals=None): if main_globals is None: main_globals={} @@ -93,7 +93,7 @@ def follow_main(fd,reldir,main_globals=None): includes=[ "*.yaml" ] if os.path.exists(mainfile): _logger.debug(f"{mainfile}: read \"include\" array") - maindat=crow.config.from_file(mainfile) + maindat=from_file(mainfile) maindat.update(main_globals) if "include" not in maindat or \ not isinstance(maindat.include,Sequence): diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 957c327..ff467d9 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -41,13 +41,6 @@ 'list_eval', 'multidict', 'Eval', 'user_error_message' ] _logger=logging.getLogger('crow.config') -try: - import sandbox - sandbox_flag = sandbox.extern_sandbox -except ImportError as ie: - sandbox_flag = False - pass - class user_error_message(str): """!Used to embed assertions in configuration code.""" def _result(self,globals,locals): @@ -272,9 +265,7 @@ def __setitem__(self,k,v): def __delitem__(self,k): del(self.__child[k], self.__cache[k]) def __iter__(self): for k in self.__child.keys(): yield k - def _validate(self,stage,memo=None,sandbox=False): - if sandbox_flag: - sandbox = True + def _validate(self,stage,memo=None): """!Validates this dict_eval using its embedded Template object, if present """ if self.__is_validated: return self.__is_validated=True @@ -310,8 +301,7 @@ def _validate(self,stage,memo=None,sandbox=False): if not isinstance(tmpl,Mapping): continue if not hasattr(tmpl,'_check_scope'): tmpl=Template(tmpl,self._path+'.Template',self.__globals) - if not sandbox: - tmpl._check_scope(self,stage,memo) + tmpl._check_scope(self,stage,memo) def __getitem__(self,key): if key not in self.__cache: if key not in self.__child: @@ -339,7 +329,8 @@ def __delattr__(self,name): def _to_py(self,recurse=True): """!Converts to a python core object; does not work for cyclic object trees""" cls=type(self.__child) - return cls([(k, to_py(v)) for k,v in self.items()]) + return cls([(k, + _to_py(v)) for k,v in self.items()]) def _child(self): return self.__child def _recursively_set_globals(self,globals,memo=None): """Recurses through the object tree setting the globals for eval() calls""" @@ -449,7 +440,7 @@ def __getitem__(self,index): return val def _to_py(self,recurse=True): """!Converts to a python core object; does not work for cyclic object trees""" - return [ to_py(v) for v in self ] + return [ _to_py(v) for v in self ] def _recursively_set_globals(self,globals,memo): if memo is None: memo=set() if id(self) in memo: return diff --git a/crow/dataflow/from_suite_impl.py b/crow/dataflow/from_suite_impl.py index 2c9e919..35276b6 100644 --- a/crow/dataflow/from_suite_impl.py +++ b/crow/dataflow/from_suite_impl.py @@ -33,7 +33,7 @@ def _parse_slot(actor,slot,sdata,flow): val0=val[0] if isinstance(val0,str) or isinstance(val0,int) \ or isinstance(val0,float) or isinstance(val0,datetime) \ - or isinstance(val0,timedelta): + or isinstance(val0,timdelta): metakeep[key]=[ v for v in val ] _logger.debug(f"{actor}.{slot}: metadata subsetted from {meta} is {metakeep}") if flow=='O': diff --git a/crow/tools.py b/crow/tools.py index 77a0d23..a246245 100644 --- a/crow/tools.py +++ b/crow/tools.py @@ -4,13 +4,6 @@ from contextlib import suppress, contextmanager from collections.abc import Mapping -try: - import sandbox - sandbox_flag = sandbox.extern_sandbox -except ImportError as ie: - sandbox_flag = False - pass - __all__=['panasas_gb','gpfs_gb','to_timedelta','deliver_file','NamedConstant', 'Clock','str_timedelta','memory_in_bytes','to_printf_octal', 'str_to_posix_sh','typecheck','ZERO_DT','shell_to_python_type', @@ -84,73 +77,37 @@ def panasas_gb(dir,pan_df='pan_df'): #Filesystem 1073741824-blocks Used Available Capacity Mounted on #panfs://10.181.12.11/ 94530 76432 18098 81% /scratch4/NCEPDEV/stmp3/ -def gpfs_gb(dir,fileset,device,mmlsquota='mmlsquota',sandbox=False): - sandbox = sandbox_flag - if sandbox: - return 10000 - else: - mmlsquota=subprocess.check_output([ - mmlsquota, '--block-size', '1T','-j',fileset,device]) - for m in re.finditer(b'''(?isx) - (?: - (?P\S+) \s+ FILESET - \s+ (?P \d+ ) - \s+ (?P \d+ ) - \s+ (?P \d+ ) - [^\r\n]* (?: [\r\n] | [\r\n]*\Z ) - | - (?P\S+) \s+ FILESET - (?P\s+ no \s+ limits) - [^\r\n]* (?: [\r\n] | [\r\n]*\Z ) - | - (?P [^\r\n]*[\r\n] | [^\r\n]*\Z ) - ) - ''',mmlsquota): - - if m.group('device2'): - _logger.warning(f'{device}:{fileset}: no limit (assume 1 exabyte)') - return 1024**4.0 +def gpfs_gb(dir,fileset,device,mmlsquota='mmlsquota'): + mmlsquota=subprocess.check_output([ + mmlsquota, '--block-size', '1T','-j',fileset,device]) + for m in re.finditer(b'''(?isx) + (?: + (?P\S+) \s+ FILESET + \s+ (?P \d+ ) + \s+ (?P \d+ ) + \s+ (?P \d+ ) + [^\r\n]* (?: [\r\n] | [\r\n]*\Z ) + | + (?P\S+) \s+ FILESET + (?P\s+ no \s+ limits) + [^\r\n]* (?: [\r\n] | [\r\n]*\Z ) + | + (?P [^\r\n]*[\r\n] | [^\r\n]*\Z ) + ) + ''',mmlsquota): + + if m.group('device2'): + _logger.warning(f'{device}:{fileset}: no limit (assume 1 exabyte)') + return 1024**4.0 - if m.group('bad') or not m.group('TBused') \ - or not m.group('TBlimit'): - continue - result=1024*(int(m.group('TBlimit')) - int(m.group('TBused'))) - _logger.info(f'{device}:{fileset}: space={result}') - return result - _logger.error(f'{device}:{fileset}: not found or no quota') - return 0 - -# def gpfs_gb(dir,fileset,device,mmlsquota='mmlsquota'): -# mmlsquota=subprocess.check_output([ -# mmlsquota, '--block-size', '1T','-j',fileset,device]) -# for m in re.finditer(b'''(?isx) -# (?: -# (?P\S+) \s+ FILESET -# \s+ (?P \d+ ) -# \s+ (?P \d+ ) -# \s+ (?P \d+ ) -# [^\r\n]* (?: [\r\n] | [\r\n]*\Z ) -# | -# (?P\S+) \s+ FILESET -# (?P\s+ no \s+ limits) -# [^\r\n]* (?: [\r\n] | [\r\n]*\Z ) -# | -# (?P [^\r\n]*[\r\n] | [^\r\n]*\Z ) -# ) -# ''',mmlsquota): -# -# if m.group('device2'): -# _logger.warning(f'{device}:{fileset}: no limit (assume 1 exabyte)') -# return 1024**4.0 -# -# if m.group('bad') or not m.group('TBused') \ -# or not m.group('TBlimit'): -# continue -# result=1024*(int(m.group('TBlimit')) - int(m.group('TBused'))) -# _logger.info(f'{device}:{fileset}: space={result}') -# return result -# _logger.error(f'{device}:{fileset}: not found or no quota') -# return 0 + if m.group('bad') or not m.group('TBused') \ + or not m.group('TBlimit'): + continue + result=1024*(int(m.group('TBlimit')) - int(m.group('TBused'))) + _logger.info(f'{device}:{fileset}: space={result}') + return result + _logger.error(f'{device}:{fileset}: not found or no quota') + return 0 class ImmutableMapping(Mapping): """Immutable dictionary""" diff --git a/tests/unittests/test_AprunCrayMPI.py b/tests/unittests/test_AprunCrayMPI.py index 0af56a1..01fc36a 100755 --- a/tests/unittests/test_AprunCrayMPI.py +++ b/tests/unittests/test_AprunCrayMPI.py @@ -26,6 +26,7 @@ def setUpClass(aprun): aprun.sch=get_scheduler('LSFAlps',settings) def test_AprunCrayMPI_big(aprun): + ranks=[ { 'mpi_ranks':12, 'hyperthreads':1, 'OMP_NUM_THREADS':4, 'exe':'exe1', 'AprunCrayMPI_extra':[ '-gdb', '-envall' ] }, { 'mpi_ranks':48, 'OMP_NUM_THREADS':1, 'exe':'exe2', @@ -47,6 +48,7 @@ def test_AprunCrayMPI_big(aprun): aprun.assertTrue( 'True' == 'True' ) def test_AprunCrayMPI_max_ppn(aprun): + ranks=[ { 'mpi_ranks':12, 'max_ppn':2, 'exe':'doit' }, { 'mpi_ranks':12, 'max_ppn':4, 'exe':'doit' } ] diff --git a/tests/unittests/test_simplify.py b/tests/unittests/test_simplify.py index c3a2fb1..eb9b552 100755 --- a/tests/unittests/test_simplify.py +++ b/tests/unittests/test_simplify.py @@ -2,8 +2,7 @@ f'This script requires python 3.6 or later' import unittest -from context import crow -from crow.metascheduler.simplify import * +import crow.metascheduler.algebra as ag import crow.config from datetime import timedelta from crow.config import OrDependency,AndDependency,NotDependency, \ @@ -20,28 +19,28 @@ def setUp(self): def test_comp_or(self): - self.assertAlmostEqual(complexity(self.DEP1|self.DEP2), 2.4, places=3) + self.assertAlmostEqual(ag.complexity(self.DEP1|self.DEP2), 2.4, places=3) def test_comp_and(self): - self.assertAlmostEqual(complexity(self.DEP1&self.DEP2), 2.4, places=3) + self.assertAlmostEqual(ag.complexity(self.DEP1&self.DEP2), 2.4, places=3) def test_comp_nand(self): - self.assertAlmostEqual(complexity(~(self.DEP1&self.DEP2)), 2.88, places=3) + self.assertAlmostEqual(ag.complexity(~(self.DEP1&self.DEP2)), 2.88, places=3) def test_simp_a_or_not_a(self): - self.assertEqual(simplify(~self.DEP1 | self.DEP1), TRUE_DEPENDENCY) + self.assertEqual(ag.simplify(~self.DEP1 | self.DEP1), TRUE_DEPENDENCY) def test_simp_a_and_not_a(self): - self.assertEqual(simplify(~self.DEP1 & self.DEP1), FALSE_DEPENDENCY) + self.assertEqual(ag.simplify(~self.DEP1 & self.DEP1), FALSE_DEPENDENCY) def test_simp_not_not_a_or_not_b(self): - self.assertEqual(simplify(~(~self.DEP1 | ~self.DEP2)), self.DEP1 & self.DEP2) + self.assertEqual(ag.simplify(~(~self.DEP1 | ~self.DEP2)), self.DEP1 & self.DEP2) def test_simp_gobbledygook(self): - self.assertEqual(simplify(~self.DEP2 & ~(~self.DEP1 | ~self.DEP2)), FALSE_DEPENDENCY) + self.assertEqual(ag.simplify(~self.DEP2 & ~(~self.DEP1 | ~self.DEP2)), FALSE_DEPENDENCY) def test_simp_extended_expr(self): - self.assertEqual(simplify((self.DEP1 | self.DEP2 | self.DEP4) & \ + self.assertEqual(ag.simplify((self.DEP1 | self.DEP2 | self.DEP4) & \ (self.DEP1 | self.DEP3 | self.DEP4)), \ self.DEP1 | self.DEP2 & self.DEP3 | self.DEP4) if __name__ == '__main__': diff --git a/tests/unittests/test_util.py b/tests/unittests/test_util.py index eff8e49..4a2b865 100755 --- a/tests/unittests/test_util.py +++ b/tests/unittests/test_util.py @@ -22,9 +22,5 @@ def test_24_31(self): def test_24_62(self): self.assertEqual([(2, 21),(1, 20)], ranks_to_nodes_ppn(24, 62)) - - def test_10_109(self): - self.assertEqual([(10, 10),(1, 9)], ranks_to_nodes_ppn(10, 109)) - if __name__ == '__main__': unittest.main() From bf5c06ad116f27935d5ed1a25b253a94b9d2da81 Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Fri, 13 Jul 2018 15:12:59 -0400 Subject: [PATCH 409/487] unit test upgrade --- tests/unittests/test_AprunCrayMPI.py | 24 ++++++------- tests/unittests/test_HydraIMPI.py | 52 +++++++++++++-------------- tests/unittests/test_ShellCommand.py | 1 - tests/unittests/test_exampleConfig.py | 1 - tests/unittests/test_jobs.py | 1 - tests/unittests/test_util.py | 1 - 6 files changed, 36 insertions(+), 44 deletions(-) diff --git a/tests/unittests/test_AprunCrayMPI.py b/tests/unittests/test_AprunCrayMPI.py index 01fc36a..ec75552 100755 --- a/tests/unittests/test_AprunCrayMPI.py +++ b/tests/unittests/test_AprunCrayMPI.py @@ -2,8 +2,6 @@ import unittest, os, sys, logging -from context import crow - from crow import config from crow import metascheduler from crow.sysenv import JobResourceSpec @@ -15,17 +13,17 @@ class TestAprunCrayMPI(unittest.TestCase): @classmethod - def setUpClass(aprun): + def setUpClass(self): settings={ 'mpi_runner':'mpiexec', 'physical_cores_per_node':24, 'logical_cpus_per_core':2, 'hyperthreading_allowed':True } - aprun.par=get_parallelism('AprunCrayMPI',settings) - aprun.sch=get_scheduler('LSFAlps',settings) + self.par=get_parallelism('AprunCrayMPI',settings) + self.sch=get_scheduler('LSFAlps',settings) - def test_AprunCrayMPI_big(aprun): + def test_AprunCrayMPI_big(self): ranks=[ { 'mpi_ranks':12, 'hyperthreads':1, 'OMP_NUM_THREADS':4, 'exe':'exe1', 'AprunCrayMPI_extra':[ '-gdb', '-envall' ] }, @@ -34,8 +32,8 @@ def test_AprunCrayMPI_big(aprun): { 'mpi_ranks':200,'hyperthreads':1, 'exe':'exe2' } ] jr=JobResourceSpec(ranks) - cmd=aprun.par.make_ShellCommand(jr) - res=aprun.sch.rocoto_resources(jr) + cmd=self.par.make_ShellCommand(jr) + res=self.sch.rocoto_resources(jr) if os.environ.get('LOG_LEVEL','None') != "INFO": logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) @@ -45,16 +43,16 @@ def test_AprunCrayMPI_big(aprun): logging.disable(logging.NOTSET) logging.info("assertions not set yet") - aprun.assertTrue( 'True' == 'True' ) + self.assertTrue( 'True' == 'True' ) - def test_AprunCrayMPI_max_ppn(aprun): + def test_AprunCrayMPI_max_ppn(self): ranks=[ { 'mpi_ranks':12, 'max_ppn':2, 'exe':'doit' }, { 'mpi_ranks':12, 'max_ppn':4, 'exe':'doit' } ] jr=JobResourceSpec(ranks) - cmd=aprun.par.make_ShellCommand(jr) - res=aprun.sch.rocoto_resources(jr) + cmd=self.par.make_ShellCommand(jr) + res=self.sch.rocoto_resources(jr) if os.environ.get('LOG_LEVEL','None') != "INFO": logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) @@ -64,4 +62,4 @@ def test_AprunCrayMPI_max_ppn(aprun): logging.disable(logging.NOTSET) logging.info("assertions not set yet") - aprun.assertTrue( 'True' == 'True' ) + self.assertTrue( 'True' == 'True' ) diff --git a/tests/unittests/test_HydraIMPI.py b/tests/unittests/test_HydraIMPI.py index fc97de2..559f4ca 100755 --- a/tests/unittests/test_HydraIMPI.py +++ b/tests/unittests/test_HydraIMPI.py @@ -2,8 +2,6 @@ import unittest, os, sys, logging -from context import crow - from crow import config from crow import metascheduler from crow.sysenv import JobResourceSpec @@ -15,17 +13,17 @@ class TestHydraIMPI(unittest.TestCase): @classmethod - def setUpClass(hydra): + def setUpClass(self): settings={ 'mpi_runner':'mpiexec', 'physical_cores_per_node':24, 'logical_cpus_per_core':2, 'hyperthreading_allowed':True } - hydra.par=get_parallelism('HydraIMPI',settings) - hydra.sch=get_scheduler('MoabTorque',settings) + self.par=get_parallelism('HydraIMPI',settings) + self.sch=get_scheduler('MoabTorque',settings) - def test_HydraIMPI_big(hydra): + def test_HydraIMPI_big(self): ranks=[ { 'mpi_ranks':12, 'hyperthreads':1, 'OMP_NUM_THREADS':4, 'exe':'exe1', 'HydraIMPI_extra':[ '-gdb', '-envall' ] }, { 'mpi_ranks':48, 'OMP_NUM_THREADS':1, 'exe':'exe2', @@ -33,8 +31,8 @@ def test_HydraIMPI_big(hydra): { 'mpi_ranks':200,'hyperthreads':1, 'exe':'exe2' } ] jr=JobResourceSpec(ranks) - cmd=hydra.par.make_ShellCommand(jr) - res=hydra.sch.rocoto_resources(jr) + cmd=self.par.make_ShellCommand(jr) + res=self.sch.rocoto_resources(jr) if os.environ.get('LOG_LEVEL','None') != "INFO": logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) @@ -43,16 +41,16 @@ def test_HydraIMPI_big(hydra): logger.info( 'big res :\n'+str(res) ) logging.disable(logging.NOTSET) - hydra.assertTrue(str(cmd)=="ShellCommand(command=['mpiexec', '-gdb', '-envall', '-np', '12', '/usr/bin/env', 'OMP_NUM_THREADS=4', 'exe1', ':', '-envall', '-np', '48', '/usr/bin/env', 'OMP_NUM_THREADS=1', 'exe2', ':', '-np', '200', 'exe2'], env=None, cwd=None, files=[ ])") - hydra.assertTrue(str(res)=='2:ppn=6+2:ppn=24+2:ppn=23+7:ppn=22\n') + self.assertTrue(str(cmd)=="ShellCommand(command=['mpiexec', '-gdb', '-envall', '-np', '12', '/usr/bin/env', 'OMP_NUM_THREADS=4', 'exe1', ':', '-envall', '-np', '48', '/usr/bin/env', 'OMP_NUM_THREADS=1', 'exe2', ':', '-np', '200', 'exe2'], env=None, cwd=None, files=[ ])") + self.assertTrue(str(res)=='2:ppn=6+2:ppn=24+2:ppn=23+7:ppn=22\n') - def test_HydraIMPI_max_ppn(hydra): + def test_HydraIMPI_max_ppn(self): ranks=[ { 'mpi_ranks':12, 'max_ppn':2, 'exe':'doit' }, { 'mpi_ranks':12, 'max_ppn':4, 'exe':'doit' } ] jr=JobResourceSpec(ranks) - cmd=hydra.par.make_ShellCommand(jr) - res=hydra.sch.rocoto_resources(jr) + cmd=self.par.make_ShellCommand(jr) + res=self.sch.rocoto_resources(jr) if os.environ.get('LOG_LEVEL','None') != "INFO": logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) @@ -61,15 +59,15 @@ def test_HydraIMPI_max_ppn(hydra): logger.info( 'nmax_notMPI res :\n'+str(res) ) logging.disable(logging.NOTSET) - hydra.assertTrue(str(cmd)=="ShellCommand(command=['mpiexec', '-np', '12', 'doit', ':', '-np', '12', 'doit'], env=None, cwd=None, files=[ ])") - hydra.assertTrue(str(res)=='6:ppn=2+3:ppn=4\n') + self.assertTrue(str(cmd)=="ShellCommand(command=['mpiexec', '-np', '12', 'doit', ':', '-np', '12', 'doit'], env=None, cwd=None, files=[ ])") + self.assertTrue(str(res)=='6:ppn=2+3:ppn=4\n') - def test_HydraIMPI_max_notMPI(hydra): + def test_HydraIMPI_max_notMPI(self): ranks=[ { 'OMP_NUM_THREADS':'max', 'exe':'exe1' } ] - jr=crow.sysenv.JobResourceSpec(ranks) - cmd=hydra.par.make_ShellCommand(jr) - res=hydra.sch.rocoto_resources(jr) + jr=JobResourceSpec(ranks) + cmd=self.par.make_ShellCommand(jr) + res=self.sch.rocoto_resources(jr) if os.environ.get('LOG_LEVEL','None') != "INFO": logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) @@ -78,15 +76,15 @@ def test_HydraIMPI_max_notMPI(hydra): logger.info( 'nmax_notMPI res :\n'+str(res) ) logging.disable(logging.NOTSET) - hydra.assertTrue(str(cmd)=="ShellCommand(command=['/bin/sh', '-c', 'exe1'], env={'OMP_NUM_THREADS': 24}, cwd=None, files=[ ])") - hydra.assertTrue(str(res)=='1:ppn=2\n') + self.assertTrue(str(cmd)=="ShellCommand(command=['/bin/sh', '-c', 'exe1'], env={'OMP_NUM_THREADS': 24}, cwd=None, files=[ ])") + self.assertTrue(str(res)=='1:ppn=2\n') - def test_HydraIMPI_max_OMP_NUM_THREADS(hydra): + def test_HydraIMPI_max_OMP_NUM_THREADS(self): ranks=[ { 'mpi_ranks':12, 'OMP_NUM_THREADS':'max', 'exe':'exe1', 'max_ppn':4 } ] - jr=crow.sysenv.JobResourceSpec(ranks) - cmd=hydra.par.make_ShellCommand(jr) - res=hydra.sch.rocoto_resources(jr) + jr=JobResourceSpec(ranks) + cmd=self.par.make_ShellCommand(jr) + res=self.sch.rocoto_resources(jr) if os.environ.get('LOG_LEVEL','None') != "INFO": logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) @@ -95,5 +93,5 @@ def test_HydraIMPI_max_OMP_NUM_THREADS(hydra): logger.info ( 'nmax_OMP res :\n'+str(res) ) logging.disable(logging.NOTSET) - hydra.assertTrue(str(cmd)=="ShellCommand(command=['mpiexec', '-np', '12', '/usr/bin/env', 'OMP_NUM_THREADS=6', 'exe1'], env=None, cwd=None, files=[ ])") - hydra.assertTrue(str(res)=='3:ppn=4\n') + self.assertTrue(str(cmd)=="ShellCommand(command=['mpiexec', '-np', '12', '/usr/bin/env', 'OMP_NUM_THREADS=6', 'exe1'], env=None, cwd=None, files=[ ])") + self.assertTrue(str(res)=='3:ppn=4\n') diff --git a/tests/unittests/test_ShellCommand.py b/tests/unittests/test_ShellCommand.py index d939f75..a87adf8 100755 --- a/tests/unittests/test_ShellCommand.py +++ b/tests/unittests/test_ShellCommand.py @@ -1,7 +1,6 @@ #! /usr/bin/env python3 import unittest -from context import crow from crow.sysenv import ShellCommand diff --git a/tests/unittests/test_exampleConfig.py b/tests/unittests/test_exampleConfig.py index e8cac34..e7bddcb 100755 --- a/tests/unittests/test_exampleConfig.py +++ b/tests/unittests/test_exampleConfig.py @@ -1,7 +1,6 @@ #! /usr/bin/env python3.6 import unittest -from context import crow import crow.config from datetime import timedelta, date, datetime from collections import OrderedDict diff --git a/tests/unittests/test_jobs.py b/tests/unittests/test_jobs.py index 884a52f..aa0ba35 100755 --- a/tests/unittests/test_jobs.py +++ b/tests/unittests/test_jobs.py @@ -2,7 +2,6 @@ f'This script requires python 3.6 or later' import unittest -from context import crow from crow.sysenv import jobs class TestBoth(unittest.TestCase): diff --git a/tests/unittests/test_util.py b/tests/unittests/test_util.py index 4a2b865..565f913 100755 --- a/tests/unittests/test_util.py +++ b/tests/unittests/test_util.py @@ -2,7 +2,6 @@ f'This script requires python 3.6 or later' import unittest -from context import crow from crow.sysenv.util import ranks_to_nodes_ppn class TestRankstoNodes(unittest.TestCase): From 4893bcf882d53e4b5a3bd5c5153020de8771355e Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Fri, 13 Jul 2018 15:22:13 -0400 Subject: [PATCH 410/487] unit test upgrade --- tests/unittests/test_AprunCrayMPI.py | 2 ++ tests/unittests/test_HydraIMPI.py | 2 ++ tests/unittests/test_ShellCommand.py | 2 +- tests/unittests/test_exampleConfig.py | 1 + tests/unittests/test_jobs.py | 1 + tests/unittests/test_simplify.py | 1 + tests/unittests/test_util.py | 1 + 7 files changed, 9 insertions(+), 1 deletion(-) diff --git a/tests/unittests/test_AprunCrayMPI.py b/tests/unittests/test_AprunCrayMPI.py index ec75552..97f3d6e 100755 --- a/tests/unittests/test_AprunCrayMPI.py +++ b/tests/unittests/test_AprunCrayMPI.py @@ -2,6 +2,8 @@ import unittest, os, sys, logging +from context import crow + from crow import config from crow import metascheduler from crow.sysenv import JobResourceSpec diff --git a/tests/unittests/test_HydraIMPI.py b/tests/unittests/test_HydraIMPI.py index 559f4ca..40c78b3 100755 --- a/tests/unittests/test_HydraIMPI.py +++ b/tests/unittests/test_HydraIMPI.py @@ -2,6 +2,8 @@ import unittest, os, sys, logging +from context import crow + from crow import config from crow import metascheduler from crow.sysenv import JobResourceSpec diff --git a/tests/unittests/test_ShellCommand.py b/tests/unittests/test_ShellCommand.py index a87adf8..0c689e8 100755 --- a/tests/unittests/test_ShellCommand.py +++ b/tests/unittests/test_ShellCommand.py @@ -1,7 +1,7 @@ #! /usr/bin/env python3 import unittest - +from context import crow from crow.sysenv import ShellCommand import os, subprocess diff --git a/tests/unittests/test_exampleConfig.py b/tests/unittests/test_exampleConfig.py index e7bddcb..e8cac34 100755 --- a/tests/unittests/test_exampleConfig.py +++ b/tests/unittests/test_exampleConfig.py @@ -1,6 +1,7 @@ #! /usr/bin/env python3.6 import unittest +from context import crow import crow.config from datetime import timedelta, date, datetime from collections import OrderedDict diff --git a/tests/unittests/test_jobs.py b/tests/unittests/test_jobs.py index aa0ba35..884a52f 100755 --- a/tests/unittests/test_jobs.py +++ b/tests/unittests/test_jobs.py @@ -2,6 +2,7 @@ f'This script requires python 3.6 or later' import unittest +from context import crow from crow.sysenv import jobs class TestBoth(unittest.TestCase): diff --git a/tests/unittests/test_simplify.py b/tests/unittests/test_simplify.py index eb9b552..09aaf73 100755 --- a/tests/unittests/test_simplify.py +++ b/tests/unittests/test_simplify.py @@ -2,6 +2,7 @@ f'This script requires python 3.6 or later' import unittest +from context import crow import crow.metascheduler.algebra as ag import crow.config from datetime import timedelta diff --git a/tests/unittests/test_util.py b/tests/unittests/test_util.py index 565f913..4a2b865 100755 --- a/tests/unittests/test_util.py +++ b/tests/unittests/test_util.py @@ -2,6 +2,7 @@ f'This script requires python 3.6 or later' import unittest +from context import crow from crow.sysenv.util import ranks_to_nodes_ppn class TestRankstoNodes(unittest.TestCase): From 699d823cfeb4845e2e5be192017bb3552c33b8ae Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Mon, 16 Jul 2018 16:14:01 -0400 Subject: [PATCH 411/487] unit test upgrade --- crow/sysenv/nodes.py | 14 ++++++++++---- tests/unittests/test_HydraIMPI.py | 21 +++++++++++---------- 2 files changed, 21 insertions(+), 14 deletions(-) diff --git a/crow/sysenv/nodes.py b/crow/sysenv/nodes.py index 9d8b6c7..40913bc 100644 --- a/crow/sysenv/nodes.py +++ b/crow/sysenv/nodes.py @@ -119,15 +119,18 @@ def omp_threads_for(self,rank_spec): typecheck('rank_spec',rank_spec,crow.sysenv.jobs.JobRankSpec) omp_threads=max(1,rank_spec.get('OMP_NUM_THREADS',1)) +# print('omp_thread = ',omp_threads) if omp_threads != MAXIMUM_THREADS: return omp_threads - + can_hyper=self.hyperthreading_allowed max_threads_per_node=self.cores_per_node - if can_hyper and rank_spec.get('hyperthreading',False): + if can_hyper and rank_spec.get('hyperthreads',False): max_threads_per_node*=max(1,min(self.cpus_per_core,rank_spec.hyperthreads)) result=max_threads_per_node // self.max_ranks_per_node(rank_spec) +# print('max_threads_per_node = ', max_threads_per_node) +# print('self.max_ranks_per_node(rank_spec) = ',self.max_ranks_per_node(rank_spec)) return result # if rank_spec.is_mpi(): # ppn=max_ranks_per_node @@ -147,7 +150,7 @@ def max_ranks_per_node(self,rank_spec): max_per_node=self.cores_per_node max_threads_per_node=self.cores_per_node - if can_hyper and rank_spec.get('hyperthreading',False): + if can_hyper and rank_spec.get('hyperthreads',False): max_threads_per_node*=max(1,min(self.cpus_per_core,rank_spec.hyperthreads)) max_per_node*=max(1,min(self.cpus_per_core,rank_spec.hyperthreads)) @@ -156,6 +159,9 @@ def max_ranks_per_node(self,rank_spec): if omp_threads!=MAXIMUM_THREADS: max_per_node //= omp_threads + elif rank_spec.mpi_ranks<2: + # Special case: maximum threads with non-MPI job, so return 1 + return 1 max_ppn=rank_spec.get('max_ppn',0) if max_ppn: @@ -193,6 +199,6 @@ def node_size(self,rank_spec): typecheck('rank_spec',rank_spec,crow.sysenv.jobs.JobRankSpec) can_hyper=self.hyperthreading_allowed max_per_node=self.cores_per_node - if can_hyper and rank_spec.get('hyperthreading',False): + if can_hyper and rank_spec.get('hyperthreads',False): max_per_node*=max(1,min(self.cpus_per_core,rank_spec.hyperthreads)) return max_per_node diff --git a/tests/unittests/test_HydraIMPI.py b/tests/unittests/test_HydraIMPI.py index 40c78b3..09c5a4c 100755 --- a/tests/unittests/test_HydraIMPI.py +++ b/tests/unittests/test_HydraIMPI.py @@ -31,36 +31,35 @@ def test_HydraIMPI_big(self): { 'mpi_ranks':48, 'OMP_NUM_THREADS':1, 'exe':'exe2', 'HydraIMPI_extra':'-envall' }, { 'mpi_ranks':200,'hyperthreads':1, 'exe':'exe2' } ] - + jr=JobResourceSpec(ranks) cmd=self.par.make_ShellCommand(jr) res=self.sch.rocoto_resources(jr) - + if os.environ.get('LOG_LEVEL','None') != "INFO": logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) logger.info('\n\nbig ranks:\n'+str(ranks) ) logger.info( 'big cmd :\n'+str(cmd) ) logger.info( 'big res :\n'+str(res) ) logging.disable(logging.NOTSET) - self.assertTrue(str(cmd)=="ShellCommand(command=['mpiexec', '-gdb', '-envall', '-np', '12', '/usr/bin/env', 'OMP_NUM_THREADS=4', 'exe1', ':', '-envall', '-np', '48', '/usr/bin/env', 'OMP_NUM_THREADS=1', 'exe2', ':', '-np', '200', 'exe2'], env=None, cwd=None, files=[ ])") self.assertTrue(str(res)=='2:ppn=6+2:ppn=24+2:ppn=23+7:ppn=22\n') def test_HydraIMPI_max_ppn(self): ranks=[ { 'mpi_ranks':12, 'max_ppn':2, 'exe':'doit' }, { 'mpi_ranks':12, 'max_ppn':4, 'exe':'doit' } ] - + jr=JobResourceSpec(ranks) cmd=self.par.make_ShellCommand(jr) res=self.sch.rocoto_resources(jr) - + if os.environ.get('LOG_LEVEL','None') != "INFO": logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) logger.info('\n\nnmax_notMPI ranks:\n'+str(ranks) ) logger.info( 'nmax_notMPI cmd :\n'+str(cmd) ) logger.info( 'nmax_notMPI res :\n'+str(res) ) logging.disable(logging.NOTSET) - + self.assertTrue(str(cmd)=="ShellCommand(command=['mpiexec', '-np', '12', 'doit', ':', '-np', '12', 'doit'], env=None, cwd=None, files=[ ])") self.assertTrue(str(res)=='6:ppn=2+3:ppn=4\n') @@ -77,23 +76,25 @@ def test_HydraIMPI_max_notMPI(self): logger.info( 'nmax_notMPI cmd :\n'+str(cmd) ) logger.info( 'nmax_notMPI res :\n'+str(res) ) logging.disable(logging.NOTSET) - +# print(cmd) self.assertTrue(str(cmd)=="ShellCommand(command=['/bin/sh', '-c', 'exe1'], env={'OMP_NUM_THREADS': 24}, cwd=None, files=[ ])") self.assertTrue(str(res)=='1:ppn=2\n') def test_HydraIMPI_max_OMP_NUM_THREADS(self): ranks=[ { 'mpi_ranks':12, 'OMP_NUM_THREADS':'max', 'exe':'exe1', 'max_ppn':4 } ] - + jr=JobResourceSpec(ranks) cmd=self.par.make_ShellCommand(jr) res=self.sch.rocoto_resources(jr) - + if os.environ.get('LOG_LEVEL','None') != "INFO": logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) logger.info('\n\nnmax_OMP ranks:\n'+str(ranks) ) logger.info ( 'nmax_OMP cmd :\n'+str(cmd) ) logger.info ( 'nmax_OMP res :\n'+str(res) ) logging.disable(logging.NOTSET) - self.assertTrue(str(cmd)=="ShellCommand(command=['mpiexec', '-np', '12', '/usr/bin/env', 'OMP_NUM_THREADS=6', 'exe1'], env=None, cwd=None, files=[ ])") self.assertTrue(str(res)=='3:ppn=4\n') + +if __name__ == '__main__': + unittest.main() From 77468730a253ddb1aa0c76511104b6d58954bc11 Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Wed, 18 Jul 2018 15:00:06 -0400 Subject: [PATCH 412/487] Add a MoabAlpsSh scheduler which is a simple wrapper around the Rocoto "no" batch system. This will be updated soon to use the Rocoto "MoabSh" batch system. --- crow/sysenv/schedulers/MoabAlpsSh.py | 11 +++++++++++ crow/sysenv/schedulers/__init__.py | 2 ++ 2 files changed, 13 insertions(+) create mode 100644 crow/sysenv/schedulers/MoabAlpsSh.py diff --git a/crow/sysenv/schedulers/MoabAlpsSh.py b/crow/sysenv/schedulers/MoabAlpsSh.py new file mode 100644 index 0000000..0b93923 --- /dev/null +++ b/crow/sysenv/schedulers/MoabAlpsSh.py @@ -0,0 +1,11 @@ +from .MoabAlps import Scheduler as MoabAlpsScheduler + +import math +import crow.tools as tools + +__all__=['Scheduler'] + +class Scheduler(MoabAlpsScheduler): + def __init__(self,settings,**kwargs): + super().__init__(settings,**kwargs) + self.rocoto_name='no' diff --git a/crow/sysenv/schedulers/__init__.py b/crow/sysenv/schedulers/__init__.py index 2c64a7b..ed496c0 100644 --- a/crow/sysenv/schedulers/__init__.py +++ b/crow/sysenv/schedulers/__init__.py @@ -1,11 +1,13 @@ from crow.sysenv.exceptions import UnknownSchedulerError from crow.sysenv.schedulers.MoabTorque import Scheduler as MoabTorqueScheduler from crow.sysenv.schedulers.MoabAlps import Scheduler as MoabAlpsScheduler +from crow.sysenv.schedulers.MoabAlpsSh import Scheduler as MoabAlpsShScheduler from crow.sysenv.schedulers.LSFAlps import Scheduler as LSFAlpsScheduler KNOWN_SCHEDULERS={ 'MoabTorque': MoabTorqueScheduler, 'MoabAlps': MoabAlpsScheduler, + 'MoabAlpsSh': MoabAlpsShScheduler, 'LSFAlps': LSFAlpsScheduler } From 9f0de3d065a82db257c60681811d39dc7590312f Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Thu, 19 Jul 2018 16:29:56 -0400 Subject: [PATCH 413/487] fix an issue regarding .True. vs .true. --- tests/unittests/test_exampleConfig.py | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/tests/unittests/test_exampleConfig.py b/tests/unittests/test_exampleConfig.py index e8cac34..2c2c912 100755 --- a/tests/unittests/test_exampleConfig.py +++ b/tests/unittests/test_exampleConfig.py @@ -82,10 +82,10 @@ def test_fcst_values(self): def test_inline_namelist(self): namelist_for_test = self.config.fcst.some_namelist cmpline=["&some_namelist", " int_array = 1, 2, 3, 4, 5", - " bool_array = .True., .False., .True.", + " bool_array = .true., .false., .true.", " string_array = 'a', 'b', 'c', 'd', 'e'", " type = 'hydro'", " mono = 'mono'", - " shal_cnv = .True.", " agrid_vel_rst= .True.", + " shal_cnv = .true.", " agrid_vel_rst= .true.", "/", ""] for lnum, line in enumerate(namelist_for_test.split('\n')): #print("\nline XXX"+line+"XXX") @@ -101,10 +101,10 @@ def test_file_namelist(self): namelist2_for_test = crow.config.expand_text(namelist_nl,self.config.fcst) cmpline=["&some_namelist", " int_array = 1, 2, 3, 4, 5", - " bool_array = .True., .False., .True.", + " bool_array = .true., .false., .true.", " string_array = 'a', 'b', 'c', 'd', 'e'", " type = 'hydro'", " mono = 'mono'", - " shal_cnv = .True.", " agrid_vel_rst= .True.", + " shal_cnv = .true.", " agrid_vel_rst= .true.", "/", ""] for lnum, line in enumerate(namelist2_for_test.split('\n')): #print("\nline XXX"+line+"XXX") From e0c8c59199318a7991438c144f02016b70f5952c Mon Sep 17 00:00:00 2001 From: Sam Trahan Date: Fri, 20 Jul 2018 23:54:15 +0000 Subject: [PATCH 414/487] Add support for LSF (without ALPS) --- crow/config/tools.py | 1 + crow/sysenv/jobs.py | 2 +- crow/sysenv/nodes.py | 9 + crow/sysenv/schedulers/LSF.py | 384 +++++++++++++++++++++++++++ crow/sysenv/schedulers/MoabTorque.py | 2 +- 5 files changed, 396 insertions(+), 2 deletions(-) create mode 100644 crow/sysenv/schedulers/LSF.py diff --git a/crow/config/tools.py b/crow/config/tools.py index 73d2966..121896d 100644 --- a/crow/config/tools.py +++ b/crow/config/tools.py @@ -127,6 +127,7 @@ def ref(scope,key): 'dirname':os.path.dirname, 'abspath':os.path.abspath, 'realpath':os.path.realpath, + 'readlink':os.readlink, 'can_write':can_write, 'isdir':os.path.isdir, 'isfile':os.path.isfile, diff --git a/crow/sysenv/jobs.py b/crow/sysenv/jobs.py index 3d8c7fe..bd83398 100644 --- a/crow/sysenv/jobs.py +++ b/crow/sysenv/jobs.py @@ -20,7 +20,7 @@ class JobRankSpec(Mapping): OPTIONAL_ATTRIBUTES=[ 'walltime', 'memory', 'outer', 'stdout', 'stderr', 'jobname', - 'batch_memory', 'compute_memory' ] + 'batch_memory', 'compute_memory', 'lsf_affinity' ] def __init__(self,OMP_NUM_THREADS=0,mpi_ranks=0, exe=MISSING,args=MISSING,exclusive=True, diff --git a/crow/sysenv/nodes.py b/crow/sysenv/nodes.py index 9d8b6c7..bc8fc89 100644 --- a/crow/sysenv/nodes.py +++ b/crow/sysenv/nodes.py @@ -25,6 +25,10 @@ def max_ranks_per_node(rank_spec): """!Given a JobRankSpec, return the maximum number of these ranks that can fit on one compute node. """ @abstractmethod + def hyperthreads_for(rank_spec): + """!Given a JobRankSpec, return the number of hyperthreads that will + be used by each rank.""" + @abstractmethod def omp_threads_for(rank_spec): """!Given a JobRankSpec, return the number of OpenMP threads it should use. This will perform the OMP_NUM_THREADS=max calculation if the @@ -113,6 +117,11 @@ def __init__(self,settings): def __repr__(self): return f'GenericNodeSpec{self.settings!r}' + def hyperthreads_for(self,rank_spec): + if not self.hyperthreading_allowed: return 1 + if not rank_spec.get('hyperthreading',False): return 1 + return self.cpus_per_core + # Implement NodeSpec abstract methods: def omp_threads_for(self,rank_spec): diff --git a/crow/sysenv/schedulers/LSF.py b/crow/sysenv/schedulers/LSF.py new file mode 100644 index 0000000..4ee1ddf --- /dev/null +++ b/crow/sysenv/schedulers/LSF.py @@ -0,0 +1,384 @@ +import itertools, math +from io import StringIO + +import crow.tools as tools +from crow.sysenv.exceptions import * +from crow.sysenv.util import ranks_to_nodes_ppn +from crow.sysenv.jobs import JobResourceSpec +from crow.sysenv.nodes import GenericNodeSpec + +from crow.sysenv.schedulers.base import Scheduler as BaseScheduler + +from collections import Sequence + +__all__=['Scheduler'] + +class Scheduler(BaseScheduler): + + def __init__(self,settings,**kwargs): + self.settings=dict(settings) + self.settings.update(kwargs) + self.nodes=GenericNodeSpec(settings) + self.rocoto_name='lsfcray' + self.specify_affinity=bool(settings.get('specify_affinity',True)) + self.specify_n_ranks=bool(settings.get('specify_n_ranks',True)) + self.indent_text=str(settings.get('indent_text',' ')) + self.specify_memory=bool(settings.get('specify_memory',True)) + self.use_task_geometry=bool(settings.get('use_task_geometry',True)) + #self.memory_type=str(settings.get('memory_type','batch')).lower() + #if memory_type not in [ 'batch','compute','none']: + # raise ValueError(f'For an LSF scheduler, the memory_type must be "batch", "compute", or "none" (case-insensitive) not {settings["memory_type"]}') + + # ------------------------------------------------------------------ + + def _decide_memory_for(self,spec): + if spec[0].is_exclusive() and spec[0].get('batch_memory',''): + return tools.memory_in_bytes(spec[0]['batch_memory']) + elif not spec[0].is_exclusive() and spec[0].get('compute_memory',''): + return tools.memory_in_bytes(spec[0]['compute_memory']) + elif spec[0].get('memory',''): + return tools.memory_in_bytes(spec[0]['memory']) + else: + return 2000*1048576. + + # ------------------------------------------------------------------ + + def _ranks_affinity_and_span_for(self,spec): + """Calculate ranks, affinity, and span for an LSF batch card to match + a JobResourceSpec. This is returned as a list of dicts with + keys "ranks," "affinity," and "span." + + There are two different types of output depending on whether + this is a compound request. + + Single request: ten nodes, four ranks per node, on a 28 core machine: + + #BSUB -R 'affinity[core(7)]' + #BSUB -R 'span[ptile=4]' + #BSUB -n 10 + + ras=[ { 'ranks':40, 'affinity':'core(7)', 'span':'ptile=4' } ] + + Compound request: ten nodes, four ranks per node; and eight nodes, + 28 ranks per node; in one request, on a 28 core machine: + + #BSUB -R '10*{span[ptile=4]affinity[core(7)]} + 8*{span[ptile=28]affinity[core(1)]}' + + ras=[ { 'ranks':40, 'affinity':'core(7)', 'span':'ptile=4' }, + { 'ranks':8, 'affinity':'core(1)', 'span':'ptile=28' }] + """ + + ras=[ ] # List of dict with keys: ranks, affinity, span + + for ranks in spec: + ppn=self.nodes.max_ranks_per_node(ranks) + mpi_ranks=max(1,int(ranks.get('mpi_ranks',1))) + num_nodes=int(math.ceil(mpi_ranks/float(ppn))) + span=f'ptile={ppn}' + + if 'lsf_affinity' in ranks: + affinity=ranks['lsf_affinity'] + else: + hyperthreads=self.nodes.hyperthreads_for(ranks) + max_affinity=self.nodes.cores_per_node + affinity_type='core' + if hyperthreads>1: + max_affinity*=self.nodes.cpus_per_core + affinity_type='cpu' + affinity_count=max_affinity//ppn + affinity=f'{affinity_type}({affinity_count})' + + ras.append( { + 'ranks':mpi_ranks, 'affinity':affinity, 'span':span }) + return ras + + # ------------------------------------------------------------------ + + def _batch_stdout_stderr(self,spec,sio): + if 'outerr' in spec: + sio.write(f'#BSUB -o {spec["outerr"]}\n') + else: + if 'stdout' in spec: + sio.write('#BSUB -o {spec["stdout"]}\n') + if 'stderr' in spec: + sio.write('#BSUB -e {spec["stderr"]}\n') + + # ------------------------------------------------------------------ + + def max_ranks_per_node(self,spec): + return max([ self.nodes.max_ranks_per_node(j) for j in spec ]) + + #################################################################### + + # Generation of batch cards + + def batch_accounting(self,*args,**kwargs): + spec=tools.make_dict_from(args,kwargs) + space=self.indent_text + sio=StringIO() + if 'queue' in spec: + sio.write(f'#BSUB -q {spec["queue"]!s}\n') + if 'project' in spec: + sio.write(f'#BSUB -P {spec["project"]!s}\n') + if 'account' in spec: + sio.write(f'#BSUB -P {spec["account"]!s}\n') + if 'jobname' in spec: + sio.write(f'#BSUB -J {spec["jobname"]!s}\n') + self._batch_stdout_stderr(spec,sio) + ret=sio.getvalue() + sio.close() + return ret + + def batch_resources(self,spec,**kwargs): + if kwargs: + spec=dict(spec,**kwargs) + space=self.indent_text + sio=StringIO() + if not isinstance(spec,JobResourceSpec): + spec=JobResourceSpec(spec) + + result='' + if spec[0].get('walltime',''): + dt=tools.to_timedelta(spec[0]['walltime']) + dt=dt.total_seconds() + hours=int(dt//3600) + minutes=int((dt%3600)//60) + seconds=int(math.floor(dt%60)) + sio.write(f'#BSUB -W {hours}:{minutes:02d}\n') + + # ------------------------------------------------------------ + # Handle memory + + rusage='' + if self.specify_memory: + bytes=self._decide_memory_for(spec) + megabytes=int(math.ceil(bytes/1048576.)) + rusage=f'rusage[mem={megabytes:d}]' + + # ------------------------------------------------------------ + # stdout/stderr locations + + self._batch_stdout_stderr(spec[0],sio) + + # ------------------------------------------------------------ + # ranks, affinity, and span + + if spec[0].exclusive: + sio.write('#BSUB -x\n') + + + if len(spec)==1: + # Special case: only one block. We'll put the affinity + # and span on their own line and use "-n" to specify the + # number of ranks. + + # There are some specialer cases in here, including pure + # OpenMP or pure serial. + + ras=self._ranks_affinity_and_span_for(spec) + + if rusage: + sio.write(f"""#BSUB -R '{rusage}'\n""") + + # Affinity is mandatory: + sio.write(f"""#BSUB -R 'affinity[{ras[0]["affinity"]}]'\n""") + + # Span is only used when OpenMP or MPI are in use: + if not spec.is_pure_serial(): + sio.write(f"""#BSUB -R 'span[{ras[0]["span"]}]'\n""") + + # -n is used except in shared, non-mpi jobs + if spec[0].exclusive or spec.total_ranks()>2: + sio.write(f"""#BSUB -n {ras[0]["ranks"]}\n""") + + elif not self.use_task_geometry: + + # General case: more than one block. Task geometry is + # disabled. + + hyperthreads=max([self.nodes.hyperthreads_for(r) for r in spec]) + node_size=self.nodes.cores_per_node + if hyperthreads>1: + node_size*=self.nodes.cpus_per_core + max_ppn=min([self.nodes.max_ranks_per_node(r) for r in spec]) + affinity_count=node_size//max_ppn + affinity_type='cpu' if hyperthreads>1 else 'core' + affinity=f'{affinity_type}({affinity_count})' + + if rusage: + sio.write(f"""#BSUB -R '{rusage}'\n""") + sio.write(f"""#BSUB -R 'affinity[{affinity}]'\n""") + sio.write(f"""#BSUB -R 'span[{max_ppn}]'\n""") + sio.write(f"""#BSUB -n {spec.total_ranks()}\n""") + + else: + # General case: more than one block. Task geometry is + # enabled. + ras=self._ranks_affinity_and_span_for(spec) + sio.write("#BSUB -R '") + first=True + for ras1 in ras: + if first: + first=False + else: + sio.write(' + ') + sio.write(f'{ras1["ranks"]}*' + f'{{span[{ras1["span"]}]' + f'affinity[{ras1["affinity"]}]{rusage}}}') + sio.write("'\n") + + ret=sio.getvalue() + sio.close() + return ret + + #################################################################### + + # Generation of Rocoto XML + + def _rocoto_stdout_stderr(self,spec,sio): + if 'outerr' in spec: + sio.write(f'{indent*space}{spec["outerr"]}\n') + else: + if 'stdout' in spec: + sio.write('{indent*space}{spec["stdout"]}\n') + if 'stderr' in spec: + sio.write('{indent*space}{spec["stderr"]}\n') + + # ------------------------------------------------------------------ + + def rocoto_accounting(self,*args,indent=0,**kwargs): + spec=tools.make_dict_from(args,kwargs) + space=self.indent_text + sio=StringIO() + if 'queue' in spec: + sio.write(f'{indent*space}{spec["queue"]!s}\n') + if 'account' in spec: + sio.write(f'{indent*space}{spec["account"]!s}\n') + if 'project' in spec: + sio.write(f'{indent*space}{spec["project"]!s}\n') + if 'account' in spec: + sio.write(f'{indent*space}{spec["account"]!s}\n') + if 'jobname' in spec: + sio.write(f'{indent*space}{spec["jobname"]!s}\n') + self._rocoto_stdout_stderr(spec,sio) + ret=sio.getvalue() + sio.close() + return ret + + # ------------------------------------------------------------------ + + def rocoto_resources(self,spec,indent=0): + sio=StringIO() + space=self.indent_text + if not isinstance(spec,JobResourceSpec): + spec=JobResourceSpec(spec) + + if spec[0].get('walltime',''): + dt=tools.to_timedelta(spec[0]['walltime']) + dt=dt.total_seconds() + hours=int(dt//3600) + minutes=int((dt%3600)//60) + seconds=int(math.floor(dt%60)) + sio.write(f'{indent*space}{hours}:{minutes:02d}:{seconds:02d}\n') + + # Handle memory. + if self.specify_memory: + bytes=self._decide_memory_for(spec) + megabytes=int(math.ceil(bytes/1048576.)) + sio.write(f'{indent*space}{megabytes:d}M\n') + + # Stdout and stderr if specified: + self._rocoto_stdout_stderr(spec[0],sio) + + # Write nodes=x:ppn=y + # Split into (nodes,ranks_per_node) pairs. Ignore differing + # executables between ranks while merging them (same_except_exe): + nodes_ranks=self.nodes.to_nodes_ppn( + spec,can_merge_ranks=self.nodes.same_except_exe) + + sio.write(indent*space+'' \ + + '+'.join([f'{max(n,1)}:ppn={max(p,1)}' for n,p in nodes_ranks ]) \ + + '\n') + + # Write out affinity. + hyperthreads=max([self.nodes.hyperthreads_for(r) for r in spec]) + node_size=self.nodes.cores_per_node + if hyperthreads>1: + node_size*=self.nodes.cpus_per_core + max_ppn=min([self.nodes.max_ranks_per_node(r) for r in spec]) + affinity_count=node_size//max_ppn + affinity_type='cpu' if hyperthreads>1 else 'core' + + sio.write(f'{indent*space}' + f"-R 'affinity[{affinity_type}({affinity_count})]'" + '\n') + #sio.write(f'{indent*space}{requested_nodes}:ppn={nodesize}') + ret=sio.getvalue() + sio.close() + return ret + +def test(): + settings={ 'physical_cores_per_node':28, + 'logical_cpus_per_core':2, + 'specify_memory':True, + 'use_task_geometry':False, + 'hyperthreading_allowed':True } + sched=Scheduler(settings) + + # MPI + OpenMP program test + input0=[ {'mpi_ranks':5, 'OMP_NUM_THREADS':12} ] + spec1=JobResourceSpec(input0) + result=sched.rocoto_resources(spec1) + bresult=sched.batch_resources(spec1) + #assert(result=='6:ppn=2+1:ppn=7\n') + print(f'{input0} => \n{result}') + print(f'{input0} => \n{bresult}') + + # Compound MPI + OpenMP program test + input1=[ + {'mpi_ranks':5, 'OMP_NUM_THREADS':12}, + {'mpi_ranks':7, 'OMP_NUM_THREADS':12}, + {'mpi_ranks':7} ] + spec1=JobResourceSpec(input1) + result=sched.rocoto_resources(spec1) + bresult=sched.batch_resources(spec1) + #assert(result=='6:ppn=2+1:ppn=7\n') + print(f'{input1} => \n{result}') + print(f'{input1} => \n{bresult}') + + # Serial program test + input2=[ { 'exe':'echo', 'args':['hello','world'], 'exclusive':False } ] + spec2=JobResourceSpec(input2) + result=sched.rocoto_resources(spec2) + bresult=sched.batch_resources(spec2) + #assert(result=='1\n') + print(f'{input2} => \n{result}') + print(f'{input2} => \n{bresult}') + + # Exclusive serial program test + input3=[ { 'exe':'echo', 'args':['hello','world 2'], 'exclusive':True } ] + spec3=JobResourceSpec(input3) + result=sched.rocoto_resources(spec3) + bresult=sched.batch_resources(spec3) + #assert(result=='1:ppn=2\n') + print(f'{input3} => \n{result}') + print(f'{input3} => \n{bresult}') + + # Pure openmp test + input4=[ { 'OMP_NUM_THREADS':20 } ] + spec4=JobResourceSpec(input4) + result=sched.rocoto_resources(spec4) + bresult=sched.batch_resources(spec4) + #assert(result=='1:ppn=2\n') + print(f'{input4} => \n{result}') + print(f'{input4} => \n{bresult}') + + # Too big for node + try: + input5=[ { 'OMP_NUM_THREADS':200, 'mpi_ranks':3 } ] + spec5=JobResourceSpec(input5) + result=sched.rocoto_resources(spec5) + assert(False) + except MachineTooSmallError: + pass # success! + diff --git a/crow/sysenv/schedulers/MoabTorque.py b/crow/sysenv/schedulers/MoabTorque.py index 9131562..63186bf 100644 --- a/crow/sysenv/schedulers/MoabTorque.py +++ b/crow/sysenv/schedulers/MoabTorque.py @@ -197,7 +197,7 @@ def rocoto_resources(self,*args,indent=0,**kwargs): else: # This is an MPI program. - # Split into (nodes,ranks_per_node) pairs. Ignore differeing + # Split into (nodes,ranks_per_node) pairs. Ignore differing # executables between ranks while merging them (del_exe): nodes_ranks=self.nodes.to_nodes_ppn( spec,can_merge_ranks=self.nodes.same_except_exe) From fc17151cc027672e64d481c523101f02bc8aa3a9 Mon Sep 17 00:00:00 2001 From: Sam Trahan Date: Sat, 21 Jul 2018 00:01:08 +0000 Subject: [PATCH 415/487] Further changes needed to support LSF scheduler. --- crow/sysenv/schedulers/LSF.py | 6 +++--- crow/sysenv/schedulers/__init__.py | 4 +++- 2 files changed, 6 insertions(+), 4 deletions(-) diff --git a/crow/sysenv/schedulers/LSF.py b/crow/sysenv/schedulers/LSF.py index 4ee1ddf..ddeec7f 100644 --- a/crow/sysenv/schedulers/LSF.py +++ b/crow/sysenv/schedulers/LSF.py @@ -235,7 +235,7 @@ def batch_resources(self,spec,**kwargs): # Generation of Rocoto XML - def _rocoto_stdout_stderr(self,spec,sio): + def _rocoto_stdout_stderr(self,spec,indent,space,sio): if 'outerr' in spec: sio.write(f'{indent*space}{spec["outerr"]}\n') else: @@ -260,7 +260,7 @@ def rocoto_accounting(self,*args,indent=0,**kwargs): sio.write(f'{indent*space}{spec["account"]!s}\n') if 'jobname' in spec: sio.write(f'{indent*space}{spec["jobname"]!s}\n') - self._rocoto_stdout_stderr(spec,sio) + self._rocoto_stdout_stderr(spec,indent,space,sio) ret=sio.getvalue() sio.close() return ret @@ -288,7 +288,7 @@ def rocoto_resources(self,spec,indent=0): sio.write(f'{indent*space}{megabytes:d}M\n') # Stdout and stderr if specified: - self._rocoto_stdout_stderr(spec[0],sio) + self._rocoto_stdout_stderr(spec[0],indent,space,sio) # Write nodes=x:ppn=y # Split into (nodes,ranks_per_node) pairs. Ignore differing diff --git a/crow/sysenv/schedulers/__init__.py b/crow/sysenv/schedulers/__init__.py index ed496c0..3a1df13 100644 --- a/crow/sysenv/schedulers/__init__.py +++ b/crow/sysenv/schedulers/__init__.py @@ -3,12 +3,14 @@ from crow.sysenv.schedulers.MoabAlps import Scheduler as MoabAlpsScheduler from crow.sysenv.schedulers.MoabAlpsSh import Scheduler as MoabAlpsShScheduler from crow.sysenv.schedulers.LSFAlps import Scheduler as LSFAlpsScheduler +from crow.sysenv.schedulers.LSF import Scheduler as LSFScheduler KNOWN_SCHEDULERS={ 'MoabTorque': MoabTorqueScheduler, 'MoabAlps': MoabAlpsScheduler, 'MoabAlpsSh': MoabAlpsShScheduler, - 'LSFAlps': LSFAlpsScheduler + 'LSFAlps': LSFAlpsScheduler, + 'LSF': LSFScheduler } def get_scheduler(name,settings): From d2d81e94f15a53cd7d527b41faf6d085b0c69b38 Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Tue, 31 Jul 2018 16:20:47 +0000 Subject: [PATCH 416/487] half-finished changes to restructure ecflow output --- crow/metascheduler/ecflow.py | 13 +++++++++---- 1 file changed, 9 insertions(+), 4 deletions(-) diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py index b74a8be..fd34c9c 100644 --- a/crow/metascheduler/ecflow.py +++ b/crow/metascheduler/ecflow.py @@ -335,6 +335,7 @@ def _make_family_ecf_files(self,ecf_files,ecf_file_set, def _make_ecf_files_for_one_cycle(self,ecf_files): ecf_file_set=self.settings.get('ecf_file_set','ecf_files') + file_set_paths[ecf_file_set]=self.settings.ecf_file_path for t in self.suite.child_iter(): if t.is_task(): self._make_task_ecf_files(ecf_files,ecf_file_set,list(),t) @@ -344,9 +345,11 @@ def _make_ecf_files_for_one_cycle(self,ecf_files): #################################################################### - def to_ecflow(self): + def to_ecflow(self,ecf_files_first_cycle_only=True): suite_def_files=dict() ecf_files=collections.defaultdict(dict) + file_set_paths=dict() + is_first_cycle=True self._initialize_graph() for cycle in self._foreach_cycle(self._cycles_to_write()): _logger.info(f'{cycle:%Y%m%d%H%M}: make suite definition in memory...') @@ -360,10 +363,12 @@ def to_ecflow(self): assert(isinstance(suite_name,str)) assert(isinstance(suite_def,str)) suite_def_files[filename]={ 'name':suite_name, 'def':suite_def } - _logger.info(f'{cycle:%Y%m%d%H%M}: make ecf files in memory...') - self._make_ecf_files_for_one_cycle(ecf_files) + if is_first_cycle or not ecf_files_first_cycle_only: + _logger.info(f'{cycle:%Y%m%d%H%M}: make ecf files in memory...') + self._make_ecf_files_for_one_cycle(ecf_files,ecf_file_paths) + is_first_cycle=False del self.suite - return suite_def_files,ecf_files + return suite_def_files,ecf_files,file_set_paths def to_ecflow(suite,apply_overrides=True): typecheck('suite',suite,Suite) From 6ebd5429e67e62504527409121e3506311032f30 Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Tue, 31 Jul 2018 22:22:22 +0000 Subject: [PATCH 417/487] yet more untested changes needed to rework the structure of the ecflow directory --- crow/metascheduler/ecflow.py | 108 ++++++++++++++++++++++++++--------- 1 file changed, 80 insertions(+), 28 deletions(-) diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py index fd34c9c..134c452 100644 --- a/crow/metascheduler/ecflow.py +++ b/crow/metascheduler/ecflow.py @@ -237,6 +237,9 @@ def _make_suite_def(self,cycle): for line in self.suite.ecflow_def.splitlines(): sio.write(f'{self.indent}{line.rstrip()}\n') + ECF_FILES=self.suite.ecf_file_set.ECF_FILES + sio.write(f"{self.indent}edit ECF_FILES='{ECF_FILES}'") + def exit_fun(node): if node.is_family(): indent=max(0,len(node.path)-1)*self.indent @@ -253,6 +256,10 @@ def exit_fun(node): started=f' # /{suite_name}/{node.view.task_path_str}' started=re.sub('/+','/',started) sio.write(started) + if 'ecf_file_set' in node: + ECF_FILES=nodeecf_file_set.ECF_FILES + sio.write(f"{self.indent}edit ECF_FILES='{ECF_FILES}'") + sio.write('\n') if 'ecflow_def' in node.view: @@ -306,69 +313,114 @@ def exit_fun(node): # ecf file generation - def _make_task_ecf_files(self,ecf_files,ecf_file_set, - ecf_file_path,task): + def _make_task_ecf_files(self,ecflow_suite,ecf_file_set_name, + path_in_ecf_file_set,task): dt=self.suite.Clock.now-self.suite.Clock.start if skip_fun(self.graph.get_node(task.at(dt).path)): return - ecf_file_set=task.get('ecf_file_set',ecf_file_set) - ecf_file_path=ecf_file_path+[task.path[-1]] - path_string='/'.join(ecf_file_path) - if path_string in ecf_files[ecf_file_set]: - return # This ecf file is already generated - ecf_files[ecf_file_set][path_string]=task.ecf_file - - def _make_family_ecf_files(self,ecf_files,ecf_file_set, - ecf_file_path,family): + path_in_ecf_file_set=path_in_ecf_file_set+[task.path[-1]] + path_string='/'.join(path_in_ecf_file_set) + ecflow_suite.add_ecf_file(ecf_file_set_name,path_in_ecf_file_set, + task.ecf_file) + + def _make_family_ecf_files(self,ecflow_suite,parent_file_set_name, + path_in_ecf_file_set,family): dt=self.suite.Clock.now-self.suite.Clock.start if skip_fun(self.graph.get_node(family.at(dt).path)): return - ecf_file_set=family.get('ecf_file_set',ecf_file_set) - ecf_file_path=ecf_file_path+[family.path[-1]] + + ecf_file_set=family.get('ecf_file_set',None) + if not ecf_file_set: + # subfamily in same file set + ecf_file_set_name=parent_file_set_name + path_in_ecf_file_set=path_in_ecf_file_set+[family.path[-1]] + else: + # new file set for this path + ecf_file_set_name='/'.join(family.path[1:]) + ecflow_suite.add_ecf_file_set(ecf_file_set_name,ecf_file_set.disk_path) + path_in_ecf_file_set=list() + for t in family.child_iter(): if t.is_task(): self._make_task_ecf_files( - ecf_files,ecf_file_set,ecf_file_path,t) + ecflow_suite,ecf_file_set_name,path_in_ecf_file_set,t) elif t.is_family(): self._make_family_ecf_files( - ecf_files,ecf_file_set,ecf_file_path,t) + ecflow_suite,ecf_file_set_name,path_in_ecf_file_set,t) - def _make_ecf_files_for_one_cycle(self,ecf_files): - ecf_file_set=self.settings.get('ecf_file_set','ecf_files') - file_set_paths[ecf_file_set]=self.settings.ecf_file_path + def _make_ecf_files_for_one_cycle(self,ecflow_suite): + ecflow_suite.add_ecf_file_set('/',self.suite.ecf_file_set.disk_path) for t in self.suite.child_iter(): if t.is_task(): - self._make_task_ecf_files(ecf_files,ecf_file_set,list(),t) + self._make_task_ecf_files(ecflow_suite,'/',list(),t) elif t.is_family(): - self._make_family_ecf_files(ecf_files,ecf_file_set,list(),t) + self._make_family_ecf_files(ecflow_suite,'/',list(),t) return ecf_files #################################################################### - def to_ecflow(self,ecf_files_first_cycle_only=True): - suite_def_files=dict() - ecf_files=collections.defaultdict(dict) - file_set_paths=dict() + def to_ecflow(self): + ecflow_suite=EcflowSuiteFiles() + ecf_files_first_cycle_only=True is_first_cycle=True self._initialize_graph() for cycle in self._foreach_cycle(self._cycles_to_write()): _logger.info(f'{cycle:%Y%m%d%H%M}: make suite definition in memory...') # Figure our where we are making the suite definition file: filename=cycle.strftime(self.suite.ecFlow.suite_def_filename) - if filename in suite_def_files: + if ecflow_suite.have_suite_file(filename): # We already processed a cycle whose suite definition # is the same as this one's. Skip. continue suite_name, suite_def = self._make_suite_def(cycle) assert(isinstance(suite_name,str)) assert(isinstance(suite_def,str)) - suite_def_files[filename]={ 'name':suite_name, 'def':suite_def } + ecflow_suite.add_suite(filename,suite_name,suite_def) if is_first_cycle or not ecf_files_first_cycle_only: _logger.info(f'{cycle:%Y%m%d%H%M}: make ecf files in memory...') - self._make_ecf_files_for_one_cycle(ecf_files,ecf_file_paths) + self._make_ecf_files_for_one_cycle(ecflow_suite) is_first_cycle=False del self.suite - return suite_def_files,ecf_files,file_set_paths + return ecflow_suite + + +class EcflowSuiteFiles(object): + def __init__(self): + self.suite_defs_by_name=OrderedDict() + self.suite_defs_by_file=OrderedDict() + self.job_mkdirs=list() + self.ecf_files=OrderedDict() + self.ecf_file_set_paths=OrderedDict() + + def add_suite(self,suite_file,suite_name,suite_def): + self.suite_defs_by_name[suite_name]=[ suite_file, suite_def ] + self.suite_defs_by_file[suite_file]=[ suite_name, suite_def ] + def add_family(self,family_path): + self.job_mkdirs.append(family_path) + def add_ecf_file_set(self,name,path): + self.ecf_file_set_paths[name]=path + self.ecf_files[ecf_file_set_name]=collections.defaultdict(dict) + def add_ecf_file(self,ecf_file_set_name,path_in_file_set,ecf_file_contents): + self.ecf_files[ecf_file_set_name][path_in_file_set]=ecf_file_contents + + def have_file_set(self,fileset): + return fileset in self.ecf_file_set_paths + def have_suite_file(self,file): + return file in self.suite_defs.by_file + + def each_suite(self): + for suite_name,suite_vals in self.suite_defs.items(): + yield suite_name,suite_vals[0],suite_vals[1] + def each_family_path(self): + for family_name in self.job_mkdirs: + yield family_name + def each_ecf_file_set(self): + for set_name,set_path in self.ecf_files.items(): + yield set_name,set_path + def each_ecf_file(self,ecf_file_set): + for task_path,ecf_file_contents in self.ecf_files[ecf_file_set].items(): + yield task_path,ecf_file_contents + def to_ecflow(suite,apply_overrides=True): typecheck('suite',suite,Suite) From 53bcdd507d308d38e87a01292ebe0d122ba04129 Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Wed, 1 Aug 2018 22:29:07 +0000 Subject: [PATCH 418/487] changes needed to get the new developments working. A correct-looking ecflow suite is now generated. It has not been run yet though. --- crow/metascheduler/ecflow.py | 37 ++++++++++++++++++++---------------- 1 file changed, 21 insertions(+), 16 deletions(-) diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py index 134c452..7e2a44b 100644 --- a/crow/metascheduler/ecflow.py +++ b/crow/metascheduler/ecflow.py @@ -320,7 +320,7 @@ def _make_task_ecf_files(self,ecflow_suite,ecf_file_set_name, return path_in_ecf_file_set=path_in_ecf_file_set+[task.path[-1]] path_string='/'.join(path_in_ecf_file_set) - ecflow_suite.add_ecf_file(ecf_file_set_name,path_in_ecf_file_set, + ecflow_suite.add_ecf_file(ecf_file_set_name,path_string, task.ecf_file) def _make_family_ecf_files(self,ecflow_suite,parent_file_set_name, @@ -329,6 +329,7 @@ def _make_family_ecf_files(self,ecflow_suite,parent_file_set_name, if skip_fun(self.graph.get_node(family.at(dt).path)): return + ecflow_suite.add_family('/'.join(family.path[1:-1])) ecf_file_set=family.get('ecf_file_set',None) if not ecf_file_set: # subfamily in same file set @@ -336,7 +337,7 @@ def _make_family_ecf_files(self,ecflow_suite,parent_file_set_name, path_in_ecf_file_set=path_in_ecf_file_set+[family.path[-1]] else: # new file set for this path - ecf_file_set_name='/'.join(family.path[1:]) + ecf_file_set_name='/' + '/'.join(family.path[1:]) ecflow_suite.add_ecf_file_set(ecf_file_set_name,ecf_file_set.disk_path) path_in_ecf_file_set=list() @@ -355,7 +356,6 @@ def _make_ecf_files_for_one_cycle(self,ecflow_suite): self._make_task_ecf_files(ecflow_suite,'/',list(),t) elif t.is_family(): self._make_family_ecf_files(ecflow_suite,'/',list(),t) - return ecf_files #################################################################### @@ -376,10 +376,9 @@ def to_ecflow(self): assert(isinstance(suite_name,str)) assert(isinstance(suite_def,str)) ecflow_suite.add_suite(filename,suite_name,suite_def) - if is_first_cycle or not ecf_files_first_cycle_only: - _logger.info(f'{cycle:%Y%m%d%H%M}: make ecf files in memory...') - self._make_ecf_files_for_one_cycle(ecflow_suite) - is_first_cycle=False + _logger.info(f'{cycle:%Y%m%d%H%M}: make ecf files in memory...') + self._make_ecf_files_for_one_cycle(ecflow_suite) + is_first_cycle=False del self.suite return ecflow_suite @@ -397,29 +396,35 @@ def add_suite(self,suite_file,suite_name,suite_def): self.suite_defs_by_file[suite_file]=[ suite_name, suite_def ] def add_family(self,family_path): self.job_mkdirs.append(family_path) + def add_ecf_file_set(self,name,path): self.ecf_file_set_paths[name]=path - self.ecf_files[ecf_file_set_name]=collections.defaultdict(dict) - def add_ecf_file(self,ecf_file_set_name,path_in_file_set,ecf_file_contents): - self.ecf_files[ecf_file_set_name][path_in_file_set]=ecf_file_contents + self.ecf_files[name]=collections.defaultdict(dict) + + def add_ecf_file(self,ecf_file_set_name,path_string,ecf_file_contents): + typecheck('ecf_file_set_name',ecf_file_set_name,str) + typecheck('path_string',path_string,str) + typecheck('ecf_file_contents',ecf_file_contents,str) + self.ecf_files[ecf_file_set_name][path_string]=ecf_file_contents def have_file_set(self,fileset): return fileset in self.ecf_file_set_paths def have_suite_file(self,file): - return file in self.suite_defs.by_file + return file in self.suite_defs_by_file def each_suite(self): - for suite_name,suite_vals in self.suite_defs.items(): - yield suite_name,suite_vals[0],suite_vals[1] + for suite_name,stuff in self.suite_defs_by_name.items(): + suite_file, suite_def = stuff + yield suite_name,suite_file,suite_def def each_family_path(self): for family_name in self.job_mkdirs: yield family_name def each_ecf_file_set(self): - for set_name,set_path in self.ecf_files.items(): + for set_name,set_path in self.ecf_file_set_paths.items(): yield set_name,set_path def each_ecf_file(self,ecf_file_set): - for task_path,ecf_file_contents in self.ecf_files[ecf_file_set].items(): - yield task_path,ecf_file_contents + for task_path,contents in self.ecf_files[ecf_file_set].items(): + yield task_path,contents def to_ecflow(suite,apply_overrides=True): From 7f421b2850389312f416f8ce961f026d2d769205 Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Thu, 2 Aug 2018 01:32:11 +0000 Subject: [PATCH 419/487] Fixed a few problems: ECF_FILES directives were spaced wrong and some directories were not created when generating the ecf job dir --- crow/metascheduler/ecflow.py | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py index 7e2a44b..47ac6f4 100644 --- a/crow/metascheduler/ecflow.py +++ b/crow/metascheduler/ecflow.py @@ -238,7 +238,7 @@ def _make_suite_def(self,cycle): sio.write(f'{self.indent}{line.rstrip()}\n') ECF_FILES=self.suite.ecf_file_set.ECF_FILES - sio.write(f"{self.indent}edit ECF_FILES='{ECF_FILES}'") + sio.write(f"{self.indent}edit ECF_FILES='{ECF_FILES}'\n") def exit_fun(node): if node.is_family(): @@ -256,9 +256,9 @@ def exit_fun(node): started=f' # /{suite_name}/{node.view.task_path_str}' started=re.sub('/+','/',started) sio.write(started) - if 'ecf_file_set' in node: - ECF_FILES=nodeecf_file_set.ECF_FILES - sio.write(f"{self.indent}edit ECF_FILES='{ECF_FILES}'") + if 'ecf_file_set' in node.view: + ECF_FILES=node.view.ecf_file_set.ECF_FILES + sio.write(f"\n{self.indent}edit ECF_FILES='{ECF_FILES}'") sio.write('\n') @@ -329,7 +329,7 @@ def _make_family_ecf_files(self,ecflow_suite,parent_file_set_name, if skip_fun(self.graph.get_node(family.at(dt).path)): return - ecflow_suite.add_family('/'.join(family.path[1:-1])) + ecflow_suite.add_family('/'.join(family.path[1:])) ecf_file_set=family.get('ecf_file_set',None) if not ecf_file_set: # subfamily in same file set From a636189149d0688773b3a893fd4ac6d8a868993d Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 2 Aug 2018 15:58:55 +0000 Subject: [PATCH 420/487] remove the broken to_py feature that is never used --- crow/config/__init__.py | 5 +---- crow/config/eval_tools.py | 8 -------- 2 files changed, 1 insertion(+), 12 deletions(-) diff --git a/crow/config/__init__.py b/crow/config/__init__.py index b2473e9..b7d8c85 100644 --- a/crow/config/__init__.py +++ b/crow/config/__init__.py @@ -19,7 +19,7 @@ from .eval_tools import evaluate_immediates as _evaluate_immediates from .exceptions import ConfigError, ConfigUserError -__all__=["from_string","from_file","to_py", 'Action', 'Platform', 'Template', +__all__=["from_string","from_file", 'Action', 'Platform', 'Template', 'TaskStateAnd', 'TaskStateOr', 'TaskStateNot', 'TaskStateIs', 'Taskable', 'Task', 'Family', 'CycleAt', 'CycleTime', 'Cycle', 'Trigger', 'Depend', 'Timespec', 'SuitePath', 'ShellEvent', 'Event', @@ -28,9 +28,6 @@ _logger=logging.getLogger('crow.config') -def to_py(obj): - return obj._to_py() if hasattr(obj,'_to_py') else obj - def expand_text(text,scope): if hasattr(scope,'_expand_text'): return scope._expand_text(text) diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index ff467d9..0267922 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -326,11 +326,6 @@ def __setattr__(self,name,value): self[name]=value def __delattr__(self,name): del self[name] - def _to_py(self,recurse=True): - """!Converts to a python core object; does not work for cyclic object trees""" - cls=type(self.__child) - return cls([(k, - _to_py(v)) for k,v in self.items()]) def _child(self): return self.__child def _recursively_set_globals(self,globals,memo=None): """Recurses through the object tree setting the globals for eval() calls""" @@ -438,9 +433,6 @@ def __getitem__(self,index): self.__child[index]=val assert(val is not self) return val - def _to_py(self,recurse=True): - """!Converts to a python core object; does not work for cyclic object trees""" - return [ _to_py(v) for v in self ] def _recursively_set_globals(self,globals,memo): if memo is None: memo=set() if id(self) in memo: return From a0e830d7a4612dbea3ab40983bbee69cfa46175e Mon Sep 17 00:00:00 2001 From: "samuel.trahan" Date: Thu, 2 Aug 2018 16:03:27 +0000 Subject: [PATCH 421/487] remove a debug assertion that would prevent raising the correct exception later --- crow/sysenv/nodes.py | 3 --- 1 file changed, 3 deletions(-) diff --git a/crow/sysenv/nodes.py b/crow/sysenv/nodes.py index 40913bc..5173aca 100644 --- a/crow/sysenv/nodes.py +++ b/crow/sysenv/nodes.py @@ -170,9 +170,6 @@ def max_ranks_per_node(self,rank_spec): if self.memory_per_node: max_per_node=int(min(max_per_node,self.memory_per_node/rank_spec.memory_per_rank)) - if omp_threads!=MAXIMUM_THREADS: - assert(max_per_node*omp_threads <= max_threads_per_node) - if max_per_node<1: raise MachineTooSmallError(f'Specification too large for node: max threads {threads_per_node} for {rank_spec!r} in partition with {self.cores_per_node} cores per node{"" if not self.memory_per_node else ("and "+str(self.memory_per_node)+" MB of RAM per node")}.') From 0a8054511445caff65f1d25ffbc0beea5df31745 Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Mon, 6 Aug 2018 19:37:28 +0000 Subject: [PATCH 422/487] Bug fixes to ecflow generation and mmlsquota support needed on WCOSS Cray --- crow/metascheduler/ecflow.py | 4 ++-- crow/tools.py | 10 +++++++--- 2 files changed, 9 insertions(+), 5 deletions(-) diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py index 47ac6f4..3daa22b 100644 --- a/crow/metascheduler/ecflow.py +++ b/crow/metascheduler/ecflow.py @@ -238,7 +238,7 @@ def _make_suite_def(self,cycle): sio.write(f'{self.indent}{line.rstrip()}\n') ECF_FILES=self.suite.ecf_file_set.ECF_FILES - sio.write(f"{self.indent}edit ECF_FILES='{ECF_FILES}'\n") + sio.write(f"{self.indent}edit ECF_FILES '{ECF_FILES}'\n") def exit_fun(node): if node.is_family(): @@ -258,7 +258,7 @@ def exit_fun(node): sio.write(started) if 'ecf_file_set' in node.view: ECF_FILES=node.view.ecf_file_set.ECF_FILES - sio.write(f"\n{self.indent}edit ECF_FILES='{ECF_FILES}'") + sio.write(f"\n{self.indent}edit ECF_FILES '{ECF_FILES}'") sio.write('\n') diff --git a/crow/tools.py b/crow/tools.py index a246245..84976e1 100644 --- a/crow/tools.py +++ b/crow/tools.py @@ -77,9 +77,13 @@ def panasas_gb(dir,pan_df='pan_df'): #Filesystem 1073741824-blocks Used Available Capacity Mounted on #panfs://10.181.12.11/ 94530 76432 18098 81% /scratch4/NCEPDEV/stmp3/ -def gpfs_gb(dir,fileset,device,mmlsquota='mmlsquota'): - mmlsquota=subprocess.check_output([ - mmlsquota, '--block-size', '1T','-j',fileset,device]) +def gpfs_gb(dir,fileset,device,mmlsquota='mmlsquota',default=None): + try: + mmlsquota=subprocess.check_output([ + mmlsquota, '--block-size', '1T','-j',fileset,device]) + except subprocess.CalledProcessError as e: + if default is not None: return default + raise for m in re.finditer(b'''(?isx) (?: (?P\S+) \s+ FILESET From cf4ca0e301dd486946666cb62927a7bd92b9c73f Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Tue, 7 Aug 2018 17:33:50 +0000 Subject: [PATCH 423/487] Use the "lsf" Rocoto scheduler for the "LSF" CROW scheduler. --- crow/sysenv/schedulers/LSF.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/crow/sysenv/schedulers/LSF.py b/crow/sysenv/schedulers/LSF.py index ddeec7f..520e5da 100644 --- a/crow/sysenv/schedulers/LSF.py +++ b/crow/sysenv/schedulers/LSF.py @@ -19,7 +19,7 @@ def __init__(self,settings,**kwargs): self.settings=dict(settings) self.settings.update(kwargs) self.nodes=GenericNodeSpec(settings) - self.rocoto_name='lsfcray' + self.rocoto_name='lsf' self.specify_affinity=bool(settings.get('specify_affinity',True)) self.specify_n_ranks=bool(settings.get('specify_n_ranks',True)) self.indent_text=str(settings.get('indent_text',' ')) From 42ec9e876e3febd81bf2a9921ef5d48c1f6ff214 Mon Sep 17 00:00:00 2001 From: Sam Trahan Date: Wed, 8 Aug 2018 21:09:01 +0000 Subject: [PATCH 424/487] add an example ecflow suite for terry to use to test his ecflow server --- examples/test_suite/include/head.h | 21 +++++++++++++++++++ examples/test_suite/include/tail.h | 3 +++ examples/test_suite/output/test.log | 4 ++++ .../output/test_suite/family1/.gitignore | 1 + examples/test_suite/scripts/test.log | 12 +++++++++++ .../scripts/test_suite/family1/task1.ecf | 5 +++++ .../scripts/test_suite/family1/task2.ecf | 5 +++++ .../scripts/test_suite/family1/task3.ecf | 5 +++++ .../test_suite/scripts/test_suite/task4.ecf | 5 +++++ .../submit/test_suite/family1/.gitignore | 1 + examples/test_suite/test_suite.def | 17 +++++++++++++++ 11 files changed, 79 insertions(+) create mode 100644 examples/test_suite/include/head.h create mode 100644 examples/test_suite/include/tail.h create mode 100644 examples/test_suite/output/test.log create mode 100644 examples/test_suite/output/test_suite/family1/.gitignore create mode 100644 examples/test_suite/scripts/test.log create mode 100644 examples/test_suite/scripts/test_suite/family1/task1.ecf create mode 100644 examples/test_suite/scripts/test_suite/family1/task2.ecf create mode 100644 examples/test_suite/scripts/test_suite/family1/task3.ecf create mode 100644 examples/test_suite/scripts/test_suite/task4.ecf create mode 100644 examples/test_suite/submit/test_suite/family1/.gitignore create mode 100644 examples/test_suite/test_suite.def diff --git a/examples/test_suite/include/head.h b/examples/test_suite/include/head.h new file mode 100644 index 0000000..fa4fc4a --- /dev/null +++ b/examples/test_suite/include/head.h @@ -0,0 +1,21 @@ + +if ( ! which ecflow_client ) ; then + module load ecflow +fi + +export ECF_NAME=%ECF_NAME% +export ECF_PORT=%ECF_PORT% +export ECF_HOST=%ECF_HOST% +export ECF_PASS=%ECF_PASS% +export ECF_TRYNO=%ECF_TRYNO% +ecflow_client --init=$$ + +ERROR() { + set +eu + set -x + ecflow_client --abort="Fail with status '$1'" + trap - + exit 1 +} +trap 'ERROR $?' ERR EXIT TERM USR2 USR1 QUIT + diff --git a/examples/test_suite/include/tail.h b/examples/test_suite/include/tail.h new file mode 100644 index 0000000..1cdbe95 --- /dev/null +++ b/examples/test_suite/include/tail.h @@ -0,0 +1,3 @@ +ecflow_client --complete # Notify ecFlow of a normal end +trap 0 # Remove all traps +exit 0 # End the shell diff --git a/examples/test_suite/output/test.log b/examples/test_suite/output/test.log new file mode 100644 index 0000000..af322ce --- /dev/null +++ b/examples/test_suite/output/test.log @@ -0,0 +1,4 @@ +hello from task 1 +hello from task 2 +hello from task 3 +hello from task 4 diff --git a/examples/test_suite/output/test_suite/family1/.gitignore b/examples/test_suite/output/test_suite/family1/.gitignore new file mode 100644 index 0000000..8b13789 --- /dev/null +++ b/examples/test_suite/output/test_suite/family1/.gitignore @@ -0,0 +1 @@ + diff --git a/examples/test_suite/scripts/test.log b/examples/test_suite/scripts/test.log new file mode 100644 index 0000000..36929b5 --- /dev/null +++ b/examples/test_suite/scripts/test.log @@ -0,0 +1,12 @@ +hello from task 1 +hello from task 2 +hello from task 1 +hello from task 2 +hello from task 1 +hello from task 2 +hello from task 3 +hello from task 4 +hello from task 1 +hello from task 2 +hello from task 3 +hello from task 4 diff --git a/examples/test_suite/scripts/test_suite/family1/task1.ecf b/examples/test_suite/scripts/test_suite/family1/task1.ecf new file mode 100644 index 0000000..9acc967 --- /dev/null +++ b/examples/test_suite/scripts/test_suite/family1/task1.ecf @@ -0,0 +1,5 @@ +#! /bin/sh +set -x +%include +echo hello from task 1 >> %TEST_LOG% +%include diff --git a/examples/test_suite/scripts/test_suite/family1/task2.ecf b/examples/test_suite/scripts/test_suite/family1/task2.ecf new file mode 100644 index 0000000..c521a94 --- /dev/null +++ b/examples/test_suite/scripts/test_suite/family1/task2.ecf @@ -0,0 +1,5 @@ +#! /bin/sh +set -x +%include +echo hello from task 2 >> %TEST_LOG% +%include diff --git a/examples/test_suite/scripts/test_suite/family1/task3.ecf b/examples/test_suite/scripts/test_suite/family1/task3.ecf new file mode 100644 index 0000000..909870c --- /dev/null +++ b/examples/test_suite/scripts/test_suite/family1/task3.ecf @@ -0,0 +1,5 @@ +#! /bin/sh +set -x +%include +echo hello from task 3 >> %TEST_LOG% +%include diff --git a/examples/test_suite/scripts/test_suite/task4.ecf b/examples/test_suite/scripts/test_suite/task4.ecf new file mode 100644 index 0000000..d5fd4ca --- /dev/null +++ b/examples/test_suite/scripts/test_suite/task4.ecf @@ -0,0 +1,5 @@ +#! /bin/sh +set -x +%include +echo hello from task 4 >> %TEST_LOG% +%include diff --git a/examples/test_suite/submit/test_suite/family1/.gitignore b/examples/test_suite/submit/test_suite/family1/.gitignore new file mode 100644 index 0000000..8b13789 --- /dev/null +++ b/examples/test_suite/submit/test_suite/family1/.gitignore @@ -0,0 +1 @@ + diff --git a/examples/test_suite/test_suite.def b/examples/test_suite/test_suite.def new file mode 100644 index 0000000..664401f --- /dev/null +++ b/examples/test_suite/test_suite.def @@ -0,0 +1,17 @@ +suite test_suite + edit ECF_FILES '/gpfs/dell2/emc/modeling/noscrub/emc.fv3/nwpara/gfs.v15.0.0pre1/ecf/ecfutils/CROW/examples/test_suite/scripts' + edit ECF_OUT '/gpfs/dell2/emc/modeling/noscrub/emc.fv3/nwpara/gfs.v15.0.0pre1/ecf/ecfutils/CROW/examples/test_suite/output' + edit ECF_HOME '/gpfs/dell2/emc/modeling/noscrub/emc.fv3/nwpara/gfs.v15.0.0pre1/ecf/ecfutils/CROW/examples/test_suite/submit' + edit ECF_INCLUDE '/gpfs/dell2/emc/modeling/noscrub/emc.fv3/nwpara/gfs.v15.0.0pre1/ecf/ecfutils/CROW/examples/test_suite/include' + edit TEST_LOG '%ECF_OUT%/test.log' + edit ECF_JOB_CMD '%ECF_JOB% 1> %ECF_JOBOUT% 2>&1 &' + edit ECF_KILL_CMD '%kill -2 %ECF_RID% && kill -15 %ECF_RID%' + family family1 + task task1 + task task2 + task task3 + trigger task1==complete + endfamily + task task4 + trigger family1==complete +endsuite From 31fac60fe8a372639b164dc85a60aa5e39ae779f Mon Sep 17 00:00:00 2001 From: Samuel Trahan Date: Tue, 14 Aug 2018 00:25:28 +0000 Subject: [PATCH 425/487] Optimizations to improve performance of ecflow generators. Reduced runtime by ~60%. More work is needed, but this may require refactoring code or changing algorithms. --- crow/config/eval_tools.py | 27 +++++++++++++++++++++++---- crow/config/tasks.py | 22 +++++++++++++++++++++- crow/metascheduler/ecflow.py | 6 ++++++ crow/metascheduler/graph.py | 1 + crow/sysenv/schedulers/LSF.py | 2 +- 5 files changed, 52 insertions(+), 6 deletions(-) diff --git a/crow/config/eval_tools.py b/crow/config/eval_tools.py index 0267922..a3695c5 100644 --- a/crow/config/eval_tools.py +++ b/crow/config/eval_tools.py @@ -49,6 +49,10 @@ def _result(self,globals,locals): raise ConfigUserError(eval("f'''"+self+"'''",c,locals)) def _is_error(self): pass +CALC_CACHE=dict() +REF_CACHE=dict() +EXPAND_CACHE=dict() + class expand(str): """!Represents a literal format string.""" def _result(self,globals,locals): @@ -62,7 +66,12 @@ def _result(self,globals,locals): cmd=cmd[:-1] + "\\" + cmd[-1] c=copy(globals) c['this']=locals - return eval("f'''"+cmd+"'''",c,locals) + if cmd in EXPAND_CACHE: + obj=EXPAND_CACHE[cmd] + else: + obj=compile("f'''"+cmd+"'''",'!expand','eval') + EXPAND_CACHE[cmd]=obj + return eval(obj,c,locals) #f''''blah bla'h \'''' @@ -74,7 +83,12 @@ def __repr__(self): def _result(self,globals,locals): c=copy(globals) c['this']=locals - return eval(self,c,locals) + if self in CALC_CACHE: + obj=CALC_CACHE[self] + else: + obj=compile(self.lstrip(),'!calc','eval') + CALC_CACHE[self]=obj + return eval(obj,c,locals) class strref(str): """Represents a reference to a variable within some scope (ie. abc.def[32].ghi)""" @@ -90,7 +104,12 @@ def _result(self,globals,locals): if not scope_expr: raise ValueError(f'{self!r}: begins with "."') c=copy(globals) c['this']=locals - scope=eval(scope_expr,c,locals) + if scope_expr in REF_CACHE: + obj=REF_CACHE[scope_expr] + else: + obj=compile(scope_expr.lstrip(),'!ref','eval') + REF_CACHE[scope_expr]=obj + scope=eval(obj,c,locals) return scope._raw(key) if hasattr(scope,'_raw') else scope[key] def from_config(key,val,globals,locals,path): @@ -218,7 +237,7 @@ def _invalidate_cache(self,key=None): self._is_validated=False if key is None: #print(f'{self._path}: reset') - self.__cache=copy(self.__child) + self.__cache=dict(self.__child) #if 'ecflow_def' in self: # print(f'ecflow_def = {self.__cache["ecflow_def"]!r}') else: diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 9904d04..9a3c848 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -487,6 +487,9 @@ def get_alarm_with_name(self,alarm_name): def apply_overrides(self): if 'Overrides' not in self or self.Overrides is None: _logger.info(f'{self.viewed._path}: no overrides requested.') + # We still have to expand TaskArrays + for task in self.walk_task_tree(depth=True): pass + self._invalidate_non_dependables_in_tree() return # no rules to apply if not 'rules' in self.Overrides or not 'allowed' in self.Overrides: raise ValueError(f'''{self.viewed.Overrides._path}: suite.Overrides must contain "allowed" and "rules"''') @@ -494,7 +497,10 @@ def apply_overrides(self): raise ValueError(f'''{self.viewed.Overrides._path}: suite.Overrides.allowed must not be empty''') if not self.Overrides.rules: _logger.info(f'{self.viewed._path}: override rules are empty; no overrides requested') - + # We still have to expand TaskArrays + for task in self.walk_task_tree(depth=True): pass + self._invalidate_non_dependables_in_tree() + return _logger.info(f'{self.viewed._path}: apply overrides to suite') #_logger.debug(f'{self.viewed._path}: override rules: {self.Overrides.rules}') @@ -547,16 +553,30 @@ def apply_overrides(self): if not matches[i]: _logger.warning(f'{self.viewed._path}: no match to override {replace_me[i][3]}') +MESSAGE_CACHE=dict() + class Message(str): def _as_dependency(self,globals,locals,path): try: + if self in MESSAGE_CACHE: + obj=MESSAGE_CACHE[self] + else: + obj=compile(self,'!message','eval') + MESSAGE_CACHE[self]=obj return eval(self,globals,locals) except(ValueError,SyntaxError,TypeError,KeyError,NameError,IndexError,AttributeError) as ke: raise DependError(f'!Message {self}: {ke}') +DEPEND_CACHE=dict() + class Depend(str): def _as_dependency(self,globals,locals,path): try: + if self in DEPEND_CACHE: + obj=DEPEND_CACHE[self] + else: + obj=compile(self,'!Depend','eval') + DEPEND_CACHE[self]=obj result=eval(self,globals,locals) result=as_dependency(result,path) return result diff --git a/crow/metascheduler/ecflow.py b/crow/metascheduler/ecflow.py index 3daa22b..2f7f9ff 100644 --- a/crow/metascheduler/ecflow.py +++ b/crow/metascheduler/ecflow.py @@ -320,6 +320,8 @@ def _make_task_ecf_files(self,ecflow_suite,ecf_file_set_name, return path_in_ecf_file_set=path_in_ecf_file_set+[task.path[-1]] path_string='/'.join(path_in_ecf_file_set) + if ecflow_suite.have_ecf_file(ecf_file_set_name,path_string): + return ecflow_suite.add_ecf_file(ecf_file_set_name,path_string, task.ecf_file) @@ -398,6 +400,7 @@ def add_family(self,family_path): self.job_mkdirs.append(family_path) def add_ecf_file_set(self,name,path): + if name in self.ecf_files: return self.ecf_file_set_paths[name]=path self.ecf_files[name]=collections.defaultdict(dict) @@ -406,11 +409,14 @@ def add_ecf_file(self,ecf_file_set_name,path_string,ecf_file_contents): typecheck('path_string',path_string,str) typecheck('ecf_file_contents',ecf_file_contents,str) self.ecf_files[ecf_file_set_name][path_string]=ecf_file_contents + assert(self.have_ecf_file(ecf_file_set_name,path_string)) def have_file_set(self,fileset): return fileset in self.ecf_file_set_paths def have_suite_file(self,file): return file in self.suite_defs_by_file + def have_ecf_file(self,ecf_file_set_name,path_string): + return path_string in self.ecf_files[ecf_file_set_name] def each_suite(self): for suite_name,stuff in self.suite_defs_by_name.items(): diff --git a/crow/metascheduler/graph.py b/crow/metascheduler/graph.py index de5d372..8912d92 100644 --- a/crow/metascheduler/graph.py +++ b/crow/metascheduler/graph.py @@ -215,6 +215,7 @@ def add_cycle(self,cycle): def _add_child(self,cycle,child_view,parent_node,memo): if child_view.path in memo: return + memo.add(child_view.path) child_node=Node(child_view,self.__clock.now) if parent_node is not None: parent_node.children[child_node.path]=child_node diff --git a/crow/sysenv/schedulers/LSF.py b/crow/sysenv/schedulers/LSF.py index ddeec7f..520e5da 100644 --- a/crow/sysenv/schedulers/LSF.py +++ b/crow/sysenv/schedulers/LSF.py @@ -19,7 +19,7 @@ def __init__(self,settings,**kwargs): self.settings=dict(settings) self.settings.update(kwargs) self.nodes=GenericNodeSpec(settings) - self.rocoto_name='lsfcray' + self.rocoto_name='lsf' self.specify_affinity=bool(settings.get('specify_affinity',True)) self.specify_n_ranks=bool(settings.get('specify_n_ranks',True)) self.indent_text=str(settings.get('indent_text',' ')) From 3e39756875672386f729569bc25c02e3e0e84998 Mon Sep 17 00:00:00 2001 From: Sam Trahan Date: Wed, 19 Sep 2018 01:06:38 +0000 Subject: [PATCH 426/487] allow logicals to be turned into LogicalDependency objects; ints are allowed too --- crow/config/tasks.py | 2 ++ 1 file changed, 2 insertions(+) diff --git a/crow/config/tasks.py b/crow/config/tasks.py index 9a3c848..740e377 100644 --- a/crow/config/tasks.py +++ b/crow/config/tasks.py @@ -599,6 +599,8 @@ def as_dependency(obj,path=MISSING,state=COMPLETED): return obj elif obj is None: return None + elif isinstance(obj,int) or isinstance(obj,bool): + return TRUE_DEPENDENCY if obj else FALSE_DEPENDENCY raise TypeError( f'{type(obj).__name__} is not a valid type for a dependency') From 92fcd774e0331c81f71a60b11ca78655d7052fab Mon Sep 17 00:00:00 2001 From: Sam Trahan Date: Wed, 19 Sep 2018 01:07:01 +0000 Subject: [PATCH 427/487] do not request more cores per node than the total number of mpi ranks --- crow/sysenv/schedulers/LSF.py | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/crow/sysenv/schedulers/LSF.py b/crow/sysenv/schedulers/LSF.py index 520e5da..c3d841f 100644 --- a/crow/sysenv/schedulers/LSF.py +++ b/crow/sysenv/schedulers/LSF.py @@ -74,7 +74,7 @@ def _ranks_affinity_and_span_for(self,spec): ppn=self.nodes.max_ranks_per_node(ranks) mpi_ranks=max(1,int(ranks.get('mpi_ranks',1))) num_nodes=int(math.ceil(mpi_ranks/float(ppn))) - span=f'ptile={ppn}' + span=f'ptile={min(mpi_ranks,ppn)}' if 'lsf_affinity' in ranks: affinity=ranks['lsf_affinity'] @@ -208,7 +208,7 @@ def batch_resources(self,spec,**kwargs): if rusage: sio.write(f"""#BSUB -R '{rusage}'\n""") sio.write(f"""#BSUB -R 'affinity[{affinity}]'\n""") - sio.write(f"""#BSUB -R 'span[{max_ppn}]'\n""") + sio.write(f"""#BSUB -R 'span[{min(spec.total_ranks(),max_ppn)}]'\n""") sio.write(f"""#BSUB -n {spec.total_ranks()}\n""") else: From e1fdaaa3d0311f9b3db1832a5f713876d689e141 Mon Sep 17 00:00:00 2001 From: Terry McGuinness Date: Fri, 5 Oct 2018 20:12:27 +0000 Subject: [PATCH 428/487] Added utils directory and put a CROW based RocotoViewer using Curses there --- utils/rocoto_viewer_CROW.py | 2403 +++++++++++++++++++++++++++++++++++ 1 file changed, 2403 insertions(+) create mode 100755 utils/rocoto_viewer_CROW.py diff --git a/utils/rocoto_viewer_CROW.py b/utils/rocoto_viewer_CROW.py new file mode 100755 index 0000000..ab55194 --- /dev/null +++ b/utils/rocoto_viewer_CROW.py @@ -0,0 +1,2403 @@ +#!/usr/bin/env python +# +##@namespace rocoto_viewer +# @brief A Curses based terminal viewer to interact and display the status of a Rocoto Workflow in real time. +# +# @anchor rocoto_viewer +## This Python script allows users to see and interact with a running Rocoto Workflow in real time. +# \image html pythonCurses.jpeg "Rocoto Viewer for Displaying Real-time Status of Workflow" +# +# To launch this viewer simply give it the database and the XML files being used by the \b Rocoto system for your experiment: +# +# rocoto_viewer.py -w my_gfs-workflow.xml -d my_database.db +# +# The script is located in the directory para/exp/rocoto/rocotoviewers/rocotoviewer_curses/rocoto_viewer.py +# The view will continuously update every four minutes and reflect the current status of your workflow. You may use your mouse or arrow keys to select a particular task and view its status details by pressing the key \p c as indicated as \b \ (which runs \b rocotocheck) or perform a \b rocotorewind by pressing \b \ to restart the workflow at that point. Running \b rocotorewind causes the state information of that task to be cleared from the database and resubmits the job to the scheduler. +# +# Tasks marked with the \b \< symbol are \b metatasks and can be expanded by highlight that task with the mouse, and then clicking on the \b \< symbol which then changes to \b \> . You can then click on the \b \> symbol to collapse it again. Alternatively, you can select the 'x' to expand and collapse metatasks when selected. +# +##@cond ROCOTO_VIEWER_CURSES + +from __future__ import division + +import curses + +import os, sys, getpass, getopt, signal +from os.path import basename +import subprocess +from math import * + +from __builtin__ import any as b_any +from os.path import realpath, normpath, dirname, getsize +from io import StringIO +from itertools import groupby +from time import time +from multiprocessing import Process, Queue +import time as std_time +from datetime import datetime, timedelta +import uuid +import shutil + +import sqlite3,datetime,collections +import xml.etree.ElementTree as ET +import cPickle + +try: + from dateutil.relativedelta import relativedelta +except ImportError: + #print 'dateutil which uses relativedelta to increment monthly (used by UGCS) is not supported with this version of python. Use Anaconda the native version in /user/bin' + #sys.exit(1) + pass + +# Global Variables +database_file_agmented = None +use_performance_metrics = False +default_column_length_master = 120 +stat_read_time_delay = 3*60 +header_string = '' +format_string = "jobid slots submit_time start_time cpu_used run_time delimiter=';'" + +ccs_html=''' + + + + + + +''' +bottom_message_scroll = 'heck oot ewind un (->) Next Cycle (<-) Previous Cycle p own elp uit' +bottom_message = 'heck oot ewind un (->) Next Cycle (<-) Previous Cycle elp uit' + +#Global Variables +#================ +list_tasks = False +html_output = False +html_output_file = None +rzdm_path = '' +only_check_point = False +save_checkfile_path = None +use_multiprocessing = True +get_user = getpass.getuser() + +screen_resized = False +debug = None + +mlines = 0 +mcols = 0 + +def sigwinch_handler(signum, frame): + global screen_resized + global mlines + global mcols + term_size = subprocess.Popen(['stty', 'size'], stdout=subprocess.PIPE) + try: + get_term_size, err = term_size.communicate() + except: + return + mlines,mcols = map(int,get_term_size.split()) + screen_resized = True + +def usage(message=None): + curses.endwin() + print>>sys.stderr, ''' +Usage: rocoto_status_viewer.py -w workflow.xml -d database.db [--listtasks]\n [--html=filename.html]\n [--perfmetrics={True,False}] + +Mandatory arguments: + -w workflow.xml + -d database.db +Optional arguments: + --listtasks --- print out a list of all tasks + --html=filename.html --- creates an HTML document of status + --perfmetrics=True --- turn on/off extra columns for performance metrics + --help --- print this usage message''' + + if message is not None: + print>>sys.stderr,'\n'+str(message).rstrip()+'\n' + sys.exit(-1) + +def augment_SQLite3(filename): + + connection=sqlite3.connect(filename) + c=connection.cursor() + #qinfo=c.execute("DROP TABLE IF EXISTS jobs_augment;") + qinfo=c.execute("PRAGMA table_info(jobs_augment)").fetchall() + if any('qtime' in element for element in qinfo): + c.close() + return 'is_already_augmented' + else: + sql_create_augment_table = "CREATE TABLE jobs_augment AS SELECT * FROM jobs;" + q=c.execute(sql_create_augment_table) + q=c.execute("alter table jobs_augment add column qtime integer;") + q=c.execute("alter table jobs_augment add column cputime integer;") + q=c.execute("alter table jobs_augment add column runtime integer;") + q=c.execute("alter table jobs_augment add column slots integer;") + connection.commit() + + c.close() + database_file = filename + return 'now_augmented' + +def isSQLite3(filename): + from produtil.fileop import check_file + from produtil.fileop import deliver_file + if not check_file(filename): + return False + if getsize(filename) < 100: + return False + with open(filename, 'rb') as fd: + header = fd.read(100) + fd.close() + if not header[:16] == 'SQLite format 3\x00': + return False + else: + return True + +def isRocotoWorkflow(filename): + from produtil.fileop import check_file + if not check_file(filename): + return False + with open(filename, 'r') as input: + for line in input: + if 'DOCTYPE workflow' in line: + input.close() + return True + return False + + +def load_produtil_pythonpath(): + + try: + import produtil.cluster + return True + except ImportError: + pass + + PRODUTIL = collections.defaultdict(list) + PRODUTIL['theia'] = '/scratch4/NCEPDEV/global/save/glopara/svn/nceplibs/produtil/trunk/ush' + PRODUTIL['luna'] = '/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/nceplibs/produtil/trunk/ush' + PRODUTIL['tide'] = '/gpfs/td1/emc/global/save/emc.glopara/svn/nceplibs/produtil/trunk/ush' + PRODUTIL['gyre'] = '/gpfs/gd1/emc/global/save/emc.glopara/svn/nceplibs/produtil/trunk/ush' + try_clusters = ('theia','luna','tide','gyre') + + for cluster in try_clusters: + sys.path.append(PRODUTIL[cluster]) + try: + import produtil.cluster + return True + except ImportError: + pass + return False + +def get_arguments(): + from produtil.fileop import check_file + short_opts = "w:d:f:" + long_opts = ["checkfile=","workfolw=","database=","html=","listtasks","onlycheckpoint","help","perfmetrics="] + try: + opts, args = getopt.getopt(sys.argv[1:], short_opts, long_opts) + except getopt.GetoptError as err: + print str(err) + print + usage('SCRIPT IS ABORTING DUE TO UNRECOGNIZED ARGUMENT') + + global save_checkfile_path + global use_performance_metrics + workflow_file = None + database_file = None + perfmetrics_on = None + for k, v in opts: + if k in ('-w', '--workflow'): + workflow_file = v + elif k in ('-d','--database'): + database_file = v + elif k in ('-f','--checkfile'): + save_checkfile_path = v + elif k in ('--perfmetrics'): + perfmetrics_on = v + elif k in ('--listtasks'): + global list_tasks + list_tasks = True + elif k in ('--onlycheckpoint'): + global only_check_point + only_check_point = True + elif k in ('--html'): + global html_output + global rzdm_path + global send_html_to_rzdm + send_html_to_rzdm = True + rzdm_path = v + html_output = True + elif k in ('--help'): + usage('') + else: + pass + #usage('OPTION NOT REGOGNIZED') + + if perfmetrics_on is None: + use_performance_metrics = False + elif perfmetrics_on.lower() == 'true': + use_performance_metrics = True + elif perfmetrics_on.lower() == 'false': + use_performance_metrics = False + elif perfmetrics_on is not None: + usage('perfmetrics must be either set to true or false (e.g. --perfmetrics=True') + + send_html_to_rzdm = False + if len(rzdm_path) != 0: + if ':' not in rzdm_path or '@' not in rzdm_path: + print 'No user name or path found for sending html directory to server, no files will be sent to rzdm' + print 'Creating html folder in: %s'%rzdm_path + else: + send_html_to_rzdm = True + + if list_tasks and workflow_file is None: + usage('In order to list tasks you must supply the XML worflow-file') + + if only_check_point and (workflow_file is None or database_file is None or save_checkfile_path is None): + usage('To use the check point output you must specify the workflow, data base, and the specific name of the checkpoint file') + + if (not list_tasks) and (workflow_file is None or database_file is None): + usage('Booth database-file and workflow-file must be specified') + + if (not list_tasks) and (workflow_file is not None and database_file is not None): + #debug.write('database_file_agmented: '+database_file_agmented+'\n') + if not isSQLite3( database_file ): + usage('%s is not SQLite3 database file'%database_file) + if not isRocotoWorkflow( workflow_file ): + usage('%s is not an Rocoto XML file'%workflow_file) + + #global use_multiprocessing + #if getsize(database_file) < 104857600: + # use_multiprocessing = True + #else: + # use_multiprocessing = True + + return (workflow_file,database_file ) + + +def get_entity_values( workflow_file ): + + entity_values = collections.defaultdict(list) + with open( workflow_file, 'rw' ) as f: + for line in f: + split_line = line.split() + if ']>' in line: + break + if 'ENTITY' in line: + if 'SYSTEM' in line: + value = split_line[3] + else: + value = split_line[2] + entity_values[ split_line[1] ] = value[:-1].replace('"','') + return entity_values + +def timedelta_total_seconds(timedelta): + return ( + timedelta.microseconds + 0.0 + + (timedelta.seconds + timedelta.days * 24 * 3600) * 10 ** 6) / 10 ** 6 + +def get_aug_perf_values( username ): + from produtil.run import run,runstr, batchexe + global html_ouput + global format_keys + cmd = batchexe('which') ['bjobs'] + try: + which_bjobs = runstr(cmd).strip() + except Exception,e: + return None + bjobs = collections.defaultdict(dict) + aug_perf = collections.defaultdict(dict) + cmd = batchexe( which_bjobs )['-a','-o',format_string,'-u',username] + bjobs_line = runstr(cmd) + if 'No job found' in bjobs_line: + return None + bjobs_lines = bjobs_line.split('\n') + for l,line in enumerate(bjobs_lines): + split_line = line.split(';') + if l == 0: + format_keys = split_line + continue + for i, value in enumerate(split_line): + if i == 0: + key = value + else: + if format_keys[i] in ('RUN_TIME','CPU_USED'): + value_list = value.split() + if len(value_list) > 1: + value = value_list[0] + bjobs[key][format_keys[i]] = value + sub_time_string = '' + year = str(datetime.datetime.now().year)+' ' + sub_time = None + bstart_time = None + for jobid,keys in bjobs.iteritems(): + #debug.write(jobid+'\n') + for key in keys: + #debug.write(' '+key+":"+bjobs[jobid][key]+'\n') + try: + int_key = int(bjobs[jobid][key].strip()) + str_key = str(int_key) + except: + str_key = bjobs[jobid][key].strip() + + if key == 'SUBMIT_TIME': + sub_time_string = str_key + try: + sub_time = datetime.datetime.strptime( year+sub_time_string, '%Y %b %d %H:%M' ) + except: + sub_time = None + continue + elif key == 'START_TIME': + bstart_time_string = str_key + try: + bstart_time = datetime.datetime.strptime( year+bstart_time_string, '%Y %b %d %H:%M' ) + except: + bstart_time = None + continue + elif key == 'RUN_TIME': + aug_perf[jobid]['runtime'] = str_key + elif key == 'CPU_USED': + aug_perf[jobid]['cputime'] = str_key + elif key == 'SLOTS': + aug_perf[jobid]['slots'] = str_key + + if bstart_time_string == sub_time_string: + aug_perf[jobid]['qtime'] = '0' + elif sub_time is not None and bstart_time is None : + try: + aug_perf[jobid]['qtime'] = str(int(( datetime.datetime.now() - sub_time ).total_seconds())) + except AttributeError: + aug_perf[jobid]['qtime'] = str(int(timedelta_total_seconds( datetime.datetime.now() - sub_time ))) + + elif sub_time is not None and bstart_time is not None: + try: + aug_perf[jobid]['qtime'] = str(int((bstart_time - sub_time).total_seconds())) + except AttributeError: + aug_perf[jobid]['qtime'] = str(int(timedelta_total_seconds(bstart_time - sub_time))) + else: + aug_perf[jobid]['qtime'] = '-' + + return aug_perf + +def help_screen( screen ): + + max_row = 25 + box_cols = 60 + box = curses.newwin( max_row, box_cols , 5, 32 ) + box.box() + box.border(0) + box.addstr( 0 , 23, ' when done', curses.A_BOLD ) + helpstr= [ 'heck : run rocotocheck on selected task(s)', + 'oot : run rocotoboot on selected task(s)', + 'ewind : run rocotorewind on selected task(s)', + 'un : run rocotorun on selected task(s)', + ' ', + '(->) Next Cycle own (or) Page-dwn to scroll', + '(<-) Previous Cycle own (or) Page-up to scroll ', + ' ', + ' + Arrow Up to selected multiple tasks', + ' + Arrow Down for using with rocoto utils', + 'Double-Click or to expand/collapse metatasks', + ' ', + ' Selects a task for list or opens meta-task list', + ' ', + ' When a meta-task list is open for selection:', + ' Double-Click (or) to select the begining', + ' of a range for selection and repeate to complete', + ' the desired selected list.', + '', + 'oads and renews status data (no rocotorun)', + 'inds the last cycle with a running task', + 'nloads and clears all previously seleted tasks', + 'makes a symlink of log file of highlited task'] + + for i in range(0,len(helpstr)): + box.addstr( 1+i ,2, helpstr[i] ) + x = screen.getch() + while x != ord('q'): + x = screen.getch() + box.refresh() + +def list_selector( screen, selected_strings, strings ): + + global screen_resized + global mlines + global mcols + global highlightText + global highlightSelectedText + global normalText + + def define_box(): + + if len( strings ) < mlines: + max_row = len( strings ) + else: + max_row = mlines - 12 + max_mcols = max(18,len(max( strings, key=len ))) + if max_mcols + 8 < mcols: + box_cols = max_mcols + 8 + else: + box_cols = mcols - 3 + box = curses.newwin( max_row + 6, box_cols , 4, 5 ) + box.box() + box.border(0) + + return box, max_row, box_cols + + strings_selected = selected_strings + string_ctr_selected = '' + + box, max_row, box_cols = define_box() + row_num = len( strings ) + pages = int( ceil( row_num / max_row ) ) + position = 1 + page = 1 + for i in range( 1, max_row+1 ): + if row_num == 0: + box.addstr( 1, 1, "There aren't strings", highlightText ) + else: + print_string = ' '+strings[ i - 1 ]+' ' + if (i == position): + box.addstr( i+1, 2, print_string, highlightText ) + else: + box.addstr( i+1, 2, print_string, normalText ) + if i == row_num: + break + + screen_resized = False + + range_selected = False + string_ctr_selected_prior = '' + + x = screen.getch() + while x != ord('q'): + + if screen_resized: + + screen_resized = False + curses.resizeterm(mlines, mcols) + screen.refresh() + box.clear() + box.erase() + + box, max_row, box_cols = define_box() + + box.border( 0 ) + box.refresh() + + if x in ( curses.KEY_SF, curses.KEY_DOWN): + if x == curses.KEY_SF: + string_selected = strings[ position - 1 ] + if string_selected in strings_selected: + string_ctr_selected = '' + try: + if len(strings_selected) > 0: + strings_selected.remove( string_selected ) + except ValueError: + pass + else: + strings_selected.append( string_selected ) + if page == 1: + if position < i: + position = position + 1 + else: + if pages > 1: + page = page + 1 + position = 1 + ( max_row * ( page - 1 ) ) + elif page == pages: + if position < row_num: + position = position + 1 + else: + if position < max_row + ( max_row * ( page - 1 ) ): + position = position + 1 + else: + box.erase() + box.border(0) + page = page + 1 + position = 1 + ( max_row * ( page - 1 ) ) + if x in ( curses.KEY_SR, curses.KEY_UP): + if x == curses.KEY_SR: + string_selected = strings[ position - 1 ] + if string_selected in strings_selected: + try: + if len(strings_selected) > 0: + strings_selected.remove( string_selected ) + except ValueError: + pass + else: + strings_selected.append( string_selected ) + if page == 1: + if position > 1: + position = position - 1 + else: + if position > ( 1 + ( max_row * ( page - 1 ) ) ): + position = position - 1 + else: + box.erase() + box.border(0) + page = page - 1 + position = max_row + ( max_row * ( page - 1 ) ) + + if x == curses.KEY_PPAGE: + box.erase() + box.border( 0 ) + if page > 1: + page = page - 1 + position = 1 + ( max_row * ( page - 1 ) ) + + if x == curses.KEY_NPAGE: + box.erase() + box.border( 0 ) + #screen.refresh() + if page < pages: + page = page + 1 + position = ( 1 + ( max_row * ( page - 1 ) ) ) + + if x in ( curses.KEY_MOUSE, ord('s') ): + mouse_id, mouse_x, mouse_y, mouse_z, button_state = (0,0,0,0,0) + index_prior_selected = 0 + if x == curses.KEY_MOUSE: + mouse_id, mouse_x, mouse_y, mouse_z, button_state = curses.getmouse() + box.erase() + box.border( 0 ) + pos = mouse_y-5 + if page == 1: + position = pos + else: + position = max_row*(page-1)+pos + + if x == ord('s') or (button_state & curses.BUTTON1_DOUBLE_CLICKED): + string_ctr_selected = strings[ position - 1 ] + if range_selected: + range_selected = False + string_ctr_selected = '' + if string_ctr_selected != string_ctr_selected_prior: + index_prior_selected = strings.index(string_ctr_selected_prior) + if position < index_prior_selected: + first = position-1 + last = index_prior_selected+1 + else: + first = index_prior_selected + last = position + for i in range( first, last ): + if strings[i] in strings_selected: + strings_selected.remove(strings[i]) + else: + strings_selected.append( strings[i] ) + string_ctr_selected_prior = '' + else: + range_selected = True + string_ctr_selected_prior = string_ctr_selected + + if x in (curses.KEY_ENTER, 10, 13) and row_num != 0: + box.border( 0 ) + string_selected = strings[ position - 1 ] + if string_ctr_selected_prior == string_selected: + string_ctr_selected_prior = '' + range_selected = False + if string_selected in strings_selected: + try: + if len(strings_selected) > 0: + strings_selected.remove( string_selected ) + except ValueError: + pass + else: + strings_selected.append( string_selected ) + + if x == ord('U'): + for each_sting in strings: + if each_sting in strings_selected: + if len(strings_selected) > 0: + strings_selected.remove(each_sting) + + for i in range( 1 + ( max_row * ( page - 1 ) ), max_row + 1 + ( max_row * ( page - 1 ) ) ): + if row_num == 0: + box.addstr( 1, 1, "There aren't strings", highlightText ) + else: + if strings[ i - 1 ] == string_ctr_selected_prior: + string_print = '* '+strings[ i - 1 ]+' ' + else: + string_print = ' '+strings[ i - 1 ]+' ' + + start_pos = i - ( max_row * ( page - 1 ) ) + 1 + if ( i + ( max_row * ( page - 1 ) ) == position + ( max_row * ( page - 1 ) ) ): + box.addstr( start_pos, 2, string_print, highlightText ) + else: + box.addstr( start_pos, 2, string_print, normalText ) + if strings[ i - 1 ] in strings_selected: + box.addstr( start_pos, 2, string_print[:1] ) + box.addstr( start_pos, 4, string_print[2:-1], highlightSelectedText | curses.A_DIM ) + if i == row_num: + break + + box.addstr( max_row+3 , 2, 'Select with or' ) + box.addstr( max_row+4 , 2, ' + ' ) + box.addstr( 0 , 7, ' when done', curses.A_BOLD ) + box.refresh() + x = screen.getch() + + return strings_selected + + +def get_rocoto_check(params, queue_check): + from produtil.run import run,runstr, batchexe, exe + workflow_file, database_file, task, cycle, process = params + cmd=batchexe('rocotocheck')['-v',10,'-w',workflow_file,'-d',database_file,'-c',cycle,'-t',task] + check=runstr(cmd) + if check is None: + curses.endwin() + print 'rcotocheck falied: %d'%stat + sys.exit(-1) + queue_check.put(check) + +def rocoto_boot(params): + from produtil.run import run,runstr, batchexe, exe + workflow_file, database_file, cycle, metatask_list, task_list = params + run( exe('yes') | exe('head')['-1'] > '.yes.txt') + if len(task_list) == 0 and len(metatask_list) != 0: + cmd=batchexe('rocotoboot')['--workflow', workflow_file,'--database',database_file,'--cycles',cycle,'--metatasks', metatask_list] < '.yes.txt' + elif len(task_list) != 0 and len(metatask_list) == 0: + cmd=batchexe('rocotoboot')['--workflow', workflow_file,'--database',database_file,'--cycles',cycle,'--tasks', task_list ] < '.yes.txt' + elif len(task_list) != 0 and len(metatask_list) != 0: + cmd=batchexe('rocotoboot')['--workflow', workflow_file,'--database',database_file,'--cycles',cycle,'--tasks', task_list, '--metatasks', metatask_list ] < '.yes.txt' + else: + return 'Warning: No metatasks or tasks where selected when rocotboot was called' + stat=runstr(cmd) + if stat is None: + display_results( 'rcotoboot falied!!','') + return stat + +def rocoto_rewind(params): + from produtil.run import run,runstr, batchexe + workflow_file, database_file, cycle, process = params + cmd=batchexe('rocotorewind')['-w',workflow_file,'-d',database_file,'-c',cycle,process] + stat=runstr(cmd) + if stat is None: + display_results('rcotorewind falied!!','') + return stat + +def rocoto_run(params): + from produtil.run import run,runstr, batchexe + workflow_file, database_file = params + cmd=batchexe('rocotorun')['-w',workflow_file,'-d',database_file] + stat=runstr(cmd ) + stat = '' + if stat is None: + curses.endwin() + print 'rcotorun falied: %d'%stat + sys.exit(-1) + return stat + +def get_tasklist(workflow_file): + import produtil.run, produtil.numerics + tasks_ordered = [] + metatask_list = collections.defaultdict(list) + tree = ET.parse(workflow_file) + root = tree.getroot() + cycledef_group_cycles = collections.defaultdict(list) + if list_tasks: + curses.endwin() + print + cycle_noname = 'default_cycle' + for child in root: + if child.tag == 'cycledef': + if len(child.attrib) != 0: + cycle_def_name = child.attrib['group'] + else: + cycle_def_name = cycle_noname + cycle_string = child.text.split() + + ucgs_is_cron = None + if PACKAGE.lower() == 'ugcs': + start_cycle = produtil.numerics.to_datetime ( entity_values['SDATE'] ) + end_cycle = produtil.numerics.to_datetime ( entity_values['EDATE'] ) + #inc_cycle = produtil.numerics.to_timedelta( entity_values['INC_MONTHS'] ) + #NOTE: this is for the special case when cycle for every month + inc_cycle = int(entity_values['INC_MONTHS']) + if inc_cycle == 0: + inc_cycle = produtil.numerics.to_timedelta( cycle_string[2] ) + ucgs_is_cron = False + else: + ucgs_is_cron = True + only_once_ugcs = True + else: + start_cycle = produtil.numerics.to_datetime ( cycle_string[0] ) + end_cycle = produtil.numerics.to_datetime ( cycle_string[1] ) + inc_cycle = produtil.numerics.to_timedelta( cycle_string[2] ) + + while start_cycle <= end_cycle: + cycledef_group_cycles[cycle_def_name].append(start_cycle.strftime("%Y%m%d%H%M")) + if PACKAGE.lower() == 'ugcs' and ucgs_is_cron: + try: + start_cycle = start_cycle + relativedelta(months=+inc_cycle) + except AttributeError: + curses.endwin() + print;print + print 'dateutil which uses relativedelta to increment monthly (used by UGCS) is not supported with this version of python.\nUse Anaconda the native version in /user/bin' + sys.exit(-1) + else: + start_cycle = start_cycle + inc_cycle + #if list_tasks: + #print 'cycledef=%s number of cycles %s inc: %s'%(cycle_def_name, len(cycledef_group_cycles[cycle_def_name]),inc_cycle) + #print 'contails cycles',cycledef_group_cycles[cycle_def_name] + if child.tag == 'task': + task_name = child.attrib['name'] + log_file = child.find('join').find('cyclestr').text.replace( '@Y@m@d@H','CYCLE' ) + #if len(log_file) != 0: + # print 'LOG: %s %s'%( task_name, log_file ) + if 'cycledefs' in child.attrib: + task_cycledefs = child.attrib['cycledefs'] + #if list_tasks: + # print 'task_cycledefs:',task_cycledefs + else: + task_cycledefs = cycle_noname + if list_tasks: + print task_name,task_cycledefs + #dependancies = child.getiterator('dependency') + #for dependency in dependancies: + # for them in dependency.getchildren(): + # print them.attrib + tasks_ordered.append((task_name,task_cycledefs,log_file)) + elif child.tag == 'metatask': + all_metatasks_iterator = child.getiterator('metatask') + all_vars = dict() ; all_tasks = [] + for i,metatasks in enumerate(all_metatasks_iterator): + metatask_name = 'NO_NAME' + try: + metatask_name = metatasks.attrib['name'] + except: + pass + if list_tasks: + print ' '*i,metatask_name + all_vars_list = metatasks.findall('var') + all_tasks_list = metatasks.findall('task') + for var in all_vars_list: + var_list_values = var.text.split() + #print ' '+' '*i+'(%d) var name:'%i,var.attrib['name'],var_list_values + all_vars[var.attrib['name']] = var_list_values + for task in all_tasks_list: + task_name = task.attrib['name'] + task_log = task.find('join').find('cyclestr').text.replace( '@Y@m@d@H','CYCLE' ) + #if len(task_log) != 0: + # print 'testing LOG: %s %s'%( task_name, task_log) + # print 'testing '+' '*i+'(%d) task name:'%i,task.attrib['name'] + if 'cycledefs' in task.attrib: + task_cycledefs = task.attrib['cycledefs'] + #if list_tasks: + # print 'task_cycledefs (meta):',task_cycledefs + else: + task_cycledefs = cycle_noname + all_tasks.append((task_name,task_cycledefs,task_log)) + add_task = [] + for task_name in all_tasks: + first_task_resolved = False + first_task_resolved_name = '' + add_task[:] = [] + add_task.append(task_name) + for name,vars in all_vars.iteritems(): + replace_var = '#'+name+'#' + #print 'TASK_NAME: %s | %s'%(task_name,replace_var) + for each_task_name in add_task: + if replace_var in each_task_name[0]: + for var in vars: + new_task_name = each_task_name[0].replace(replace_var, var) + new_task_log = each_task_name[2].replace(replace_var, var) + add_task.append((new_task_name,each_task_name[1],new_task_log)) + for task in add_task: + if '#' not in task[0]: + if task[0] not in [ j[0] for j in tasks_ordered]: + tasks_ordered.append(task) + if not first_task_resolved: + first_task_resolved = True + first_task_resolved_name = task[0] + if metatask_name == 'NO_NAME': + metatask_list[task[0]].append(task[0]) + else: + metatask_list[task[0]].append(metatask_name) + metatask_list[task[0]].append(task[0]) + else: + metatask_list[first_task_resolved_name].append(task[0]) + if list_tasks: + print 'tasks: ',i,task[0],task[1],'LOG:',task[2] + + # Default expantion of metatasks True = collapsed + #for metatask,metatasks in metatask_list.iteritems(): + # metatask_list[metatask].append(True) + + metatask_list = {} + dotask_list = [] + dotask_check_justlast = '' + dotask_check_justlast_base = '' + base_delemiter = '_' + first_found = True + for dotasks in tasks_ordered: + if dotasks[0][:9] == 'final_for': + continue + dotask_check = dotasks[0] + if "archive.jgdas_enkf_archive" in dotask_check: + base_delemiter = '.' + else: + base_delemiter = '_' + dotask_check_base = dotasks[0].rsplit(base_delemiter,1)[0] + if dotask_check_base == dotask_check_justlast_base: + if first_found: + first_found = False + dotask_list.append(dotask_check_justlast ) + dotask_list.append( dotask_check ) + else: + first_found = True + dotask_check_justlast = dotask_check + dotask_check_justlast_base = dotask_check_base + + if not len(dotask_list) == 0 and first_found == True: + new_metatask = [] + if "archive.jgdas_enkf_archive" in dotask_list[0]: + base_delemiter = '.' + else: + base_delemiter = '_' + new_metatask.append( dotask_list[0].rsplit(base_delemiter,1)[0] ) + for dotask_get in dotask_list: + new_metatask.append( dotask_get ) + metatask_list[ dotask_list[0] ] = new_metatask + dotask_list = [] + + if list_tasks: + print + for metatask,metatalist in metatask_list.iteritems(): + print 'metatasks:', metatask+' :', metatalist + sys.exit(0) + + return tasks_ordered,metatask_list,cycledef_group_cycles + +def get_rocoto_stat(params, queue_stat): + workflow_file, database_file, tasks_ordered, metatask_list, cycledef_group_cycles = params + + global database_file_agmented + if len(tasks_ordered) == 0 or len(metatask_list) == 0 or len(cycledef_group_cycles) == 0 or list_tasks: + tasks_ordered, metatask_list,cycledef_group_cycles = get_tasklist(workflow_file) + + if use_performance_metrics: + aug_perf = get_aug_perf_values(get_user) + else: + aug_perf = None + + info=collections.defaultdict(list) + cycles=set() + + connection=sqlite3.connect(database_file) + c=connection.cursor() + + if use_performance_metrics: + q=c.execute("DROP TABLE IF EXISTS jobs_augment_tmp;") + sql_create_augment_table = "CREATE TABLE jobs_augment_tmp AS SELECT * FROM jobs;" + q=c.execute(sql_create_augment_table) + q=c.execute("alter table jobs_augment_tmp add column qtime integer;") + q=c.execute("alter table jobs_augment_tmp add column cputime integer;") + q=c.execute("alter table jobs_augment_tmp add column runtime integer;") + q=c.execute("alter table jobs_augment_tmp add column slots integer;") + + sq_command = '' + column_updates = ('qtime','cputime','runtime','slots') + sqlite_merge_command = "%s=(SELECT jobs_augment.%s FROM jobs_augment WHERE jobs_augment.id=jobs_augment_tmp.id)" + for column in column_updates: + sq_command += sqlite_merge_command%(column,column)+',' + sq_command=';'.join(sq_command.rsplit(',', 1)) + sq_command = 'UPDATE jobs_augment_tmp SET '+sq_command + q=c.execute(sq_command) + + sq_command = 'UPDATE jobs_augment_tmp SET ' + sqlite_update_command = "%s = '%s' WHERE jobs_augment_tmp.jobid = %s" + #debug.write('WRITING TO DATABASE'+'\n') + for perf_jobid,perf_values in aug_perf.iteritems(): + for name,each_value in perf_values.iteritems(): + q=c.execute(sq_command+sqlite_update_command%(name,each_value,perf_jobid)) + #debug.write('SQL: '+sq_command+sqlite_update_command%(name,each_value,perf_jobid+'\n')) + + qinfo=c.execute("DROP TABLE IF EXISTS jobs_augment;") + qinfo=c.execute("ALTER TABLE jobs_augment_tmp RENAME TO jobs_augment;") + + cycledifitions = [] + q=c.execute('SELECT id, groupname, cycledef FROM cycledef') + for row in q: + (theid, groupname, cycledef) = row + cycledifitions.append( (theid, groupname, cycledef) ) + + cycle_done_stat = dict() + q=c.execute('SELECT id,cycle,done FROM cycles') + for row in q: + (theid,cycle,done)=row + cycles.add(cycle) + cycle_done_stat[cycle]=done + + if use_performance_metrics: + q=c.execute('SELECT id,jobid,taskname,cycle,state,exit_status,duration,tries,qtime,cputime,runtime,slots FROM jobs_augment') + else: + q=c.execute('SELECT id,jobid,taskname,cycle,state,exit_status,duration,tries FROM jobs') + + q_get = [] + entered_jobids = [] + last_task_index = 0 + for row in q: + row = tuple('-' if x is None else x for x in row) + if use_performance_metrics: + (theid, jobid,taskname,cycle,state,exit_status,duration,tries,qtime,cputime,runtime,slots)=row + else: + (theid, jobid,taskname,cycle,state,exit_status,duration,tries,)=row + if jobid in entered_jobids: + continue + else: + if taskname in tasks_ordered: + task_index = [x[0] for x in task_ordered].index(taskname) + #task_index = tasks_ordered.index(taskname) + last_task_index = task_index + else: + task_index = last_task_index + + if use_performance_metrics: + q_get.append( (theid,jobid,task_index,taskname,cycle,state,exit_status,duration,tries,qtime,cputime,runtime,slots) ) + else: + q_get.append( (theid,jobid,task_index,taskname,cycle,state,exit_status,duration,tries) ) + entered_jobids.append(jobid) + + q_get.sort( key=lambda x: x[2] ) + + connection.commit() + c.close() + + for row in q_get: + if use_performance_metrics: + (theid,jobid,task_order,taskname,cycle,state,exit_status,duration,tries,qtime,cputime,runtime,slots)=row + else: + (theid,jobid,task_order,taskname,cycle,state,exit_status,duration,tries)=row + if jobid != '-': + if use_performance_metrics: + line = '%s %s %s %s %s %s %s %s %s %s %s'%(datetime.datetime.fromtimestamp(cycle).strftime('%Y%m%d%H%M'),taskname,str(jobid),str(state),str(exit_status),str(tries),str(duration).split('.')[0],str(slots),str(qtime),str(cputime).split('.')[0],str(runtime)) + else: + line = '%s %s %s %s %s %s %s'%(datetime.datetime.fromtimestamp(cycle).strftime('%Y%m%d%H%M'),taskname,str(jobid),str(state),str(exit_status),str(tries),str(duration).split('.')[0]) + #debug.write('LINE: '+line+'\n') + info[cycle].append(line) + + for every_cycle in cycles: + if len(info[every_cycle]) == 0: + info[every_cycle].append('place holder') + + new_info=collections.defaultdict(list) + job_ids = [] + job_id = '' + for each_cycle,lines_in_cycle in info.iteritems(): + for task in tasks_ordered: + skip_task = False + for each_line in lines_in_cycle: + if task[0] == each_line.split()[1]: + #if task[0]+' ' in each_line: + job_id = each_line.split()[2] + if job_id in job_ids: + break + cycle_string = datetime.datetime.fromtimestamp(each_cycle).strftime('%Y%m%d%H%M') + #print 'TESTB:', len(task), task[0],task[1] + cycledefs = task[1].split(',') + if len(cycledefs) > 1: + #print 'Checking if %s for %s is in a gfs cycle:'%(task[0],cycle_string) + for each_cycledef in cycledefs: + #print 'group:', each_cycledef, cycledef_group_cycles[each_cycledef] + if cycle_string in cycledef_group_cycles[each_cycledef]: + #print 'Found:', task[0],'with cycle',cycle_string + new_info[each_cycle].append(each_line) + job_ids.append(job_id) + skip_task = True + break + elif cycle_string in cycledef_group_cycles[task[1]]: + new_info[each_cycle].append(each_line) + job_ids.append(job_id) + skip_task = True + break + if skip_task: + continue + line = datetime.datetime.fromtimestamp(each_cycle).strftime('%Y%m%d%H%M')+' '*7+task[0]+' - - - - -' + cycle_string = datetime.datetime.fromtimestamp(each_cycle).strftime('%Y%m%d%H%M') + cycledefs = task[1].split(',') + if len(cycledefs) > 1: + for each_cycledef in cycledefs: + if cycle_string in cycledef_group_cycles[each_cycledef]: + new_info[each_cycle].append(line) + skip_task = True + break + elif cycle_string in cycledef_group_cycles[task[1]]: + new_info[each_cycle].append(line) + skip_task = True + if skip_task: + continue + + rocoto_stat = [] + for cycle in sorted(cycles): + if len(new_info[cycle]) != 0: + rocoto_stat.append(new_info[cycle]) + + if save_checkfile_path is not None: + stat_update_time = str(datetime.datetime.now()).rsplit(':',1)[0] + with open(save_checkfile_path, 'w') as savefile: + rocoto_data_and_time = (rocoto_stat, tasks_ordered, metatask_list,cycledef_group_cycles, stat_update_time) + cPickle.dump(rocoto_data_and_time, savefile) + if only_check_point: + sys.exit(0) + + if use_multiprocessing: + queue_stat.put((rocoto_stat, tasks_ordered, metatask_list, cycledef_group_cycles)) + else: + return (rocoto_stat, tasks_ordered, metatask_list, cycledef_group_cycles) + + +def display_results(results,screen,params): + from produtil.fileop import check_file + results_lines = results.split('\n') + num_lines,num_columns = (len(results_lines)+3,len(max(results_lines, key=len))+1) + pad_pos = 0 + force_load_stat = False + global mlines + global mcols + while True: + screen.clear() + screen.refresh() + results_pad = curses.newpad(num_lines,num_columns) + for results_line in results_lines: + results_pad.addstr(results_line+'\n') + results_pad.refresh( pad_pos, 0, 0,0, mlines-3,mcols-1) + extra_1 = extra_2 = '' + if pad_pos < num_lines-mlines-2 or pad_pos > 0: + extra_1 = '/ Scroll' + if len(params) != 0: + extra_2 = 'ave results to a file' + screen.addstr(mlines-1,0,' Return %s %s'%(extra_1,extra_2),curses.A_BOLD) + event = screen.getch() + if event == curses.KEY_RESIZE: + screen.refresh() + elif event in ( curses.KEY_PPAGE, ord('u') ): + if pad_pos < num_lines-mlines-2: + pad_pos += 1 + elif event in ( curses.KEY_NPAGE, ord('d') ): + if pad_pos != 0: + pad_pos -= 1 + elif event == curses.KEY_ENTER or event == 10: + screen.clear() + break + elif event == ord('s'): + strg = [] + strg.append(PSLOT) + for i in range(2,5): + try: + if ' ' not in basename(params[i]): + strg.append(basename(params[i]).split('.')[0]) + except: + pass + if len(strg) == 0: + strg = 'rocotoviewer_outout_file' + save_results_file = '_'.join(strg)+'.txt' + inc_int = 0 + while check_file(save_results_file): + if '(%d)'%inc_int in save_results_file: + save_results_file = save_results_file.replace('(%d)'%inc_int,'(%d)'%(inc_int+1)) + inc_int += 1 + else: + save_results_file = basename(save_results_file.split('.')[0])+'(%d)'%inc_int+'.txt' + out_file = open(save_results_file,'w') + out_file.write(results) + out_file.close() + screen.addstr(mlines-1,0,'Saved file %s'%save_results_file+' '*10) + screen.refresh() + std_time.sleep(0.5) + + return + +def main(screen): + + global mlines + global mcols + global default_column_length + global use_multiprocessing + global highlightText + global highlightSelectedText + global normalText + global PSLOT + global PACKAGE + global entity_values + + event = 10 + + if not sys.stdin.isatty(): + if screen != 'dummy': + print 'There seems to be a problem with the curses init' + sys.exit(-1) + else: + mlines = 100 + else: + mlines, mcols = screen.getmaxyx() + + #global debug + #PWD = os.getcwd() + #debug = open(PWD+'/debug.log','a',0) + + (workflow_file,database_file) = get_arguments() + + if not load_produtil_pythonpath(): + curses.endwin() + print '\n\nCRITICAL ERROR: The produtil package could not be loaded from your system' + sys.exit(-1) + + if html_output: + if sys.stdin.isatty(): + curses.endwin() + print '\nPreparing to write out an html folder' + use_multiprocessing = False + + import produtil.run, produtil.numerics + from produtil.run import run,runstr, batchexe + from produtil.fileop import check_file, makedirs, deliver_file, remove_file, make_symlinks_in + from produtil.prog import shbackslash + + header_string = ' '*11+'CYCLE'+' '*17+'TASK'+' '*39+'JOBID'+' '*6+'STATE'+' '*9+'EXIT'+' '*2+'TRIES'+' '*2+'DURATION' + header_string_under = '=== (updated:tttttttttttttttt) =================== PSLOT: pslot '+'='*44 + + global use_performance_metrics + aug_perf = collections.defaultdict(dict) + if use_performance_metrics: + result = augment_SQLite3( database_file ) + aug_perf = get_aug_perf_values(get_user) + header_string += ' SLOTS QTIME CPU RUN\n' + header_string_under += '=============================\n' + header_string += header_string_under + default_column_length = default_column_length_master + else: + aug_perf = None + header_string = header_string+'\n'+header_string_under+'\n' + default_column_length = default_column_length_master + + html_output_dir = None + entity_values = get_entity_values( workflow_file ) + workflow_name = 'gfs_workflow' + if 'ROTDIR' in entity_values: + ROTDIR = entity_values['ROTDIR'] + else: + ROTDIR = 'no_rotdir' + if 'PSLOT' in entity_values: + PSLOT = entity_values['PSLOT'] + else: + PSLOT = 'no_name' + if 'PACKAGE' in entity_values: + PACKAGE = entity_values['PACKAGE'] + if PACKAGE == 'ugcs': + workflow_name = 'ugcs_workflow' + if PACKAGE == 'gfs': + workflow_name = 'gfs_workflow' + else: + PACKAGE = 'none' + if 'EXPDIR' in entity_values: + EXPDIR = entity_values['EXPDIR'] + else: + EXPDIR = '.' + + if html_output: + html_ptr = None + if not send_html_to_rzdm and len(rzdm_path) != 0: + html_output_dir = shbackslash(rzdm_path) + else: + html_output_dir = shbackslash('%s/pr%s'%(workflow_name,PSLOT)) + print 'writing html to directory:',html_output_dir + html_output_file = shbackslash( html_output_dir+'/index.html' ) + html_header_line = '\n' + if use_performance_metrics: + html_header_line = html_header_line+''+'\n' + else: + html_header_line = html_header_line+'\n' + print 'Generating html folder html: %s ...'%html_output_file + cmd = batchexe('rm') ['-Rf', html_output_dir ] + stat=runstr(cmd) + makedirs( html_output_dir ) + html_ptr = open(html_output_file,'w') + html_ptr.write(ccs_html) + break_file = False + stat_update_time = str(datetime.datetime.now()).rsplit(':',1)[0] + html_discribe_line = '\n
CYCLETASKJOBIDSTATEEXITTRIESDURATIONSLOTSQTIMECPURUN
\n\n\n'%(stat_update_time,PSLOT) + html_discribe_line += '\n\n
ExpandRefreshed: %sPSLOT: %s
ROTDIR: %sTurn Around Times
\n
\n'%(workflow_name,ROTDIR,PSLOT) + html_discribe_line += html_header_line + html_ptr.write( html_discribe_line ) + else: + curses.start_color() + curses.use_default_colors() + screen.refresh() + curses.mousemask(1) + curses.noecho() + for i in range(0, curses.COLORS): + curses.init_pair(i + 1, i,curses.COLOR_BLACK) + if i == 4: + curses.init_pair(i + 1, i,curses.COLOR_WHITE) + curses.init_pair(8, 0, -1) + + curses.mousemask(curses.ALL_MOUSE_EVENTS) + #curses.init_pair(6,curses.COLOR_BLACK, curses.COLOR_CYAN) + highlightText = curses.A_STANDOUT + highlightSelectedText = curses.color_pair(5) + normalText = curses.A_NORMAL + + cmd = batchexe('which') ['rocotorun'] + try: + which_rocoto = runstr(cmd).strip() + except Exception,e: + curses.endwin() + print '\n\nCRITICAL ERROR: rocotorun is not in your path, user "module load rocoto"' + sys.exit(0) + + os.environ['TZ']='UTC' + std_time.tzset() + + #stdout_buff = StringIO() + #stderr_buff = StringIO() + #sys.stdout = stdout_buff + #sys.stderr = stderr_buff + + tasks_ordered = [] + metatask_list = collections.defaultdict(list) + cycledef_group_cycles = collections.defaultdict(list) + + queue_stat = Queue() + queue_check = Queue() + + if only_check_point: + curses.endwin() + sys.stdout = os.fdopen(0,'w',0) + print 'Creating check point file ...' + params = (workflow_file, database_file, tasks_ordered, metatask_list, cycledef_group_cycles ) + get_rocoto_stat( params, queue_stat ) + + stat_update_time = '' + params_check = '' + header = None + + process_get_rocoto_stat = None + process_get_rocoto_check = None + + cycle = 0 + if html_output: + mlines = 100 + mcols = 125 + if not html_output and mcols < default_column_length: + curses.endwin() + print + print 'Your terminal is only %d characters must be at least %d to display workflow status'%(mcols,default_column_length) + sys.exit(-1) + if not html_output: + screen.refresh() + rocoto_stat_params = '' + rocoto_stat_params_tmp = '' + step = 0.0 ; i = 0 + dots = ('. ','.. ','... ','.... ','.....',' ....',' ...',' .') + dot_stat = 0 ; dot_check = 0 + current_time = time() + meta_tasklist = collections.defaultdict(list) + + if save_checkfile_path is not None and check_file(save_checkfile_path): + with open(save_checkfile_path) as savefile: + rocoto_data_and_time = cPickle.load(savefile) + rocoto_stat, tasks_ordered, metatask_list,cycledef_group_cycles, stat_update_time = rocoto_data_and_time + start_time = time() - stat_read_time_delay - 10 + header = header_string + header = header.replace('t'*16,stat_update_time) + if PACKAGE.lower() == 'ugcs': + header = header.replace(' PSLOT: pslot ','==== UGCS ====') + elif PSLOT.lower() == 'no_name': + header = header.replace(' PSLOT: pslot ','==============') + reduce_header_size = 0 + else: + header = header.replace(' PSLOT: pslot ','==== UGCS ====') + reduce_header_size = 0 + if reduce_header_size > 0: + header = header[:-reduce_header_size] + header = header[reduce_header_size:] + if list_tasks: + params = (workflow_file, database_file, tasks_ordered, metatask_list, cycledef_group_cycles ) + get_rocoto_stat( params, Queue() ) + curses.endwin() + sys.stdout = os.fdopen(0,'w',0) + sys.exit(0) + + + if save_checkfile_path is None or (save_checkfile_path is not None and not check_file(save_checkfile_path)): + params = (workflow_file, database_file, tasks_ordered, metatask_list,cycledef_group_cycles) + if use_multiprocessing: + process_get_rocoto_stat = Process( target=get_rocoto_stat, args=[params, queue_stat] ) + process_get_rocoto_stat.start() + screen.addstr(mlines-2,0,'No checkpoint file, must get rocoto stats please wait',curses.A_BOLD) + screen.addstr(mlines-1,0,'Running rocotostat ',curses.A_BOLD) + else: + (rocoto_stat, tasks_ordered, metatask_list,cycledef_group_cycles) = get_rocoto_stat( params, Queue() ) + header = header_string + stat_update_time = str(datetime.datetime.now()).rsplit(':',1)[0] + header = header.replace('t'*16,stat_update_time) + if PSLOT.lower() == 'no_name': + header = header.replace(' PSLOT: pslot ','==============') + reduce_header_size = 0 + elif PACKAGE.lower() == 'ugcs': + header = header.replace(' PSLOT: pslot ','==== UGCS ====') + reduce_header_size = 0 + else: + header = header.replace('pslot',PSLOT) + reduce_header_size = int((len(PSLOT)-len('PSLOT'))/2) + if reduce_header_size > 0: + header = header[:-reduce_header_size] + header = header[reduce_header_size:] + + while use_multiprocessing: + if mcols < default_column_length: + curses.endwin() + print + print 'Your terminal is only %d characters must be at least %d to display workflow status'%(mcols,default_column_length) + sys.exit(-1) + step += 0.001 + if step > 100: + step = 0.0 + i = (0 if i == len(dots)-1 else i+1 ) + curses.curs_set(0) + screen.addstr(mlines-1,19,dots[i],curses.A_BOLD) + screen.refresh() + try: + rocoto_stat_params = queue_stat.get_nowait() + except: + pass + if len(rocoto_stat_params) != 0: + (rocoto_stat, tasks_ordered, metatask_list,cycledef_group_cycles) = rocoto_stat_params + if use_multiprocessing: + process_get_rocoto_stat.join() + process_get_rocoto_stat.terminate() + stat_update_time = str(datetime.datetime.now()).rsplit(':',1)[0] + header = header_string + header = header.replace('t'*16,stat_update_time) + if PSLOT.lower() == 'no_name': + header = header.replace(' PSLOT: pslot ','==============') + reduce_header_size = 0 + elif PACKAGE.lower() == 'ugcs': + header = header.replace(' PSLOT: pslot ','==== UGCS ====') + reduce_header_size = 0 + else: + header = header.replace('pslot',PSLOT) + reduce_header_size = int((len(PSLOT)-len('PSLOT'))/2) + if reduce_header_size > 0: + header = header[:-reduce_header_size] + header = header[reduce_header_size:] + break + + start_time = time() + + num_cycle = len(rocoto_stat) + time_to_load = (time()- current_time)/60.0 + + pad_pos = 0 + update_pad = True + task = 0 ; execute_task = '' ; execute_cycle = '' + loading_stat = False + loading_check = False + find_next = 0 + check_task = '' ; check_cycle = '' + rocoto_check = '' + break_twice = False + search_string = '' + + meta_tasks = [] + metatasks_state_cycle = [] + metatasks_state_string_cycle = [] + + metatask_list_copy = collections.defaultdict(list) + metatask_name = collections.defaultdict(list) + for each_metatask in metatask_list: + metatask_name[each_metatask] = metatask_list[each_metatask][0] + del metatask_list[each_metatask][0] + + curses.endwin() + tasks_in_cycle = [] + for each_cycle in rocoto_stat: + list_of_tasks_per_cycle = [] + meta_tasks_in_cycle = [] + for each_line in each_cycle: + line_has_metatask = False + for check_metatask, check_metatask_list in metatask_list.iteritems(): + if check_metatask in each_line.split(): + meta_tasks_in_cycle.append( (check_metatask, True, check_metatask_list ) ) + line_has_metatask = True + continue + else: + for every_meta_task in check_metatask_list: + if every_meta_task != check_metatask: + for item in each_line.split(): + if every_meta_task == item: + meta_tasks_in_cycle.append((every_meta_task, False, check_metatask) ) + line_has_metatask = True + if not line_has_metatask: + if '---' not in each_line.split()[1]: + list_of_tasks_per_cycle.append(each_line.split()[1]) + meta_tasks_in_cycle.append(('False',False,'False')) + + tasks_in_cycle.append(list_of_tasks_per_cycle) + + meta_tasks_state = dict() + meta_tasks_state_string = dict() + for check_metatask, check_metatask_list in metatask_list.iteritems(): + meta_tasks_state[check_metatask] = True + meta_tasks_state_string[check_metatask] = '' + meta_tasks_state['False'] = False + + meta_tasks.append(meta_tasks_in_cycle) + metatasks_state_cycle.append(meta_tasks_state) + metatasks_state_string_cycle.append(meta_tasks_state_string) + + update_metatask_state_status_message = True + ''' +# This lists each metatask and its elements +# for the first cycle for code edification + curses.endwin() + print + print 'Number of Metatasks:',len(meta_tasks[0]) + for each_metatask in meta_tasks[0]: + if each_metatask[1]: + print metatask_name[each_metatask[2][0]] + for task in each_metatask[2]: + print ' ',task + sys.exit(0) + ''' + + metatask_list_per_cycle = [] + metatask_list_by_name = collections.defaultdict(dict) + for each_cycle in meta_tasks: + list_of_metatasks_in_cycle = [] + for each_metatask in each_cycle: + if each_metatask[1]: + tasks_in_metatask_list = [] + for task in each_metatask[2]: + tasks_in_metatask_list.append( task ) + metatask_list_by_name[ metatask_name[each_metatask[2][0]] ] = tasks_in_metatask_list + list_of_metatasks_in_cycle.append( metatask_name[each_metatask[2][0]] ) + metatask_list_per_cycle.append(list_of_metatasks_in_cycle) + + found = False + end_found = False + found_cycle = 0 + found_end_cycle = 0 + for find_cycle in range(0,len(rocoto_stat)): + for lines in rocoto_stat[find_cycle]: + if not found and any(x in lines for x in ['RUNNING', 'QUEUED']): + found = True + found_cycle = find_cycle + if found and not any(x in lines for x in ['RUNNING', 'QUEUED']): + end_found = True + found_end_cycle = find_cycle + break + + get_number_of_stats = 0 + if found: + cycle = found_cycle + else: + get_number_of_stats = 2 + if len(rocoto_stat) > 2: + cycle = len(rocoto_stat) - 2 + else: cycle = 0 + + if html_output: + if cycle > 2: + cycle -= 2 + html_start_cycle = cycle + + html_output_firstpass = True + #debug.write('num cycles: %s\n'%str(len(rocoto_stat))) + while True: + num_columns = default_column_length + mlines = 90; mcols = 125 + if header is None: + header = ' ' + if update_pad is True: + #debug.write('cycle: %s\n'%str(cycle)) + num_lines = len(rocoto_stat[cycle]) + #debug.write('len rocoto_stat[cycle]: %s\n'%str(num_lines)) + line_correction = 0 + for count_meta_tasks in meta_tasks[cycle]: + if count_meta_tasks[1] and metatasks_state_cycle[cycle][ count_meta_tasks[0] ]: + line_correction += len(count_meta_tasks[2]) - 1 + num_lines -= line_correction + update_pad = False + line_number = -1 + colapsed_metatask = False + for line_num,line in enumerate(rocoto_stat[cycle]): + columns = line.split() + count_columns = line.split(' ') + spaces = [] + for c,sub_group in groupby(count_columns): + if c != '': continue + spaces.append(' '*len(list(sub_group))) + spaces.append('') + text_color = {'SUCCEEDED':3,'QUEUED':4,'DEAD':2,'FAILED':2,'RUNNING':6} + skip_task = False + + if not meta_tasks[cycle][line_num][1] and metatasks_state_cycle[cycle][ meta_tasks[cycle][line_num][2] ] : + skip_task = True + else: + line_number +=1 + html_line = '' + if use_performance_metrics and len(columns) == 7: + for i in range(0,4): + columns.append('-') + for i,column in enumerate(columns): + if skip_task: continue + if not use_performance_metrics and i > 7: continue + execute_cycle = columns[0] + if i == 0: + if meta_tasks[cycle][line_num][1]: + if metatasks_state_cycle[cycle][columns[1]]: + colapsed_metatask = True + if update_metatask_state_status_message or len(metatasks_state_string_cycle[cycle][ columns[1] ])==0: + get_state_list = [] + total_numer_of_tasks = len(meta_tasks[cycle][line_num][2]) + for check_metatask_line in rocoto_stat[cycle]: + split_check_metatask_line = check_metatask_line.split() + for each_metatask in meta_tasks[cycle][line_num][2]: + if each_metatask == split_check_metatask_line[1]: + get_state_list.append(split_check_metatask_line[3]) + metatask_state = columns[3] + if 'SUCCEEDED' in get_state_list: + metatask_state = '%d/%d SUCCEEDED'%(get_state_list.count('SUCCEEDED'),total_numer_of_tasks) + if 'QUEUED' in get_state_list: + metatask_state = '%d/%d QUEUED'%(get_state_list.count('QUEUED'),total_numer_of_tasks) + if 'RUNNING' in get_state_list: + metatask_state = '%d/%d RUNNING'%(get_state_list.count('RUNNING'),total_numer_of_tasks) + if 'DEAD' in get_state_list: + metatask_state = '%d/%d DEAD'%(get_state_list.count('DEAD'),total_numer_of_tasks) + metatasks_state_string_cycle[cycle][ columns[1] ] = metatask_state + html_line += ''+column+'' + elif i == 1: + save_column = column + if colapsed_metatask: + colapsed_metatask = False + column = metatask_name[column] + display_column = (column if len(column) < 40 else column[:40]) + if line_number == task: + execute_task = save_column + if html_output: + log_file = '' + for find_task in tasks_ordered: + if find_task[0] == column: + log_file = find_task[2].replace('CYCLE', execute_cycle[:-2] ) + if check_file(shbackslash( log_file )): + deliver_file( log_file, html_output_dir ) + log_file_base = os.path.basename(log_file) + html_line += ''%log_file_base+display_column+'' + else: + html_line += ''+display_column+'' + elif i == 2: + if len(column) > 7: + column = column[:7] + html_line += ''+column+'' + elif i == 3: + if meta_tasks[cycle][line_num][1] and len(metatasks_state_string_cycle[cycle][ columns[1] ].split())!=1 and metatasks_state_cycle[cycle][columns[1]]: + column = metatasks_state_string_cycle[cycle][ columns[1] ] + if len(column)>15: + if column.split()[1] == 'SUCCEEDED': + html_line += ''+column[:15]+'' + elif column.split()[1] == 'QUEUED': + html_line += ''+column[:15]+'' + elif column.split()[1] in('DEAD','FAILED'): + html_line += ''+column[:15]+'' + elif column.split()[1] == 'RUNNING': + html_line += ''+column[:15]+'' + else: + html_line += ''+column[:15]+'' + else: + if column.split()[1] == 'SUCCEEDED': + html_line += ''+column+'' + elif column.split()[1] == 'QUEUED': + html_line += ''+column+'' + elif column.split()[1] in('DEAD','FAILED'): + html_line += ''+column+'' + elif column.split()[1] == 'RUNNING': + html_line += ''+column+'' + else: + html_line += ''+column+'' + elif column in text_color: + if column == 'SUCCEEDED': + html_line += ''+column+'' + elif column == 'QUEUED': + html_line += ''+column+'' + elif column in('DEAD','FAILED'): + html_line += ''+column+'' + elif column == 'RUNNING': + html_line += ''+column+'' + else: + html_line += ''+column+'' + else: + html_line += ''+column+'' + else: + if len(column)<6: + html_line += ''+column+'' + else: + html_line += ''+column+'' + if not skip_task: + html_line += '\n' + html_ptr.write(html_line) + + update_metatask_state_status_message = False + + found_still_running = False + cycle += 1 + update_pad = True + for find_cycle in range(cycle,len(rocoto_stat)): + for lines in rocoto_stat[find_cycle]: + if 'RUNNING' in lines: + found_still_running = True + break + break + if get_number_of_stats >= 0: + found_still_running = True + if cycle < len(rocoto_stat) or found_still_running: + html_line = '\n' + html_line += '\n
\n\n' + html_line += html_header_line + html_ptr.write(html_line) + get_number_of_stats -= 1 + else: + html_line = '\n' + html_line += '\n' + html_line += '\n' + html_ptr.write(html_line) + html_ptr.close() + if html_output_firstpass: + for meta_cycle in range(0,len(rocoto_stat)): + for execute_task in metatasks_state_cycle[meta_cycle]: + metatasks_state_cycle[meta_cycle][execute_task] = False + html_output_file = shbackslash( html_output_dir+'/index_exp.html' ) + html_ptr = open(html_output_file,'w') + html_ptr.write(ccs_html) + stat_update_time = str(datetime.datetime.now()).rsplit(':',1)[0] + html_discribe_line = '\n\n\n\n'%(stat_update_time,PSLOT) + html_discribe_line += '\n\n
CollapseRefreshed: %sPSLOT: %s
ROTDIR: %sTurn Around Times
\n
\n'%(workflow_name,ROTDIR,PSLOT) + html_discribe_line += html_header_line + html_ptr.write( html_discribe_line ) + html_output_firstpass = False + #cycle = html_start_cycle + if not html_output_firstpass: + if send_html_to_rzdm: + print 'sending html files to rzdm using rsync ...' + cmd=batchexe('rsync')['-avzr','--delete', html_output_dir, rzdm_path] + stat=runstr(cmd) + if stat is None: + print 'warning rsync to %s failed'%html_output_dir + sys.exit(-1) + else: + print 'done' + sys.exit(0) + else: + + # Main Curses Screen Loop + # Write to curses screen when HTML is not outputted + highlight_CYCLE = False + highlight_WORKFLOW = False + get_execute_task_track = False + screen.clear() + global screen_resized + selected_tasks = collections.defaultdict(list) + selected_meta_tasks = collections.defaultdict(list) + execute_metatask = None + colapsed_metatask = None + task = 0 + while True: + if not check_file(workflow_file) or not check_file(database_file): + curses.endwin() + print;print + print 'rocoto_viwer quit because the Rocoto database or XML file used by this session when missing' + sys.exit(-1) + job_id = None + curses.noecho() + num_columns = default_column_length + if header is None: + header = ' ' + if highlight_WORKFLOW: + header_split = header.split('\n') + screen.addstr(0,0,header_split[0]+'\n') + screen.addstr(header_split[1],curses.A_STANDOUT) + else: + screen.addstr(0,0,header) + if update_pad is True: + num_lines = len(rocoto_stat[cycle]) + line_correction = 0 + for count_meta_tasks in meta_tasks[cycle]: + if count_meta_tasks[1] and metatasks_state_cycle[cycle][ count_meta_tasks[0] ]: + line_correction += len(count_meta_tasks[2]) - 1 + num_lines -= line_correction + update_pad = False + if mlines > num_lines: + pad = curses.newpad(mlines ,num_columns) + else: + pad = curses.newpad(num_lines+1 ,num_columns) + line_number = -1 + for line_num,line in enumerate(rocoto_stat[cycle]): + #debug.write('DISPLAY LINE: '+line+'\n') + colapsed_metatask = False + columns = line.split() + count_columns = line.split(' ') + spaces = [] + for c,sub_group in groupby(count_columns): + if c != '': continue + spaces.append(' '*len(list(sub_group))) + spaces.append('') + text_color = {'SUCCEEDED':3,'QUEUED':4,'DEAD':2,'FAILED':2,'RUNNING':6} + skip_task = False + + if not meta_tasks[cycle][line_num][1] and metatasks_state_cycle[cycle][ meta_tasks[cycle][line_num][2] ] : + skip_task = True + else: + line_number +=1 + if use_performance_metrics and len(columns) == 7: + for i in range(0,4): + columns.append('-') + red_override = False + for i,column in enumerate(columns): + if skip_task: continue + if not use_performance_metrics and i > 7: continue + execute_cycle = columns[0] + if i == 0: + if meta_tasks[cycle][line_num][1]: + if metatasks_state_cycle[cycle][columns[1]]: + if highlight_CYCLE: + pad.addstr(column, curses.A_STANDOUT) + else: + pad.addstr(column) + pad.addstr(' < ') + colapsed_metatask = True + if update_metatask_state_status_message or len(metatasks_state_string_cycle[cycle][ columns[1] ])==0: + get_state_list = [] + total_numer_of_tasks = len(meta_tasks[cycle][line_num][2]) + for check_metatask_line in rocoto_stat[cycle]: + split_check_metatask_line = check_metatask_line.split() + for each_metatask in meta_tasks[cycle][line_num][2]: + if each_metatask == split_check_metatask_line[1]: + get_state_list.append(split_check_metatask_line[3]) + red_override = False + metatask_state = columns[3] + if 'SUCCEEDED' in get_state_list: + metatask_state = '%d/%d SUCCEEDED'%(get_state_list.count('SUCCEEDED'),total_numer_of_tasks) + if 'QUEUED' in get_state_list: + metatask_state = '%d/%d QUEUED'%(get_state_list.count('QUEUED'),total_numer_of_tasks) + if 'RUNNING' in get_state_list: + metatask_state = '%d/%d RUNNING'%(get_state_list.count('RUNNING'),total_numer_of_tasks) + if 'FAILED' in get_state_list: + metatask_state = '%d/%d FAILED'%(get_state_list.count('FAILED'),total_numer_of_tasks) + red_override = True + if 'DEAD' in get_state_list: + red_override = True + metatask_state = '%d/%d DEAD'%(get_state_list.count('DEAD'),total_numer_of_tasks) + metatasks_state_string_cycle[cycle][ columns[1] ] = metatask_state + else: + if highlight_CYCLE: + pad.addstr(column, curses.A_STANDOUT) + else: + pad.addstr(column) + pad.addstr(' > ') + else: + if highlight_CYCLE: + pad.addstr(column,curses.A_STANDOUT) + pad.addstr(' ') + else: + pad.addstr(column+' ') + elif i == 1: + job_name_length = 50 + save_column = column + if colapsed_metatask: + column = metatask_name[column] + display_column = (column if len(column) < job_name_length else column[:job_name_length]) + if line_number == task and not highlight_CYCLE and not highlight_WORKFLOW : + pad.addstr(display_column,curses.A_STANDOUT) + execute_task_track = save_column + if colapsed_metatask: + execute_metatask_check = True + execute_metatask = column + metatask_list_of_selected_metatask = meta_tasks[cycle][line_num][2] + else: + execute_metatask_check = False + execute_metatask = None + metatask_list_of_selected_metatask = None + execute_task = column + else: + #if column in metatask_list_by_name[metatask_name[column]]: + # display_column = ' '+display_column + if column in selected_tasks[execute_cycle]: + pad.addstr(display_column, highlightSelectedText ) + elif column in selected_meta_tasks[execute_cycle]: + pad.addstr(display_column, highlightSelectedText ) + else: + pad.addstr(display_column) + pad.addstr(' '*(job_name_length+3-len(display_column))) + elif i == 2: + job_id = column.strip() + if len(job_id) > 9: + job_id = job_id[:9] + if job_id == '-': + pad.addstr(job_id+' '*9) + else: + pad.addstr(job_id+' '*(11-len(job_id))) + elif i == 3: + if meta_tasks[cycle][line_num][1] and len(metatasks_state_string_cycle[cycle][ columns[1] ].split())!=1 and metatasks_state_cycle[cycle][columns[1]]: + column = metatasks_state_string_cycle[cycle][ columns[1] ] + if red_override: + the_text_color = 2 + else: + the_text_color = text_color[column.split()[1]] + if len(column) >= 19: + pad.addstr( column[:19],curses.color_pair(the_text_color)|curses.A_STANDOUT) + column = column[:19] + else: + pad.addstr( column,curses.color_pair(the_text_color)|curses.A_STANDOUT) + elif column in text_color: + pad.addstr(column, curses.color_pair(text_color[column])|curses.A_STANDOUT) + else: + pad.addstr(column) + pad.addstr(' '*(17-len(column)),curses.color_pair(8)) + elif i in (4,5,6,7,8,9,10): + if len(column) < 5: + pad.addstr(column+' '*(5-len(column))) + else: + pad.addstr(column.strip()+' ') + + if not skip_task: + pad.addstr('\n') + + update_metatask_state_status_message = False + pad.refresh( pad_pos, 0, 2,0, mlines-4,mcols) + + entire_workflow = 'Hit to open cycle based information page (implementation pending)' + entire_cycle = '********* The ENTIRE CYCLE has been selected for an action **********' + + try: + if highlight_WORKFLOW: + screen.addstr(mlines-2,0,entire_workflow,curses.A_BOLD) + else: + screen.addstr(mlines-2,0,' '*len(entire_workflow)) + if highlight_CYCLE: + screen.addstr(mlines-2,0,entire_cycle,curses.A_BOLD) + elif not highlight_WORKFLOW: + screen.addstr(mlines-2,0,' '*len(entire_cycle)) + if pad_pos < num_lines-mlines+4 or pad_pos > 0: + screen.addstr(mlines-1,0,' '*len(bottom_message_scroll)) + screen.addstr(mlines-1,0,bottom_message_scroll,curses.A_BOLD) + else: + screen.addstr(mlines-1,0,' '*len(bottom_message_scroll)) + screen.addstr(mlines-1,0,bottom_message,curses.A_BOLD) + except: + std_time.sleep(1) + pass + + if num_columns > mcols: + curses.endwin() + print + print 'Your terminal is only %s characters must be at least %s to display workflow status'%(str(mcols),str(num_columns)) + sys.exit(-1) + + if loading_stat: + dot_stat = (0 if dot_stat == len(dots)-1 else dot_stat+1 ) + screen.addstr(mlines-2,0,'Running rocotostat ') + screen.addstr(mlines-2,20,dots[dot_stat]) + try: + rocoto_stat_tmp = queue_stat.get_nowait() + except: + rocoto_stat_tmp = '' + if len(rocoto_stat_tmp) != 0: + (rocoto_stat, tasks_ordered, metatask_list,cycledef_group_cycles) = rocoto_stat_tmp + process_get_rocoto_stat.join() + process_get_rocoto_stat.terminate() + update_pad = True + loading_stat = False + rocoto_stat_tmp = '' + stat_update_time = str(datetime.datetime.now()).rsplit(':',1)[0] + header = header_string + header = header.replace('t'*16,stat_update_time) + header = header.replace('pslot',PSLOT) + reduce_header_size = int((len(PSLOT)-len('PSLOT'))/2) + if reduce_header_size > 0: + header = header[:-reduce_header_size] + header = header[reduce_header_size:] + screen.addstr(mlines-2,0,'Updated new rocotostatus: %s'%stat_update_time+' '*48) + screen.refresh() + std_time.sleep(0.5) + screen.addstr(mlines-2,0,' '*100) + screen.refresh() + + if loading_check: + if time() - current_check_time > 5: + dot_check = (0 if dot_check == len(dots)-1 else dot_check+1 ) + loc = (0 if not loading_stat else 27) + screen.addstr(mlines-2,loc,'Running rocotocheck ') + screen.addstr(mlines-2,loc+20,dots[dot_check]) + try: + rocoto_check = queue_check.get_nowait() + except: + pass + if len(rocoto_check) != 0: + process_get_rocoto_check.join() + process_get_rocoto_check.terminate() + loading_check = False + if time() - current_check_time > 5: + event = screen.getch() + time_inc = 0.0 + while event != curses.KEY_ENTER and event != 10: + message_string = 'rocotocheck for %s %s is ready for vieweing'%(params_check[2],params_check[3]) + message_string = (message_string if len(message_string) < mcols else message_string[:mcols-1]) + time_inc += 1 + if time_inc > 4: + screen.addstr(mlines-2,0, message_string) + screen.addstr(mlines-2,len(message_string),' ') + time_inc = 0.0 + else: + screen.addstr(mlines-2,0,message_string) + screen.addstr(mlines-2,len(message_string),' ',curses.A_BOLD) + event = screen.getch() + display_results(rocoto_check,screen,params_check) + rocoto_check = '' + + curses.curs_set(0) + curses.halfdelay(2) + screen.keypad(1) + event = screen.getch() + + if event in (curses.KEY_LEFT, curses.KEY_RIGHT): + highlight_CYCLE = False + highlight_WORKFLOW = False + if event == curses.KEY_LEFT: + pad_pos = 0 + #debug.write('KEY_LEFT %s\n'%pad_pos) + if cycle - 1 >= 0: + cycle -= 1 + elif event == curses.KEY_RIGHT: + pad_pos = 0 + #debug.write('KEY_RIGHT %s\n'%pad_pos) + if cycle + 1 < num_cycle: + cycle += 1 + num_lines = len(rocoto_stat[cycle]) + line_correction = 0 + for count_meta_tasks in meta_tasks[cycle]: + if count_meta_tasks[1] and metatasks_state_cycle[cycle][ count_meta_tasks[0] ]: + line_correction += len(count_meta_tasks[2])-1 + num_lines -= line_correction + if task > num_lines-1: + task = num_lines-1 + update_pad = True + if event == ord('Q'): + break + if get_execute_task_track: + get_execute_task_track = False + if execute_task_track in metatasks_state_cycle[cycle]: + metatasks_state_cycle[cycle][execute_task_track] = not metatasks_state_cycle[cycle][execute_task_track] + update_metatask_state_status_message = True + update_pad = True + if event == curses.KEY_MOUSE: + mouse_id, mouse_x, mouse_y, mouse_z, button_state = curses.getmouse() + task_mouse_pos = pad_pos+mouse_y-2 + if task_mouse_pos >= 0 and task_mouse_pos < num_lines: + task = task_mouse_pos + update_pad = True + if button_state & curses.BUTTON1_DOUBLE_CLICKED and mouse_x in range(12,15): + get_execute_task_track = True + if event == ord('x'): + if execute_task_track in metatasks_state_cycle[cycle]: + metatasks_state_cycle[cycle][execute_task_track] = not metatasks_state_cycle[cycle][execute_task_track] + update_metatask_state_status_message = True + update_pad = True + if screen_resized: + screen.erase() + screen.refresh() + update_pad = True + task = pad_pos + screen_resized = False + curses.resizeterm(mlines, mcols) + #debug.write('SCREEN RESIZED %s (%d,%d)\n'%(pad_pos,mlines,mcols)) + if mcols < default_column_length: + curses.endwin() + print + print 'Your terminal is only %d characters must be at least %d to display workflow status'%(mcols,default_column_length) + sys.exit(-1) + elif event in ( curses.KEY_NPAGE, ord('d') ): + highlight_CYCLE = False + highlight_WORKFLOW = False + if pad_pos + mlines < num_lines-mlines+5: + pad_pos += mlines - 5 + task += mlines - 5 + else: + pad_pos = num_lines-mlines+5 + task = num_lines-1 + update_pad = True + elif event in ( curses.KEY_PPAGE, ord('u') ): + highlight_CYCLE = False + highlight_WORKFLOW = False + if pad_pos != 0: + if pad_pos - mlines > 0: + pad_pos -= mlines - 5 + if task > pad_pos+mlines-6: + task -= mlines - 5 + else: + pad_pos = 0 + task = 0 + update_pad = True + elif event in (curses.KEY_UP, curses.KEY_SR): + if task == 0: + if highlight_CYCLE: + highlight_CYCLE = False + highlight_WORKFLOW = True + if not highlight_WORKFLOW: + highlight_CYCLE = True + if task != pad_pos: + update_pad = True + task -= 1 + elif pad_pos != 0: + pad_pos -= 1 + task -= 1 + if event == curses.KEY_SR: + if execute_metatask_check: + if execute_metatask in selected_meta_tasks[execute_cycle]: + if len(selected_meta_tasks[execute_cycle]) > 0: + selected_meta_tasks[execute_cycle].remove(execute_metatask) + else: + selected_meta_tasks[execute_cycle].append(execute_metatask) + else: + if execute_task in selected_tasks[execute_cycle]: + if len(selected_tasks[execute_cycle]) > 0: + selected_tasks[execute_cycle].remove(execute_task) + else: + selected_tasks[execute_cycle].append(execute_task) + update_pad = True + elif event in ( curses.KEY_DOWN, curses.KEY_SF ): + if highlight_CYCLE or highlight_WORKFLOW: + task = -1 + highlight_CYCLE = False + highlight_WORKFLOW = False + if task != num_lines-1 and task < pad_pos+mlines-6: + task += 1 + elif pad_pos < num_lines-mlines+5: + pad_pos += 1 + task += 1 + if event == curses.KEY_SF: + if execute_metatask_check: + if execute_metatask in selected_meta_tasks[execute_cycle]: + if len(selected_meta_tasks[execute_cycle]): + selected_meta_tasks[execute_cycle].remove(execute_metatask) + else: + selected_meta_tasks[execute_cycle].append(execute_metatask) + else: + if execute_task in selected_tasks[execute_cycle]: + if len(selected_tasks[execute_cycle]) > 0: + selected_tasks[execute_cycle].remove(execute_task) + else: + selected_tasks[execute_cycle].append(execute_task) + update_pad = True + elif event == ord('c'): + if loading_check == True: + screen.addstr(mlines-2,0,'rocotocheck is all reading running ') + screen.refresh() + std_time.sleep(0.5) + screen.addstr(mlines-2,0,' '*100) + screen.refresh() + else: + loc = (0 if not loading_stat else 27) + screen.addstr(mlines-2,loc,'Running rocotocheck ') + screen.refresh() + params_check = (workflow_file, database_file, execute_task, execute_cycle, 'check') + process_get_rocoto_check = Process( target=get_rocoto_check, args=[params_check, queue_check] ) + process_get_rocoto_check.start() + loading_check = True + current_check_time = time() + elif event == ord('f'): + log_file = '' + for find_task in tasks_ordered: + if find_task[0] == execute_task: + log_file = find_task[2].replace('CYCLE', execute_cycle[:-2] ) + if check_file(log_file): + links = [] + links.append(log_file) + try: + make_symlinks_in(links,EXPDIR,force=True) + except: + pass + elif event in (curses.KEY_ENTER, 10, 13): + + if execute_metatask_check: + selected_tasks[execute_cycle] = list_selector( screen, selected_tasks[execute_cycle], metatask_list_of_selected_metatask ) + screen.erase() + else: + if execute_task in selected_tasks[execute_cycle]: + if len(selected_tasks[execute_cycle]) > 0: + selected_tasks[execute_cycle].remove(execute_task) + else: + selected_tasks[execute_cycle].append(execute_task) + + elif event == ord('r'): + screen.clear() + process = '' + if highlight_CYCLE: + screen.addstr('Are you sure you want to rewind all the tasks in the cycle %s by running:\n\n'%execute_cycle) + process = '-a' + #highlight_WORKFLOW = False + elif execute_metatask_check and len(selected_tasks[execute_cycle]) == 0: + for tasks in metatask_list_of_selected_metatask: + process += '-t ' + tasks+' ' + screen.addstr('Are you sure you want to rewind all the tasks in the metatask (%s) by running:\n\n'%execute_task) + elif len(selected_tasks[execute_cycle]) != 0 or len(selected_meta_tasks[execute_cycle]) != 0: + if len(selected_tasks[execute_cycle]) != 0: + selected_tasks_string = '' + screen.addstr('Selected tasks:\n\n') + for tasks in selected_tasks[execute_cycle]: + selected_tasks_string += tasks+'\t' + process += '-t ' + tasks+' ' + screen.addstr(selected_tasks_string+'\n\n') + if len(selected_meta_tasks[execute_cycle]) != 0: + selected_tasks_string = '' + screen.addstr('Selected %d entire meta-tasks and their tasks:\n\n'%len( selected_meta_tasks[execute_cycle])) + for meta_task_selected in selected_meta_tasks[execute_cycle]: + for tasks in metatask_list_by_name[meta_task_selected]: + selected_tasks_string += tasks+'\t' + process += '-t ' + tasks+' ' + screen.addstr(selected_tasks_string+'\n\n') + screen.addstr('\nAre you sure you want to rewind all these seleted tasks by running:\n\n') + elif len(selected_tasks[execute_cycle]) == 0: + process = '-t '+ execute_task + screen.addstr('Are you sure you want to rewind the single task %s by running:\n\n'%execute_task) + screen.addstr('rocotorewind -c %s -d %s -w %s %s\n\n'%(execute_cycle,basename(database_file),basename(workflow_file),process)) + screen.addstr('Enter: es or o',curses.A_BOLD) + while True: + event = screen.getch() + if event == ord('y') or event == ord('Y'): + params = (workflow_file, database_file, execute_cycle,process) + results = rocoto_rewind(params) + results_params = ('','','rewind',execute_cycle,'tasks') + try: + display_results(results,screen,results_params) + except: + screen.addstr('\n\nRewind of this job was successful but displaying of the stdout failed\n') + screen.addstr('Output has been written out to the file rocotorewind_output.log\n') + screen.addstr('Press to continue') + with open('rocotorewind_output.log','a') as rocotorewind_logfile: + rocotorewind_logfile.write('\n\n'+results) + while True: + event = screen.getch() + if event in (curses.KEY_ENTER, 10, 13): + break + selected_tasks[execute_cycle] = [] + break + elif event == ord('n') or event == ord('N'): + break + screen.clear() + update_pad = True + elif event == ord('U'): + selected_tasks[execute_cycle] = [] + selected_meta_tasks[execute_cycle] = [] + update_pad = True + elif event == ord('b'): + process = '' + screen.clear() + list_meta_tasks = '' + list_of_tasks = '' + boot_task_list = '' ; tasks_to_boot = [] + boot_metatask_list = '' ; metatasks_to_boot = [] + if highlight_CYCLE: + screen.addstr('You have selected to boot the entire cycle %s:\n\n'%execute_cycle,curses.A_BOLD) + metatasks_to_boot = metatask_list_per_cycle[cycle] + tasks_to_boot = tasks_in_cycle[cycle] + elif len(selected_tasks[execute_cycle]) != 0 or len(selected_meta_tasks[execute_cycle]) != 0: + screen.addstr('You have a list selected tasks and/or metatasks to boot:\n\n',curses.A_BOLD) + metatasks_to_boot = selected_tasks[execute_cycle] + tasks_to_boot = selected_tasks[execute_cycle] + elif execute_metatask_check: + screen.addstr('Are you sure you want boot the entire meta task %s by running:\n\n'%execute_metatask) + metatasks_to_boot.append(execute_metatask) + elif len(selected_tasks[execute_cycle]) == 0: + tasks_to_boot.append(execute_task) + screen.addstr('Are you sure you want boot the task %s by running rocotoboot with:'%execute_task) + else: + update_pad = True + continue + + if len(metatasks_to_boot) > 0: + list_meta_tasks = ' ' + screen.addstr('Metatasks selected in cycle:\n\n',curses.A_BOLD) + for meta_task in metatasks_to_boot: + list_meta_tasks += meta_task+' ' + boot_metatask_list += meta_task+',' + boot_metatask_list = boot_metatask_list[:-1] + screen.addstr( list_meta_tasks ) + if len(tasks_to_boot) > 0: + list_of_tasks = ' ' + screen.addstr('\n\nTasks selected in cycle:\n\n',curses.A_BOLD) + for a_task in tasks_to_boot: + list_of_tasks += a_task+' ' + boot_task_list += a_task+',' + boot_task_list = boot_task_list[:-1] + screen.addstr( list_of_tasks ) + + screen.addstr('\n\nAre you sure you want to boot all the tasks and/or metatasks in the cycle %s by running:\n\n'%execute_cycle,curses.A_BOLD) + if len(boot_metatask_list) != 0: + list_meta_tasks = '--metatasks '+"'"+boot_metatask_list+"'" + if len(boot_task_list) != 0: + list_of_tasks = ' --tasks '+"'"+boot_task_list+"'" + screen.addstr('rocotoboot -d %s -w %s %s\n\n'%(basename(database_file),basename(workflow_file),list_meta_tasks+list_of_tasks)) + screen.addstr('Enter: es or o',curses.A_BOLD) + + while True: + event = screen.getch() + if event == ord('y') or event == ord('Y'): + params = (workflow_file, database_file, execute_cycle, boot_metatask_list, boot_task_list) + results = rocoto_boot(params) + display_results(results,screen,('','',execute_cycle,'rocotoboot_output')) + break + elif event == ord('n') or event == ord('N'): + break + screen.clear() + update_pad = True + elif event == ord('R'): + screen.addstr(mlines-2,0,'Running rocotorun and rocotostat ...'+' '*60,curses.A_BOLD) + params = (workflow_file, database_file) + rocoto_run(params) + update_pad = True + screen.clear() + if loading_stat == True: + screen.addstr(mlines-2,0,'rocotostat is all reading running'+' '*60) + screen.refresh() + std_time.sleep(0.5) + else: + start_time = 0 + elif event == ord('/'): + curses.echo() + find_next = 1 + screen.addstr(mlines-3,0,' '*100) + screen.refresh() + screen.addstr(mlines-3,0,'/') + screen.refresh() + search_string = screen.getstr(mlines-3,1,50) + break_twice = False + screen.addstr(mlines-3,0,' '*100) + screen.refresh() + for every_cycle in range(0,len(rocoto_stat)): + for line_number,line in enumerate(rocoto_stat[every_cycle]): + if search_string in line: + task = line_number + if num_lines < mlines: + pad_pos = 0 + else: + pad_pos = task + update_pad = True + cycle = every_cycle + break_twice = True + break + if break_twice: + screen.clear() + break + else: + find_next = 1 + elif (event == ord('n') or event == ord('N')) and len(search_string) != 0: + if event == ord('n'): + find_next += 1 + else: + if find_next - 1 >= 1: + find_next -= 1 + found_next = 0 + break_twice = False + for every_cycle in range(0,len(rocoto_stat)): + for line_number,line in enumerate(rocoto_stat[every_cycle]): + if search_string in line: + found_next += 1 + if find_next == found_next: + task = line_number + if num_lines < mlines: + pad_pos = 0 + else: + pad_pos = task + update_pad = True + cycle = every_cycle + break_twice = True + break + if break_twice: + screen.clear() + break + if not break_twice: + find_next = 1 + + elif event == ord('F'): + for find_cycle in range(0,len(rocoto_stat)): + for lines in rocoto_stat[find_cycle]: + if 'RUNNING' in line: + break + break + if find_cycle > 1: + cycle = find_cycle - 2 + update_pad = True + elif event == ord('l'): + start_time -= stat_read_time_delay + elif event == ord('h'): + update_pad = True + help_screen(screen) + screen.clear() + current_time = time() + diff = current_time - start_time + if diff > stat_read_time_delay and not loading_stat: + start_time = current_time + if not use_multiprocessing: + params = (workflow_file, database_file, tasks_ordered, metatask_list,cycledef_group_cycles) + (rocoto_stat, tasks_ordered, metatask_list,cycledef_group_cycles) = get_rocoto_stat( params, Queue() ) + stat_update_time = str(datetime.datetime.now()).rsplit(':',1)[0] + header = header_string + header = header.replace('t'*16,stat_update_time) + header = header.replace('pslot',PSLOT) + reduce_header_size = int((len(PSLOT)-len('PSLOT'))/2) + if reduce_header_size > 0: + header = header[:-reduce_header_size] + header = header[reduce_header_size:] + update_pad = True + screen.clear() + else: + loading_stat = True + screen.addstr(mlines-2,0,'Running rocotostat ') + params = (workflow_file, database_file, tasks_ordered, metatask_list,cycledef_group_cycles) + process_get_rocoto_stat = Process( target=get_rocoto_stat, args=[params, queue_stat] ) + process_get_rocoto_stat.start() + + if use_multiprocessing: + if process_get_rocoto_stat is not None: + if process_get_rocoto_stat.is_alive(): + process_get_rocoto_stat.terminate() + if process_get_rocoto_check is not None: + if process_get_rocoto_check.is_alive(): + process_get_rocoto_check.terminate() + + #debug.close() + +if __name__ == '__main__': + if not load_produtil_pythonpath(): + print '\n\nCRITICAL ERROR: The produtil package could not be loaded from your system' + sys.exit(-1) + try: + signal.signal(signal.SIGWINCH, sigwinch_handler) + sys.stdout = sys.__stdout__ + sys.stderr = sys.__stderr__ + if sys.stdin.isatty(): + curses.wrapper(main) + else: + screen = 'dummy' + main(screen) + except KeyboardInterrupt: + print "Got KeyboardInterrupt exception. Exiting..." + sys.exit(-1) From 293c66d52c87deaace55f8565c9ff46a257d027d Mon Sep 17 00:00:00 2001 From: Terry McGuinness Date: Mon, 15 Oct 2018 12:23:58 +0000 Subject: [PATCH 429/487] Update pysdo-metatask identifier to be more generic: simply uses last non-alphnumeric character . or _ to as the differentiating delemeter --- utils/rocoto_viewer_CROW.py | 19 +++++++++---------- 1 file changed, 9 insertions(+), 10 deletions(-) diff --git a/utils/rocoto_viewer_CROW.py b/utils/rocoto_viewer_CROW.py index ab55194..ef2e488 100755 --- a/utils/rocoto_viewer_CROW.py +++ b/utils/rocoto_viewer_CROW.py @@ -37,6 +37,7 @@ from datetime import datetime, timedelta import uuid import shutil +import re import sqlite3,datetime,collections import xml.etree.ElementTree as ET @@ -848,13 +849,14 @@ def get_tasklist(workflow_file): base_delemiter = '_' first_found = True for dotasks in tasks_ordered: - if dotasks[0][:9] == 'final_for': - continue dotask_check = dotasks[0] - if "archive.jgdas_enkf_archive" in dotask_check: - base_delemiter = '.' + if dotask_check[:9] == 'final_for': + continue + base_delemiter = re.search(r'\_|\.', dotask_check[::-1]) + if base_delemiter is not None: + base_delemiter = base_delemiter.group() else: - base_delemiter = '_' + continue dotask_check_base = dotasks[0].rsplit(base_delemiter,1)[0] if dotask_check_base == dotask_check_justlast_base: if first_found: @@ -868,10 +870,7 @@ def get_tasklist(workflow_file): if not len(dotask_list) == 0 and first_found == True: new_metatask = [] - if "archive.jgdas_enkf_archive" in dotask_list[0]: - base_delemiter = '.' - else: - base_delemiter = '_' + base_delemiter = re.search(r'\_|\.', dotask_list[0][::-1]).group() new_metatask.append( dotask_list[0].rsplit(base_delemiter,1)[0] ) for dotask_get in dotask_list: new_metatask.append( dotask_get ) @@ -1171,7 +1170,7 @@ def main(screen): from produtil.fileop import check_file, makedirs, deliver_file, remove_file, make_symlinks_in from produtil.prog import shbackslash - header_string = ' '*11+'CYCLE'+' '*17+'TASK'+' '*39+'JOBID'+' '*6+'STATE'+' '*9+'EXIT'+' '*2+'TRIES'+' '*2+'DURATION' + header_string = ' '*18+'CYCLE'+' '*17+'TASK'+' '*39+'JOBID'+' '*6+'STATE'+' '*9+'EXIT'+' '*2+'TRIES'+' '*2+'DURATION' header_string_under = '=== (updated:tttttttttttttttt) =================== PSLOT: pslot '+'='*44 global use_performance_metrics From 9f948d229548407ba55eb2f429fd1caf1fa59796 Mon Sep 17 00:00:00 2001 From: Terry McGuinness Date: Tue, 23 Oct 2018 23:06:21 +0000 Subject: [PATCH 430/487] updated boot bug with Rocoto Viewer (more work needs to be done for feature full Rocoto updates) --- utils/rocoto_viewer_CROW.py | 112 ++++++++++++++++++++---------------- 1 file changed, 62 insertions(+), 50 deletions(-) diff --git a/utils/rocoto_viewer_CROW.py b/utils/rocoto_viewer_CROW.py index ef2e488..b6533f1 100755 --- a/utils/rocoto_viewer_CROW.py +++ b/utils/rocoto_viewer_CROW.py @@ -39,6 +39,7 @@ import shutil import re +#from subprocess import run import sqlite3,datetime,collections import xml.etree.ElementTree as ET import cPickle @@ -53,7 +54,7 @@ # Global Variables database_file_agmented = None use_performance_metrics = False -default_column_length_master = 120 +default_column_length_master = 125 stat_read_time_delay = 3*60 header_string = '' format_string = "jobid slots submit_time start_time cpu_used run_time delimiter=';'" @@ -98,12 +99,46 @@ use_multiprocessing = True get_user = getpass.getuser() +rocotoboot = None +rocotorun = None +rocotocheck = None +rocotocomplete = None +rocotostat = None +rocotorewind = None + screen_resized = False debug = None mlines = 0 mcols = 0 +def get_rocoto_commands(): + global rocotoboot + global rocotorun + global rocotocheck + global rocotocomplete + global rocotostat + global rocotorewind + from produtil.run import run,runstr, batchexe + cmd_run = batchexe('which') ['rocotorun'] + cmd_boot = batchexe('which') ['rocotoboot'] + cmd_check = batchexe('which') ['rocotocheck'] + cmd_complete = batchexe('which') ['rocotocomplete'] + cmd_rewind = batchexe('which') ['rocotorewind'] + cmd_stat= batchexe('which') ['rocotostat'] + try: + rocoto_installed = False + rocotorun = runstr(cmd_run).strip() + rocotostat = runstr(cmd_stat).strip() + rocotoboot = runstr(cmd_boot).strip() + rocotorewind = runstr(cmd_rewind).strip() + rocotocheck = runstr(cmd_check).strip() + rocoto_installed = True + rocotocomplete = runstr(cmd_complete).strip() + except Exception: + pass + return rocoto_installed + def sigwinch_handler(signum, frame): global screen_resized global mlines @@ -119,7 +154,7 @@ def sigwinch_handler(signum, frame): def usage(message=None): curses.endwin() print>>sys.stderr, ''' -Usage: rocoto_status_viewer.py -w workflow.xml -d database.db [--listtasks]\n [--html=filename.html]\n [--perfmetrics={True,False}] +Usage: rocoto_status_viewer.py -w workflow.xml -d database.db [--listtasks] [--html=filename.html] Mandatory arguments: -w workflow.xml @@ -127,8 +162,9 @@ def usage(message=None): Optional arguments: --listtasks --- print out a list of all tasks --html=filename.html --- creates an HTML document of status - --perfmetrics=True --- turn on/off extra columns for performance metrics --help --- print this usage message''' +# --perfmetrics=True --- turn on/off extra columns for performance metrics +# [--perfmetrics={True,False}] if message is not None: print>>sys.stderr,'\n'+str(message).rstrip()+'\n' @@ -230,8 +266,8 @@ def get_arguments(): database_file = v elif k in ('-f','--checkfile'): save_checkfile_path = v - elif k in ('--perfmetrics'): - perfmetrics_on = v + #elif k in ('--perfmetrics'): + # perfmetrics_on = v elif k in ('--listtasks'): global list_tasks list_tasks = True @@ -253,8 +289,8 @@ def get_arguments(): if perfmetrics_on is None: use_performance_metrics = False - elif perfmetrics_on.lower() == 'true': - use_performance_metrics = True +# elif perfmetrics_on.lower() == 'true': +# use_performance_metrics = True elif perfmetrics_on.lower() == 'false': use_performance_metrics = False elif perfmetrics_on is not None: @@ -660,7 +696,7 @@ def define_box(): def get_rocoto_check(params, queue_check): from produtil.run import run,runstr, batchexe, exe workflow_file, database_file, task, cycle, process = params - cmd=batchexe('rocotocheck')['-v',10,'-w',workflow_file,'-d',database_file,'-c',cycle,'-t',task] + cmd=batchexe(rocotocheck)['-v',10,'-w',workflow_file,'-d',database_file,'-c',cycle,'-t',task] check=runstr(cmd) if check is None: curses.endwin() @@ -671,15 +707,11 @@ def get_rocoto_check(params, queue_check): def rocoto_boot(params): from produtil.run import run,runstr, batchexe, exe workflow_file, database_file, cycle, metatask_list, task_list = params - run( exe('yes') | exe('head')['-1'] > '.yes.txt') - if len(task_list) == 0 and len(metatask_list) != 0: - cmd=batchexe('rocotoboot')['--workflow', workflow_file,'--database',database_file,'--cycles',cycle,'--metatasks', metatask_list] < '.yes.txt' - elif len(task_list) != 0 and len(metatask_list) == 0: - cmd=batchexe('rocotoboot')['--workflow', workflow_file,'--database',database_file,'--cycles',cycle,'--tasks', task_list ] < '.yes.txt' - elif len(task_list) != 0 and len(metatask_list) != 0: - cmd=batchexe('rocotoboot')['--workflow', workflow_file,'--database',database_file,'--cycles',cycle,'--tasks', task_list, '--metatasks', metatask_list ] < '.yes.txt' + if len(task_list) != 0: + run( exe('yes') | exe('head')['-1'] > '.yes.txt') + cmd=batchexe(rocotoboot)['--workflow', workflow_file,'--database',database_file,'--cycles',cycle,'--tasks', task_list ] < '.yes.txt' else: - return 'Warning: No metatasks or tasks where selected when rocotboot was called' + cmd=batchexe(rocotoboot)['--workflow', workflow_file,'--database',database_file,'--cycles',cycle,'--tasks', task_list ] stat=runstr(cmd) if stat is None: display_results( 'rcotoboot falied!!','') @@ -688,7 +720,7 @@ def rocoto_boot(params): def rocoto_rewind(params): from produtil.run import run,runstr, batchexe workflow_file, database_file, cycle, process = params - cmd=batchexe('rocotorewind')['-w',workflow_file,'-d',database_file,'-c',cycle,process] + cmd=batchexe(rocotorewind)['-w',workflow_file,'-d',database_file,'-c',cycle,process] stat=runstr(cmd) if stat is None: display_results('rcotorewind falied!!','') @@ -697,7 +729,7 @@ def rocoto_rewind(params): def rocoto_run(params): from produtil.run import run,runstr, batchexe workflow_file, database_file = params - cmd=batchexe('rocotorun')['-w',workflow_file,'-d',database_file] + cmd=batchexe(rocotorun)['-w',workflow_file,'-d',database_file] stat=runstr(cmd ) stat = '' if stat is None: @@ -1166,7 +1198,7 @@ def main(screen): use_multiprocessing = False import produtil.run, produtil.numerics - from produtil.run import run,runstr, batchexe + from produtil.run import batchexe from produtil.fileop import check_file, makedirs, deliver_file, remove_file, make_symlinks_in from produtil.prog import shbackslash @@ -1254,14 +1286,6 @@ def main(screen): highlightSelectedText = curses.color_pair(5) normalText = curses.A_NORMAL - cmd = batchexe('which') ['rocotorun'] - try: - which_rocoto = runstr(cmd).strip() - except Exception,e: - curses.endwin() - print '\n\nCRITICAL ERROR: rocotorun is not in your path, user "module load rocoto"' - sys.exit(0) - os.environ['TZ']='UTC' std_time.tzset() @@ -2215,30 +2239,17 @@ def main(screen): boot_metatask_list = '' ; metatasks_to_boot = [] if highlight_CYCLE: screen.addstr('You have selected to boot the entire cycle %s:\n\n'%execute_cycle,curses.A_BOLD) - metatasks_to_boot = metatask_list_per_cycle[cycle] tasks_to_boot = tasks_in_cycle[cycle] - elif len(selected_tasks[execute_cycle]) != 0 or len(selected_meta_tasks[execute_cycle]) != 0: - screen.addstr('You have a list selected tasks and/or metatasks to boot:\n\n',curses.A_BOLD) - metatasks_to_boot = selected_tasks[execute_cycle] + elif len(selected_tasks[execute_cycle]) != 0: + screen.addstr('You have a list selected tasks boot:\n\n',curses.A_BOLD) tasks_to_boot = selected_tasks[execute_cycle] - elif execute_metatask_check: - screen.addstr('Are you sure you want boot the entire meta task %s by running:\n\n'%execute_metatask) - metatasks_to_boot.append(execute_metatask) - elif len(selected_tasks[execute_cycle]) == 0: - tasks_to_boot.append(execute_task) - screen.addstr('Are you sure you want boot the task %s by running rocotoboot with:'%execute_task) + elif len( selected_meta_tasks[execute_cycle] ) != 0: + screen.addstr('Are you sure you want boot the metatask %s by running rocotoboot with:'%selected_meta_tasks[execute_cycle][0]) + execute_task = selected_meta_tasks[execute_cycle] else: - update_pad = True - continue + screen.addstr('Are you sure you want boot the task %s by running rocotoboot with:'%execute_task) + tasks_to_boot.append( execute_task) - if len(metatasks_to_boot) > 0: - list_meta_tasks = ' ' - screen.addstr('Metatasks selected in cycle:\n\n',curses.A_BOLD) - for meta_task in metatasks_to_boot: - list_meta_tasks += meta_task+' ' - boot_metatask_list += meta_task+',' - boot_metatask_list = boot_metatask_list[:-1] - screen.addstr( list_meta_tasks ) if len(tasks_to_boot) > 0: list_of_tasks = ' ' screen.addstr('\n\nTasks selected in cycle:\n\n',curses.A_BOLD) @@ -2249,11 +2260,9 @@ def main(screen): screen.addstr( list_of_tasks ) screen.addstr('\n\nAre you sure you want to boot all the tasks and/or metatasks in the cycle %s by running:\n\n'%execute_cycle,curses.A_BOLD) - if len(boot_metatask_list) != 0: - list_meta_tasks = '--metatasks '+"'"+boot_metatask_list+"'" if len(boot_task_list) != 0: list_of_tasks = ' --tasks '+"'"+boot_task_list+"'" - screen.addstr('rocotoboot -d %s -w %s %s\n\n'%(basename(database_file),basename(workflow_file),list_meta_tasks+list_of_tasks)) + screen.addstr(rocotoboot + ' -c %s -d %s -w %s %s\n\n'%(execute_cycle,basename(database_file),basename(workflow_file),list_meta_tasks+list_of_tasks)) screen.addstr('Enter: es or o',curses.A_BOLD) while True: @@ -2388,6 +2397,9 @@ def main(screen): if not load_produtil_pythonpath(): print '\n\nCRITICAL ERROR: The produtil package could not be loaded from your system' sys.exit(-1) + if not get_rocoto_commands(): + print '\n\nCRITICAL ERROR: Rocoto run-time environemnt not installed' + sys.exit(-1) try: signal.signal(signal.SIGWINCH, sigwinch_handler) sys.stdout = sys.__stdout__ From 285b495e15a7a9d19d6aeb788f2adf7b89245e42 Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Tue, 4 Dec 2018 21:56:07 +0000 Subject: [PATCH 431/487] move unittest into subdirectories, preparing for future SLURM based system --- tests/unittests/moab/__init__.py | 0 tests/unittests/moab/context.py | 5 + tests/unittests/moab/run_tests.sh | 3 + tests/unittests/moab/test_AprunCrayMPI.py | 67 ++++++++++ tests/unittests/moab/test_HydraIMPI.py | 100 +++++++++++++++ tests/unittests/moab/test_ShellCommand.py | 23 ++++ tests/unittests/moab/test_exampleConfig.py | 134 ++++++++++++++++++++ tests/unittests/moab/test_jobs.py | 104 +++++++++++++++ tests/unittests/moab/test_simplify.py | 48 +++++++ tests/unittests/moab/test_util.py | 26 ++++ tests/unittests/slurm/__init__.py | 0 tests/unittests/slurm/context.py | 5 + tests/unittests/slurm/run_tests.sh | 3 + tests/unittests/slurm/test_HydraIMPI.py | 100 +++++++++++++++ tests/unittests/slurm/test_ShellCommand.py | 23 ++++ tests/unittests/slurm/test_SrunMPI.py | 67 ++++++++++ tests/unittests/slurm/test_exampleConfig.py | 134 ++++++++++++++++++++ tests/unittests/slurm/test_jobs.py | 104 +++++++++++++++ tests/unittests/slurm/test_simplify.py | 48 +++++++ tests/unittests/slurm/test_util.py | 26 ++++ 20 files changed, 1020 insertions(+) create mode 100644 tests/unittests/moab/__init__.py create mode 100644 tests/unittests/moab/context.py create mode 100755 tests/unittests/moab/run_tests.sh create mode 100755 tests/unittests/moab/test_AprunCrayMPI.py create mode 100755 tests/unittests/moab/test_HydraIMPI.py create mode 100755 tests/unittests/moab/test_ShellCommand.py create mode 100755 tests/unittests/moab/test_exampleConfig.py create mode 100755 tests/unittests/moab/test_jobs.py create mode 100755 tests/unittests/moab/test_simplify.py create mode 100755 tests/unittests/moab/test_util.py create mode 100644 tests/unittests/slurm/__init__.py create mode 100644 tests/unittests/slurm/context.py create mode 100755 tests/unittests/slurm/run_tests.sh create mode 100755 tests/unittests/slurm/test_HydraIMPI.py create mode 100755 tests/unittests/slurm/test_ShellCommand.py create mode 100755 tests/unittests/slurm/test_SrunMPI.py create mode 100755 tests/unittests/slurm/test_exampleConfig.py create mode 100755 tests/unittests/slurm/test_jobs.py create mode 100755 tests/unittests/slurm/test_simplify.py create mode 100755 tests/unittests/slurm/test_util.py diff --git a/tests/unittests/moab/__init__.py b/tests/unittests/moab/__init__.py new file mode 100644 index 0000000..e69de29 diff --git a/tests/unittests/moab/context.py b/tests/unittests/moab/context.py new file mode 100644 index 0000000..59d8074 --- /dev/null +++ b/tests/unittests/moab/context.py @@ -0,0 +1,5 @@ +import os +import sys +sys.path.insert(0, os.path.abspath(os.path.join(os.path.dirname(__file__), '../../..'))) +#print(sys.path) +import crow diff --git a/tests/unittests/moab/run_tests.sh b/tests/unittests/moab/run_tests.sh new file mode 100755 index 0000000..2a4561f --- /dev/null +++ b/tests/unittests/moab/run_tests.sh @@ -0,0 +1,3 @@ +#! /bin/sh +/usr/bin/env python3 -c "f'This script requires python 3.6 or later'" +python3 -m unittest discover -v -start-directory . diff --git a/tests/unittests/moab/test_AprunCrayMPI.py b/tests/unittests/moab/test_AprunCrayMPI.py new file mode 100755 index 0000000..97f3d6e --- /dev/null +++ b/tests/unittests/moab/test_AprunCrayMPI.py @@ -0,0 +1,67 @@ +#! /usr/bin/env python3 + +import unittest, os, sys, logging + +from context import crow + +from crow import config +from crow import metascheduler +from crow.sysenv import JobResourceSpec +from crow.sysenv import get_parallelism +from crow.sysenv import get_scheduler + +logging.basicConfig(stream=sys.stderr,level=logging.INFO) +logger = logging.getLogger() + +class TestAprunCrayMPI(unittest.TestCase): + @classmethod + def setUpClass(self): + + settings={ 'mpi_runner':'mpiexec', + 'physical_cores_per_node':24, + 'logical_cpus_per_core':2, + 'hyperthreading_allowed':True } + + self.par=get_parallelism('AprunCrayMPI',settings) + self.sch=get_scheduler('LSFAlps',settings) + + def test_AprunCrayMPI_big(self): + + ranks=[ { 'mpi_ranks':12, 'hyperthreads':1, 'OMP_NUM_THREADS':4, 'exe':'exe1', + 'AprunCrayMPI_extra':[ '-gdb', '-envall' ] }, + { 'mpi_ranks':48, 'OMP_NUM_THREADS':1, 'exe':'exe2', + 'AprunCrayMPI_extra':'-envall' }, + { 'mpi_ranks':200,'hyperthreads':1, 'exe':'exe2' } ] + + jr=JobResourceSpec(ranks) + cmd=self.par.make_ShellCommand(jr) + res=self.sch.rocoto_resources(jr) + + if os.environ.get('LOG_LEVEL','None') != "INFO": + logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) + logger.info('\n\nnmax_notMPI ranks:\n'+str(ranks) ) + logger.info( 'nmax_notMPI cmd :\n'+str(cmd) ) + logger.info( 'nmax_notMPI res :\n'+str(res) ) + logging.disable(logging.NOTSET) + + logging.info("assertions not set yet") + self.assertTrue( 'True' == 'True' ) + + def test_AprunCrayMPI_max_ppn(self): + + ranks=[ { 'mpi_ranks':12, 'max_ppn':2, 'exe':'doit' }, + { 'mpi_ranks':12, 'max_ppn':4, 'exe':'doit' } ] + + jr=JobResourceSpec(ranks) + cmd=self.par.make_ShellCommand(jr) + res=self.sch.rocoto_resources(jr) + + if os.environ.get('LOG_LEVEL','None') != "INFO": + logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) + logger.info('\n\nnmax_notMPI ranks:\n'+str(ranks) ) + logger.info( 'nmax_notMPI cmd :\n'+str(cmd) ) + logger.info( 'nmax_notMPI res :\n'+str(res) ) + logging.disable(logging.NOTSET) + + logging.info("assertions not set yet") + self.assertTrue( 'True' == 'True' ) diff --git a/tests/unittests/moab/test_HydraIMPI.py b/tests/unittests/moab/test_HydraIMPI.py new file mode 100755 index 0000000..09c5a4c --- /dev/null +++ b/tests/unittests/moab/test_HydraIMPI.py @@ -0,0 +1,100 @@ +#! /usr/bin/env python3 + +import unittest, os, sys, logging + +from context import crow + +from crow import config +from crow import metascheduler +from crow.sysenv import JobResourceSpec +from crow.sysenv import get_parallelism +from crow.sysenv import get_scheduler + +logging.basicConfig(stream=sys.stderr,level=logging.INFO) +logger = logging.getLogger() + +class TestHydraIMPI(unittest.TestCase): + @classmethod + def setUpClass(self): + + settings={ 'mpi_runner':'mpiexec', + 'physical_cores_per_node':24, + 'logical_cpus_per_core':2, + 'hyperthreading_allowed':True } + + self.par=get_parallelism('HydraIMPI',settings) + self.sch=get_scheduler('MoabTorque',settings) + + def test_HydraIMPI_big(self): + ranks=[ { 'mpi_ranks':12, 'hyperthreads':1, 'OMP_NUM_THREADS':4, 'exe':'exe1', + 'HydraIMPI_extra':[ '-gdb', '-envall' ] }, + { 'mpi_ranks':48, 'OMP_NUM_THREADS':1, 'exe':'exe2', + 'HydraIMPI_extra':'-envall' }, + { 'mpi_ranks':200,'hyperthreads':1, 'exe':'exe2' } ] + + jr=JobResourceSpec(ranks) + cmd=self.par.make_ShellCommand(jr) + res=self.sch.rocoto_resources(jr) + + if os.environ.get('LOG_LEVEL','None') != "INFO": + logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) + logger.info('\n\nbig ranks:\n'+str(ranks) ) + logger.info( 'big cmd :\n'+str(cmd) ) + logger.info( 'big res :\n'+str(res) ) + logging.disable(logging.NOTSET) + self.assertTrue(str(cmd)=="ShellCommand(command=['mpiexec', '-gdb', '-envall', '-np', '12', '/usr/bin/env', 'OMP_NUM_THREADS=4', 'exe1', ':', '-envall', '-np', '48', '/usr/bin/env', 'OMP_NUM_THREADS=1', 'exe2', ':', '-np', '200', 'exe2'], env=None, cwd=None, files=[ ])") + self.assertTrue(str(res)=='2:ppn=6+2:ppn=24+2:ppn=23+7:ppn=22\n') + + def test_HydraIMPI_max_ppn(self): + ranks=[ { 'mpi_ranks':12, 'max_ppn':2, 'exe':'doit' }, + { 'mpi_ranks':12, 'max_ppn':4, 'exe':'doit' } ] + + jr=JobResourceSpec(ranks) + cmd=self.par.make_ShellCommand(jr) + res=self.sch.rocoto_resources(jr) + + if os.environ.get('LOG_LEVEL','None') != "INFO": + logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) + logger.info('\n\nnmax_notMPI ranks:\n'+str(ranks) ) + logger.info( 'nmax_notMPI cmd :\n'+str(cmd) ) + logger.info( 'nmax_notMPI res :\n'+str(res) ) + logging.disable(logging.NOTSET) + + self.assertTrue(str(cmd)=="ShellCommand(command=['mpiexec', '-np', '12', 'doit', ':', '-np', '12', 'doit'], env=None, cwd=None, files=[ ])") + self.assertTrue(str(res)=='6:ppn=2+3:ppn=4\n') + + def test_HydraIMPI_max_notMPI(self): + ranks=[ { 'OMP_NUM_THREADS':'max', 'exe':'exe1' } ] + + jr=JobResourceSpec(ranks) + cmd=self.par.make_ShellCommand(jr) + res=self.sch.rocoto_resources(jr) + + if os.environ.get('LOG_LEVEL','None') != "INFO": + logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) + logger.info('\n\nnmax_notMPI ranks:\n'+str(ranks) ) + logger.info( 'nmax_notMPI cmd :\n'+str(cmd) ) + logger.info( 'nmax_notMPI res :\n'+str(res) ) + logging.disable(logging.NOTSET) +# print(cmd) + self.assertTrue(str(cmd)=="ShellCommand(command=['/bin/sh', '-c', 'exe1'], env={'OMP_NUM_THREADS': 24}, cwd=None, files=[ ])") + self.assertTrue(str(res)=='1:ppn=2\n') + + def test_HydraIMPI_max_OMP_NUM_THREADS(self): + ranks=[ { 'mpi_ranks':12, 'OMP_NUM_THREADS':'max', 'exe':'exe1', 'max_ppn':4 } ] + + jr=JobResourceSpec(ranks) + cmd=self.par.make_ShellCommand(jr) + res=self.sch.rocoto_resources(jr) + + if os.environ.get('LOG_LEVEL','None') != "INFO": + logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) + logger.info('\n\nnmax_OMP ranks:\n'+str(ranks) ) + logger.info ( 'nmax_OMP cmd :\n'+str(cmd) ) + logger.info ( 'nmax_OMP res :\n'+str(res) ) + logging.disable(logging.NOTSET) + self.assertTrue(str(cmd)=="ShellCommand(command=['mpiexec', '-np', '12', '/usr/bin/env', 'OMP_NUM_THREADS=6', 'exe1'], env=None, cwd=None, files=[ ])") + self.assertTrue(str(res)=='3:ppn=4\n') + +if __name__ == '__main__': + unittest.main() diff --git a/tests/unittests/moab/test_ShellCommand.py b/tests/unittests/moab/test_ShellCommand.py new file mode 100755 index 0000000..0c689e8 --- /dev/null +++ b/tests/unittests/moab/test_ShellCommand.py @@ -0,0 +1,23 @@ +#! /usr/bin/env python3 + +import unittest +from context import crow +from crow.sysenv import ShellCommand + +import os, subprocess + +class TestShellCommand(unittest.TestCase): + + def test_ShellCommand(self): + if os.path.exists('file1'): os.unlink('file1') + if os.path.exists('file2'): os.unlink('file2') + + cmd=ShellCommand([ '/bin/sh','-c', 'cat $FILE1 $FILE2' ] , + files=[ { 'name':'file1', 'content':'hello '} , {'name':'file2', 'content':'world\n'} ], + env={ 'FILE1':'file1', 'FILE2':'file2' } , cwd='.' ) + + result=cmd.run(stdout=subprocess.PIPE,encoding='ascii') + self.assertTrue(result.stdout=='hello world\n') + + if os.path.exists('file1'): os.unlink('file1') + if os.path.exists('file2'): os.unlink('file2') diff --git a/tests/unittests/moab/test_exampleConfig.py b/tests/unittests/moab/test_exampleConfig.py new file mode 100755 index 0000000..3b8ffbd --- /dev/null +++ b/tests/unittests/moab/test_exampleConfig.py @@ -0,0 +1,134 @@ +#! /usr/bin/env python3.6 + +import unittest +from context import crow +import crow.config +from datetime import timedelta, date, datetime +from collections import OrderedDict + + +class TestExampleConfig(unittest.TestCase): + + def setUp(self): + self.config=crow.config.from_file('../../test_data/toy-yaml/test.yml', + '../../test_data/toy-yaml/platform.yml', + '../../test_data/toy-yaml/templates.yml', + '../../test_data/toy-yaml/actions.yml') + crow.config.validate(self.config.fcst) + crow.config.validate(self.config.test) + crow.config.validate(self.config.gfsfcst) + + def test_not_working(self): + self.assertTrue(True) + + def test_ordered_dict(self): + self.assertEqual(self.config.ordered_dict, \ + OrderedDict({('one',1), ('two',2), ('three',3), ('four',4), + ('five',5)})) + + def test_set(self): + self.assertEqual(self.config.set, set((2, date(2017, 8, 15), 'a'))) + + def test_bool_array(self): + self.assertEqual(self.config.fcst.bool_array, [True, False, True]) + + def test_int_array(self): + self.assertEqual(self.config.fcst.int_array, [1, 2, 3, 4, 5]) + + def test_string_array(self): + self.assertEqual(self.config.fcst.string_array, ['a', 'b', 'c', 'd', + 'e']) + + def test_plus(self): + self.assertEqual(self.config.gfsfcst.a, 10) + + def test_FirstMax(self): + self.assertEqual(self.config.gfsfcst.d, 9200) + + def test_calclist(self): + self.assertEqual(self.config.gfsfcst.stuff[0], 30) + + def test_default(self): + self.assertEqual(self.config.gfsfcst.cow, 'blue') + self.assertEqual(self.config.gfsfcst.dog, 'brown') + + def test_strlen_func(self): + self.assertEqual(self.config.gfsfcst.lencow, 4) + + def test_FirstTrue(self): + self.assertEqual(self.config.test.B, 'B') + + def test_LastTrue(self): + self.assertEqual(self.config.test.C, 'C') + + def test_NoneTrue(self): + self.assertIsNone(self.config.test.none) + + def test_conditionals_on_empty_list(self): + for bad in ['lt', 'ft', 'xv', 'nv']: + self.assertIsNone(self.config.test['bad' + bad]) + + def test_time_values(self): + self.assertEqual(self.config.test.dt, timedelta(0, 12000)) + self.assertEqual(self.config.test.fcsttime, datetime(2017, 9, 19, 21, 20)) + self.assertEqual(self.config.test.fYMDH, '2017091921') + + def test_string_expansion(self): + self.assertEqual(self.config.test.expandme, 'abc, def, ghi') + + def test_fcst_values(self): + self.assertEqual(self.config.fcst.hydro_mono, 'hydro_mono') + + def test_inline_namelist(self): + namelist_for_test = self.config.fcst.some_namelist + cmpline=["&some_namelist", " int_array = 1, 2, 3, 4, 5", + " bool_array = .true., .false., .true.", + " string_array = 'a', 'b', 'c', 'd', 'e'", + " type = 'hydro'", " mono = 'mono'", + " shal_cnv = .true.", " agrid_vel_rst= .true.", + "/", ""] + for lnum, line in enumerate(namelist_for_test.split('\n')): + #print("\nline XXX"+line+"XXX") + #print("cmplineXXX"+cmpline[lnum]+"XXX") + self.assertEqual(line,cmpline[lnum], + "line {} not equal to expected {} in \ + namelist".format(line, cmpline[lnum])) + + def test_file_namelist(self): + with open('../../test_data/toy-yaml/namelist.nl','rt') as fd: + namelist_nl=fd.read() + + namelist2_for_test = crow.config.expand_text(namelist_nl,self.config.fcst) + + cmpline=["&some_namelist", " int_array = 1, 2, 3, 4, 5", + " bool_array = .true., .false., .true.", + " string_array = 'a', 'b', 'c', 'd', 'e'", + " type = 'hydro'", " mono = 'mono'", + " shal_cnv = .true.", " agrid_vel_rst= .true.", + "/", ""] + for lnum, line in enumerate(namelist2_for_test.split('\n')): + #print("\nline XXX"+line+"XXX") + #print("cmplineXXX"+cmpline[lnum]+"XXX") + self.assertEqual(line,cmpline[lnum], + "line {} not equal to expected {} in \ + namelist".format(line, cmpline[lnum])) + + def test_error_clause(self): + try: + s=self.config.test.error + self.assertTrue(False, "Failed to process error clause properly.") + except crow.config.ConfigUserError as e: + self.assertTrue(True) + + def test_msg_clause(self): + self.assertEqual(self.config.test.message,'hello') + + def test_inherit(self): + crow.config.validate(self.config.fancy_fcst) + self.assertEqual(self.config.fancy_fcst.stuff[0], 30) + self.assertEqual(self.config.fancy_fcst.fancy_var, 5) + self.assertNotIn('not_fancy', self.config.fancy_fcst) + + +if __name__ == '__main__': + unittest.main() diff --git a/tests/unittests/moab/test_jobs.py b/tests/unittests/moab/test_jobs.py new file mode 100755 index 0000000..884a52f --- /dev/null +++ b/tests/unittests/moab/test_jobs.py @@ -0,0 +1,104 @@ +#! /usr/bin/env python3 +f'This script requires python 3.6 or later' + +import unittest +from context import crow +from crow.sysenv import jobs +class TestBoth(unittest.TestCase): + + def setUp(self): + inputData=[ + {'mpi_ranks':5, 'OMP_NUM_THREADS':12}, + {'mpi_ranks':7, 'OMP_NUM_THREADS':12}, + {'mpi_ranks':7} ] + + self.spec1=jobs.JobResourceSpec(inputData) + + def test_has_threads(self): + self.assertTrue(self.spec1.has_threads()) + + def test_num_ranks(self): + self.assertEqual(self.spec1.total_ranks(), 19, + 'incorrect number of ranks') + + def test_pure_serial(self): + self.assertFalse(self.spec1.is_pure_serial()) + + def test_pure_openMP(self): + self.assertFalse(self.spec1.is_pure_openmp()) + + def test_spec_length(self): + self.assertEqual(len(self.spec1),3) + + def test_is_mpi(self): + for tspec in self.spec1: + self.assertTrue(tspec.is_mpi()) + + def test_openmp_true(self): + for x in [0,1]: + self.assertTrue(self.spec1[x].is_openmp()) + + def test_openmp_false(self): + self.assertFalse(self.spec1[2].is_openmp()) + + def test_is_pure_serial(self): + for tspec in self.spec1: + self.assertFalse(tspec.is_pure_serial()) + + +class TestSerial(unittest.TestCase): + + def setUp(self): + inputData=[ { 'exe':'echo', 'args':['hello','world'] } ] + self.spec1=jobs.JobResourceSpec(inputData) + + def test_has_no_threads(self): + self.assertFalse(self.spec1.has_threads()) + + def test_total_ranks(self): + self.assertEqual(self.spec1.total_ranks(), 0) + + def test_is_pure_serial(self): + self.assertTrue(self.spec1.is_pure_serial()) + + def test_is_not_pure_openmp(self): + self.assertFalse(self.spec1.is_pure_openmp()) + + def test_individual_spec_is_pure_serial(self): + self.assertTrue(self.spec1[0].is_pure_serial()) + + def test_individual_spec_is_not_openmp(self): + self.assertFalse(self.spec1[0].is_openmp()) + + def test_individual_spec_is_not_mpi(self): + self.assertFalse(self.spec1[0].is_mpi()) + +class TestOpenMP(unittest.TestCase): + + def setUp(self): + inputData=[ { 'OMP_NUM_THREADS':20 } ] + self.spec1=jobs.JobResourceSpec(inputData) + + def test_has_threads(self): + self.assertTrue(self.spec1.has_threads()) + + def test_total_ranks(self): + self.assertEqual(self.spec1.total_ranks(), 0) + + def test_is_not_pure_serial(self): + self.assertFalse(self.spec1.is_pure_serial()) + + def test_is_pure_openmp(self): + self.assertTrue(self.spec1.is_pure_openmp()) + + def test_individual_spec_is_not_pure_serial(self): + self.assertFalse(self.spec1[0].is_pure_serial()) + + def test_individual_spec_is_openmp(self): + self.assertTrue(self.spec1[0].is_openmp()) + + def test_individual_spec_is_not_mpi(self): + self.assertFalse(self.spec1[0].is_mpi()) + +if __name__ == '__main__': + unittest.main() diff --git a/tests/unittests/moab/test_simplify.py b/tests/unittests/moab/test_simplify.py new file mode 100755 index 0000000..09aaf73 --- /dev/null +++ b/tests/unittests/moab/test_simplify.py @@ -0,0 +1,48 @@ +#! /usr/bin/env python3 +f'This script requires python 3.6 or later' + +import unittest +from context import crow +import crow.metascheduler.algebra as ag +import crow.config +from datetime import timedelta +from crow.config import OrDependency,AndDependency,NotDependency, \ + TRUE_DEPENDENCY, FALSE_DEPENDENCY, LogicalDependency + + +class TestSimplify(unittest.TestCase): + + def setUp(self): + self.DEP1=crow.config.CycleExistsDependency(timedelta()) + self.DEP2=crow.config.CycleExistsDependency(timedelta(seconds=3600)) + self.DEP3=crow.config.CycleExistsDependency(timedelta(seconds=7200)) + self.DEP4=crow.config.CycleExistsDependency(timedelta(seconds=10800)) + + + def test_comp_or(self): + self.assertAlmostEqual(ag.complexity(self.DEP1|self.DEP2), 2.4, places=3) + + def test_comp_and(self): + self.assertAlmostEqual(ag.complexity(self.DEP1&self.DEP2), 2.4, places=3) + + def test_comp_nand(self): + self.assertAlmostEqual(ag.complexity(~(self.DEP1&self.DEP2)), 2.88, places=3) + + def test_simp_a_or_not_a(self): + self.assertEqual(ag.simplify(~self.DEP1 | self.DEP1), TRUE_DEPENDENCY) + + def test_simp_a_and_not_a(self): + self.assertEqual(ag.simplify(~self.DEP1 & self.DEP1), FALSE_DEPENDENCY) + + def test_simp_not_not_a_or_not_b(self): + self.assertEqual(ag.simplify(~(~self.DEP1 | ~self.DEP2)), self.DEP1 & self.DEP2) + + def test_simp_gobbledygook(self): + self.assertEqual(ag.simplify(~self.DEP2 & ~(~self.DEP1 | ~self.DEP2)), FALSE_DEPENDENCY) + + def test_simp_extended_expr(self): + self.assertEqual(ag.simplify((self.DEP1 | self.DEP2 | self.DEP4) & \ + (self.DEP1 | self.DEP3 | self.DEP4)), \ + self.DEP1 | self.DEP2 & self.DEP3 | self.DEP4) +if __name__ == '__main__': + unittest.main() diff --git a/tests/unittests/moab/test_util.py b/tests/unittests/moab/test_util.py new file mode 100755 index 0000000..4a2b865 --- /dev/null +++ b/tests/unittests/moab/test_util.py @@ -0,0 +1,26 @@ +#! /usr/bin/env python3 +f'This script requires python 3.6 or later' + +import unittest +from context import crow +from crow.sysenv.util import ranks_to_nodes_ppn + +class TestRankstoNodes(unittest.TestCase): + + def test_10_109(self): + self.assertEqual([(10, 10),(1, 9)], ranks_to_nodes_ppn(10, 109)) + + def test_3_10(self): + self.assertEqual([(2, 3),(2, 2)], ranks_to_nodes_ppn(3, 10)) + + def test_10_3(self): + self.assertEqual([(1, 3)], ranks_to_nodes_ppn(10, 3)) + + def test_24_31(self): + self.assertEqual([(1, 16),(1, 15)], ranks_to_nodes_ppn(24, 31)) + + def test_24_62(self): + self.assertEqual([(2, 21),(1, 20)], ranks_to_nodes_ppn(24, 62)) + +if __name__ == '__main__': + unittest.main() diff --git a/tests/unittests/slurm/__init__.py b/tests/unittests/slurm/__init__.py new file mode 100644 index 0000000..e69de29 diff --git a/tests/unittests/slurm/context.py b/tests/unittests/slurm/context.py new file mode 100644 index 0000000..59d8074 --- /dev/null +++ b/tests/unittests/slurm/context.py @@ -0,0 +1,5 @@ +import os +import sys +sys.path.insert(0, os.path.abspath(os.path.join(os.path.dirname(__file__), '../../..'))) +#print(sys.path) +import crow diff --git a/tests/unittests/slurm/run_tests.sh b/tests/unittests/slurm/run_tests.sh new file mode 100755 index 0000000..2a4561f --- /dev/null +++ b/tests/unittests/slurm/run_tests.sh @@ -0,0 +1,3 @@ +#! /bin/sh +/usr/bin/env python3 -c "f'This script requires python 3.6 or later'" +python3 -m unittest discover -v -start-directory . diff --git a/tests/unittests/slurm/test_HydraIMPI.py b/tests/unittests/slurm/test_HydraIMPI.py new file mode 100755 index 0000000..09c5a4c --- /dev/null +++ b/tests/unittests/slurm/test_HydraIMPI.py @@ -0,0 +1,100 @@ +#! /usr/bin/env python3 + +import unittest, os, sys, logging + +from context import crow + +from crow import config +from crow import metascheduler +from crow.sysenv import JobResourceSpec +from crow.sysenv import get_parallelism +from crow.sysenv import get_scheduler + +logging.basicConfig(stream=sys.stderr,level=logging.INFO) +logger = logging.getLogger() + +class TestHydraIMPI(unittest.TestCase): + @classmethod + def setUpClass(self): + + settings={ 'mpi_runner':'mpiexec', + 'physical_cores_per_node':24, + 'logical_cpus_per_core':2, + 'hyperthreading_allowed':True } + + self.par=get_parallelism('HydraIMPI',settings) + self.sch=get_scheduler('MoabTorque',settings) + + def test_HydraIMPI_big(self): + ranks=[ { 'mpi_ranks':12, 'hyperthreads':1, 'OMP_NUM_THREADS':4, 'exe':'exe1', + 'HydraIMPI_extra':[ '-gdb', '-envall' ] }, + { 'mpi_ranks':48, 'OMP_NUM_THREADS':1, 'exe':'exe2', + 'HydraIMPI_extra':'-envall' }, + { 'mpi_ranks':200,'hyperthreads':1, 'exe':'exe2' } ] + + jr=JobResourceSpec(ranks) + cmd=self.par.make_ShellCommand(jr) + res=self.sch.rocoto_resources(jr) + + if os.environ.get('LOG_LEVEL','None') != "INFO": + logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) + logger.info('\n\nbig ranks:\n'+str(ranks) ) + logger.info( 'big cmd :\n'+str(cmd) ) + logger.info( 'big res :\n'+str(res) ) + logging.disable(logging.NOTSET) + self.assertTrue(str(cmd)=="ShellCommand(command=['mpiexec', '-gdb', '-envall', '-np', '12', '/usr/bin/env', 'OMP_NUM_THREADS=4', 'exe1', ':', '-envall', '-np', '48', '/usr/bin/env', 'OMP_NUM_THREADS=1', 'exe2', ':', '-np', '200', 'exe2'], env=None, cwd=None, files=[ ])") + self.assertTrue(str(res)=='2:ppn=6+2:ppn=24+2:ppn=23+7:ppn=22\n') + + def test_HydraIMPI_max_ppn(self): + ranks=[ { 'mpi_ranks':12, 'max_ppn':2, 'exe':'doit' }, + { 'mpi_ranks':12, 'max_ppn':4, 'exe':'doit' } ] + + jr=JobResourceSpec(ranks) + cmd=self.par.make_ShellCommand(jr) + res=self.sch.rocoto_resources(jr) + + if os.environ.get('LOG_LEVEL','None') != "INFO": + logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) + logger.info('\n\nnmax_notMPI ranks:\n'+str(ranks) ) + logger.info( 'nmax_notMPI cmd :\n'+str(cmd) ) + logger.info( 'nmax_notMPI res :\n'+str(res) ) + logging.disable(logging.NOTSET) + + self.assertTrue(str(cmd)=="ShellCommand(command=['mpiexec', '-np', '12', 'doit', ':', '-np', '12', 'doit'], env=None, cwd=None, files=[ ])") + self.assertTrue(str(res)=='6:ppn=2+3:ppn=4\n') + + def test_HydraIMPI_max_notMPI(self): + ranks=[ { 'OMP_NUM_THREADS':'max', 'exe':'exe1' } ] + + jr=JobResourceSpec(ranks) + cmd=self.par.make_ShellCommand(jr) + res=self.sch.rocoto_resources(jr) + + if os.environ.get('LOG_LEVEL','None') != "INFO": + logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) + logger.info('\n\nnmax_notMPI ranks:\n'+str(ranks) ) + logger.info( 'nmax_notMPI cmd :\n'+str(cmd) ) + logger.info( 'nmax_notMPI res :\n'+str(res) ) + logging.disable(logging.NOTSET) +# print(cmd) + self.assertTrue(str(cmd)=="ShellCommand(command=['/bin/sh', '-c', 'exe1'], env={'OMP_NUM_THREADS': 24}, cwd=None, files=[ ])") + self.assertTrue(str(res)=='1:ppn=2\n') + + def test_HydraIMPI_max_OMP_NUM_THREADS(self): + ranks=[ { 'mpi_ranks':12, 'OMP_NUM_THREADS':'max', 'exe':'exe1', 'max_ppn':4 } ] + + jr=JobResourceSpec(ranks) + cmd=self.par.make_ShellCommand(jr) + res=self.sch.rocoto_resources(jr) + + if os.environ.get('LOG_LEVEL','None') != "INFO": + logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) + logger.info('\n\nnmax_OMP ranks:\n'+str(ranks) ) + logger.info ( 'nmax_OMP cmd :\n'+str(cmd) ) + logger.info ( 'nmax_OMP res :\n'+str(res) ) + logging.disable(logging.NOTSET) + self.assertTrue(str(cmd)=="ShellCommand(command=['mpiexec', '-np', '12', '/usr/bin/env', 'OMP_NUM_THREADS=6', 'exe1'], env=None, cwd=None, files=[ ])") + self.assertTrue(str(res)=='3:ppn=4\n') + +if __name__ == '__main__': + unittest.main() diff --git a/tests/unittests/slurm/test_ShellCommand.py b/tests/unittests/slurm/test_ShellCommand.py new file mode 100755 index 0000000..0c689e8 --- /dev/null +++ b/tests/unittests/slurm/test_ShellCommand.py @@ -0,0 +1,23 @@ +#! /usr/bin/env python3 + +import unittest +from context import crow +from crow.sysenv import ShellCommand + +import os, subprocess + +class TestShellCommand(unittest.TestCase): + + def test_ShellCommand(self): + if os.path.exists('file1'): os.unlink('file1') + if os.path.exists('file2'): os.unlink('file2') + + cmd=ShellCommand([ '/bin/sh','-c', 'cat $FILE1 $FILE2' ] , + files=[ { 'name':'file1', 'content':'hello '} , {'name':'file2', 'content':'world\n'} ], + env={ 'FILE1':'file1', 'FILE2':'file2' } , cwd='.' ) + + result=cmd.run(stdout=subprocess.PIPE,encoding='ascii') + self.assertTrue(result.stdout=='hello world\n') + + if os.path.exists('file1'): os.unlink('file1') + if os.path.exists('file2'): os.unlink('file2') diff --git a/tests/unittests/slurm/test_SrunMPI.py b/tests/unittests/slurm/test_SrunMPI.py new file mode 100755 index 0000000..97f3d6e --- /dev/null +++ b/tests/unittests/slurm/test_SrunMPI.py @@ -0,0 +1,67 @@ +#! /usr/bin/env python3 + +import unittest, os, sys, logging + +from context import crow + +from crow import config +from crow import metascheduler +from crow.sysenv import JobResourceSpec +from crow.sysenv import get_parallelism +from crow.sysenv import get_scheduler + +logging.basicConfig(stream=sys.stderr,level=logging.INFO) +logger = logging.getLogger() + +class TestAprunCrayMPI(unittest.TestCase): + @classmethod + def setUpClass(self): + + settings={ 'mpi_runner':'mpiexec', + 'physical_cores_per_node':24, + 'logical_cpus_per_core':2, + 'hyperthreading_allowed':True } + + self.par=get_parallelism('AprunCrayMPI',settings) + self.sch=get_scheduler('LSFAlps',settings) + + def test_AprunCrayMPI_big(self): + + ranks=[ { 'mpi_ranks':12, 'hyperthreads':1, 'OMP_NUM_THREADS':4, 'exe':'exe1', + 'AprunCrayMPI_extra':[ '-gdb', '-envall' ] }, + { 'mpi_ranks':48, 'OMP_NUM_THREADS':1, 'exe':'exe2', + 'AprunCrayMPI_extra':'-envall' }, + { 'mpi_ranks':200,'hyperthreads':1, 'exe':'exe2' } ] + + jr=JobResourceSpec(ranks) + cmd=self.par.make_ShellCommand(jr) + res=self.sch.rocoto_resources(jr) + + if os.environ.get('LOG_LEVEL','None') != "INFO": + logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) + logger.info('\n\nnmax_notMPI ranks:\n'+str(ranks) ) + logger.info( 'nmax_notMPI cmd :\n'+str(cmd) ) + logger.info( 'nmax_notMPI res :\n'+str(res) ) + logging.disable(logging.NOTSET) + + logging.info("assertions not set yet") + self.assertTrue( 'True' == 'True' ) + + def test_AprunCrayMPI_max_ppn(self): + + ranks=[ { 'mpi_ranks':12, 'max_ppn':2, 'exe':'doit' }, + { 'mpi_ranks':12, 'max_ppn':4, 'exe':'doit' } ] + + jr=JobResourceSpec(ranks) + cmd=self.par.make_ShellCommand(jr) + res=self.sch.rocoto_resources(jr) + + if os.environ.get('LOG_LEVEL','None') != "INFO": + logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) + logger.info('\n\nnmax_notMPI ranks:\n'+str(ranks) ) + logger.info( 'nmax_notMPI cmd :\n'+str(cmd) ) + logger.info( 'nmax_notMPI res :\n'+str(res) ) + logging.disable(logging.NOTSET) + + logging.info("assertions not set yet") + self.assertTrue( 'True' == 'True' ) diff --git a/tests/unittests/slurm/test_exampleConfig.py b/tests/unittests/slurm/test_exampleConfig.py new file mode 100755 index 0000000..3b8ffbd --- /dev/null +++ b/tests/unittests/slurm/test_exampleConfig.py @@ -0,0 +1,134 @@ +#! /usr/bin/env python3.6 + +import unittest +from context import crow +import crow.config +from datetime import timedelta, date, datetime +from collections import OrderedDict + + +class TestExampleConfig(unittest.TestCase): + + def setUp(self): + self.config=crow.config.from_file('../../test_data/toy-yaml/test.yml', + '../../test_data/toy-yaml/platform.yml', + '../../test_data/toy-yaml/templates.yml', + '../../test_data/toy-yaml/actions.yml') + crow.config.validate(self.config.fcst) + crow.config.validate(self.config.test) + crow.config.validate(self.config.gfsfcst) + + def test_not_working(self): + self.assertTrue(True) + + def test_ordered_dict(self): + self.assertEqual(self.config.ordered_dict, \ + OrderedDict({('one',1), ('two',2), ('three',3), ('four',4), + ('five',5)})) + + def test_set(self): + self.assertEqual(self.config.set, set((2, date(2017, 8, 15), 'a'))) + + def test_bool_array(self): + self.assertEqual(self.config.fcst.bool_array, [True, False, True]) + + def test_int_array(self): + self.assertEqual(self.config.fcst.int_array, [1, 2, 3, 4, 5]) + + def test_string_array(self): + self.assertEqual(self.config.fcst.string_array, ['a', 'b', 'c', 'd', + 'e']) + + def test_plus(self): + self.assertEqual(self.config.gfsfcst.a, 10) + + def test_FirstMax(self): + self.assertEqual(self.config.gfsfcst.d, 9200) + + def test_calclist(self): + self.assertEqual(self.config.gfsfcst.stuff[0], 30) + + def test_default(self): + self.assertEqual(self.config.gfsfcst.cow, 'blue') + self.assertEqual(self.config.gfsfcst.dog, 'brown') + + def test_strlen_func(self): + self.assertEqual(self.config.gfsfcst.lencow, 4) + + def test_FirstTrue(self): + self.assertEqual(self.config.test.B, 'B') + + def test_LastTrue(self): + self.assertEqual(self.config.test.C, 'C') + + def test_NoneTrue(self): + self.assertIsNone(self.config.test.none) + + def test_conditionals_on_empty_list(self): + for bad in ['lt', 'ft', 'xv', 'nv']: + self.assertIsNone(self.config.test['bad' + bad]) + + def test_time_values(self): + self.assertEqual(self.config.test.dt, timedelta(0, 12000)) + self.assertEqual(self.config.test.fcsttime, datetime(2017, 9, 19, 21, 20)) + self.assertEqual(self.config.test.fYMDH, '2017091921') + + def test_string_expansion(self): + self.assertEqual(self.config.test.expandme, 'abc, def, ghi') + + def test_fcst_values(self): + self.assertEqual(self.config.fcst.hydro_mono, 'hydro_mono') + + def test_inline_namelist(self): + namelist_for_test = self.config.fcst.some_namelist + cmpline=["&some_namelist", " int_array = 1, 2, 3, 4, 5", + " bool_array = .true., .false., .true.", + " string_array = 'a', 'b', 'c', 'd', 'e'", + " type = 'hydro'", " mono = 'mono'", + " shal_cnv = .true.", " agrid_vel_rst= .true.", + "/", ""] + for lnum, line in enumerate(namelist_for_test.split('\n')): + #print("\nline XXX"+line+"XXX") + #print("cmplineXXX"+cmpline[lnum]+"XXX") + self.assertEqual(line,cmpline[lnum], + "line {} not equal to expected {} in \ + namelist".format(line, cmpline[lnum])) + + def test_file_namelist(self): + with open('../../test_data/toy-yaml/namelist.nl','rt') as fd: + namelist_nl=fd.read() + + namelist2_for_test = crow.config.expand_text(namelist_nl,self.config.fcst) + + cmpline=["&some_namelist", " int_array = 1, 2, 3, 4, 5", + " bool_array = .true., .false., .true.", + " string_array = 'a', 'b', 'c', 'd', 'e'", + " type = 'hydro'", " mono = 'mono'", + " shal_cnv = .true.", " agrid_vel_rst= .true.", + "/", ""] + for lnum, line in enumerate(namelist2_for_test.split('\n')): + #print("\nline XXX"+line+"XXX") + #print("cmplineXXX"+cmpline[lnum]+"XXX") + self.assertEqual(line,cmpline[lnum], + "line {} not equal to expected {} in \ + namelist".format(line, cmpline[lnum])) + + def test_error_clause(self): + try: + s=self.config.test.error + self.assertTrue(False, "Failed to process error clause properly.") + except crow.config.ConfigUserError as e: + self.assertTrue(True) + + def test_msg_clause(self): + self.assertEqual(self.config.test.message,'hello') + + def test_inherit(self): + crow.config.validate(self.config.fancy_fcst) + self.assertEqual(self.config.fancy_fcst.stuff[0], 30) + self.assertEqual(self.config.fancy_fcst.fancy_var, 5) + self.assertNotIn('not_fancy', self.config.fancy_fcst) + + +if __name__ == '__main__': + unittest.main() diff --git a/tests/unittests/slurm/test_jobs.py b/tests/unittests/slurm/test_jobs.py new file mode 100755 index 0000000..884a52f --- /dev/null +++ b/tests/unittests/slurm/test_jobs.py @@ -0,0 +1,104 @@ +#! /usr/bin/env python3 +f'This script requires python 3.6 or later' + +import unittest +from context import crow +from crow.sysenv import jobs +class TestBoth(unittest.TestCase): + + def setUp(self): + inputData=[ + {'mpi_ranks':5, 'OMP_NUM_THREADS':12}, + {'mpi_ranks':7, 'OMP_NUM_THREADS':12}, + {'mpi_ranks':7} ] + + self.spec1=jobs.JobResourceSpec(inputData) + + def test_has_threads(self): + self.assertTrue(self.spec1.has_threads()) + + def test_num_ranks(self): + self.assertEqual(self.spec1.total_ranks(), 19, + 'incorrect number of ranks') + + def test_pure_serial(self): + self.assertFalse(self.spec1.is_pure_serial()) + + def test_pure_openMP(self): + self.assertFalse(self.spec1.is_pure_openmp()) + + def test_spec_length(self): + self.assertEqual(len(self.spec1),3) + + def test_is_mpi(self): + for tspec in self.spec1: + self.assertTrue(tspec.is_mpi()) + + def test_openmp_true(self): + for x in [0,1]: + self.assertTrue(self.spec1[x].is_openmp()) + + def test_openmp_false(self): + self.assertFalse(self.spec1[2].is_openmp()) + + def test_is_pure_serial(self): + for tspec in self.spec1: + self.assertFalse(tspec.is_pure_serial()) + + +class TestSerial(unittest.TestCase): + + def setUp(self): + inputData=[ { 'exe':'echo', 'args':['hello','world'] } ] + self.spec1=jobs.JobResourceSpec(inputData) + + def test_has_no_threads(self): + self.assertFalse(self.spec1.has_threads()) + + def test_total_ranks(self): + self.assertEqual(self.spec1.total_ranks(), 0) + + def test_is_pure_serial(self): + self.assertTrue(self.spec1.is_pure_serial()) + + def test_is_not_pure_openmp(self): + self.assertFalse(self.spec1.is_pure_openmp()) + + def test_individual_spec_is_pure_serial(self): + self.assertTrue(self.spec1[0].is_pure_serial()) + + def test_individual_spec_is_not_openmp(self): + self.assertFalse(self.spec1[0].is_openmp()) + + def test_individual_spec_is_not_mpi(self): + self.assertFalse(self.spec1[0].is_mpi()) + +class TestOpenMP(unittest.TestCase): + + def setUp(self): + inputData=[ { 'OMP_NUM_THREADS':20 } ] + self.spec1=jobs.JobResourceSpec(inputData) + + def test_has_threads(self): + self.assertTrue(self.spec1.has_threads()) + + def test_total_ranks(self): + self.assertEqual(self.spec1.total_ranks(), 0) + + def test_is_not_pure_serial(self): + self.assertFalse(self.spec1.is_pure_serial()) + + def test_is_pure_openmp(self): + self.assertTrue(self.spec1.is_pure_openmp()) + + def test_individual_spec_is_not_pure_serial(self): + self.assertFalse(self.spec1[0].is_pure_serial()) + + def test_individual_spec_is_openmp(self): + self.assertTrue(self.spec1[0].is_openmp()) + + def test_individual_spec_is_not_mpi(self): + self.assertFalse(self.spec1[0].is_mpi()) + +if __name__ == '__main__': + unittest.main() diff --git a/tests/unittests/slurm/test_simplify.py b/tests/unittests/slurm/test_simplify.py new file mode 100755 index 0000000..09aaf73 --- /dev/null +++ b/tests/unittests/slurm/test_simplify.py @@ -0,0 +1,48 @@ +#! /usr/bin/env python3 +f'This script requires python 3.6 or later' + +import unittest +from context import crow +import crow.metascheduler.algebra as ag +import crow.config +from datetime import timedelta +from crow.config import OrDependency,AndDependency,NotDependency, \ + TRUE_DEPENDENCY, FALSE_DEPENDENCY, LogicalDependency + + +class TestSimplify(unittest.TestCase): + + def setUp(self): + self.DEP1=crow.config.CycleExistsDependency(timedelta()) + self.DEP2=crow.config.CycleExistsDependency(timedelta(seconds=3600)) + self.DEP3=crow.config.CycleExistsDependency(timedelta(seconds=7200)) + self.DEP4=crow.config.CycleExistsDependency(timedelta(seconds=10800)) + + + def test_comp_or(self): + self.assertAlmostEqual(ag.complexity(self.DEP1|self.DEP2), 2.4, places=3) + + def test_comp_and(self): + self.assertAlmostEqual(ag.complexity(self.DEP1&self.DEP2), 2.4, places=3) + + def test_comp_nand(self): + self.assertAlmostEqual(ag.complexity(~(self.DEP1&self.DEP2)), 2.88, places=3) + + def test_simp_a_or_not_a(self): + self.assertEqual(ag.simplify(~self.DEP1 | self.DEP1), TRUE_DEPENDENCY) + + def test_simp_a_and_not_a(self): + self.assertEqual(ag.simplify(~self.DEP1 & self.DEP1), FALSE_DEPENDENCY) + + def test_simp_not_not_a_or_not_b(self): + self.assertEqual(ag.simplify(~(~self.DEP1 | ~self.DEP2)), self.DEP1 & self.DEP2) + + def test_simp_gobbledygook(self): + self.assertEqual(ag.simplify(~self.DEP2 & ~(~self.DEP1 | ~self.DEP2)), FALSE_DEPENDENCY) + + def test_simp_extended_expr(self): + self.assertEqual(ag.simplify((self.DEP1 | self.DEP2 | self.DEP4) & \ + (self.DEP1 | self.DEP3 | self.DEP4)), \ + self.DEP1 | self.DEP2 & self.DEP3 | self.DEP4) +if __name__ == '__main__': + unittest.main() diff --git a/tests/unittests/slurm/test_util.py b/tests/unittests/slurm/test_util.py new file mode 100755 index 0000000..4a2b865 --- /dev/null +++ b/tests/unittests/slurm/test_util.py @@ -0,0 +1,26 @@ +#! /usr/bin/env python3 +f'This script requires python 3.6 or later' + +import unittest +from context import crow +from crow.sysenv.util import ranks_to_nodes_ppn + +class TestRankstoNodes(unittest.TestCase): + + def test_10_109(self): + self.assertEqual([(10, 10),(1, 9)], ranks_to_nodes_ppn(10, 109)) + + def test_3_10(self): + self.assertEqual([(2, 3),(2, 2)], ranks_to_nodes_ppn(3, 10)) + + def test_10_3(self): + self.assertEqual([(1, 3)], ranks_to_nodes_ppn(10, 3)) + + def test_24_31(self): + self.assertEqual([(1, 16),(1, 15)], ranks_to_nodes_ppn(24, 31)) + + def test_24_62(self): + self.assertEqual([(2, 21),(1, 20)], ranks_to_nodes_ppn(24, 62)) + +if __name__ == '__main__': + unittest.main() From a51f67712efbaef09a0bb5f0920de4f0bb8ddf6c Mon Sep 17 00:00:00 2001 From: "jian.kuang" Date: Thu, 6 Dec 2018 19:54:18 +0000 Subject: [PATCH 432/487] Slurm support for CROW --- crow/sysenv/schedulers/Slurm.py | 252 ++++++++++++++++++++++++++++++++ 1 file changed, 252 insertions(+) create mode 100644 crow/sysenv/schedulers/Slurm.py diff --git a/crow/sysenv/schedulers/Slurm.py b/crow/sysenv/schedulers/Slurm.py new file mode 100644 index 0000000..56bd805 --- /dev/null +++ b/crow/sysenv/schedulers/Slurm.py @@ -0,0 +1,252 @@ +import itertools, math +from io import StringIO + +import crow.tools as tools +from crow.sysenv.exceptions import * +from crow.sysenv.util import ranks_to_nodes_ppn +from crow.sysenv.jobs import JobResourceSpec +from crow.sysenv.nodes import GenericNodeSpec +from crow.sysenv.schedulers.base import Scheduler as BaseScheduler + +from collections import Sequence + +__all__=['Scheduler'] + +class Scheduler(BaseScheduler): + + def __init__(self,settings,**kwargs): + self.settings=dict(settings) + self.settings.update(kwargs) + self.nodes=GenericNodeSpec(settings) + self.rocoto_name='MoabTorque' + self.indent_text=str(settings.get('indent_text',' ')) + + def max_ranks_per_node(self,spec): + if not spec.is_pure_serial() and not spec.is_pure_openmp(): + # MPI program. Merge ranks if allowed. + spec=self.nodes.with_similar_ranks_merged( + spec,can_merge_ranks=self.nodes.same_except_exe) + return max([ self.nodes.max_ranks_per_node(j) for j in spec ]) + + #################################################################### + + # Batch card generation + + def batch_accounting(self,*args,**kwargs): + spec=tools.make_dict_from(args,kwargs) + space=self.indent_text + sio=StringIO() + + if 'queue' in spec: + sio.write(f'#SBATCH -p {spec["queue"]!s}\n') + if 'project' in spec: + sio.write(f'#SBATCH -A {spec["project"]!s}\n') + if 'account' in spec: + sio.write(f'#SBATCH -A {spec["account"]!s}\n') + if 'partition' in spec and spec['partition']: #slurm treat 'partition' same as 'queue' + sio.write(f'#SBATCH -p partition={spec["partition"]!s}\n') + if 'jobname' in spec: + sio.write(f'#SBATCH -J {spec["jobname"]}\n') +# if 'reservation' in spec: +# sio.write(f'#PBS -l flags=ADVRES:{spec["reservation"]}\n') + if 'outerr' in spec: + sio.write(f'#SBATCH -e {spec["outerr"]}\n') + else: + if 'stdout' in spec: + sio.write('#SBATCH -o {spec["stdout"]}\n') + if 'stderr' in spec: + sio.write('#SBATCH -e {spec["stderr"]}\n') + + ret=sio.getvalue() + sio.close() + return ret + + def get_memory_from_resource_spec(self,spec): + for memvar in [ 'compute_memory', 'memory' ]: + memory=spec[0].get(memvar,'') + if not memory: continue + bytes=tools.memory_in_bytes(memory) + return int(math.ceil(bytes/1048576.)) + return None + + def batch_resources(self,*args,**kwargs): + spec=tools.make_dict_from(args,kwargs) + space=self.indent_text + sio=StringIO() + if not isinstance(spec,JobResourceSpec): + spec=JobResourceSpec(spec) + + result='' + if spec[0].get('walltime',''): + dt=tools.to_timedelta(spec[0]['walltime']) + dt=dt.total_seconds() + hours=int(dt//3600) + minutes=int((dt%3600)//60) + seconds=int(math.floor(dt%60)) + sio.write(f'#SBATCH -t {hours:d}:{minutes:02d}' + f':{seconds:02d}\n') + + megabytes=self.get_memory_from_resource_spec(spec) + if megabytes is not None: + sio.write(f'#SBATCH --mem={megabytes:d}M\n') + + if spec[0].get('outerr',''): + sio.write(f'#SBATCH -e {spec[0]["outerr"]}\n') + else: + if spec[0].get('stdout',''): + sio.write('#SBATCH -o {spec[0]["stdout"]}\n') + if spec[0].get('stderr',''): + sio.write('#SBATCH -e {spec[0]["stderr"]}\n') + if spec[0].get('jobname'): + sio.write('#SBATCH -J {spec[0]["jobname"]}\n') + + # -------------------------------------------------------------- + # Request processors. + if spec.is_pure_serial(): + if spec[0].is_exclusive() in [True,None]: + sio.write('#SBATCH -N 1 -n 2\n') + else: + sio.write('#SBATCH -n 1\n') + elif spec.is_pure_openmp(): + # Pure threaded. Treat as exclusive serial. + sio.write('#SBATCH -N 1 -n 2\n') + else: + # This is an MPI program. + + # Split into (nodes,ranks_per_node) pairs. Ignore + # differing executables between ranks while merging them + # (del_exe): + nodes_ranks=self.nodes.to_nodes_ppn( + spec,can_merge_ranks=self.nodes.same_except_exe) + sio.write('#SBATCH -N ') + sio.write('+'.join([f'{n} -n {p}' for n,p in nodes_ranks ])) + sio.write('\n') + ret=sio.getvalue() + sio.close() + return ret + + #################################################################### + + # Rocoto XML generation + + def rocoto_accounting(self,*args,indent=0,**kwargs): + spec=tools.make_dict_from(args,kwargs) + space=self.indent_text + sio=StringIO() + if 'queue' in spec: + sio.write(f'{indent*space}{spec["queue"]!s}\n') + if 'account' in spec: + sio.write(f'{indent*space}{spec["account"]!s}\n') + if 'project' in spec: + sio.write(f'{indent*space}{spec["project"]!s}\n') + if 'partition' in spec and spec['partition']: + sio.write(f'{indent*space}-l partition=' + f'{spec["partition"]!s}\n') + if 'account' in spec: + sio.write(f'{indent*space}{spec["account"]!s}\n') + if 'jobname' in spec: + sio.write(f'{indent*space}{spec["jobname"]!s}\n') + if 'reservation' in spec: + sio.write(f'{indent*space}-l flags=ADVRES:{spec["reservation"]}\n') + if 'outerr' in spec: + sio.write(f'{indent*space}{spec["outerr"]}\n') + else: + if 'stdout' in spec: + sio.write('{indent*space}{spec["stdout"]}\n') + if 'stderr' in spec: + sio.write('{indent*space}{spec["stderr"]}\n') + ret=sio.getvalue() + sio.close() + return ret + + def rocoto_resources(self,*args,indent=0,**kwargs): + spec=tools.make_dict_from(args,kwargs) + sio=StringIO() + space=self.indent_text + if not isinstance(spec,JobResourceSpec): + spec=JobResourceSpec(spec) + + if spec[0].get('walltime',''): + dt=tools.to_timedelta(spec[0]['walltime']) + dt=dt.total_seconds() + hours=int(dt//3600) + minutes=int((dt%3600)//60) + seconds=int(math.floor(dt%60)) + sio.write(f'{indent*space}{hours}:{minutes:02d}:{seconds:02d}\n') + + megabytes=self.get_memory_from_resource_spec(spec) + if megabytes is not None: + sio.write(f'{indent*space}{megabytes:d}M\n') + + if 'outerr' in spec: + sio.write(f'{indent*space}{spec["outerr"]}\n') + else: + if 'stdout' in spec: + sio.write('{indent*space}{spec["stdout"]}\n') + if 'stderr' in spec: + sio.write('{indent*space}{spec["stderr"]}\n') + + if spec.is_pure_serial(): + if spec[0].is_exclusive() in [True,None]: + sio.write(indent*space+'1:ppn=2\n') + else: + sio.write(indent*space+'1\n') + elif spec.is_pure_openmp(): + # Pure threaded. Treat as exclusive serial. + sio.write(indent*space+'1:ppn=2\n') + else: + # This is an MPI program. + + # Split into (nodes,ranks_per_node) pairs. Ignore differing + # executables between ranks while merging them (del_exe): + nodes_ranks=self.nodes.to_nodes_ppn( + spec,can_merge_ranks=self.nodes.same_except_exe) + + sio.write(indent*space+'' \ + + '+'.join([f'{n}:ppn={p}' for n,p in nodes_ranks ]) \ + + '\n') + ret=sio.getvalue() + sio.close() + return ret + +def test(): + settings={ 'physical_cores_per_node':24, + 'logical_cpus_per_core':2, + 'hyperthreading_allowed':True } + sched=Scheduler(settings) + + # MPI + OpenMP program test + input1=[ + {'mpi_ranks':5, 'OMP_NUM_THREADS':12}, + {'mpi_ranks':7, 'OMP_NUM_THREADS':12}, + {'mpi_ranks':7} ] + spec1=JobResourceSpec(input1) + result=sched.rocoto_resources(spec1) + assert(result=='6:ppn=2+1:ppn=7\n') + + # Serial program test + input2=[ { 'exe':'echo', 'args':['hello','world'], 'exclusive':False } ] + spec2=JobResourceSpec(input2) + assert(sched.rocoto_resources(spec2)=='1\n') + + # Exclusive serial program test + input3=[ { 'exe':'echo', 'args':['hello','world 2'], 'exclusive':True } ] + spec3=JobResourceSpec(input3) + result=sched.rocoto_resources(spec3) + assert(result=='1:ppn=2\n') + + # Pure openmp test + input4=[ { 'OMP_NUM_THREADS':20 } ] + spec4=JobResourceSpec(input4) + result=sched.rocoto_resources(spec4) + assert(result=='1:ppn=2\n') + + # Too big for node + try: + input5=[ { 'OMP_NUM_THREADS':200, 'mpi_ranks':3 } ] + spec5=JobResourceSpec(input5) + result=sched.rocoto_resources(spec5) + assert(False) + except MachineTooSmallError: + pass # success! + From 4973fa7994d669b8fd3e1baf9454c957585b8bec Mon Sep 17 00:00:00 2001 From: "jian.kuang" Date: Thu, 6 Dec 2018 21:59:31 +0000 Subject: [PATCH 433/487] Slurm capability --- crow/sysenv/schedulers/Slurm.py | 2 +- crow/sysenv/schedulers/__init__.py | 4 +++- 2 files changed, 4 insertions(+), 2 deletions(-) diff --git a/crow/sysenv/schedulers/Slurm.py b/crow/sysenv/schedulers/Slurm.py index 56bd805..d8415cb 100644 --- a/crow/sysenv/schedulers/Slurm.py +++ b/crow/sysenv/schedulers/Slurm.py @@ -18,7 +18,7 @@ def __init__(self,settings,**kwargs): self.settings=dict(settings) self.settings.update(kwargs) self.nodes=GenericNodeSpec(settings) - self.rocoto_name='MoabTorque' + self.rocoto_name='Slurm' self.indent_text=str(settings.get('indent_text',' ')) def max_ranks_per_node(self,spec): diff --git a/crow/sysenv/schedulers/__init__.py b/crow/sysenv/schedulers/__init__.py index 3a1df13..a7fc53a 100644 --- a/crow/sysenv/schedulers/__init__.py +++ b/crow/sysenv/schedulers/__init__.py @@ -4,13 +4,15 @@ from crow.sysenv.schedulers.MoabAlpsSh import Scheduler as MoabAlpsShScheduler from crow.sysenv.schedulers.LSFAlps import Scheduler as LSFAlpsScheduler from crow.sysenv.schedulers.LSF import Scheduler as LSFScheduler +from crow.sysenv.schedulers.Slurm import Scheduler as SlurmScheduler KNOWN_SCHEDULERS={ 'MoabTorque': MoabTorqueScheduler, 'MoabAlps': MoabAlpsScheduler, 'MoabAlpsSh': MoabAlpsShScheduler, 'LSFAlps': LSFAlpsScheduler, - 'LSF': LSFScheduler + 'LSF': LSFScheduler, + 'Slurm': SlurmScheduler } def get_scheduler(name,settings): From 12aaffe3c4089e76ee5354b67a8e76715249e7d6 Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Fri, 7 Dec 2018 18:28:59 +0000 Subject: [PATCH 434/487] restructuring unittest for slurm --- tests/unittests/__init__.py | 0 tests/unittests/context.py | 5 - tests/unittests/run_tests.sh | 3 - tests/unittests/test_AprunCrayMPI.py | 67 ------------- tests/unittests/test_HydraIMPI.py | 100 ------------------- tests/unittests/test_ShellCommand.py | 23 ----- tests/unittests/test_exampleConfig.py | 134 -------------------------- tests/unittests/test_jobs.py | 104 -------------------- tests/unittests/test_simplify.py | 48 --------- tests/unittests/test_util.py | 26 ----- 10 files changed, 510 deletions(-) delete mode 100644 tests/unittests/__init__.py delete mode 100644 tests/unittests/context.py delete mode 100755 tests/unittests/run_tests.sh delete mode 100755 tests/unittests/test_AprunCrayMPI.py delete mode 100755 tests/unittests/test_HydraIMPI.py delete mode 100755 tests/unittests/test_ShellCommand.py delete mode 100755 tests/unittests/test_exampleConfig.py delete mode 100755 tests/unittests/test_jobs.py delete mode 100755 tests/unittests/test_simplify.py delete mode 100755 tests/unittests/test_util.py diff --git a/tests/unittests/__init__.py b/tests/unittests/__init__.py deleted file mode 100644 index e69de29..0000000 diff --git a/tests/unittests/context.py b/tests/unittests/context.py deleted file mode 100644 index bddfea6..0000000 --- a/tests/unittests/context.py +++ /dev/null @@ -1,5 +0,0 @@ -import os -import sys -sys.path.insert(0, os.path.abspath(os.path.join(os.path.dirname(__file__), '../..'))) -#print(sys.path) -import crow diff --git a/tests/unittests/run_tests.sh b/tests/unittests/run_tests.sh deleted file mode 100755 index 2a4561f..0000000 --- a/tests/unittests/run_tests.sh +++ /dev/null @@ -1,3 +0,0 @@ -#! /bin/sh -/usr/bin/env python3 -c "f'This script requires python 3.6 or later'" -python3 -m unittest discover -v -start-directory . diff --git a/tests/unittests/test_AprunCrayMPI.py b/tests/unittests/test_AprunCrayMPI.py deleted file mode 100755 index 97f3d6e..0000000 --- a/tests/unittests/test_AprunCrayMPI.py +++ /dev/null @@ -1,67 +0,0 @@ -#! /usr/bin/env python3 - -import unittest, os, sys, logging - -from context import crow - -from crow import config -from crow import metascheduler -from crow.sysenv import JobResourceSpec -from crow.sysenv import get_parallelism -from crow.sysenv import get_scheduler - -logging.basicConfig(stream=sys.stderr,level=logging.INFO) -logger = logging.getLogger() - -class TestAprunCrayMPI(unittest.TestCase): - @classmethod - def setUpClass(self): - - settings={ 'mpi_runner':'mpiexec', - 'physical_cores_per_node':24, - 'logical_cpus_per_core':2, - 'hyperthreading_allowed':True } - - self.par=get_parallelism('AprunCrayMPI',settings) - self.sch=get_scheduler('LSFAlps',settings) - - def test_AprunCrayMPI_big(self): - - ranks=[ { 'mpi_ranks':12, 'hyperthreads':1, 'OMP_NUM_THREADS':4, 'exe':'exe1', - 'AprunCrayMPI_extra':[ '-gdb', '-envall' ] }, - { 'mpi_ranks':48, 'OMP_NUM_THREADS':1, 'exe':'exe2', - 'AprunCrayMPI_extra':'-envall' }, - { 'mpi_ranks':200,'hyperthreads':1, 'exe':'exe2' } ] - - jr=JobResourceSpec(ranks) - cmd=self.par.make_ShellCommand(jr) - res=self.sch.rocoto_resources(jr) - - if os.environ.get('LOG_LEVEL','None') != "INFO": - logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) - logger.info('\n\nnmax_notMPI ranks:\n'+str(ranks) ) - logger.info( 'nmax_notMPI cmd :\n'+str(cmd) ) - logger.info( 'nmax_notMPI res :\n'+str(res) ) - logging.disable(logging.NOTSET) - - logging.info("assertions not set yet") - self.assertTrue( 'True' == 'True' ) - - def test_AprunCrayMPI_max_ppn(self): - - ranks=[ { 'mpi_ranks':12, 'max_ppn':2, 'exe':'doit' }, - { 'mpi_ranks':12, 'max_ppn':4, 'exe':'doit' } ] - - jr=JobResourceSpec(ranks) - cmd=self.par.make_ShellCommand(jr) - res=self.sch.rocoto_resources(jr) - - if os.environ.get('LOG_LEVEL','None') != "INFO": - logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) - logger.info('\n\nnmax_notMPI ranks:\n'+str(ranks) ) - logger.info( 'nmax_notMPI cmd :\n'+str(cmd) ) - logger.info( 'nmax_notMPI res :\n'+str(res) ) - logging.disable(logging.NOTSET) - - logging.info("assertions not set yet") - self.assertTrue( 'True' == 'True' ) diff --git a/tests/unittests/test_HydraIMPI.py b/tests/unittests/test_HydraIMPI.py deleted file mode 100755 index 09c5a4c..0000000 --- a/tests/unittests/test_HydraIMPI.py +++ /dev/null @@ -1,100 +0,0 @@ -#! /usr/bin/env python3 - -import unittest, os, sys, logging - -from context import crow - -from crow import config -from crow import metascheduler -from crow.sysenv import JobResourceSpec -from crow.sysenv import get_parallelism -from crow.sysenv import get_scheduler - -logging.basicConfig(stream=sys.stderr,level=logging.INFO) -logger = logging.getLogger() - -class TestHydraIMPI(unittest.TestCase): - @classmethod - def setUpClass(self): - - settings={ 'mpi_runner':'mpiexec', - 'physical_cores_per_node':24, - 'logical_cpus_per_core':2, - 'hyperthreading_allowed':True } - - self.par=get_parallelism('HydraIMPI',settings) - self.sch=get_scheduler('MoabTorque',settings) - - def test_HydraIMPI_big(self): - ranks=[ { 'mpi_ranks':12, 'hyperthreads':1, 'OMP_NUM_THREADS':4, 'exe':'exe1', - 'HydraIMPI_extra':[ '-gdb', '-envall' ] }, - { 'mpi_ranks':48, 'OMP_NUM_THREADS':1, 'exe':'exe2', - 'HydraIMPI_extra':'-envall' }, - { 'mpi_ranks':200,'hyperthreads':1, 'exe':'exe2' } ] - - jr=JobResourceSpec(ranks) - cmd=self.par.make_ShellCommand(jr) - res=self.sch.rocoto_resources(jr) - - if os.environ.get('LOG_LEVEL','None') != "INFO": - logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) - logger.info('\n\nbig ranks:\n'+str(ranks) ) - logger.info( 'big cmd :\n'+str(cmd) ) - logger.info( 'big res :\n'+str(res) ) - logging.disable(logging.NOTSET) - self.assertTrue(str(cmd)=="ShellCommand(command=['mpiexec', '-gdb', '-envall', '-np', '12', '/usr/bin/env', 'OMP_NUM_THREADS=4', 'exe1', ':', '-envall', '-np', '48', '/usr/bin/env', 'OMP_NUM_THREADS=1', 'exe2', ':', '-np', '200', 'exe2'], env=None, cwd=None, files=[ ])") - self.assertTrue(str(res)=='2:ppn=6+2:ppn=24+2:ppn=23+7:ppn=22\n') - - def test_HydraIMPI_max_ppn(self): - ranks=[ { 'mpi_ranks':12, 'max_ppn':2, 'exe':'doit' }, - { 'mpi_ranks':12, 'max_ppn':4, 'exe':'doit' } ] - - jr=JobResourceSpec(ranks) - cmd=self.par.make_ShellCommand(jr) - res=self.sch.rocoto_resources(jr) - - if os.environ.get('LOG_LEVEL','None') != "INFO": - logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) - logger.info('\n\nnmax_notMPI ranks:\n'+str(ranks) ) - logger.info( 'nmax_notMPI cmd :\n'+str(cmd) ) - logger.info( 'nmax_notMPI res :\n'+str(res) ) - logging.disable(logging.NOTSET) - - self.assertTrue(str(cmd)=="ShellCommand(command=['mpiexec', '-np', '12', 'doit', ':', '-np', '12', 'doit'], env=None, cwd=None, files=[ ])") - self.assertTrue(str(res)=='6:ppn=2+3:ppn=4\n') - - def test_HydraIMPI_max_notMPI(self): - ranks=[ { 'OMP_NUM_THREADS':'max', 'exe':'exe1' } ] - - jr=JobResourceSpec(ranks) - cmd=self.par.make_ShellCommand(jr) - res=self.sch.rocoto_resources(jr) - - if os.environ.get('LOG_LEVEL','None') != "INFO": - logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) - logger.info('\n\nnmax_notMPI ranks:\n'+str(ranks) ) - logger.info( 'nmax_notMPI cmd :\n'+str(cmd) ) - logger.info( 'nmax_notMPI res :\n'+str(res) ) - logging.disable(logging.NOTSET) -# print(cmd) - self.assertTrue(str(cmd)=="ShellCommand(command=['/bin/sh', '-c', 'exe1'], env={'OMP_NUM_THREADS': 24}, cwd=None, files=[ ])") - self.assertTrue(str(res)=='1:ppn=2\n') - - def test_HydraIMPI_max_OMP_NUM_THREADS(self): - ranks=[ { 'mpi_ranks':12, 'OMP_NUM_THREADS':'max', 'exe':'exe1', 'max_ppn':4 } ] - - jr=JobResourceSpec(ranks) - cmd=self.par.make_ShellCommand(jr) - res=self.sch.rocoto_resources(jr) - - if os.environ.get('LOG_LEVEL','None') != "INFO": - logging.disable(os.environ.get('LOG_LEVEL',logging.CRITICAL)) - logger.info('\n\nnmax_OMP ranks:\n'+str(ranks) ) - logger.info ( 'nmax_OMP cmd :\n'+str(cmd) ) - logger.info ( 'nmax_OMP res :\n'+str(res) ) - logging.disable(logging.NOTSET) - self.assertTrue(str(cmd)=="ShellCommand(command=['mpiexec', '-np', '12', '/usr/bin/env', 'OMP_NUM_THREADS=6', 'exe1'], env=None, cwd=None, files=[ ])") - self.assertTrue(str(res)=='3:ppn=4\n') - -if __name__ == '__main__': - unittest.main() diff --git a/tests/unittests/test_ShellCommand.py b/tests/unittests/test_ShellCommand.py deleted file mode 100755 index 0c689e8..0000000 --- a/tests/unittests/test_ShellCommand.py +++ /dev/null @@ -1,23 +0,0 @@ -#! /usr/bin/env python3 - -import unittest -from context import crow -from crow.sysenv import ShellCommand - -import os, subprocess - -class TestShellCommand(unittest.TestCase): - - def test_ShellCommand(self): - if os.path.exists('file1'): os.unlink('file1') - if os.path.exists('file2'): os.unlink('file2') - - cmd=ShellCommand([ '/bin/sh','-c', 'cat $FILE1 $FILE2' ] , - files=[ { 'name':'file1', 'content':'hello '} , {'name':'file2', 'content':'world\n'} ], - env={ 'FILE1':'file1', 'FILE2':'file2' } , cwd='.' ) - - result=cmd.run(stdout=subprocess.PIPE,encoding='ascii') - self.assertTrue(result.stdout=='hello world\n') - - if os.path.exists('file1'): os.unlink('file1') - if os.path.exists('file2'): os.unlink('file2') diff --git a/tests/unittests/test_exampleConfig.py b/tests/unittests/test_exampleConfig.py deleted file mode 100755 index 2c2c912..0000000 --- a/tests/unittests/test_exampleConfig.py +++ /dev/null @@ -1,134 +0,0 @@ -#! /usr/bin/env python3.6 - -import unittest -from context import crow -import crow.config -from datetime import timedelta, date, datetime -from collections import OrderedDict - - -class TestExampleConfig(unittest.TestCase): - - def setUp(self): - self.config=crow.config.from_file('../test_data/toy-yaml/test.yml', - '../test_data/toy-yaml/platform.yml', - '../test_data/toy-yaml/templates.yml', - '../test_data/toy-yaml/actions.yml') - crow.config.validate(self.config.fcst) - crow.config.validate(self.config.test) - crow.config.validate(self.config.gfsfcst) - - def test_not_working(self): - self.assertTrue(True) - - def test_ordered_dict(self): - self.assertEqual(self.config.ordered_dict, \ - OrderedDict({('one',1), ('two',2), ('three',3), ('four',4), - ('five',5)})) - - def test_set(self): - self.assertEqual(self.config.set, set((2, date(2017, 8, 15), 'a'))) - - def test_bool_array(self): - self.assertEqual(self.config.fcst.bool_array, [True, False, True]) - - def test_int_array(self): - self.assertEqual(self.config.fcst.int_array, [1, 2, 3, 4, 5]) - - def test_string_array(self): - self.assertEqual(self.config.fcst.string_array, ['a', 'b', 'c', 'd', - 'e']) - - def test_plus(self): - self.assertEqual(self.config.gfsfcst.a, 10) - - def test_FirstMax(self): - self.assertEqual(self.config.gfsfcst.d, 9200) - - def test_calclist(self): - self.assertEqual(self.config.gfsfcst.stuff[0], 30) - - def test_default(self): - self.assertEqual(self.config.gfsfcst.cow, 'blue') - self.assertEqual(self.config.gfsfcst.dog, 'brown') - - def test_strlen_func(self): - self.assertEqual(self.config.gfsfcst.lencow, 4) - - def test_FirstTrue(self): - self.assertEqual(self.config.test.B, 'B') - - def test_LastTrue(self): - self.assertEqual(self.config.test.C, 'C') - - def test_NoneTrue(self): - self.assertIsNone(self.config.test.none) - - def test_conditionals_on_empty_list(self): - for bad in ['lt', 'ft', 'xv', 'nv']: - self.assertIsNone(self.config.test['bad' + bad]) - - def test_time_values(self): - self.assertEqual(self.config.test.dt, timedelta(0, 12000)) - self.assertEqual(self.config.test.fcsttime, datetime(2017, 9, 19, 21, 20)) - self.assertEqual(self.config.test.fYMDH, '2017091921') - - def test_string_expansion(self): - self.assertEqual(self.config.test.expandme, 'abc, def, ghi') - - def test_fcst_values(self): - self.assertEqual(self.config.fcst.hydro_mono, 'hydro_mono') - - def test_inline_namelist(self): - namelist_for_test = self.config.fcst.some_namelist - cmpline=["&some_namelist", " int_array = 1, 2, 3, 4, 5", - " bool_array = .true., .false., .true.", - " string_array = 'a', 'b', 'c', 'd', 'e'", - " type = 'hydro'", " mono = 'mono'", - " shal_cnv = .true.", " agrid_vel_rst= .true.", - "/", ""] - for lnum, line in enumerate(namelist_for_test.split('\n')): - #print("\nline XXX"+line+"XXX") - #print("cmplineXXX"+cmpline[lnum]+"XXX") - self.assertEqual(line,cmpline[lnum], - "line {} not equal to expected {} in \ - namelist".format(line, cmpline[lnum])) - - def test_file_namelist(self): - with open('../test_data/toy-yaml/namelist.nl','rt') as fd: - namelist_nl=fd.read() - - namelist2_for_test = crow.config.expand_text(namelist_nl,self.config.fcst) - - cmpline=["&some_namelist", " int_array = 1, 2, 3, 4, 5", - " bool_array = .true., .false., .true.", - " string_array = 'a', 'b', 'c', 'd', 'e'", - " type = 'hydro'", " mono = 'mono'", - " shal_cnv = .true.", " agrid_vel_rst= .true.", - "/", ""] - for lnum, line in enumerate(namelist2_for_test.split('\n')): - #print("\nline XXX"+line+"XXX") - #print("cmplineXXX"+cmpline[lnum]+"XXX") - self.assertEqual(line,cmpline[lnum], - "line {} not equal to expected {} in \ - namelist".format(line, cmpline[lnum])) - - def test_error_clause(self): - try: - s=self.config.test.error - self.assertTrue(False, "Failed to process error clause properly.") - except crow.config.ConfigUserError as e: - self.assertTrue(True) - - def test_msg_clause(self): - self.assertEqual(self.config.test.message,'hello') - - def test_inherit(self): - crow.config.validate(self.config.fancy_fcst) - self.assertEqual(self.config.fancy_fcst.stuff[0], 30) - self.assertEqual(self.config.fancy_fcst.fancy_var, 5) - self.assertNotIn('not_fancy', self.config.fancy_fcst) - - -if __name__ == '__main__': - unittest.main() diff --git a/tests/unittests/test_jobs.py b/tests/unittests/test_jobs.py deleted file mode 100755 index 884a52f..0000000 --- a/tests/unittests/test_jobs.py +++ /dev/null @@ -1,104 +0,0 @@ -#! /usr/bin/env python3 -f'This script requires python 3.6 or later' - -import unittest -from context import crow -from crow.sysenv import jobs -class TestBoth(unittest.TestCase): - - def setUp(self): - inputData=[ - {'mpi_ranks':5, 'OMP_NUM_THREADS':12}, - {'mpi_ranks':7, 'OMP_NUM_THREADS':12}, - {'mpi_ranks':7} ] - - self.spec1=jobs.JobResourceSpec(inputData) - - def test_has_threads(self): - self.assertTrue(self.spec1.has_threads()) - - def test_num_ranks(self): - self.assertEqual(self.spec1.total_ranks(), 19, - 'incorrect number of ranks') - - def test_pure_serial(self): - self.assertFalse(self.spec1.is_pure_serial()) - - def test_pure_openMP(self): - self.assertFalse(self.spec1.is_pure_openmp()) - - def test_spec_length(self): - self.assertEqual(len(self.spec1),3) - - def test_is_mpi(self): - for tspec in self.spec1: - self.assertTrue(tspec.is_mpi()) - - def test_openmp_true(self): - for x in [0,1]: - self.assertTrue(self.spec1[x].is_openmp()) - - def test_openmp_false(self): - self.assertFalse(self.spec1[2].is_openmp()) - - def test_is_pure_serial(self): - for tspec in self.spec1: - self.assertFalse(tspec.is_pure_serial()) - - -class TestSerial(unittest.TestCase): - - def setUp(self): - inputData=[ { 'exe':'echo', 'args':['hello','world'] } ] - self.spec1=jobs.JobResourceSpec(inputData) - - def test_has_no_threads(self): - self.assertFalse(self.spec1.has_threads()) - - def test_total_ranks(self): - self.assertEqual(self.spec1.total_ranks(), 0) - - def test_is_pure_serial(self): - self.assertTrue(self.spec1.is_pure_serial()) - - def test_is_not_pure_openmp(self): - self.assertFalse(self.spec1.is_pure_openmp()) - - def test_individual_spec_is_pure_serial(self): - self.assertTrue(self.spec1[0].is_pure_serial()) - - def test_individual_spec_is_not_openmp(self): - self.assertFalse(self.spec1[0].is_openmp()) - - def test_individual_spec_is_not_mpi(self): - self.assertFalse(self.spec1[0].is_mpi()) - -class TestOpenMP(unittest.TestCase): - - def setUp(self): - inputData=[ { 'OMP_NUM_THREADS':20 } ] - self.spec1=jobs.JobResourceSpec(inputData) - - def test_has_threads(self): - self.assertTrue(self.spec1.has_threads()) - - def test_total_ranks(self): - self.assertEqual(self.spec1.total_ranks(), 0) - - def test_is_not_pure_serial(self): - self.assertFalse(self.spec1.is_pure_serial()) - - def test_is_pure_openmp(self): - self.assertTrue(self.spec1.is_pure_openmp()) - - def test_individual_spec_is_not_pure_serial(self): - self.assertFalse(self.spec1[0].is_pure_serial()) - - def test_individual_spec_is_openmp(self): - self.assertTrue(self.spec1[0].is_openmp()) - - def test_individual_spec_is_not_mpi(self): - self.assertFalse(self.spec1[0].is_mpi()) - -if __name__ == '__main__': - unittest.main() diff --git a/tests/unittests/test_simplify.py b/tests/unittests/test_simplify.py deleted file mode 100755 index 09aaf73..0000000 --- a/tests/unittests/test_simplify.py +++ /dev/null @@ -1,48 +0,0 @@ -#! /usr/bin/env python3 -f'This script requires python 3.6 or later' - -import unittest -from context import crow -import crow.metascheduler.algebra as ag -import crow.config -from datetime import timedelta -from crow.config import OrDependency,AndDependency,NotDependency, \ - TRUE_DEPENDENCY, FALSE_DEPENDENCY, LogicalDependency - - -class TestSimplify(unittest.TestCase): - - def setUp(self): - self.DEP1=crow.config.CycleExistsDependency(timedelta()) - self.DEP2=crow.config.CycleExistsDependency(timedelta(seconds=3600)) - self.DEP3=crow.config.CycleExistsDependency(timedelta(seconds=7200)) - self.DEP4=crow.config.CycleExistsDependency(timedelta(seconds=10800)) - - - def test_comp_or(self): - self.assertAlmostEqual(ag.complexity(self.DEP1|self.DEP2), 2.4, places=3) - - def test_comp_and(self): - self.assertAlmostEqual(ag.complexity(self.DEP1&self.DEP2), 2.4, places=3) - - def test_comp_nand(self): - self.assertAlmostEqual(ag.complexity(~(self.DEP1&self.DEP2)), 2.88, places=3) - - def test_simp_a_or_not_a(self): - self.assertEqual(ag.simplify(~self.DEP1 | self.DEP1), TRUE_DEPENDENCY) - - def test_simp_a_and_not_a(self): - self.assertEqual(ag.simplify(~self.DEP1 & self.DEP1), FALSE_DEPENDENCY) - - def test_simp_not_not_a_or_not_b(self): - self.assertEqual(ag.simplify(~(~self.DEP1 | ~self.DEP2)), self.DEP1 & self.DEP2) - - def test_simp_gobbledygook(self): - self.assertEqual(ag.simplify(~self.DEP2 & ~(~self.DEP1 | ~self.DEP2)), FALSE_DEPENDENCY) - - def test_simp_extended_expr(self): - self.assertEqual(ag.simplify((self.DEP1 | self.DEP2 | self.DEP4) & \ - (self.DEP1 | self.DEP3 | self.DEP4)), \ - self.DEP1 | self.DEP2 & self.DEP3 | self.DEP4) -if __name__ == '__main__': - unittest.main() diff --git a/tests/unittests/test_util.py b/tests/unittests/test_util.py deleted file mode 100755 index 4a2b865..0000000 --- a/tests/unittests/test_util.py +++ /dev/null @@ -1,26 +0,0 @@ -#! /usr/bin/env python3 -f'This script requires python 3.6 or later' - -import unittest -from context import crow -from crow.sysenv.util import ranks_to_nodes_ppn - -class TestRankstoNodes(unittest.TestCase): - - def test_10_109(self): - self.assertEqual([(10, 10),(1, 9)], ranks_to_nodes_ppn(10, 109)) - - def test_3_10(self): - self.assertEqual([(2, 3),(2, 2)], ranks_to_nodes_ppn(3, 10)) - - def test_10_3(self): - self.assertEqual([(1, 3)], ranks_to_nodes_ppn(10, 3)) - - def test_24_31(self): - self.assertEqual([(1, 16),(1, 15)], ranks_to_nodes_ppn(24, 31)) - - def test_24_62(self): - self.assertEqual([(2, 21),(1, 20)], ranks_to_nodes_ppn(24, 62)) - -if __name__ == '__main__': - unittest.main() From 9c91ef37847da5134ed254c0bc08e95c27d86415 Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Mon, 17 Dec 2018 22:13:11 +0000 Subject: [PATCH 435/487] newly added files to CROW repo --- docs/README | 326 +++++++ docs/TODO.txt | 290 +++++++ docs/hippie.i | 1337 +++++++++++++++++++++++++++++ docs/notes/aprun-examples.txt | 85 ++ docs/notes/terry-explanation.txt | 71 ++ ecflow_main.py | 27 + eclipse_main.py | 18 + make_ecflow_files_for.sh | 72 ++ make_rocoto_xml_for.sh | 50 ++ rocoto-test-file.yaml | 2 +- rocoto_main.py | 13 + setup_case.sh | 29 + update_ecflow_workflow.sh | 76 ++ utils/create_comrot.py | 57 ++ utils/crow_dataflow_check_sh.py | 79 ++ utils/crow_dataflow_cycle_sh.py | 50 ++ utils/crow_dataflow_deliver_sh.py | 177 ++++ utils/crow_dataflow_find_sh.py | 78 ++ utils/execute.sh | 20 + utils/make-half-cycle.sh | 24 + worktools.py | 688 +++++++++++++++ worktools.sh.inc | 76 ++ 22 files changed, 3644 insertions(+), 1 deletion(-) create mode 100644 docs/README create mode 100644 docs/TODO.txt create mode 100644 docs/hippie.i create mode 100644 docs/notes/aprun-examples.txt create mode 100644 docs/notes/terry-explanation.txt create mode 100644 ecflow_main.py create mode 100644 eclipse_main.py create mode 100755 make_ecflow_files_for.sh create mode 100755 make_rocoto_xml_for.sh create mode 100644 rocoto_main.py create mode 100755 setup_case.sh create mode 100755 update_ecflow_workflow.sh create mode 100644 utils/create_comrot.py create mode 100644 utils/crow_dataflow_check_sh.py create mode 100755 utils/crow_dataflow_cycle_sh.py create mode 100755 utils/crow_dataflow_deliver_sh.py create mode 100755 utils/crow_dataflow_find_sh.py create mode 100755 utils/execute.sh create mode 100755 utils/make-half-cycle.sh create mode 100644 worktools.py create mode 100644 worktools.sh.inc diff --git a/docs/README b/docs/README new file mode 100644 index 0000000..e7bd72b --- /dev/null +++ b/docs/README @@ -0,0 +1,326 @@ +Basic aspects of front-end: + + # Read YAML files: + config=crow.config.from_files('file1.yaml','file2.yaml',...) + + # Convert back to YAML + text=crow.config.to_yaml(config) + + # Read YAML from a string: + config2=crow.config.from_string(text) + + # Should match + assert(config=config2) + +Calculations: + + !calc a+b+c + Perform a calculation in local scope + eval('a+b+c',...) + + !expand {tools.realpath(dir1)}/file + Literal string extrapolation in local scope + + eval( f'{tools.realpath(dir1)}/file' ,...) + + + Perl: "${VARNAME}${VARNAME2}" + Shell: "${VARNAME}${VARNAME2}" + Shell: "$( echo $VARNAME$VARNAME2 )" + Python 3.6: f'{VARNAME + VARNAME2}' + Ruby: "#{VARNAME + VARNAME2}" + + Python 3.6 and Ruby can embed arbitrary code + + The !expand is a simple wrapper around this. + +Globals within !calc and !expand: + + doc = top-level object read from YAML + tools = crow.config.tools.CONFIG_TOOLS. A set of utilities for simple calculations. + +Conditionals: + + Choose the first item that is the maximum + scrub: !FirstMax + - when: !calc tools.panasas_gb(do) + do: /scratch3/NCEPDEV/stmp1 + - when: !calc tools.panasas_gb(do) + do: /scratch3/NCEPDEV/stmp2 + - do: /scratch4/NCEPDEV/stmp3 + when: !calc tools.panasas_gb(do) + - do: /scratch4/NCEPDEV/stmp4 + when: !calc tools.panasas_gb(do) + + Also existing: + !FirstMax + !FirstMin + !LastTrue + !FirstTrue - suitable for if/elif/else + +!Platform: represents a computing environment + + theia: &theia !Platform + ... + detect: !calc tools.isdir('/scratch4') and tools.isdir('/scratch3') + + wcoss_cray: &wcoss_cray !Platform + ... + detect: !calc tools.isdir('/gpfs/hps') and tools.isfile('/etc/SuSE-release') + + platform: !FirstTrue + - do: *wcoss_cray + when: !calc do.detect + - do: *theia + when: !calc do.detect + +!Action: represents an action to perform, such as the post.sh + + fcst: &fcst !Action + Template: *fcst_vars + do_vort_damp: true + consv_te: 0. + int_array: [ 1, 2, 3, 4, 5 ] + string_array: [ a, b, c, d, e ] + mp_phys: !calc 5+5 + +!Template: validation logic for dictionary (mapping) types + Checks if variables match requirements. Substitutes defaults if missing. + crow/config/template.py + + fcst_vars: &fcst_vars !Template + int_array: + type: int list + string_array: + type: string list + bool_array: + type: bool list + default: [ true, false, true ] + mp_phys: + # validation information for mp_phys variable + type: int + allowed: [ 10, 20, 30 ] + description: "MIcrophysics scheme selection" + if_present: !FirstTrue + - when: !calc mp_phys==10 + do: + b: + type: int + description: 'Sample integer b' + dog: + type: string + default: 'brown' + +Special if_present: is executed if the variable is present. If a==10, +then the more_vars are added to the validation. + +------------------------------------------------------------------------ + +!Task, !Family, !Cycle - for defining workflows + +Terminology and functionality is based on ecFlow + +A !Task is a batch job. +A !Family is a group of families and tasks +A !Cycle is the top level. It must contain a !Clock, and at least one !Task or !Family + +sim_length: !timedelta 12d18:00:00 + +my_fancy_workflow: !Cycle + Clock: + start: 2017-02-14t18:00:00 + end: !calc start+doc.sim_length + step: !timedelta 06:00 + + gdas: !Family + Trigger: !depend gdas.at('-6:00') + prep: !Task + Perform: *gfsprep + fcst: !Task + Perform: null + Trigger: !Depend anal + Time: !timedelta 3:15 + Complete: !Depend suite.has_cycle('-6:00') | ~ prep.at('-6:00') + other_fcst: !Task + Perform: 5 + Trigger: !Depend anal + Time: !timedelta 3:15 + Complete: !Depend suite.has_cycle('-6:00') | ~ prep.at('-6:00') + +!Clock - defines cycles to run. Every task and family in the !Cycle +exists at every time defined by the !Clock. Has a start datetime, an +end datetime, and a step timedelta. + + start: 2017-02-14t18:00:00 + end: !calc start+doc.sim_length + step: !timedelta 06:00 + +Contents of a !Task or !Family: + + Perform - can be any object. Meaning is user-defined. + Trigger: !Depend - do not start task or family until this dependency is met + Complete: !Depend - task or family is considered complete (never run) + if this condition is met + Time: !timedelta - task or family is not met until this time, relative to the + clock time for this cycle + +Dependencies for a !Task include dependencies for all ancestor families. + +------------------------------------------------------------------------ + +Rocoto workflows + +Bare minimum required. Will generate an empty workflow: + +Rocotp XML comes from suite.Rocoto.workflow_xml which can be any +string, or any expression that generates a string. This is the +simplest possible workflow. It will generate an empty workflow (no + tags) + +my_fancy_workflow: !Cycle + Rocoto: + scheduler: + name: MoabTorque + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + workflow_install: /tmp + workflow_xml: !expand | + + + ]> + + + &WORKFLOW_INSTALL;/logs/@Y@m@d@H.log + + + Clock: + start: 2017-02-14t18:00:00 + end: 2017-02-19t12:00:00 + step: !timedelta 06:00 + +To generate a useful workflow (one with tasks), you need to include +logic for that in your workflow_xml: + + indent_text: " " + workflow_xml: !expand | + + + ]> + + + &WORKFLOW_INSTALL;/logs/@Y@m@d@H.log + + {to_rocoto.make_time_xml(indent=2)} + {to_rocoto.make_task_xml(indent=2)} + + +The to_rocoto is a global variable that exists only within a suite +definition that is being converted to Rocoto. It corresponds to an +instance of this class: + + crow.metascheduler.rocoto.ToRocoto + +These two functions know how to walk the YAML object tree to generate +the cycledefs and tasks: + + {to_rocoto.make_time_xml(indent=2)} + {to_rocoto.make_task_xml(indent=2)} + +The indent=2 just tells the indentation level (spaces) of each line. +The indentation is indent*indent_text + +The make_time_xml uses the !Clock declaration + +The make_task_xml uses the Rocoto: blocks inside each task + +my_fancy_workflow: !Cycle + Rocoto: + scheduler: + name: MoabTorque + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + ... + gdas: !Family + prep: !Task + Complete: !Depend ~ suite.has_cycle('-6:00') + Perform: *gfsprep + Rocoto: !expand | + /bin/true + {sched.rocoto_accounting(suite.Rocoto.accounting)} + {sched.rocoto_resources(Perform.resources)} + +The contents of the becomes: + + + ... contents generated by Task's Rocoto variable ... + + ... contents generated from Trigger, Complete, Time + dependencies within !Families and !Tasks ... + + + +Families are generated via s because that is the only +straightforward way in Rocoto. Each !Family corresponds to one + + +------------------------------------------------------------------------ + +Notice this bit. It generates the , , etc. for each task. + + {sched.rocoto_accounting(suite.Rocoto.accounting)} + {sched.rocoto_resources(Perform.resources)} + +The sched is a special global variable referring to the scheduler. For example, + + crow.sysenv.schedulers.MoabTorque.Scheduler + +This is specified in the suite definition here: + +my_fancy_workflow: !Cycle + Rocoto: + scheduler: + name: MoabTorque + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + +Notice "Perform.resources" is passed to rocoto_resources. That is a +JobResourceSpec from here: + +fcst: &fcst !Action + resources: # Definition of a serial, openmp, or MPI program + # Each list item is a block of identical MPI ranks. + # FOr a serial or pure openmp program, use one list item + - mpi_ranks: 12 # number of MPI ranks in this block + OMP_NUM_THREADS: 1 # number of threads per rank + exe: atmos # executable to run + HydraIMPI_extra: '-envall' # special value specific to IMPI in Hydra + - mpi_ranks: 48 # number of ranks for this second block + exe: ocean # executable for second block + +Note that the system is designed for MPMD by default. SPMD is a +special case: provide the same exe for every block of ranks. + +The contents of "resources:" is turned into a +crow.sysenv.jobs.JobResourceSpec, sent into +crow.sysenv.schedulers.MoabTorque.Scheduler.rocoto_resources, and +dumped into the XML for that task. + +------------------------------------------------------------------------ + +Suggested way to handle top-level options for users who don't want to +look at the contents. + +options: + Template: *options_verification + fcst_length: !timedelta 12d18:00:00 + ensemble_members: 80 + project: fv3-cpu \ No newline at end of file diff --git a/docs/TODO.txt b/docs/TODO.txt new file mode 100644 index 0000000..2f0f915 --- /dev/null +++ b/docs/TODO.txt @@ -0,0 +1,290 @@ +TO DO LIST + +For python scripting and python configuration. + +------------------------------------------------------------------------ +CONNECT SHELL EXGLOBAL FORECAST SCRIPT TO CROW + +* Move all configurable variables to YAML level +* Generate namelists via to_sh.py expand:... +* Get all configurable variables from to_sh.py VAR=expr +* Execute NEMS.x via to_sh.py + +Prerequisites: + REPLACE FV3GFS WORKFLOW + GENERATION OF MPI/OPENMP LAUNCHERS (can rewrite other parts of + script while waiting for this) + WORKFLOW ON CRAY (can work on Theia while waiting for this) + NAMELIST GENERATION (or suitable workaround via tools.fort(...)) + +------------------------------------------------------------------------ +REPLACE SHELL FORECAST SCRIPT WITH PYTHON + +After the "CONNECT SHELL EXGLOBAL FORECAST SCRIPT TO CROW" is done, +the script should be very short. Mostly, it will be a few file +creations/modifications, executing a few programs, and calling +to_sh.py a bunch of times. Such actions can be expressed concisely +in Python. + +There may be more complicated problems, like a big grep/sed/awk +pipeline, which will require special care. + +Prerequisite: CONNECT SHELL EXGLOBAL FORECAST SCRIPT TO CROW + +------------------------------------------------------------------------ +ACTUAL WORKFLOW IN YAML + +Modify examples/workflow to generate the actual Rocoto XML for the gfs +workflow, rather than a fake system. + +1. The dependencies need to be updated. +2. The resource requirements need to be updated. +3. The shell commands need to be replaced with the actual job script names. + +------------------------------------------------------------------------ +REPLACE FV3GFS WORKFLOW + +- Replace the config.* files with wrappers around the YAML system. + +- Update the jobs to use the to_sh.py to get the relevant variables. + +- Replace fv3gfs/ush/setup*py with new scripts that are wrapped around + YAML files. + +- Find a way to embed the CROW repository within the fv3gfs repository + OR create a modulefile and install tags of CROW in a standard area. + +Prerequisites: + ACTUAL WORKFLOW IN YAML + WORKFLOW ON CRAY + DELAYED VALIDATION (or suitable workaround in setup*py scripts) + +------------------------------------------------------------------------ +EVANT/DATA DEPENDENCIES IN WORKFLOW SUITE + +The GFS workflow has two types of event dependencies: + +1. The post job for hour X must wait to start until the forecast data +is available for hour X. + +2. The prep must wait for observations. These observations come from +outside the workflow. + +In Rocoto, such problems are dealt with via data dependencies. This +would require placing disk location awareness at the workflow suite +level. The ecFlow approach is to use events. This allows a clean +separation between the workflow and dataflow. In Rocoto, events can +be implemented via flag files. Either way, we need a dataflow system +or a temporary kludge to replace one. + +A solution must be implemented soon (~1 week) to support real-time +parallels and running the post in parallel with the forecast. + +------------------------------------------------------------------------ +WORKFLOW ON CRAY + +Extend the mpi/openmp launcher work to the WCOSS Cray. Extend the +Rocoto generation to WCOSS Cray. + +------------------------------------------------------------------------ +SUPPORT FOR THEIA MPICH + +Add something in crow.sysenv.parallelize to support MPICH on Theia. +Only IMPI is supported right now. + +------------------------------------------------------------------------ +SET LAZY EVALUATION (set_eval) + +Lists, dicts, and most other types use lazy evaluation for embedded +calculations. Sets calculate at parse time, which is bad for a number +of reasons. This needs to be fixed. The fix is to add a set_eval +class in eval_tools that stores the pre-calculation data in an +internal list (in self.__child) and generates the actual set (in +self.__cache) only when it is needed. The set_eval would need to be +immutable, unless it invalidates the cache after any change to the +set. + + + +------------------------------------------------------------------------ +DELAYED VALIDATION + +when a mapping (dict_eval) validates itself via a !Template, any +calculation referred to by the !Template is done during validation. +That means, for example, if you want a date calculation to be done +inside the batch job, that calculation would need to be ommitted +entirely from the YAML that is sent to the setup_expt script. In +order to avoid that, we need to add a way to delay the validation of +such variables until runtime. There are two ways I can think of to +handle that: + +1. Have a two-stage validation process. The first stage, run just +after parsing, does not validate anything that requires a calculation. +The second stage can be requested by explicitly running some function, +like crow.config.validate(dict_eval) + +2. Allow the YAML to customize the validation for each mapping +somehow. For example, you could update the validation code so that a +"null" value for a key in the template means the variable should not +be validated. This would be more error-prone, but it is trivial to +implement. + + fcst: + fix_path: !calc ENV["FIX_PATH"] + crtm_fix_path: !expand {fix_path}/crtm-{crtm_version} + Template: + <<: *fcst_vars + # Do not validate crtm_fix_path + crtm_fix_path: null + + + +------------------------------------------------------------------------ +NAMELIST GENERATION + +Presently, you can easily do string expansion via !expand: + + block: + a: 5 + b: 6 + my_text: !expand | + a is {a} + b is {b} + +That will produce: + +a is 5 +b is 6 + +but it is not so easy to generate namelists because the default string +representation of everything is the Python representation. I added a +tools.fort() function to generate a fortran namelist syntax, but it +makes the text long: + + block: + list1: [ 5, 6, 7 ] + list2: [ a, b, c ] + my_namelist: !expand| + &my_namelist + list1: {tools.fort(list1)} + list2: {tools.fort(list2)} + / + +That will produce: + +&my_namelist + list1: 5, 6, 7 + list2: 'a', 'b', 'c' + +I see a few ways of resolving this: + +Option 1: + +Generate from YAML code. This is the easiest way, and +is reasonably powerful. If the user needs something more +sophisticated, they can use !expand or !calc to generate a fancy +namelist. + + block: + my_namelist: !Namelist + list1: [ 5, 6, 7 ] + list2: [ a, b, c ] + +Note that we cannot refer to list1 and list2 at the block level from +within my_namelist because my_namelist is in a different scope. This +reduces the power of the feature. + +Option 2: + +The issue in Option 1 can be resolved by using an omap and having the +!Namelist evaluate all expressions in the context of the parent scope. +This would be non-trivial to implement, but feasible. + + block: + list1: [ 5, 6, 7 ] + list2: [ a, b, c ] + my_namelist: !Namelist + # Note: the calculations refer to the parent scope; the + # block-level list1 and list2. + - list1: !calc list1 + - list2: !calc list2 + +However, that means that the namelist will be evaluated in the scope +in which it was originally declared. That is not a terminal problem +because the YAML can always copy the namelist instead of pointing to +it, if it is needed more than once. + +Option 3: + +Implicitly add tools.fort() around everything in a {} + + block: + list1: [ 5, 6, 7 ] + list2: [ a, b, c ] + my_namelist: !to_namelist | + list1 = {list1} + list2 = {list2} + +There are a few problems with that: + +1. This is very hard to implement. It will require complicated +manipulation of the text within the my_namelist. The {} contents are +Python expressions. Python expressions cannot be parsed with a single +regular expression (re) because they contain balanced, nested, +parenthesis. (It is provably impossible to parse nested parentheses +with a regular expression.) Alternatively, you could write a custom +parser of the string, which would be easier to implement but less +powerful. + +2. There is no standard fortran way of expressing datetimes or +timedeltas. Hence, they will be impossible to express directly in a +!to_namelist block. + +3. The user cannot specify numeric or date formatting information +because the result of tools.fort() is already converted to a string. + + + + +------------------------------------------------------------------------ + +TASK ARRAYS (TaskArray) + +Add the capability of having an array of nearly-identical tasks in a +suite. + + enkf: !TaskArray + Index: imem + Values: !calc tools.seq(1,80) ] + Name: !expand mem{imem:03d} + emem: !Task + Perform: *gdasenkf + Rocoto: *my_enkf_template + Trigger: !anal + +That would expand out to 80 "emem" tasks with imem set to a number +from 1 to 80 for each one. + +One way to implement this is: + +1. TaskArrayYAML in from_yaml.py, TaskArray in tasks.py and +to_yaml.py, associated reading/converting logic. + +2. When a SuiteView sees a TaskArray, it needs to automatically +replace it with a Family with one Family for each imem. + +3. During the replacement process (#2), the Task, Family, and +TaskArray objects underneath must be duplicated, with "imem" set in +each one. This must be a shallow copy, so we don't duplicate the +vast YAML object tree. + +4. As in #3, all direct children of a Task, Family, and TaskArray must +be copied (shallow) and imem set in each copy. + +That is computationally expensive and will use up lots of memory if +there is a large number of tasks. + +There may be some more clever way to implement this while avoiding +duplicating anything. I suspect a TaskArrayView subclass of SuiteView +may be able to do it, if it is implemented in a clever way. I don't +know what that clever way is though. diff --git a/docs/hippie.i b/docs/hippie.i new file mode 100644 index 0000000..6b59f02 --- /dev/null +++ b/docs/hippie.i @@ -0,0 +1,1337 @@ +# This is a grammar file used by the textgen.pl program, based on Sam +# Trahan's insult engine. That program is essentially a recursive +# madlib program: it takes a list of rules and uses them to generate +# human-readable text, as explained below. + +# Lines beginning with a double dash and a space ("-- ") declare a new +# "terminal" whose name is immediately after the space. Each terminal +# definition is followed by a list of rules that explain how the +# terminal can be expanded into multiple other terminals, or into raw +# text. Text in parenthases indicates that the terminal whose name is +# in the parenthases should be expanded. The parser (textgen.pl) +# starts from one particular terminal, and expands that terminal until +# there are no more terminal expansions to do. So, with a starting +# terminal of CAT, this: +# +# -- CAT +# (C) are (T) +# +# -- C +# cows +# +# -- T +# tasty +# +# would expand to "cows are tasty". You can have more than one +# rule for a terminal: +# +# -- CAT +# (C) are (T) +# +# -- C +# cows +# bovine animals +# calves +# +# -- T +# tasty +# delicious +# +# That would end up being expanded at random into any of these: +# +# - calves are tasty +# - calves are delicious +# - cows are tasty +# - cows are delicious +# - bovine animals are tasty +# - bovine animals are delicious +# +# You can instruct the parser to never use a rule more than once using this command: +# +# @single C +# +# With that command, any time the rule for the terminal "C" is expanded, the rule is +# discarded, so that it won't be used again. That feature is intended to be used +# to prevent the parser from using a word more than once, hence preventing output +# like "the sky is very blue, clear, windy, blue and blue today". +# +# There are other strings that have special meanings to the parser: +# +# %n = end of line +# %_ = space (otherwise, duplicate spaces are removed) +# %0 = first argument to script after the grammar file, %1 = second, etc. +# %< = insert a begin parethases ( +# %> = insert an end parenthases ) +# %% = insert a percent sign +# +# Also, recursive rules are okay: +# +# -- you are ugly +# you are very(, very) ugly +# +# -- , very +# (, very), very +# , very +# +# Starting from the "you are ugly" terminal, that will expand into "you are very" +# followed by the text ", very" repeated one or more times, followed by "ugly". +# +# Also, you can use this syntax: +# +# -- you smell +# you smell[ very] bad +# +# To indicate that the string " very" can optionally (with 50% chance) be inserted +# between "smell" and "bad". You cannot nest those braces though, so no [ very[, very]] +# However, you can place a terminal expansion in the braces like this: +# +# -- you are ugly +# you are very[(, very)] ugly +# +# -- , very +# (, very), very +# , very +# +# Which differs from our previous "you are ugly" example in that the +# ", very" is repeated *zero* or more times since it is now nested in [] in the +# "you are ugly" rule. + +######################################################################## +######################################################################## + +-- * +(INTRO AND SIG) + +-- INTRO AND SIG +(INTRO)%n%n%2%n%n(SIGNATURE)%n%n%4%n + +-- FAILED INTRO AND SIG +(FAIL INTRO)%n%n%2%n%n(FAILED SIG)%n%n%4%n + +-- RECHECK INTRO AND SIG +(RECHECK INTRO)%n%n%2%n%n(RECHECK SIG)%n%n%4%n + +-- ME +CROW's Bird-Sitter + +-- INTRO +(GREETING,)%n%n(HELLO! I AM CROW) (COLOR) (EXCUSES AND APOLOGY FOR ISSUES)%n%n(HERE IS THE STATUS) + +-- SIGNATURE +(PEACE OUT, MAN)%n%_-(ME) for %0%n%n(DON'T SUE ME: NO FAILURES) + +-- FAIL INTRO +(GREETING,)%n%n(PANIC!PANIC!)(I AM A SAD CROW)(I AM REALLY SORRY, BUT A SIMULATION FAILED)(I CANNOT FIX IT)(PLEASE DO NOT HATE ME)%n%n(HERE IS THE STATUS, NO JOKES) + +-- RECHECK INTRO +(GREETING,)%n%n(I AM AN CROW THAT RECHECKED STUFF)%n%n(HERE IS THE RECHECKED STATUS) + +-- FAILED SIG +(APOLOGETIC PEACE OUT, MAN)%n%_-(ME) for %0%n%n(DON'T SUE ME: FAILURES) + +-- RECHECK SIG +(APOLOGETIC PEACE OUT, MAN)%n%_-(ME) for %0%n%n(DON'T SUE ME: RECHECK) + +-- DON'T SUE ME: FAILURES +This is an automatically-generated 1960s hippie-themed email about ERRORS from (ME) on %3 for configuration "%0" running "%1." + +-- DON'T SUE ME: RECHECK +This is an automatically-generated 1960s hippie-themed email about A STATUS RECHECK from (ME) on %3 for configuration "%0" running "%1." + +-- DON'T SUE ME: NO FAILURES +This is an automatically-generated 1960s hippie-themed status email from (ME) on %3 for configuration "%0" running "%1." + +-- I AM AN CROW THAT RECHECKED STUFF +(Rechecked)!!%_[ (Wow!)%_] (I am CROW running fv3.) (It is) (working correctly)[ now](.!)[ (Wow!)%_] +(Rechecked)!!%_ (I am CROW running fv3.) +(I am CROW running fv3.) (Dude), I have (soooo) (rechecked) these (simulations)(.!)%_ Nothing (broken) yet...%_ +(I am CROW running fv3.) I have (rechecked) your (simulations), (dude).%_ Nothing (broken) yet...%_ + +-- OKAY BUT I WARNED YOU +(Y'know) (dude), (I'll do it), but (I did warn you)... + +-- I'll do it +I'll do it +I'll mark it +I will + +-- I did warn you +I did warn you +I warned you +don't blame me when this breaks (something) + +-- BYE +(ASCII ART) +(Peace out)[, man](.!)%_ +(Peace out)[, chick](.!)%_ +Later, (dude)(.!)%_ + +-- ASCII ART +%<-: +8-P +0-: +:-%> +%<^_^%> +% +% +% + +-- RECHECK INSTEAD +(Dude), (something probably broke).%_ (You should really run recheck-cycles.bash instead).%_ (Are you sure you want to) mark this FAILURE_OKAY %?%_ + +-- Are you sure you want to +Are y'sure you want me to +Are y'sure you want me to +Are you sure you want me to +Are you sure you want me to +Are you sure you want me to +Sure you wanna +Y'sure you wanna + +-- Sorry about breaking this +(I am)[ (soooo)] sorry about [(scapegoat) ][(flaking out) and ](breaking) your[ (complimented)] (simulations) +(I am)[ (soooo)] sorry about [(scapegoat) ][(flaking out) and ](breaking) this +(I am)[ (soooo)] sorry about [(scapegoat) ](breaking) this +(I am)[ (soooo)] sorry that (I or scapegoat) [(flaked out) and ](broke) your[ (complimented)] (simulations) +(I am)[ (soooo)] sorry that (I or scapegoat) [(flaked out) and ](broke) this +(I am)[ (soooo)] sorry that (I or scapegoat) (broke) this + +-- I or scapegoat +(scapegoat) +I +I + +-- something probably broke +either the (simulations) failed or I'm (configured wrong) + +-- You should really run recheck-cycles.bash instead +You should (fix the problem) and (run) recheck-cycles.bash instead + +-- fix the problem +fix the problem +correct it +fix it +correct the problem + +-- configured wrong +configured wrong +configured incorrectly +misconfigured + +-- HELLO! I AM CROW +[(Dude babbling.) ](I am CROW running fv3.) + +-- I AM A SAD CROW +(I am badly running) %0(, okay?) +(Uhhhh... I am) (ME)(, and stuff.) (I am badly running) %0(, okay?) +(Uhhhh... I am) (ME), (badly running) %0(, okay?) + +-- PANIC!PANIC! +Help! HELP!!!%_ +HELP!!%_ +ERRORS!!%_ +Please help!!%_ +You are SO going to kill me!!%_ +OHNO!!%_ +Wipe out!%_ +Zilch, man.%_ + +-- I AM REALLY SORRY, BUT A SIMULATION FAILED +I was (trying to beat) (competitor model) but I (broke) (your simulations),%_ +I (flaked out) and (broke) (your simulations).%_ +I (broke) (your simulations).%_ +(I know I said I would not) (flake out), (but I did.)%_ I (broke) (your simulations).%_ +(So sorry, but), I [(kinda) ](broke) (your simulations).%_ + +-- HERE IS THE RECHECKED STATUS +(Dude), (here it is): +(Here it is): +(Wow!) +I didn't (flake out) this time: +I didn't (break) the (simulations) this time: +(I won't) (flake out) again: +(I won't) (break) these again: + +-- PLEASE DO NOT HATE ME +(Blame Sam.) +(Blame Sam.) +(Blame Sam.) +(Blame Sam.) +(This sucks.) +(Don't have a cow.) +(Don't have a cow.) (Killing me won't fix it.) +Please don't tell (an authority).%_ (I am still in trouble from) (past crimes).%_ +Please don't tell (an authority).%_ (I am still in trouble from) (past crimes).%_ +Please don't tell (an authority).%_ (I am still in trouble from) (past crimes).%_ +Please don't tell (an authority).%_ (I am still in trouble from) (past crimes).%_ + +-- part of NOAA +GFDL +ESRL +NOAA HQ +EMC +the NCEP Director +Security + +-- an authority +(the cops) +(the cops) +(the cops) +(the government) +(part of NOAA) +(part of NOAA) + +-- I CANNOT FIX IT +(I am)[ like], (way too much of an idiot) (to fix this).%_ + +-- EXCUSES AND APOLOGY FOR ISSUES +(I'll try not to) (flake out later)[, (but you know how I can be)](.!)%_ + +-- GREETING, +Dear (dude)(,,:) +(Dude)(,,:) + +-- PEACE OUT, MAN +(Peace out)[, man](.!)%_ +(Peace out)[, chick](.!)%_ +Later, (dude)(.!)%_ +(Wow!) +(ASCII ART) + +-- Peace out +Peace out +Peace out +Fight the power +Keep fightin' the power +Peace +Peace +Down with the establishment +Fight The Man +Flower power +Deuce +Be (good) + +-- APOLOGETIC PEACE OUT, MAN +(Peace out)[, man](.!)%_ +(Peace out)[, chick](.!)%_ +Sorry, (dude)(.!)%_ +Sorry, (dude)(.!)%_ +Sorry, (dude)(.!)%_ + +-- COLOR +(I am) (high and/or distracted)(.!) +(Dude), these are (complimented) (simulations)(.!) +(Dude), your (simulations) are (complimented)(.!) +This is[, like], [(soooo) ](fun)[, (dude)](.!) +(I am) (having a blast)! +(Wow!) + +-- HERE IS THE STATUS, NO JOKES +(Soooo) sorry, but, here is what I (broke): +(Soooo) sorry, but, here is what I (broke): +(Soooo) sorry, but, here is what I (broke): +(Soooo) sorry, but, here is what I (broke): +Yup. I'm sure (scapegoat) caused this: +This is probably (scapegoat)'s fault somehow, not mine: +(Get angry at user:) + +-- HERE IS THE STATUS +(Okay), (right)(.!)%_ You want (the real stuff), (and I got that): +(Okay), (right)(.!)%_ You want (this stuff): +(Okay), (right)(.!)%_ You want (this stuff): +(Okay), (right)(.!)%_ You want (this stuff): +(Okay), (right)(.!)%_ (You want the dig, I got the dig): +(Bizarre nonsense...) + +-- competitor model +ECMWF +ECMWF +ECMWF +the official forecast +HWRF +climatology + +-- trying to beat +trying to beat +trying to beat +racing them +bookin' to +burnin' rubber like +peelin' out to +toolin' to +truckin' to + +-- here it is +here it is +here it be +here +lookit this +look here + +-- Here it is +Here it is +Here it be +Here +Lookit this +Look here + +-- I won't +I won't +I won't +I wont +I'm not gonna + + +-- It is +It's +It's +It's +It's, like +It's, y'know +It's, like +It's, y'know +It is +It is, like +It is, y'know + +-- Rechecked +Rechecked +Rechecked +Rechecked +Recheck'd +Recheck'd +Recheckened +Rechickened +Recheckered + +-- rechecked +rechecked +rechecked +rechecked +recheck'd +recheck'd +rechickened +recheckened +recheckered + +-- working correctly +a gas +having a ball +working +drawin[g] designs +fab +far out +outta sight +on the make +at the pad +righteous +a real gone cat + +-- You want the dig, I got the dig +You want the dig, I got the dig +You want the good stuff, I got the good stuff +You want the church key, I got the church key +You want the real stuff, I got the real stuff +You want the brew, I got the brew +Here's your midnight auto supply +Here's your five-finger discount +Peel out to this +Kings X + +-- Bizarre nonsense... +(Jinx)!%_ You owe me (a coke)!%_ (Just kidding.) Anyway... +Meanwhile, back at the ranch... +(Dibs) on (the property). +Chickabiddy. + +-- Dibs +Dibs + +-- the property +the cobs +the brody knob +the brew +the scratch +the shades +the threads +the peggers +the pawdiddle +the pad + +-- the real stuff +the good stuff +the real stuff +the blitz +the real brew +the church key +the crash +the cherry stuff + +-- and I got that +and I got that +so here it is[ (complimented) (dude)] +and here it is + +-- Okay +Okay +So +Yea[h] + +-- right +right +okay + +-- kinda +[like, ]kinda[, y'know,%_] +[like, ]sorta[, y'know,%_] +like, +kinda +sorta +sort of +kind of +kinda-sorta, + +-- your simulations +your (simulations) +the (simulations) +some (simulations) + +-- broke +skuzzed up +screwed up +crashed +blew the doors off +jacked up +jammed +jinxed +kiboshed +put the kibosh on +pantsed +raked +pounded +scarfed +scratched up +shorted +broke + +-- broke +skuzzed up +screwed up +crashed +blown +jacked up +jammed +jinxed +kiboshed +kiboshed +pantsed +raked +pounded +scarfed +scratched up +shorted +broken + +-- but I did. +but I did. +but I did. +but, you know... +but you know me[ better than that].[..] + +-- this stuff +this stuff +these things +stuff and things +the dig +the dig +the good stuff + +-- So anyway +Right, so anyway +So anyway +And, uh, right + +-- fun +fun +# FIXME: need more here + +-- having a blast +having a ball +burning rubber +like, choice right now +like, decked out +[(soooo) ](digging) this +(soooo) (happy) + +-- digging +digging +scarfing +ruling + +-- happy +hip +hep +jazzed +outta sight + +-- I am +I'm +I'm +I'm +I am +I am, like +I'm, like + +-- I know I said I would not +I know said I (would not) +I (kinda) said I (would not) + +-- This sucks. +What a bummer.%_ +I am so bummed out now.%_ +I am such a (complimented) (dude), so it must be (someone else's) fault. +It was probably cosmic rays hitting %3 again.%_ +(I am) sure it was my bad karma.%_ +(I am) sure it was %3's bad karma.%_ +I'm gonna lay rubber now... + +-- someone else's +someone else's +Sam's +Sam's +Sam's +Terry's +Kate's +Jian's +Lin's +Bin's +Rich's +Vijay's +your +(the police's) +(the government's) + +-- the police's +the pigs' +the heat's +the police's +heat's +fuzz's + +-- the government's +the gov'ment's +the gov'ment's +the gov'ment's +the government's +Uncle Sam's + +-- the government +the gov'ment +the gov'ment +the gov'ment +the government +Uncle Sam + +-- I am still in trouble from +(I am) still in trouble from +They're still after me from +They still want me for + +-- past crimes +(simulation issues) +breaking your other (simulations) +breaking (other person)'s (simulations) +(bringing down) (resource) +(bringing down) (resource) + +-- bringing down +bringing down +breaking +crashing +screwing up + +-- breaks +breaks +crashes +screws up + +-- resource +(a cluster) +(a cluster) +(a filesystem) +(a filesystem) +(another resource) + +-- a cluster +Jet +Surge +Luna +Theia +Gyre +Tide +Cheyenne +Yellowstone +GAEA + +-- a filesystem +GPFS +HPSS +MSS +the filesystems +ptmp +glade +hps2 +hps3 +hps +stmp +scratch3 +scratch4 +lfs3 +lfs1 +lfs2 +pan2 +SSS + +-- another resource +LoadLeveler +the network +the Infiniband switches +the queue manager +Rocoto +ecFlow +(sun grid engine) +weather.gov +AWIPS + +-- sun grid engine +SGE +SGE +Oracle Grid Engine +Sun Grid Engine + +-- specific scapegoat +Sam +Sam +Sam +Sam +Moorthi +Moorthi +Moorthi +Vijay +Terry +Rich +Kate +Jian +Bin +Lin +Rahul +Fanglin + +-- generic scapegoat +someone else +some other jerk + +-- scapegoat +(specific scapegoat) +(specific scapegoat) +(specific scapegoat) +(specific scapegoat) +(generic scapegoat) + +-- Get angry at user: +(No, wait, if) you are (going to) blame me, then (fix it yourself!) + +-- No, wait, if +No, (wait), if +(Wait)(.!) If + +-- Wait +Wait +Wait a minute +Hey +Hay + +-- wait +wait +wait a minute +hey +hay + +-- climb it, Tarzan +climb it, Tarzan! +climb it, Tarzan! +have a gas with a bass! +bench race yourself! +brody to a pot hole! + +-- fix it yourself! +[ you can] [climb it, Tarzan!]%_ Fix it yourself: +fix it yourself[, jerk]! +maybe I won't email you any more! + +-- Person's +(other person)'s + +-- other person +Sam +Sam +Sam +Kate +Rich +Terry +Lin +Bin +Vijay +Fanglin +Rahul +Moorthi + +-- simulation issues +the warm stratospheric temperatures +the weak stratospheric jets +the high RMSE for winds in the tropics +land surface bias trouble +diffusion-induced widening of TCs +the overabundance of high clouds + +-- Don't have a cow. +But don't have a cow[, (dude)](.!)%_ +But don't flip your wig[, (dude)](.!)%_ +Please don't hurt me.%_ +Hang loose and fix it, (dude).%_ +Just hang loose and fix it, (dude).%_ + +-- Killing me won't fix it. +Killing me won't fix it.%_ +Killing me won't get these running[ again].%_ +Killing me won't get you anywhere.%_ + +-- way too much of an idiot +too much of (an idiot) +too (stupid) + +-- an idiot +a spaz +a nerd +an idiot +a winnie +a sweat hog +a skuzz +a panty-waist + +-- stupid +blitzed +loaded +stupid +useless +skuzz + +-- going to +going to +gonna +gonna + +-- the cops +the (cops) + +-- cops +cops +pigs +heat +police +fuzz + +-- to fix this +to fix this +to do anything now +to bag this + +-- Blame Sam. +(It was probably) (Person's) fault.%_ +(It was probably) (Person's) fault.%_ +(other person) made me do it.%_ +I wanted it to work but (Sam made me break it).%_ +I wanted it to work but (Sam made it too complicated).%_ + +-- Klingon +Klingon +Klingon +Klingon +Goa'uld +Goa'uld +Poliespo +Sindarin +Newspeak +Newspeak +Furbish +Bidjara +Sanskrit + +-- Sam made it too complicated +(specific scapegoat) made it too complicated +(specific scapegoat) made it so hard all I could do was cry +(specific scapegoat) didn't document it at all +(specific scapegoat) only documented it in (Klingon) +(specific scapegoat) never answers emails + +-- Sam made me break it +(specific scapegoat) made me break it +(specific scapegoat) broke it +(specific scapegoat) screwed it up + +-- It was probably +Probabaly was +M' sure it was +It was + +-- would not +would not +would, like, not +wouldn't +wouldn't +wouldn't + +-- So sorry, but +(I am)[ (soooo)] sorry, but +(Soooo) sorry, but +Sorry, but + +-- I'll try not to +I'll try not to +I'll really try not to +I'll, like, try not to + +-- but you know how I can be +but you know how I am +but you know I'm a (naughty) (loser) +but you know I'm a (bad person) +but you know I'm a (bad person) + +-- naughty +blitzed +ditzy +heavy +old +panty-waist +flaky +pigged-out +stoned +zitty + +-- loser +dork +drag +spaz[z] +square +hodad +chrome dome +dip stick + +-- bad person +sweat hog +panty-waist +flake +dork +drag +spaz[z] +dork +square +chrome dome +dip stick +ditz + +-- flake out later +(break) these +(flake out) later +(flake out) when running these + +-- flaking out +beating feet +blowing the doors off +bugging out +flaking[ out] +flaking[ off] +chickening out +being a drag +wigging out +wiping out +all show and no go +bench racing +catching some rays +deucing with a goose +racing for pinks +peeling outta my pad +at the submarine races + +-- flake out +beat feet +blow the doors off +bug out +flake[ out] +flake[ off] +chicken out +be a drag +wig out +wipe out +bench race +catch some rays +deuce with a goose +race for pinks +peel outta my pad +be at the submarine races + +-- flaked out +beat feet +blew the doors off +bugged out +flaked[ out] +flaked[ off] +chickened out +dragged +wigged out +wiped out + +-- break +blow the doors off +skuzz[ up] +ape +boogie +brody +freak out +jam +pound +split + +-- breaking +blowing the doors off +skuzzing[ up] +aping +boogying +brodying +freaking out +jamming +pounding +splitting + +-- broken +blew the doors off +skuzzed[ up] +aped +boogied +brodied +freaked out +jammed +pounded +split + +-- simulations +simulations +simulations +sim'lations +simulations +sim'lations +FV3s +runs +forecasts +`casts +cycles + +-- I am CROW running fv3. +(I am, like,) (ME)(, and stuff.)(I am running) %0(, okay?) +(I am, like,) (ME)(, okay?)(I am running) %0(, and stuff.) +(I am, like,) (ME), (running) %0(, okay?) +(I am, like,) (ME), (running) %0(, and stuff.) +(I am) (ME)(, and stuff.) (I am running) %0(, okay?) +(I am) (ME), (like, you know, running) %0(, okay?) +(I am) (ME), (like, you know, running) %0(, and stuff.) + +-- Dude babbling. +(Dude)(.!?) +(Complimented) (dude)(.!?) +(So,) [(complimented) ](dude)(.?) +(You are)[, like,] (amazing), (dude)!%_ +(You are)[, like,] (amazing), (dude).%_ + +-- So, +So, +Hey there, +Hey, you + +-- Uhhhh... I am +(Uhhhh...) I am +(Y'know), so, + +-- I am, like, +I am +I am, like, +I am, (y'know), +(Y'know), I am +(Y'know) I am, like, + +-- I am running +I am (running) +I'm (running) + +-- I am badly running +I am (badly running) +I'm (badly running) + +-- like, you know, running +(running) +like, (running) +(y'know), (running) +like, (y'know), (running) +(y'know), like, (running) + +-- something +something +something +somethin' +somethin' + +-- , or something +, or (something) + +-- Jinx +Jinx +Jinx +Pawdiddle +Pawdunkle + +-- a coke +a coke +a pepsi +a beer +a dollar + +-- Just kidding. +Just kidding.%_ +Just kidding.%_ +Just joking.%_ +Kidding!%_ Kidding!%_ + +-- Wow! +(Nonsensical exclamation!) +(Nonsensical exclamation!) +(Nonsensical exclamation!) +(Good!) +(Good!) +(Good!) +What (a party)[!]!%_ +What (a party)[!]!%_ +What (a party)[!]!%_ +What (a party)[!]!%_ + +-- Good! +Deuce![!]%_ +Fab![!]%_ +Far out![!]%_ +Twitchin'%_ +Kings X![!]%_ +Boss![!]!%_ +Way out![!]%_ + +-- good +deuce +fab +far out +twitchin' +boss +way out + +-- Nonsensical exclamation! +Pawdiddle![!]%_ +Pawdunkle![!]%_ +Wow![!]%_ +Wow![!]%_ +Wooooooo![!!]%_ +Wooooooo![!!]%_ +Yeaaah!![!!!]%_ +Yeaaah!![!!!]%_ + +-- a party +a gas +a blast +a jam +a party + +-- high and/or distracted +having a good time +copasetic +cruising +digging this +funky + +-- , okay? +.%_ +.%_ +!%_ +, (y'know)?%_ +, okay?%_ +, `kay?%_ +, chickabiddy?%_ + +-- amazing +(complimented) +(complimented) +(soooo) (complimented) + +-- soooo +so +soooo +soooooo +like, really +really + +-- Soooo +So +Soooo +Soooooo +Like, really +Really + +-- , and stuff. +, and (stuff).%_ +, (dude).%_ +(, or something).%_ +[(, or something)], (y'know)?%_ + +-- y'know +right +okay +`kay +y'know + +-- Y'know +Right +Okay +Y'know + +-- stuff +things +stuff +stuff + +-- run +run +use + +-- running +running +runin' +doing, like +doin' some +spinnin' some cycles of +doin' some fine + +-- badly running +(badly) running +(badly) runin' +doin' a (bad) job of running +doin' a (bad) job of runnin' + +-- Uhhhh... +Uhhhh... +Um... +So... +Right... +Right, so... +Y'know... +Okay, so... + +-- .! +.%_ +!%_ + +-- .? +.%_ +?%_ + +-- .!? +.%_ +!%_ +?%_ + +-- badly +badly +scuzzingly +raunchily +suckily + +-- bad +bad +scuzzed-up +scuzzy +drag +raunchy +sucky + +-- You are +You are +You're +You are +You're +Y'know, you are +Y'know, you're +You're, like +Y'know, you're, like +You're, like, y'know + +-- Complimented +Cool +Ginchy +Groovy +Gnarly +Gone +Smokin' +Stacked +Wicked +Twitchin' +Unreal +Fab +Far out +Boss +Glasspacked + +-- complimented +cool +ginchy +groovy +gnarly +gone +smokin' +stacked +twitchin' +unreal +fab +far out +boss +glasspacked + +-- dude +dude +man +daddy-o +cat +cool head +fox +hunk +stud + +-- Dude +Dude +Man +Mamma +Daddy-o +Cat +Cool head +Fox +Hunk +Stud +Chick + +-- ,,: +, +, +: + diff --git a/docs/notes/aprun-examples.txt b/docs/notes/aprun-examples.txt new file mode 100644 index 0000000..297dbe1 --- /dev/null +++ b/docs/notes/aprun-examples.txt @@ -0,0 +1,85 @@ +Assuming: + * 24 physical cores per node + * 2 logical cpus per core when hyperthreading is enabled + * hyperthreading is disabled by default + +There are two aspects to this: + +1. Write a sysenv.parallelism.(module).Parallelism class for aprun +2. Write a sysenv.scheduler.(module).Scheduler class for LSF + ALPS + +Aprun documentation: + + https://bluewaters.ncsa.illinois.edu/using-aprun + +Produtil's equivalent to sysenv.parallelism.(module).Parallelism: + + /scratch3/NCEPDEV/hwrf/save/Samuel.Trahan/H216O-quasitag/ush/produtil/mpi_impl/lsf_cray_intel.py + + +Examples: + + +[ { exe='yup' } ] +aprun -n 1 -N 1 -d 1 -j 1 -cc depth yup +1 + + +[ { exe='blah', mpi_ranks=24 } ] +becomes: +aprun -n 24 -N 24 -d 1 -j 1 -cc depth blah +1 + + +[ { exe="flur", mpi_ranks=12 }, + { exe='zhod', mpi_ranks=12 } ] +becomes: +aprun -n 12 -N 12 -d 1 -j 1 -cc depth flur \ + : -n 12 -N 12 -d 1 -j 1 -cc depth zhod +2 + + + +[ { exe="flur", mpi_ranks=12, max_ppn=4 }, + { exe="flur", mpi_ranks=48 } ] +becomes: +aprun -n 12 -N 4 -d 1 -j 1 -cc depth flur \ + : -n 48 -N 24 -d 1 -j 1 -cc depth flur +5 + + + +[ { exe="bleh", OMP_NUM_THREADS=48, hyperthreads=2 } ] +becomes: +aprun -n 1 -N 1 -d 48 -j 2 -cc depth /usr/bin/env OMP_NUM_THREADS=48 bleh +1 + + + +[ { exe="bleh", OMP_NUM_THREADS=24, mpi_ranks=12 }, + { exe="bleh", OMP_NUM_THREADS=4, mpi_ranks=12 }, + { exe="bleh", OMP_NUM_THREADS=48, mpi_ranks=48, hyperthreads=2 } ] +becomes: +aprun -n 12 -N 1 -d 24 -j 1 -cc depth /usr/bin/env OMP_NUM_THREADS=24 bleh \ + : -n 12 -N 6 -d 4 -j 1 -cc depth /usr/bin/env OMP_NUM_THREADS=4 bleh \ + : -n 48 -N 1 -d 48 -j 2 -cc depth /usr/bin/env OMP_NUM_THREADS=48 bleh +62 + + + +Special cases needed for aprun: + +[ { exe="thing", CrayAprun_cc_extra='numa_node', mpi_ranks=48 } ] +becomes: +aprun -n 48 -N 24 -d 1 -j 1 -cc numa_node thing +2 + +[ { exe="thing", CrayAprun_p_state_extra=2601000, mpi_ranks=12 } ] +becomes: +aprun -n 12 -N 12 -d 1 -j 1 -cc depth --p-state 2601000\ +1 +NOTE: We will want to add a turbo mode enabling option. That requires +parsing this file: + /sys/devices/system/cpu/cpu0/cpufreq/scaling_available_frequencies +and getting the highest available frequency, which is the magic number +for turbo mode. That can wait until later. diff --git a/docs/notes/terry-explanation.txt b/docs/notes/terry-explanation.txt new file mode 100644 index 0000000..4fbef96 --- /dev/null +++ b/docs/notes/terry-explanation.txt @@ -0,0 +1,71 @@ +program1 40 ranks +program1 20 ranks program1 60 ranks +program2 30 ranks program2 30 ranks + +node = 24 ranks + + + + + +8 * atmos.exe +8 * ocean.exe +8 * wave.exe + +t1204 [ 8*atmos + 8*ocean + 8*wave ] + +8 * atmos.exe (1) +8 * ocean.exe (1) +8 * wave.exe (1) + + => same_except_exe => + +24 * (unknown) + +#PBS -l nodes=1:ppn=24 + + +8 * atmos.exe (1) +8 * ocean.exe (1) +8 * wave.exe (1) + + => can_merge_ranks => + +8 * atmos.exe (1) +8 * ocean.exe (1) +8 * wave.exe (1) + +mpiexec -np 8 atmos.exe : -np 8 ocean.exe : -np 8 wave.exe + + + +s134 [ 8*atmos + 16*nothing ] +s135 [ 8*ocean + 16*nothing ] +s136 [ 8*wave + 16*nothing ] + + + + +2 * atmos.exe (4) +4 * atmos.exe (2) +8 * atmos.exe (1) + + => same_except_exe => + +2 * (unknown) (4) +4 * (unknown) (2) +8 * (unknown) (1) + +#PBS -l nodes=1:ppn=8+1:pnp=8+1:ppn=8 + + => can_merge_ranks => + +2 * atmos.exe (4) +4 * atmos.exe (2) +8 * atmos.exe (1) + +mpirun -np 2 /usr/bin/env OMP_NUM_THREADS=4 atmos.exe : \ + -np 4 /usr/bin/env OMP_NUM_THREADS=2 atmos.exe : \ + -np 8 /usr/bin/env OMP_NUM_THREADS=1 atmos.exe + + diff --git a/ecflow_main.py b/ecflow_main.py new file mode 100644 index 0000000..8eca628 --- /dev/null +++ b/ecflow_main.py @@ -0,0 +1,27 @@ +''' +Created on Jul 11, 2018 + +@author: jiankuang +''' + +import sys, os; + +sys.path.append(os.getcwd() + "/CROW") + +os.environ['ECF_HOME'] = os.getcwd() +os.environ['ECF_ROOT'] = os.getcwd() +os.environ['ECF_HOST'] = "ldecflow1" +os.environ['ECF_PORT'] = "32065" + +#os.remove('head.h') +#os.remove('tail.h') +#os.remove("envir-xc40.h") + +import worktools; + +# Edit your writting directory and comment out the following line: +# output = '/Users/jiankuang/Documents/Eclipse_workspace//expdir/casetest1' + +if __name__ == '__main__': + print(os.getcwd()) + worktools.make_ecflow_files_for_cycles(output,'2015112500','2015112506') diff --git a/eclipse_main.py b/eclipse_main.py new file mode 100644 index 0000000..4230ad1 --- /dev/null +++ b/eclipse_main.py @@ -0,0 +1,18 @@ +''' +Created on Jul 11, 2018 + +@author: jiankuang +''' + +import sys,os; + +sys.path.append(os.getcwd() + "/CROW") + +import worktools; + +if __name__ == '__main__': +# print("Hello world CROW!") + option1 = '-f' + casename = 'fv3q2fy19retro5-GFS@C768_ENKF@384+80MEM.yaml' + username = 'casetest1' + worktools.setup_case([option1,casename,username]) diff --git a/make_ecflow_files_for.sh b/make_ecflow_files_for.sh new file mode 100755 index 0000000..58b97bb --- /dev/null +++ b/make_ecflow_files_for.sh @@ -0,0 +1,72 @@ +#! /bin/bash + +set -ue + +# Get the directory in which this script resides. We'll assume the +# yaml files are there: +dir0=$( dirname "$0" ) +here=$( cd "$dir0" ; pwd -P ) + +#if [[ ! -s .in-the-ecfutils-dir ]] ; then +# echo "This script must be within the ecf/ecfutils directory when running it." 1>&2 +# exit 2 +#fi + +export WORKTOOLS_VERBOSE=NO + +crowdir=$( cd CROW ; pwd -P ) + +# Make sure this directory is in the python path so we find worktools.py: +export PYTHONPATH=$here:$crowdir:${PYTHONPATH:+:$PYTHONPATH} + +source "$dir0/worktools.sh.inc" + +# Parse arguments: +if [[ "$1" == "-v" ]] ; then + export WORKTOOLS_VERBOSE=YES + shift 1 +fi +export EXPDIR="$1" +export FIRST_CYCLE="${2:-}" +export LAST_CYCLE="${3:-}" + +#if [[ ! -d /usrx/local ]] ; then +# echo "ERROR: This script only runs on WCOSS" 1>&2 +# exit 1 +#fi + +check_ecf_variables + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + echo "make_ecflow_files_for.sh: verbose mode" +fi + +echo 'ecFlow server settings:' +echo " port: $ECF_PORT" +echo " root: $ECF_ROOT" +echo " home: $ECF_HOME" +echo " host: $ECF_HOST" + +set +e +find_python36 +set -e + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + echo "make_ecflow_files_for.sh: EXPDIR=$EXPDIR" + set -x +fi + +# Profiling version: +# $python36 -c "import worktools, cProfile ; cmd='''worktools.make_ecflow_files_for_cycles( +# '$EXPDIR', +# '$FIRST_CYCLE', +# '$LAST_CYCLE')''' ; cProfile.run(cmd) " +if [[ $FIRST_CYCLE == '' ]] ; then + echo "no dates" + $python36 -c "import worktools ; worktools.make_ecflow_files_for_cycles('$EXPDIR') " +else + $python36 -c "import worktools ; worktools.make_ecflow_files_for_cycles( + '$EXPDIR', + '$FIRST_CYCLE', + '$LAST_CYCLE') " +fi diff --git a/make_rocoto_xml_for.sh b/make_rocoto_xml_for.sh new file mode 100755 index 0000000..25fdcc8 --- /dev/null +++ b/make_rocoto_xml_for.sh @@ -0,0 +1,50 @@ +#! /bin/bash + +set -ue + +# Get the directory in which this script resides. We'll assume the +# yaml files are there: +dir0=$( dirname "$0" ) +here=$( cd "$dir0" ; pwd -P ) + +#if [[ ! -s .in-the-ecfutils-dir ]] ; then +# echo "This script must be within the ecf/ecfutils directory when running it." 1>&2 +# exit 2 +#fi + +export WORKTOOLS_VERBOSE=NO + +crowdir=$( cd CROW ; pwd -P ) + +# Make sure this directory is in the python path so we find worktools.py: +export PYTHONPATH=$here:$crowdir:${PYTHONPATH:+:$PYTHONPATH} + +source "$dir0/worktools.sh.inc" + +# Parse arguments: +if [[ "$1" == "-v" ]] ; then + export WORKTOOLS_VERBOSE=YES + shift 1 +fi +export EXPDIR="$1" + +if [[ ! ( -d /scratch4 && -d /scratch3 || \ + -d /usrx/local && ! -e /etc/redhat-release || \ + -d /lfs3 || \ + -d /lustre/f1 ) \ + ]] ; then + echo "ERROR: This script only runs on Jet and Theia" 1>&2 + exit 1 +fi + +set +e +find_python36 +set -e + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + echo "make_rocoto_xml_for.sh: EXPDIR=$EXPDIR" + set -x +fi + +$python36 -c "import worktools ; worktools.make_rocoto_xml_for( + '$EXPDIR')" diff --git a/rocoto-test-file.yaml b/rocoto-test-file.yaml index e6637b3..e901e06 100644 --- a/rocoto-test-file.yaml +++ b/rocoto-test-file.yaml @@ -1,6 +1,6 @@ wcoss_cray: &wcoss_cray !Platform - machine: THEIA + machine: WCOSS ACCOUNT: fv3-cpu QUEUE: batch QUEUE_ARCH: service diff --git a/rocoto_main.py b/rocoto_main.py new file mode 100644 index 0000000..66257ed --- /dev/null +++ b/rocoto_main.py @@ -0,0 +1,13 @@ +''' +Created on Jul 11, 2018 + +@author: jiankuang +''' + +import sys, os; + +sys.path.append("/Users/jiankuang/Documents/Eclipse_workspace/ecfutils_007/CROW") + +import worktools ; +if __name__ == '__main__': + worktools.make_rocoto_xml_for(os.getcwd() + '/expdir/casetest1') \ No newline at end of file diff --git a/setup_case.sh b/setup_case.sh new file mode 100755 index 0000000..96076bf --- /dev/null +++ b/setup_case.sh @@ -0,0 +1,29 @@ +#! /bin/bash + +set -ue + +# Get the directory in which this script resides. We'll assume the +# yaml files are there: +here=$( dirname "$0" ) + +#if [[ ! -s .in-the-ecfutils-dir ]] ; then +# echo "This script must be within the ecf/ecfutils directory when running it." 1>&2 +# exit 2 +#fi + +export WORKTOOLS_VERBOSE=NO +export CROW_CONFIG=$( cd ../ ) +export crowdir=$( cd $here ; pwd -P ) + +# Make sure this directory is in the python path so we find worktools.py: +export PYTHONPATH=$here:$crowdir:${PYTHONPATH:+:$PYTHONPATH} + +source "$here/worktools.sh.inc" + +find_python36 + +$python36 -c ' +import sys; +import worktools; +worktools.setup_case(sys.argv[1:])' \ + "$@" diff --git a/update_ecflow_workflow.sh b/update_ecflow_workflow.sh new file mode 100755 index 0000000..a9160b5 --- /dev/null +++ b/update_ecflow_workflow.sh @@ -0,0 +1,76 @@ +#! /bin/bash + +set -ue + +# Get the directory in which this script resides. We'll assume the +# yaml files are there: +dir0=$( dirname "$0" ) +here=$( cd "$dir0" ; pwd -P ) + +if [[ ! -s .in-the-ecfutils-dir ]] ; then + echo "This script must be within the ecf/ecfutils directory when running it." 1>&2 + exit 2 +fi + +export WORKTOOLS_VERBOSE=NO + +crowdir=$( cd CROW ; pwd -P ) + +# Make sure this directory is in the python path so we find worktools.py: +export PYTHONPATH=$here:$crowdir:${PYTHONPATH:+:$PYTHONPATH} + +source "$dir0/worktools.sh.inc" + +# Parse arguments: +if [[ "$1" == "-v" ]] ; then + export WORKTOOLS_VERBOSE=YES + shift 1 +fi +export EXPDIR="$1" +export FIRST_CYCLE="$2" +export LAST_CYCLE="$3" + +if [[ ! -d /usrx/local || -e /etc/redhat-release ]] ; then + echo "ERROR: This script only runs on WCOSS Cray" 1>&2 + exit 1 +fi + +if ( ! which ecflow_client > /dev/null 2>&1 ) ; then + echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." + exit 1 +fi + +check_ecf_host=NO +check_ecf_variables + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + echo "begin_ecflow_workflow.sh: verbose mode" + export redirect=" " +else + export redirect="> /dev/null 2>&1" +fi + +echo "ecFlow server port: $ECF_PORT" +echo "ecFlow server root: $ECF_ROOT" +echo "ecFlow server home: $ECF_HOME" + +set +e +find_python36 +set -e + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + set -x +fi + +if ( ! ecflow_client --ping $redirect ) ; then + echo "Could not connect to ecflow server. Aborting." + exit 1 +fi + +$python36 -c " +import worktools ; +worktools.add_cycles_to_running_ecflow_workflow_at( + '$EXPDIR', + '$FIRST_CYCLE', + '$LAST_CYCLE' +)" diff --git a/utils/create_comrot.py b/utils/create_comrot.py new file mode 100644 index 0000000..bbcb76e --- /dev/null +++ b/utils/create_comrot.py @@ -0,0 +1,57 @@ +#! /usr/bin/env python3 +f'This script requires python 3.6 or later' + +import os, logging +from contextlib import suppress + +logger=logging.getLogger('create_comrot') + +def make_link(src,tgt): + logger.debug(f'{src}: symlink {tgt}') + with suppress(FileNotFoundError): os.unlink(tgt) + if not os.path.exists(src): + logger.warning(f'{src}: link target does not exist') + os.symlink(src,tgt) + +def make_dir(dir): + logger.debug(f'{dir}: makedirs') + with suppress(FileExistsError): os.makedirs(dir) + +def create_COMROT(conf): + cdump = conf.case.IC_CDUMP + icsdir = conf.case.IC_DIR + comrot = conf.places.ROTDIR + resens = conf.fv3_enkf_settings.CASE[1:] + resdet = conf.fv3_gfs_settings.CASE[1:] + idate = conf.case.SDATE + detdir = f'{cdump}.{idate:%Y%m%d}/{idate:%H}' + nens = conf.data_assimilation.NMEM_ENKF + enkfdir = f'enkf.{cdump}.{idate:%Y%m%d}/{idate:%H}' + idatestr = f'{idate:%Y%m%d%H}' + + logger.info(f'Input conditions: {icsdir}') + + make_dir(os.path.join(comrot,enkfdir)) + make_dir(os.path.join(comrot, detdir)) + + logger.info(f'Workflow COM root: {comrot}') + + # Link ensemble member initial conditions + for i in range(1, nens + 1): + memdir=os.path.join(comrot,enkfdir,f'mem{i:03d}') + make_dir(memdir) + src=os.path.join(icsdir, idatestr, f'C{resens}',f'mem{i:03d}','INPUT') + tgt=os.path.join(comrot, enkfdir, f'mem{i:03d}', 'INPUT') + make_link(src,tgt) + + # Link deterministic initial conditions + src=os.path.join(icsdir, idatestr, f'C{resdet}', 'control', 'INPUT') + tgt=os.path.join(comrot, detdir, 'INPUT') + make_link(src,tgt) + + # Link bias correction and radiance diagnostics files + for fname in ['abias', 'abias_pc', 'abias_air', 'radstat']: + file=f'{cdump}.t{idate:%H}z.{fname}' + src=os.path.join(icsdir, idatestr, file) + tgt=os.path.join(comrot, detdir, file) + make_link(src,tgt) diff --git a/utils/crow_dataflow_check_sh.py b/utils/crow_dataflow_check_sh.py new file mode 100644 index 0000000..e5b05cc --- /dev/null +++ b/utils/crow_dataflow_check_sh.py @@ -0,0 +1,79 @@ +#! /usr/bin/env python3.6 +import logging, sys +from getopt import getopt +from crow.dataflow import Dataflow +from crow.tools import shell_to_python_type + +def usage(why): + sys.stderr.write('''Format: crow_dataflow_find_sh.py [-v] (I|O) [ search parameters ] + -v = verbose + I = input slot + O = output slot + actor=path.to.actor = actor producing or consuming data + slot=slot_name = name of input or output slot + other=other = slot property\n''') + sys.stderr.write(why+'\n') + exit(1) + +def main(): + (optval,args) = getopt(sys.argv[1:],'v') + options=dict(optval) + if len(args)<2: + usage('specify database file and flow') + + level=logging.DEBUG if '-v' in options else logging.INFO + logging.basicConfig(stream=sys.stderr,level=level) + logger=logging.getLogger('crow_dataflow_sh') + + logger.info('top of script') + + dbfile, flow = args[0:2] + + if flow not in 'OI': + usage(f"flow must be O (output) or I (input) not {flow}") + + primary={ 'flow':flow, 'actor':None, 'slot':None } + meta={} + for arg in args[2:]: + split=arg.split('=',1) + if len(split)!=2: + usage(f'{arg}: arguments must be var=value') + ( var, strvalue ) = split + value=shell_to_python_type(strvalue) + if var in primary: + primary[var]=value + else: + meta[var]=value + + logger.info(f'{dbfile}: open sqlite3 database') + db=Dataflow(dbfile) + if flow == 'O': + find=db.find_output_slot + message='find output slots' + else: + find=db.find_input_slot + message='find input slots' + + if primary['actor']: + message+=f' actor={primary["actor"]}' + else: + message+=' for all actors' + if primary['slot']: message+=f' slot={primary["slot"]}' + if meta: + message+=' meta: ' + for k,v in meta: + message+=f' {k}={v}' + + logger.info(message) + db.dump(sys.stderr) + for slot in find(primary['actor'],primary['slot'],meta): + localmeta=slot.get_meta() + sys.stderr.write(f'{slot} meta = {localmeta}\n') + if localmeta: + metas=[ f'{k}={v}' for k,v in localmeta.items() ] + print(f'{slot.flow} {slot.actor} {slot.slot} {" ".join(metas)}') + else: + print(f'{slot.flow} {slot.actor} {slot.slot}') + +if __name__ == '__main__': + main() diff --git a/utils/crow_dataflow_cycle_sh.py b/utils/crow_dataflow_cycle_sh.py new file mode 100755 index 0000000..b49c85c --- /dev/null +++ b/utils/crow_dataflow_cycle_sh.py @@ -0,0 +1,50 @@ +#! /usr/bin/env python3.6 + + +import sys, logging, shutil +from getopt import getopt +from contextlib import suppress +from crow.dataflow import Dataflow +from datetime import datetime + +ALLOWED_DATE_FORMATS=[ '%Y-%m-%dt%H:%M:%S', '%Y-%m-%dT%H:%M:%S', + '%Y-%m-%d %H:%M:%S', '%Y%m%d%H', '%Y%m%d%H%M' ] +def usage(why): + sys.stderr.write('''Format: crow_dataflow_cycle_sh.py [-v] file.db (add|del) cycle +-v = be verbose +file.db = sqlite3 database with state information +add = start the cycle by copying template output records to cycle-specific ones +del = delete all output records for this cycle +cycle = cycle in posix format: YYYY-MM-DDtHH:MM:SS +''') + sys.stderr.write(why+'\n') + exit(1) + +def main(): + (optval, args) = getopt(sys.argv[1:],'o:i:vm') + options=dict(optval) + + level=logging.DEBUG if '-v' in options else logging.INFO + logging.basicConfig(stream=sys.stderr,level=level) + logger=logging.getLogger('crow_dataflow_sh') + + if len(args) != 3: usage("give exactly three non-option arguments") + + dbfile, adddel, cyclestr = args[0:3] + if adddel not in [ 'add', 'del' ]: usage('Specify "add" or "del"') + + cycle=None + for fmt in ALLOWED_DATE_FORMATS: + with suppress(ValueError): + cycle=datetime.strptime(cyclestr,fmt) + break + if cycle is None: usage(f'unknown cycle format: {cyclestr}') + + db=Dataflow(dbfile) + logger.info(f'{dbfile}: {adddel} cycle {cycle:%Y-%m-%dt%H:%M:%S}') + + if adddel=='add': db.add_cycle(cycle) + else: db.del_cycle(cycle) + +if __name__ == '__main__': + main() diff --git a/utils/crow_dataflow_deliver_sh.py b/utils/crow_dataflow_deliver_sh.py new file mode 100755 index 0000000..a3a9d58 --- /dev/null +++ b/utils/crow_dataflow_deliver_sh.py @@ -0,0 +1,177 @@ +#! /usr/bin/env python3.6 + +import sys, logging, shutil +from getopt import getopt +from contextlib import suppress +from crow.dataflow import Dataflow +from datetime import datetime +from crow.tools import shell_to_python_type + +ALLOWED_DATE_FORMATS=[ '%Y-%m-%dt%H:%M:%S', '%Y-%m-%dT%H:%M:%S', + '%Y-%m-%d %H:%M:%S', '%Y%m%d%H', '%Y%m%d%H%M' ] + +USAGE='''Format: crow_dataflow_sh.py [-v] [-m] ( -i input | -o output ) \\ + dataflow.db cycle actor var=value [var=value [...]] + -c = just check for files; don't deliver them + -m = expect multiple matches; -i or -o are formats instead of paths + -v = verbose (set logging level to logging.DEBUG) + -i input = local file to deliver to an output slot or "-" for stdin + -o output = local file to receive data from an input slot or "-" for stdout + dataflow.db = sqlite3 database file with state information + cycle = forecast cycle in ISO format: 2019-08-15t13:08:14 + actor = actor (job) producing the data (period-separated: path.to.actor) + slot=slotname = name of slot that produces or consumes the data + var=type::value = specify type of value: int, float, bool, str +''' + +def usage(why): + sys.stderr.write(USAGE) + sys.stderr.write(why+'\n') + exit(1) + +def deliver_by_name(logger,flow,local,message,check): + logger.debug(f'{message.actor}.{message.slot} (meta={locals}): deliver by name from {local}') + if check: + strloc=local + if local == '-' and flow=='O': strloc='(stdin)' + if local == '-' and flow=='I': strloc='(stdout)' + avail=message.availability_time() + when='0' + if avail: + when=datetime.fromtimestamp(avail).strftime('%Y-%m-%dt%H:%M:%S') + localmeta=message.get_meta() + if localmeta: + metas=[ f'{k}={v}' for k,v in localmeta.items() ] + print(f'{bool(avail)} ({when}) - {message.flow} {message.actor} ' + f'{message.slot} {" ".join(metas)}') + else: + print(f'{bool(avail)} ({when}) - {message.flow} {message.actor} ' + f'{message.slot}') + elif local != '-': + if flow == 'O': + message.deliver(local) + else: + message.obtain(local) + elif flow=='I': + with message.open('rb') as in_fd: + shutil.copyfileobj(in_fd,sys.stdout.buffer) + elif flow=='O': + with message.open('wb') as out_fd: + data=sys.stdin.buffer.read() + logger.info(f'write {data}') + #shutil.copyfileobj(sys.stdin.buffer,out_fd) + out_fd.write(data) + +def slot_meta_iter(slot,meta): + for k,v in meta.items(): + if isinstance(v,list): + for item in v: + newmeta=dict(meta) + newmeta[k]=item + for s,m in slot_meta_iter(slot,newmeta): + yield s,m + return + yield slot,meta + +def deliver_by_format(logger,flow,format,message,check): + if "'''" in format: + raise ValueError(f"{format}: cannot contain three single quotes " + "in a row '''") + globals={ 'actor':message.actor, 'slot':message.slot, 'flow':message.flow, + 'cycle':message.cycle } + for slot,meta in slot_meta_iter(message,message.get_meta()): + logger.debug(f'{message.actor}.{message.slot} (meta={meta}): filename format {format}') + local_file=eval("f'''"+format+"'''",globals,meta) + logger.debug(f'{message.actor}.{message.slot} (meta={meta}): deliver by format from {local_file}') + deliver_by_name(logger,flow,local_file,message,check) + +def has_meta_lists(slot): + meta=slot.get_meta() + for k,v in meta.items(): + if isinstance(v,list): return True + return False + +def main(): + (optval, args) = getopt(sys.argv[1:],'o:i:vmc') + options=dict(optval) + + level=logging.DEBUG if '-v' in options else logging.INFO + logging.basicConfig(stream=sys.stderr,level=level) + logger=logging.getLogger('crow_dataflow_sh') + + if ( '-i' in options ) == ( '-o' in options ): + usage('specify exactly one of -o and -i') + + flow = 'O' if '-i' in options else 'I' + + if len(args)<4: + usage('specify dataflow db file, cycle, actor, and at least one var=value') + + ( dbfile, cyclestr, actor ) = args[0:3] + cycle=None + for fmt in ALLOWED_DATE_FORMATS: + with suppress(ValueError): + cycle=datetime.strptime(cyclestr,fmt) + break + if cycle is None: usage(f'unknown cycle format: {cyclestr}') + + slot=None + meta={} + for arg in args[3:]: + split=arg.split('=',1) + if len(split)!=2: + usage(f'{arg}: arguments must be var=value') + ( var, strvalue ) = split + value=shell_to_python_type(strvalue) + if var=='slot': + slot=value + elif var=='flow': + usage(f'{arg}: cannot set flow; that is set automatically via -i or -o') + elif var=='actor': + usage(f'{arg}: cannot set actor; that is set via a positional argument') + else: + meta[var]=value + + db=Dataflow(dbfile) + if flow=='I': + logger.info(f'{dbfile}: find input slot actor={actor} slot={slot} ' + f'meta={meta}') + matches=iter(db.find_input_slot(actor,slot,meta)) + local=options['-o'] + else: + logger.info(f'{dbfile}: find output slot actor={actor} slot={slot} ' + f'meta={meta}') + matches=iter(db.find_output_slot(actor,slot,meta)) + local=options['-i'] + + slots = [ slot for slot in matches ] + any_have_meta_lists=False + for slot in slots: + logger.info(str(slot)) + if has_meta_lists(slot): + any_have_meta_lists=True + logger.info('... has metadata lists') + #any_have_meta_lists = any([ has_meta_lists(slot) for slot in slots ]) + multi = len(slots)>1 or any_have_meta_lists + + slot1, slot2 = None, None + with suppress(StopIteration): + slot1=next(matches) + slot2=next(matches) + + if not slots: + logger.error('No match for query. Such a slot does not exist.') + exit(1) + elif multi and '-m' not in options: + logger.error('Multiple matches, and -m not specified. Abort.') + exit(1) + elif not multi and '-m' in options: + logger.error('Single match but -m was specified. Abort.') + exit(1) + + for slot in slots: + deliver_by_format(logger,flow,local,slot.at(cycle),'-c' in options) + + +if __name__ == '__main__': + main() diff --git a/utils/crow_dataflow_find_sh.py b/utils/crow_dataflow_find_sh.py new file mode 100755 index 0000000..c99af2e --- /dev/null +++ b/utils/crow_dataflow_find_sh.py @@ -0,0 +1,78 @@ +#! /usr/bin/env python3.6 +import logging, sys +from getopt import getopt +from crow.dataflow import Dataflow +from crow.tools import shell_to_python_type + +def usage(why): + sys.stderr.write('''Format: crow_dataflow_find_sh.py [-v] (I|O) [ search parameters ] + -v = verbose + I = input slot + O = output slot + actor=path.to.actor = actor producing or consuming data + slot=slot_name = name of input or output slot + other=other = slot property\n''') + sys.stderr.write(why+'\n') + exit(1) + +def main(): + (optval,args) = getopt(sys.argv[1:],'v') + options=dict(optval) + if len(args)<2: + usage('specify database file and flow') + + level=logging.DEBUG if '-v' in options else logging.INFO + logging.basicConfig(stream=sys.stderr,level=level) + logger=logging.getLogger('crow_dataflow_sh') + + logger.info('top of script') + + dbfile, flow = args[0:2] + + if flow not in 'OI': + usage(f"flow must be O (output) or I (input) not {flow}") + + primary={ 'flow':flow, 'actor':None, 'slot':None } + meta={} + for arg in args[2:]: + split=arg.split('=',1) + if len(split)!=2: + usage(f'{arg}: arguments must be var=value') + ( var, strvalue ) = split + value=shell_to_python_type(strvalue) + if var in primary: + primary[var]=value + else: + meta[var]=value + + logger.info(f'{dbfile}: open sqlite3 database') + db=Dataflow(dbfile) + if flow == 'O': + find=db.find_output_slot + message='find output slots' + else: + find=db.find_input_slot + message='find input slots' + + if primary['actor']: + message+=f' actor={primary["actor"]}' + else: + message+=' for all actors' + if primary['slot']: message+=f' slot={primary["slot"]}' + if meta: + message+=' meta: ' + for k,v in meta: + message+=f' {k}={v}' + + logger.info(message) + + for slot in find(primary['actor'],primary['slot'],meta): + localmeta=slot.get_meta() + if localmeta: + metas=[ f'{k}={v}' for k,v in localmeta.items() ] + print(f'{slot.flow} {slot.actor} {slot.slot} {" ".join(metas)}') + else: + print(f'{slot.flow} {slot.actor} {slot.slot}') + +if __name__ == '__main__': + main() diff --git a/utils/execute.sh b/utils/execute.sh new file mode 100755 index 0000000..acd9e1b --- /dev/null +++ b/utils/execute.sh @@ -0,0 +1,20 @@ +#! /bin/sh +#set -xue +# scratch script of starting ecflow workflow + +#./setup_case.sh -F -c -p WCOSS_DELL_P3 cases/four_cycle_mode_prfv3rt1_GFS\@C768_ENKF\@384+80MEM-Dell.yaml devtest + +#./make_ecflow_files_for.sh -v /gpfs/dell2/emc/modeling/noscrub/Jian.Kuang/nwprod/gfs.v15.0.0pre10/ecf/ecfutils/expdir/test 2018091800 2018092212 +#exit 0 +#set +e + +yes | for x in 00 06 12 18; do + ecflow_client --delete force /test$x + ecflow_client --load /gpfs/dell2/emc/modeling/noscrub/Jian.Kuang/ecflow/defs/test/test$x.def + ecflow_client --begin /test$x +done +exit 0 +ecflow_client --force=complete recursive /test06/gfs +ecflow_client --force=complete recursive /test06/gdas +ecflow_client --run force /test12/gdas/jemc_dump_waiter +ecflow_client --run force /test12/gfs/jemc_dump_waiter diff --git a/utils/make-half-cycle.sh b/utils/make-half-cycle.sh new file mode 100755 index 0000000..52f64c4 --- /dev/null +++ b/utils/make-half-cycle.sh @@ -0,0 +1,24 @@ +#! /bin/sh + +if [[ "$#" != 1 && "$#" != 2 ]] ; then + echo "Syntax: make-half-cycle.sh half-cycle [prior-cycle]" +fi + +set -xu + +if [[ "$#" -gt 1 ]] ; then + ecflow_client --force=complete recursive $2/gfs + ecflow_client --force=complete recursive $2/gdas +fi + +ecflow_client --force=complete recursive $1/gfs +ecflow_client --force=complete recursive $1/gdas/prep +ecflow_client --force=complete recursive $1/gdas/analysis +ecflow_client --force=complete recursive $1/gdas/post_processing +ecflow_client --force=complete recursive $1/gdas/gempak +ecflow_client --force=complete recursive $1/gdas/enkf/jgdas_enkf_select_obs +ecflow_client --force=complete recursive $1/gdas/enkf/innovate +ecflow_client --force=complete recursive $1/gdas/enkf/jgdas_enkf_update +ecflow_client --force=complete recursive $1/gdas/enkf/jgdas_enkf_inflate_recenter +ecflow_client --force=complete recursive $1/gdas/jgdas_emc_dump_waiter +ecflow_client --force=complete recursive $1/gdas/prep diff --git a/worktools.py b/worktools.py new file mode 100644 index 0000000..7f36f7f --- /dev/null +++ b/worktools.py @@ -0,0 +1,688 @@ +#! /usr/bin/env python3 +f'This python module requires python 3.6 or newer' + +import logging, os, io, sys, datetime, glob, shutil, subprocess, re, itertools, collections +from collections import OrderedDict +from copy import copy +from getopt import getopt +from contextlib import suppress +logger=logging.getLogger('crow.model.fv3gfs') + +os.chdir('../') + +YAML_DIRS_TO_COPY={ '../schema':'schema', + '../defaults':'defaults', + '../config':'config', + '../runtime':'runtime' } # important: no ending / +YAML_FILES_TO_COPY={ '../_expdir_main.yaml': '_main.yaml', + '../user.yaml': 'user.yaml' } + +os.chdir('CROW/') + +try: + import crow +except ImportError as ie: + crowdir=os.path.dirname(os.path.abspath(__file__)) + thisdir=os.path.realpath(os.path.join(crowdir,"../")) + topdir=os.path.realpath(os.path.join(crowdir,"../../../")) + sys.path.append(topdir) + del thisdir, topdir + +def init_logging(verbose=False,debug=False): + level=logging.WARNING + if debug: + level=logging.DEBUG + elif verbose or os.environ.get('WORKTOOLS_VERBOSE','NO') == 'YES': + level=logging.INFO + logging.basicConfig(stream=sys.stderr,level=level) + +import crow.tools, crow.config +from crow.metascheduler import to_ecflow, to_rocoto, to_dummy +from crow.config import from_dir, Suite, from_file, to_yaml +from crow.tools import Clock + +ECFNETS_INCLUDE = "/ecf/ecfnets/include" +SIX_HOURS = datetime.timedelta(seconds=6*3600) + +def loudly_make_dir_if_missing(dirname): + if dirname and not os.path.exists(dirname): + logger.info(f'{dirname}: make directory') + os.makedirs(dirname) + +def loudly_make_symlink(src,tgt): + logger.debug(f'{src}: symlink {tgt}') + with suppress(FileNotFoundError): os.unlink(tgt) + if not os.path.exists(src): + logger.warning(f'{src}: link target does not exist') + os.symlink(src,tgt) + +def make_parent_dir(filename): + loudly_make_dir_if_missing(os.path.dirname(filename)) + +def find_available_platforms(platdir): + matches={} + filenames={} + can_skip=set() + for matching_file in glob.glob(f'{platdir}/[a-zA-Z]*.yaml'): + logger.info(f'{matching_file}: check this platform...') + plat=from_file('../user.yaml',f'{platdir}/_common.yaml',matching_file) + if not 'platform' in plat or \ + not 'detect' in plat.platform or \ + not 'name' in plat.platform: + logger.warning(f'{matching_file}: does not contain a ' + '"platform" map with "detect" and "name"') + continue + name=plat.platform.name + if plat.platform.detect: + logger.info(f'{matching_file}: platform {name} matches') + if name in filenames: + logger.error(f'{filenames[name]}: same platform name "{name}" as {matching_file}') + exit(1) + matches[name]=plat + if plat.platform.get('skip_if_others_present',False): + can_skip.add(name) + + available=copy(matches) + for k in can_skip: + if k in available: del available[k] + + if available: + return available + else: + # All platforms "can be skipped" so skip none: + return matches + +def sandbox_platforms(platdir): + available={} + plat=from_file('../user.yaml',f'{platdir}/_common.yaml',f'{platdir}/_sandbox.yaml') + available[plat.platform.name]=plat + return available + +def select_platform(requested_platform,valid_platforms): + if not requested_platform and len(valid_platforms)>1: + logger.error('More than one platform is available: ' + +(', '.join(valid_platforms.keys()))) + logger.error('Pick one with -p option') + exit(1) + elif requested_platform in valid_platforms: + platdoc=valid_platforms[requested_platform] + elif requested_platform: + logger.error(f'Invalid platform {requested_platform}.') + logger.error('Available platforms: '+ + ', '.join(valid_platforms.keys())) + exit(1) + else: # choose first platform + platdoc=next(iter(valid_platforms.values())) + platdoc.platform.Evaluate=True + crow.config.evaluate_immediates(platdoc.platform) + return platdoc + +def create_COMROT(conf,force): + comrot = conf.places.ROTDIR + logger.info(f'Workflow COM root: {comrot}') + if os.path.exists(comrot): + if force: + logger.warning(f'{comrot}: exists but -f was specified, so I will re-link comrot') + logger.warning(f'{comrot}: I will ovewrite initial conditions for the first half cycle with symbolic links.') + logger.warning(f'{comrot}: I will NOT delete or modify any other files.') + else: + logger.error(f'{comrot}: exists. Refusing to recreate unless -f is given.') + logger.error(f'Provide -c to skip comrot linking, or') + logger.error(f'Provide -f to force comrot linking.') + sys.exit(1) + loudly_make_dir_if_missing(comrot) + + if not 'IC_CDUMP' in conf.settings or not conf.settings.IC_CDUMP: + logger.info('IC_CDUMP not specified; will assume scripts will provide their own ICs.') + print('conf.settings.IC_CDUMP: not set; will assume scripts will provide their own ICs.') + return + cdump = conf.settings.IC_CDUMP + icsdir = conf.places.ICSDIR + resens = conf.fv3_enkf_settings.CASE[1:] + resdet = conf.fv3_gfs_settings.CASE[1:] + idate = conf.settings.SDATE + detdir = f'{cdump}.{idate:%Y%m%d}/{idate:%H}' + nens = conf.data_assimilation.NMEM_ENKF + enkfdir = f'enkf.{cdump}.{idate:%Y%m%d}/{idate:%H}' + idatestr = f'{idate:%Y%m%d%H}' + + print(f'Input conditions from model: {cdump.upper()}') + print(f'Copy input conditions from: {icsdir}') + logger.info(f'Input conditions: {icsdir}') + + if conf.settings.run_enkf: + loudly_make_dir_if_missing(os.path.join(comrot,enkfdir)) + loudly_make_dir_if_missing(os.path.join(comrot, detdir)) + + print(f'Copy input conditions to: {comrot}') + + # Link ensemble member initial conditions + if conf.settings.run_enkf: + for i in range(1, nens + 1): + memdir=os.path.join(comrot,enkfdir,f'mem{i:03d}') + loudly_make_dir_if_missing(memdir) + src=os.path.join(icsdir, idatestr, f'C{resens}',f'mem{i:03d}','INPUT') + tgt=os.path.join(comrot, enkfdir, f'mem{i:03d}', 'INPUT') + loudly_make_symlink(src,tgt) + + # Link deterministic initial conditions + src=os.path.join(icsdir, idatestr, f'C{resdet}', 'control', 'INPUT') + if not os.path.exists(src): + src=os.path.join(icsdir, idatestr, cdump, f'C{resdet}', 'control', 'INPUT') + if not os.path.exists(src): + src=os.path.join(icsdir, idatestr, cdump, f'C{resdet}', 'INPUT') + tgt=os.path.join(comrot, detdir, 'INPUT') + loudly_make_symlink(src,tgt) + + if conf.settings.run_gsi: + # Link bias correction and radiance diagnostics files + for fname in ['abias', 'abias_pc', 'abias_air', 'radstat']: + file=f'{cdump}.t{idate:%H}z.{fname}' + src=os.path.join(icsdir, idatestr, file) + tgt=os.path.join(comrot, detdir, file) + loudly_make_symlink(src,tgt) + +def find_case_yaml_file_for(case_name): + for case_file in [ case_name,f"{case_name}.yaml",f"../cases/{case_name}", + f"../cases/{case_name}.yaml","/" ]: + if os.path.exists(case_file) and case_file!='/': + return case_file + if case_file == "/": + logger.error(f"{case_name}: no such case; pick one from in ../cases/") + exit(1) + +def read_yaml_suite(dir,stage=''): + logger.info(f'{dir}: read yaml files specified in _main.yaml') + conf=from_dir(dir) + assert(conf.suite._path) + for scope_name in conf.validate_me: + logger.info(f'{scope_name}: validate scope.') + crow.config.validate(conf[scope_name],stage=stage) + suite=Suite(conf.suite) + assert(suite.viewed._path) + return conf,suite + +def make_config_files_in_expdir(doc,expdir): + for key in doc.keys(): + if not key.startswith('config_'): continue + value=doc[key] + if not isinstance(value,collections.Mapping): continue + if not 'filename' in value or not 'content' in value: + logger.warning(f'{key}: config files require "filename" and "content" entries.') + if value.get('disable',False): continue # + filename=os.path.join(expdir,str(value.filename)) + logger.debug(f'{filename}: expand') + content=str(value.content) + logger.info(f'{filename}: write') + with open(filename,'wt') as fd: + fd.write(content) + +def make_yaml_files_in_expdir(srcdir,case_name,experiment_name,platdoc,force,skip_comrot,force_platform_rewrite): + logger.info(f'{srcdir}: get yaml files from here') + logger.info(f'{case_name}: use this case') + + case_file=find_case_yaml_file_for(case_name) + platform_yaml=to_yaml(platdoc) + + names={ 'names': { 'experiment':experiment_name, + 'case':case_name } } + names_yaml=to_yaml(names) + + # Get the configuration from the source directory: + with io.StringIO() as fd: + fd.write(platform_yaml) + fd.write('\n\n') + fd.write(names_yaml) + fd.write('\n\n') + crow.config.follow_main(fd,srcdir) + fd.write('\n\n') + with open(case_file,'rt') as cfd: + fd.write(cfd.read()) + for srcfile in glob.glob(f'{srcdir}/static/*.yaml'): + with open(srcfile,'rt') as ifd: + fd.write(ifd.read()) + fd.write('\n\n') + config_contents=fd.getvalue() + config=crow.config.from_string(config_contents) + workflow_file=os.path.join(srcdir,config.places.workflow_file) + tgtdir=config.places.EXPDIR # previously configdir + rotdir=config.places.ROTDIR + redo=False + + logger.info(f'{rotdir}: COM files will be here') + logger.info(f'{tgtdir}: YAML files will be here') + logger.info(f'{tgtdir}: config files will be here') + + gud=True + if os.path.exists(tgtdir): + gud=False + logger.warning(f'{tgtdir}: already exists!') + if os.path.exists(rotdir): + if not skip_comrot: + gud=False + logger.warning(f'{rotdir}: already exists!') + else: + logger.info(f'{rotdir}: already exists, but -c was specified, so I will ignore it.') + if not gud and not force: + logger.error('Target directories already exist.') + logger.error('I will not start a workflow unless you do -f.') + logger.critical('Use -f to force this workflow to start, but we aware that config, initial COM, and yaml files will be overwritten. Other files will remain unmodified.') + exit(1) + elif not gud: + logger.warning('Target directories already exist.') + logger.warning('Received -f or -F, so I will start anyway.') + logger.warning('Will overwrite config, initial COM, and yaml files.') + logger.warning('All other files will remain unmodified.') + redo=True + + del config + + if not os.path.exists(tgtdir): + logger.info(f'{tgtdir}: make directory') + os.makedirs(tgtdir) + + logger.info(f'{tgtdir}/names.yaml: write experiment name and case name') + with open(f'{tgtdir}/names.yaml','wt') as fd: + fd.write(names_yaml) + + if redo and os.path.exists(f'{tgtdir}/platform.yaml') and not force_platform_rewrite: + logger.warning('I am NOT replacing platform.yaml. This is a safeguard to prevent automatic scrub space detection from switching scrub spaces mid-workflow.') + logger.warning('You must edit platform.yaml manually or use -F to force me to overwrite platform.yaml. Using -F on a running workflow is inadvisable.') + logger.warning(f'{tgtdir}/platform.yaml: NOT replacing this file.') + else: + if os.path.exists(f'{tgtdir}/platform.yaml') and force_platform_rewrite: + logger.warning(f'{tgtdir}/platform.yaml: overwriting due to -F. This is probably unwise. You have been warned.') + logger.info(f'{tgtdir}/platform.yaml: write platform logic') + with open(f'{tgtdir}/platform.yaml','wt') as fd: + fd.write(platform_yaml) + + logger.info(f'{case_file}: use this case file') + shutil.copy2(case_file,os.path.join(tgtdir,'case.yaml')) + + logger.info(f'{workflow_file}: use this workflow file') + shutil.copy2(workflow_file,os.path.join(tgtdir,'workflow.yaml')) + + for srcfile,tgtbase in itertools.chain( + iter(YAML_DIRS_TO_COPY.items()), + iter(YAML_FILES_TO_COPY.items())): + tgtfile=os.path.join(tgtdir,tgtbase) + if os.path.isdir(srcfile): + logger.info(f'{srcfile}: copy yaml directory tree to {tgtfile}') + if os.path.exists(tgtfile): + logger.info(f'{tgtfile}: delete directory') + shutil.rmtree(tgtfile) + shutil.copytree(srcfile,tgtfile) + else: + logger.info(f'{srcfile}: copy yaml file to {tgtfile}') + shutil.copyfile(srcfile,tgtfile) + del tgtfile + + # Deal with the static files: + for srcfile in glob.glob(f'{srcdir}/static/*.yaml'): + logger.info(f'{srcfile}: read file') + doc=from_file(srcfile) + tgtfile=os.path.join(tgtdir,"static_"+os.path.basename(srcfile)) + yaml=to_yaml(doc) + logger.info(f'{tgtfile}: generate file') + with open(tgtfile,'wt') as fd: + fd.write('# This file is automatically generated from:\n') + fd.write(f'# {srcfile}') + fd.write('# Changes to this file may be overwritten.\n\n') + fd.write(yaml) + del doc,tgtfile + + logger.info(f'{tgtdir}: yaml files created here') + return tgtdir + +def make_clocks_for_cycle_range(suite,first_cycle,last_cycle,surrounding_cycles): + suite_clock=copy(suite.Clock) + first_analyzed=first_cycle-surrounding_cycles*SIX_HOURS + last_analyzed=last_cycle+surrounding_cycles*SIX_HOURS + first_analyzed=min(suite_clock.end,max(suite_clock.start,first_analyzed)) + last_analyzed=min(suite_clock.end,max(suite_clock.start,last_analyzed)) +# first_cycle=min(last_analyzed,max(first_analyzed,first_cycle)) +# last_cycle=min(last_analyzed,max(first_analyzed,last_cycle)) + suite.ecFlow.write_cycles = Clock( + start=first_cycle,end=last_cycle,step=SIX_HOURS) + logger.info(f'cycles to write: {first_cycle:%Ft%T} - {last_cycle:%Ft%T}') + logger.info(f'cycles to analyze: {first_analyzed:%Ft%T} - {last_analyzed:%Ft%T}') +# print(f'cycles to write: {first_cycle:%Ft%T} - {last_cycle:%Ft%T}') +# print(f'cycles to analyze: {first_analyzed:%Ft%T} - {last_analyzed:%Ft%T}') + suite.ecFlow.analyze_cycles=Clock( + start=first_analyzed,end=last_analyzed,step=SIX_HOURS) + return first_cycle, last_cycle, first_analyzed, last_analyzed + +def generate_ecflow_suite_in_memory(suite,first_cycle,last_cycle,surrounding_cycles): + logger.info(f'make suite for cycles: {first_cycle:%Ft%T} - {last_cycle:%Ft%T}') +# print(f'make suite for cycles: {first_cycle:%Ft%T} - {last_cycle:%Ft%T}') + first_cycle, last_cycle, first_analyzed, last_analyzed = \ + make_clocks_for_cycle_range(suite,first_cycle,last_cycle,surrounding_cycles) + return to_ecflow(suite), first_cycle, last_cycle + +def make_ecflow_job_and_out_directories(jobsdir, outdir, ecflow_suite): + print(f' job directories: {jobsdir}') + for suite_name,suite_file,suite_def in ecflow_suite.each_suite(): + for family_path in ecflow_suite.each_family_path(): + family_dir=os.path.join(jobsdir,suite_name,family_path) + loudly_make_dir_if_missing(family_dir) + + if jobsdir == outdir: return + + print(f' output directories: {outdir}') + for suite_name,suite_file,suite_def in ecflow_suite.each_suite(): + for family_path in ecflow_suite.each_family_path(): + family_dir=os.path.join(outdir,suite_name,family_path) + loudly_make_dir_if_missing(family_dir) + +def make_log_directories(conf,suite,first_cycle,last_cycle): + + if conf.settings.four_cycle_mode: + logger.warning('Four cycle (NCO) mode enabled; not making log directories.') + + cyc=first_cycle + step=suite.Clock.step + now=first_cycle + while now<=last_cycle: + format=conf.settings.get('mkdir_before_running_ecflow',None) + if format: + makeme=now.strftime(format) + loudly_make_dir_if_missing(makeme) + now += step + if now <= first_cycle: + logger.error(f'Suite clock step is zero or negative. Abort.') + +def write_ecflow_suite_to_disk(defdir, scriptdir, ecflow_suite): + written_suite_defs=OrderedDict() + + print(f' suite definition files: {defdir}') + for defname,deffile,defcontents in ecflow_suite.each_suite(): + filename=os.path.realpath(os.path.join(defdir,deffile)) + make_parent_dir(filename) + logger.info(f'{defname}: {filename}: write suite definition') + with open(os.path.join(defdir,filename),'wt') as fd: + fd.write(defcontents) + written_suite_defs[defname]=filename + del defname,deffile,defcontents,filename + + for setname,setpath in ecflow_suite.each_ecf_file_set(): + print(f' ecf files for "{setname}" node: {setpath}') + count=0 + for filename,filedata in ecflow_suite.each_ecf_file(setname): + count+=1 + full_fn=os.path.realpath(os.path.join(setpath,filename)+'.ecf') + logger.debug(f'{full_fn}: write ecf file') + make_parent_dir(full_fn) + with open(full_fn,'wt') as fd: + fd.write(filedata) + if not count: + logger.warning(f'{setpath}: no files to write for {setname}!') + + return written_suite_defs + +def get_target_dir_and_check_ecflow_env(): + ECF_HOME=os.environ.get('ECF_HOME',None) + + if not ECF_HOME: + logger.error('Set $ECF_HOME to location where your ecflow files should reside.') + return None + elif not os.environ.get('ECF_PORT',None): + logger.error('Set $ECF_PORT to the port number of your ecflow server.') + return None + elif not os.path.isdir(ECF_HOME): + logger.error('Directory $ECF_HOME={ECF_HOME} does not exist. You need to set up your account for ecflow before you can run any ecflow workflows.') + return None + + for file in [ 'head.h', 'tail.h', 'envir-xc40.h' ]: + yourfile=os.path.join(ECF_HOME,file) + if not os.path.exists(yourfile): + logger.warning(f'{yourfile}: does not exist. I will get one for you.') + os.symlink(os.path.join(ECFNETS_INCLUDE,file),yourfile) + else: + logger.info(f'{yourfile}: exists.') + + return ECF_HOME + +def check_or_populate_ecf_include(conf): + ECF_HOME=conf.places.ECF_HOME + ECF_INCLUDE=conf.places.ECF_INCLUDE + + loudly_make_dir_if_missing(ECF_INCLUDE) + + # print(f' include files: {ECF_INCLUDE}') + # for file in [ 'head.h', 'tail.h', 'envir-xc40.h' ]: + # yourfile=os.path.join(ECF_INCLUDE,file) + # if not os.path.exists(yourfile): + # logger.warning(f'{yourfile}: does not exist. I will get one for you.') + # use_this=os.path.join(ECFNETS_INCLUDE,file) + # logger.warning(f'{yourfile}: will use {use_this}') + # os.symlink(yourfile,use_this) + # else: + # logger.info(f'{yourfile}: exists.') + + for key in conf.keys(): + if not key.startswith('ecf_include_'): continue + value=conf[key] + if not isinstance(value,collections.Mapping): continue + if 'filename' not in value or 'content' not in value: + logger.warning(f'{key}: ecf include files require "filename" and "content" entries.') + if value.get('disable',False): continue # + filename=os.path.join(ECF_INCLUDE,str(value.filename)) + logger.debug(f'{filename}: expand') + content=str(value.content) + logger.info(f'{filename}: write') + with open(filename,'wt') as fd: + fd.write(content) + +def create_new_ecflow_workflow(conf,suite,surrounding_cycles=2): + ECF_HOME=get_target_dir_and_check_ecflow_env() + if not ECF_HOME: return None,None,None,None + first_cycle=suite.Clock.start + last_cycle=min(suite.Clock.end,first_cycle+suite.Clock.step*2) + ecflow_suite, first_cycle, last_cycle = generate_ecflow_suite_in_memory( + suite,first_cycle,last_cycle,surrounding_cycles) +# print(f'make suite for cycles: {first_cycle:%Ft%T} - {last_cycle:%Ft%T}') + defdir=conf.places.ecflow_def_dir + ECF_OUT=conf.places.ECF_OUT + suite_def_files = write_ecflow_suite_to_disk(defdir,ECF_HOME,ecflow_suite) + check_or_populate_ecf_include(conf) + make_log_directories(conf,suite,first_cycle,last_cycle) + make_ecflow_job_and_out_directories(ECF_HOME, ECF_OUT, ecflow_suite) + return ECF_HOME, suite_def_files, first_cycle, last_cycle + +def update_existing_ecflow_workflow(suite,first_cycle,last_cycle, + surrounding_cycles=2): + ECF_HOME=get_target_dir_and_check_ecflow_env() + if first_cycle > conf.suite.Clock.end: + print('First cycle is after end of suite. Nothing to do.') + exit(0) + ecflow_suite, first_cycle, last_cycle = generate_ecflow_suite_in_memory( + suite,first_cycle,last_cycle,surrounding_cycles) + defdir=conf.places.ecflow_def_dir + ECF_OUT=conf.places.ECF_OUT + make_log_directories(conf,suite,first_cycle,last_cycle) + make_ecflow_job_and_out_directories(ECF_HOME, ECF_OUT, ecflow_suite) + suite_def_files = write_ecflow_suite_to_disk( + defdir,ECF_HOME,ecflow_suite) + return ECF_HOME, suite_def_files + +def load_ecflow_suites(ECF_HOME,suite_def_files): + logger.info(f'{ECF_HOME}: load suites: ' + f'{", ".join(suite_def_files.keys())}') + with crow.tools.chdir(ECF_HOME): + for file in suite_def_files.values(): + cmd=f'ecflow_client --load {file}' + logger.info(cmd) + subprocess.run(cmd,check=False,shell=True) + +def begin_ecflow_suites(ECF_HOME,suite_def_files): + logger.info(f'{ECF_HOME}: begin suites: ' + f'{", ".join(suite_def_files.keys())}') + with crow.tools.chdir(ECF_HOME): + for suite in suite_def_files.keys(): + cmd=f'ecflow_client --begin {suite}' + logger.info(cmd) + subprocess.run(cmd,check=False,shell=True) + +def make_rocoto_xml(suite,filename): + with open(filename,'wt') as fd: + logger.info(f'{filename}: create Rocoto XML document') + fd.write(to_rocoto(suite)) + print(f'{filename}: Rocoto XML document created here.') + +######################################################################## + +# These functions are called directly from scripts, and can be thought +# of as "main programs." + +def make_ecflow_files_for_cycles( + yamldir,first_cycle_str='1900010100',last_cycle_str='9999010100', + surrounding_cycles=2): + init_logging() + ECF_HOME=get_target_dir_and_check_ecflow_env() + conf,suite=read_yaml_suite(yamldir) + loudly_make_dir_if_missing(f'{conf.places.ROTDIR}/logs') + + first_cycle=datetime.datetime.strptime(first_cycle_str,'%Y%m%d%H') + first_cycle=max(suite.Clock.start,first_cycle) + + last_cycle=datetime.datetime.strptime(last_cycle_str,'%Y%m%d%H') + last_cycle=max(first_cycle,min(suite.Clock.end,last_cycle)) + + ecflow_suite, first_cycle, last_cycle = generate_ecflow_suite_in_memory( + suite,first_cycle,last_cycle,surrounding_cycles) + defdir=conf.places.ecflow_def_dir + ECF_OUT=conf.places.ECF_OUT + check_or_populate_ecf_include(conf) + make_log_directories(conf,suite,first_cycle,last_cycle) + make_ecflow_job_and_out_directories(ECF_HOME, ECF_OUT, ecflow_suite) + written_suite_defs = write_ecflow_suite_to_disk( + defdir, ECF_HOME, ecflow_suite) + print(f'''Suite definition files and ecf files have been written to: + + {ECF_HOME} + +If all you wanted to do was update the ecf files, then you're done. + +If you want to update the suite (cycle) definitions, or add suites +(cycles), you will need to call ecflow_client's --load, --begin, +--replace, or --delete commands.''') + +def create_and_load_ecflow_workflow(yamldir,surrounding_cycles=2,begin=False): + init_logging() + conf,suite=read_yaml_suite(yamldir) + loudly_make_dir_if_missing(f'{conf.places.ROTDIR}/logs') + ECF_HOME, suite_def_files, first_cycle, last_cycle = \ + create_new_ecflow_workflow(conf,suite,surrounding_cycles) + if not ECF_HOME: + logger.error('Could not create workflow files. See prior errors for details.') + return False + load_ecflow_suites(ECF_HOME,suite_def_files) + if begin: + begin_ecflow_suites(ECF_HOME,suite_def_files) + +def add_cycles_to_running_ecflow_workflow_at( + yamldir,first_cycle_str,last_cycle_str,surrounding_cycles=2): + init_logging() + conf,suite=read_yaml_suite(yamldir) + first_cycle=datetime.datetime.strptime(first_cycle_str,'%Y%m%d%H') + last_cycle=datetime.datetime.strptime(last_cycle_str,'%Y%m%d%H') + + if first_cycle > suite.Clock.end: + print(f'First cycle to generate ({first_cycle:%Y%m%d%H}) is after end of suite ({suite.Clock.end:%Y%m%d%H}).') + print("Diligently doing nothing, as requested.") + exit(0) + + ECF_HOME, suite_def_files = update_existing_ecflow_workflow( + conf,suite,first_cycle,last_cycle,surrounding_cycles) + load_ecflow_suites(ECF_HOME,suite_def_files) + begin_ecflow_suites(ECF_HOME,suite_def_files) + +def make_rocoto_xml_for(yamldir): + init_logging() + conf,suite=read_yaml_suite(yamldir) + workflow_xml=conf.places.get('rocoto_workflow_xml',f'{yamldir}/workflow.xml') + assert(suite.viewed._path) + loudly_make_dir_if_missing(f'{conf.places.ROTDIR}/logs') + make_rocoto_xml(suite,f'{yamldir}/workflow.xml') + +def setup_case_usage(why=None): + sys.stderr.write(f'''USAGE: setup_case.py CASE_NAME EXPERIMENT_NAME\n + CASE_NAME: a case name from the cases/ directory + + EXPERIMENT_NAME: your name for this execution. This string is used to + decide where to put temporary and result files from the simulation. + This must be alphanumeric and begin with a letter.\n''') + if why: sys.stderr.write(f'\nSCRIPT IS ABORTING: {why}\n') + exit(1) + +def setup_case(command_line_arguments): + options,positionals=getopt(command_line_arguments,'sdvfcp:DF') + options=dict(options) + + init_logging('-v' in options,'-d' in options or '-D' in options) + + if '-D' in options: + logger.warning('superdebug mode enabled') + crow.set_superdebug(True) + + force='-f' in options or '-F' in options + skip_comrot='-c' in options + force_platform_rewrite='-F' in options + sandbox = '-s' in options + + if '-v' in options: + logger.setLevel(logging.INFO) + + if len(positionals)!=2: + setup_case_usage('expected two positional arguments') + + case_name=positionals[0] + experiment_name=positionals[1] + if not re.match('^[A-Za-z][A-Za-z0-9_]*$',experiment_name): + logger.error(f'{experiment_name}: experiment names must be ' + 'alphanumeric and start with a letter.') + exit(1) + + if not os.path.exists('../user.yaml'): + logger.error('You did not create user.yaml!') + logger.error('Copy user.yaml.default to user.yaml and edit.') + exit(1) + + requested_platform=options.get('-p',None) + if sandbox: + valid_platforms=sandbox_platforms("../platforms/") + platdoc = select_platform(requested_platform,valid_platforms) + else: + valid_platforms=find_available_platforms("../platforms/") + platdoc=select_platform(requested_platform,valid_platforms) + + logger.info(f'{platdoc.platform.name}: selected this platform.') + + EXPDIR = make_yaml_files_in_expdir( + os.path.abspath('../'),case_name,experiment_name,platdoc,force, + skip_comrot,force_platform_rewrite) + + doc=from_dir(EXPDIR,validation_stage='setup') + suite=Suite(doc.suite) + logger.info('creating a dummy workflow in memory...') + to_dummy(suite) + suite_doc=suite._globals()['doc'] + make_config_files_in_expdir(suite_doc,EXPDIR) + + if skip_comrot: + logger.warning('-c specified; will not create comrot') + else: + create_COMROT(doc,force) + + print() + print(f'Case "{case_name}" is set up under experiment name "{experiment_name}" with:') + print() + print(f' YAML files: {EXPDIR}') + print(f' Config files: {EXPDIR}') + print(f' COM directory: {doc.places.ROTDIR}') + print() + print('Now you should make a workflow:') + print() + print(f' Rocoto: ./make_rocoto_xml_for.sh {EXPDIR}') + print(f' ecFlow: ./make_ecflow_files_for.sh -v {EXPDIR} SDATE EDATE') + print() diff --git a/worktools.sh.inc b/worktools.sh.inc new file mode 100644 index 0000000..7c336cf --- /dev/null +++ b/worktools.sh.inc @@ -0,0 +1,76 @@ +check_ecf_variables() { + if ( ! which ecflow_client > /dev/null 2>&1 ) ; then + echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." + exit 1 + fi + + if [[ "${ECF_ROOT:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_ROOT" + exit 1 + fi + + if [[ "${ECF_HOME:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_HOME. I suggest \$ECF_ROOT/submit" + exit 1 + fi + + if [[ "${check_ecf_host:-YES}" == YES ]] ; then + if [[ "${ECF_HOST:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_HOST." + exit 1 + fi + fi + + if [[ "${ECF_PORT:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_PORT. See /usrx/local/sys/ecflow/assigned_ports.txt" + exit 1 + fi + + export ECF_HOME="${ECF_HOME:-$ECF_ROOT/submit}" +} + +maybe_verbose_source() { + if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + echo "$1: source" + source "$1" + else + source "$1" > /dev/null 2>&1 + fi +} + +find_python36() { + if ( ! which python3 > /dev/null 2>&1 || \ + ! python3 -c 'import yaml ; f"{1+1}"' > /dev/null 2>&1 ) ; then + python36="/dev/null/python" + if [[ ! -x "$python36" && -d /usrx ]] ; then + if ( readlink /usrx | grep dell > /dev/null ) ; then + module use /gpfs/dell2/emc/modeling/noscrub/emc.nemspara/soft/modulefiles + module load python/intel-3.6.2-emc + python36="$( which python3.6 )" + fi + fi + if [[ ! -x "$python36" && -s /etc/SuSE-release ]] ; then + python36=/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/python/3.6.1-emc/bin/python3.6 + fi + if [[ ! -x "$python36" && -d /scratch4 ]] ; then + module load intelpython + python36="$( which python3.6 )" + fi + if [[ ! -x "$python36" && -d /lfs3 ]] ; then + module use /lfs3/projects/hwrf-vd/soft/modulefiles/ + module load python/intel-3.6.2-emc + python36="$( which python3.6 )" + fi + if [[ ! -x "$python36" && -d /lustre/f1 ]] ; then + module use /lustre/f1/unswept/ncep/Samuel.Trahan/python/modulefiles/ + module load python/intel-3.6.2-emc + python36="$( which python3.6 )" + fi + else + python36="$( which python3 )" + fi + if [[ ! -x "$python36" ]] ; then + echo "ERROR: cannot find python 3.6" 1>&2 + exit 1 + fi +} From 5b37f5df06b9a53b2b7feda85eb633df39a4c073 Mon Sep 17 00:00:00 2001 From: "kate.friedman" Date: Tue, 18 Dec 2018 16:12:12 +0000 Subject: [PATCH 436/487] Fix for restructure and Dell --- make_rocoto_xml_for.sh | 7 ++++--- 1 file changed, 4 insertions(+), 3 deletions(-) diff --git a/make_rocoto_xml_for.sh b/make_rocoto_xml_for.sh index 25fdcc8..77e70c1 100755 --- a/make_rocoto_xml_for.sh +++ b/make_rocoto_xml_for.sh @@ -14,7 +14,7 @@ here=$( cd "$dir0" ; pwd -P ) export WORKTOOLS_VERBOSE=NO -crowdir=$( cd CROW ; pwd -P ) +crowdir=$( pwd -P ) # Make sure this directory is in the python path so we find worktools.py: export PYTHONPATH=$here:$crowdir:${PYTHONPATH:+:$PYTHONPATH} @@ -31,9 +31,10 @@ export EXPDIR="$1" if [[ ! ( -d /scratch4 && -d /scratch3 || \ -d /usrx/local && ! -e /etc/redhat-release || \ -d /lfs3 || \ - -d /lustre/f1 ) \ + -d /lustre/f1 || \ + -d /gpfs/dell2 ) \ ]] ; then - echo "ERROR: This script only runs on Jet and Theia" 1>&2 + echo "ERROR: This script only runs on supported platforms: WCOSS and RDHPCS Theia/Jet/Gaea" 1>&2 exit 1 fi From ef0f16aff9f2a247d50c16582a9692930c5d415e Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Tue, 18 Dec 2018 19:53:10 +0000 Subject: [PATCH 437/487] renaming some of the directories and moving some long term untoched files. Won't affect functionality --- docs/aprun-examples.txt | 85 + docs/terry-explanation.txt | 71 + systems/ecflow_fv3gfs/_main.yaml | 8 + systems/ecflow_fv3gfs/config_files.yaml | 53 + systems/ecflow_fv3gfs/config_locations.yaml | 7 + systems/ecflow_fv3gfs/dump_waiter.yaml | 42 + systems/ecflow_fv3gfs/ecf_file.yaml | 76 + systems/ecflow_fv3gfs/load_ecflow_workflow.sh | 75 + systems/ecflow_fv3gfs/make_next_cycles.yaml | 69 + systems/ecflow_fv3gfs/make_rocoto_xml_for.sh | 53 + systems/ecflow_fv3gfs/post_manager.yaml | 23 + .../ecflow_fv3gfs/remake_ecflow_files_for.sh | 67 + .../ecflow_fv3gfs/resources_C192_C192.yaml | 216 ++ .../ecflow_fv3gfs/resources_C768_C384.yaml | 198 ++ systems/ecflow_fv3gfs/rocoto.yaml | 34 + systems/ecflow_fv3gfs/schedulers.yaml | 23 + systems/ecflow_fv3gfs/settings.yaml | 46 + systems/ecflow_fv3gfs/settings_validator.yaml | 131 + systems/ecflow_fv3gfs/suite_def.yaml | 850 +++++ systems/ecflow_fv3gfs/task.yaml | 161 + systems/ecflow_fv3gfs/task_validator.yaml | 75 + systems/ecflow_fv3gfs/totality_limit.def | 3 + .../ecflow_fv3gfs/update_ecflow_workflow.sh | 69 + systems/ecflow_fv3gfs/workflow.xml | 872 +++++ systems/ecflow_fv3gfs/worktools.py | 256 ++ systems/ecflow_fv3gfs/worktools.sh.inc | 94 + systems/fv3gfs/exp/_main.yaml | 11 + systems/fv3gfs/exp/actions/_main.yaml | 4 + systems/fv3gfs/exp/actions/anal.yaml | 64 + systems/fv3gfs/exp/actions/arch.yaml | 33 + systems/fv3gfs/exp/actions/base.yaml | 44 + systems/fv3gfs/exp/actions/crow_fcst_sh.yaml | 495 +++ systems/fv3gfs/exp/actions/earc.yaml | 26 + systems/fv3gfs/exp/actions/ecen.yaml | 43 + systems/fv3gfs/exp/actions/efcs.yaml | 70 + systems/fv3gfs/exp/actions/eobs.yaml | 31 + systems/fv3gfs/exp/actions/eomg.yaml | 35 + systems/fv3gfs/exp/actions/epos.yaml | 23 + systems/fv3gfs/exp/actions/eupd.yaml | 28 + systems/fv3gfs/exp/actions/fcst.yaml | 85 + systems/fv3gfs/exp/actions/final.yaml | 7 + systems/fv3gfs/exp/actions/ics.yaml | 38 + systems/fv3gfs/exp/actions/post.yaml | 56 + systems/fv3gfs/exp/actions/prep.yaml | 49 + systems/fv3gfs/exp/actions/vrfy.yaml | 74 + .../August2017_GFS@C384_ENKF@C192+20MEM.yaml | 71 + .../exp/cases/CROW_exe_Cindy_GFS@C384.yaml | 53 + .../exp/cases/CROW_io_Cindy_GFS@C384.yaml | 53 + .../exp/cases/CROW_sh_Cindy_GFS@C384.yaml | 51 + .../exp/cases/CROW_sh_df_Cindy_GFS@C384.yaml | 53 + systems/fv3gfs/exp/cases/Cindy_GFS@C384.yaml | 49 + systems/fv3gfs/exp/cases/Harvey_GFS@C192.yaml | 55 + systems/fv3gfs/exp/create_comrot.py | 57 + systems/fv3gfs/exp/defaults/fv3_enkf.yaml | 34 + systems/fv3gfs/exp/defaults/no.yaml | 17 + systems/fv3gfs/exp/defaults/places.yaml | 88 + systems/fv3gfs/exp/defaults/settings.yaml | 2 + systems/fv3gfs/exp/platforms/_main.yaml | 3 + systems/fv3gfs/exp/platforms/choice.yaml | 11 + systems/fv3gfs/exp/platforms/jet.yaml | 57 + systems/fv3gfs/exp/platforms/theia.yaml | 87 + systems/fv3gfs/exp/platforms/wcoss_cray.yaml | 59 + systems/fv3gfs/exp/resources/resources.yaml | 188 ++ systems/fv3gfs/exp/runtime/_main.yaml | 4 + .../exp/runtime/crow_exe_fcst_workflow.yaml | 92 + .../exp/runtime/crow_sh_df_fcst_workflow.yaml | 92 + .../exp/runtime/crow_sh_fcst_workflow.yaml | 46 + .../fv3gfs/exp/runtime/cycled_workflow.yaml | 427 +++ .../exp/runtime/gfs_forecast_workflow.yaml | 46 + systems/fv3gfs/exp/runtime/rocoto.yaml | 117 + systems/fv3gfs/exp/runtime/runtime.yaml | 28 + systems/fv3gfs/exp/setup_case.py | 109 + systems/fv3gfs/exp/test_sections.py | 26 + systems/fv3gfs/exp/user.yaml.default | 14 + systems/fv3gfs/exp/validation/_main.yaml | 4 + systems/fv3gfs/exp/validation/accounting.yaml | 12 + systems/fv3gfs/exp/validation/case.yaml | 57 + systems/fv3gfs/exp/validation/chgres.yaml | 10 + .../exp/validation/data_assimilation.yaml | 46 + systems/fv3gfs/exp/validation/fv3.yaml | 250 ++ .../fv3gfs/exp/validation/fv3_forecast.yaml | 530 +++ systems/fv3gfs/exp/validation/obsproc.yaml | 119 + systems/fv3gfs/exp/validation/output.yaml | 52 + systems/fv3gfs/exp/validation/vrfy.yaml | 353 ++ systems/fv3gfs/exp/validation/workflow.yaml | 30 + systems/fv3gfs/jobs/anal.sh | 110 + systems/fv3gfs/jobs/arch.sh | 217 ++ systems/fv3gfs/jobs/drive_makeprepbufr.sh | 108 + systems/fv3gfs/jobs/earc.sh | 214 ++ systems/fv3gfs/jobs/ecen.sh | 53 + systems/fv3gfs/jobs/efcs.sh | 88 + systems/fv3gfs/jobs/eobs.sh | 114 + systems/fv3gfs/jobs/eomg.sh | 98 + systems/fv3gfs/jobs/epos.sh | 48 + systems/fv3gfs/jobs/eupd.sh | 53 + systems/fv3gfs/jobs/fcst.sh | 105 + systems/fv3gfs/jobs/fcst_df.sh | 105 + systems/fv3gfs/jobs/fv3ic.sh | 55 + systems/fv3gfs/jobs/fv3ic_df.sh | 81 + systems/fv3gfs/jobs/getic.sh | 183 + systems/fv3gfs/jobs/job_wrapper.sh | 36 + systems/fv3gfs/jobs/post.sh | 85 + systems/fv3gfs/jobs/prep.sh | 56 + systems/fv3gfs/jobs/vrfy.sh | 127 + .../theia/obsproc/exglobal_dump.sh.ecf | 1732 ++++++++++ .../obsproc/exglobal_makeprepbufr.sh.ecf | 578 ++++ .../theia/obsproc/getges.sh | 1385 ++++++++ .../theia/obsproc/getges_sig.sh | 3000 +++++++++++++++++ .../theia/obsproc/prepobs_cqcbufr.sh | 127 + .../theia/obsproc/prepobs_cqcvad.sh | 101 + .../theia/obsproc/prepobs_makeprepbufr.sh | 2466 ++++++++++++++ .../theia/obsproc/prepobs_oiqcbufr.sh | 152 + .../theia/obsproc/prepobs_prepacqc.sh | 211 ++ .../theia/obsproc/prepobs_prevents.sh | 118 + .../theia/obsproc/prepobs_profcqc.sh | 97 + .../theia/obsproc/prepobs_syndata.sh | 209 ++ .../theia/post/global_nceppost.sh | 501 +++ .../theia/post/global_nceppost.txt | 2 + .../outofcontrol_scripts/theia/prep/getges.sh | 1385 ++++++++ .../theia/prep/getges.txt | 1 + .../theia/prep/prepobs_makeprepbufr.sh | 2466 ++++++++++++++ .../theia/prep/prepobs_makeprepbufr.txt | 1 + .../wcoss_c/dump/exglobal_dump.sh.ecf | 1732 ++++++++++ .../wcoss_c/dump/exglobal_dump.sh.ecf.txt | 1 + .../obsproc/exglobal_makeprepbufr.sh.ecf | 578 ++++ .../obsproc/exglobal_makeprepbufr.sh.ecf.txt | 1 + .../wcoss_c/obsproc/getges.sh | 1385 ++++++++ .../wcoss_c/obsproc/getges.txt | 1 + .../wcoss_c/obsproc/getges_sig.sh | 3000 +++++++++++++++++ .../wcoss_c/obsproc/prepobs_cqcvad.sh | 101 + .../wcoss_c/obsproc/prepobs_cqcvad.txt | 1 + .../wcoss_c/obsproc/prepobs_makeprepbufr.sh | 2466 ++++++++++++++ .../wcoss_c/obsproc/prepobs_makeprepbufr.txt | 1 + .../wcoss_c/obsproc/repobs_makeprepbufr.txt | 1 + .../wcoss_c/post/global_nceppost.sh | 501 +++ .../wcoss_c/post/global_nceppost.txt | 1 + .../wcoss_c/prep/getges.sh | 1385 ++++++++ .../wcoss_c/prep/getges.txt | 1 + .../wcoss_c/prep/prepobs_cqcbufr.sh | 127 + .../wcoss_c/prep/prepobs_cqcbufr.txt | 1 + .../wcoss_c/prep/prepobs_cqcvad.sh | 101 + .../wcoss_c/prep/prepobs_cqcvad.txt | 1 + .../wcoss_c/prep/prepobs_makeprepbufr.sh | 2466 ++++++++++++++ .../wcoss_c/prep/prepobs_makeprepbufr.txt | 1 + .../wcoss_c/prep/prepobs_oiqcbufr.sh | 152 + .../wcoss_c/prep/prepobs_oiqcbufr.txt | 1 + .../wcoss_c/prep/prepobs_prepacqc.sh | 211 ++ .../wcoss_c/prep/prepobs_prepacqc.txt | 1 + .../wcoss_c/prep/prepobs_prepdata.txt | 1 + .../wcoss_c/prep/prepobs_prevents.txt | 1 + .../wcoss_c/prep/prepobs_profcqc.txt | 1 + .../wcoss_c/prep/prepobs_syndata.sh | 209 ++ .../wcoss_c/prep/prepobs_syndata.txt | 1 + .../wcoss_c/vrfy/gfs_genesis_para_fv3gfs.sh | 108 + .../wcoss_c/vrfy/gfs_genesis_para_fv3gfs.txt | 1 + .../wcoss_c/vrfy/global_extrkr.sh | 1703 ++++++++++ .../wcoss_c/vrfy/global_extrkr.txt | 1 + .../wcoss_c/vrfy/global_tracker.sh | 115 + .../wcoss_c/vrfy/global_tracker.txt | 1 + .../wcoss_c/vrfy/vsdbjob.sh | 356 ++ .../wcoss_c/vrfy/vsdbjob.txt | 1 + systems/fv3gfs/scripts/exglobal_fcst_crow.sh | 288 ++ .../fv3gfs/scripts/exglobal_fcst_crow_df.sh | 236 ++ .../fv3gfs/scripts/exglobal_fcst_crow_exe.sh | 176 + .../fv3gfs/scripts/exglobal_fcst_crow_io.sh | 189 ++ .../fv3gfs/scripts/exglobal_fcst_original.sh | 911 +++++ systems/rocoto_style_fv3gfs/_main.yaml | 10 + .../begin_ecflow_workflow.sh | 117 + systems/rocoto_style_fv3gfs/dump_waiter.yaml | 37 + systems/rocoto_style_fv3gfs/envir-p1.h | 1 + systems/rocoto_style_fv3gfs/envir-p2.h | 1 + systems/rocoto_style_fv3gfs/envir-xc40.h | 1 + systems/rocoto_style_fv3gfs/head.h | 1 + .../rocoto_style_fv3gfs/make-rocoto-xml.py | 18 + .../rocoto_style_fv3gfs/make_next_cycles.yaml | 64 + systems/rocoto_style_fv3gfs/model_ver.h | 1 + systems/rocoto_style_fv3gfs/post_manager.yaml | 23 + .../remake_ecflow_files_for.sh | 123 + .../resources_C192_C192.yaml | 190 ++ .../resources_C768_C384.yaml | 161 + .../sample-config/config.anal | 34 + .../sample-config/config.arch | 25 + .../sample-config/config.base | 252 ++ .../sample-config/config.base.default | 253 ++ .../sample-config/config.base.nco | 174 + .../sample-config/config.earc | 22 + .../sample-config/config.ecen | 24 + .../sample-config/config.efcs | 61 + .../sample-config/config.eobs | 30 + .../sample-config/config.epos | 21 + .../sample-config/config.eupd | 22 + .../sample-config/config.fcst | 140 + .../sample-config/config.fv3 | 112 + .../sample-config/config.fv3ic | 20 + .../sample-config/config.getic | 27 + .../sample-config/config.nsst | 40 + .../sample-config/config.post | 44 + .../sample-config/config.prep | 21 + .../sample-config/config.prepbufr | 94 + .../sample-config/config.resources | 127 + .../sample-config/config.vrfy | 176 + systems/rocoto_style_fv3gfs/schedulers.yaml | 23 + systems/rocoto_style_fv3gfs/settings.yaml | 64 + systems/rocoto_style_fv3gfs/suite_def.yaml | 374 ++ systems/rocoto_style_fv3gfs/tail.h | 1 + .../rocoto_style_fv3gfs/task_template.yaml | 149 + .../update_ecflow_workflow.sh | 94 + systems/rocoto_style_fv3gfs/validator.yaml | 80 + systems/rocoto_style_fv3gfs/workflow.xml | 1728 ++++++++++ systems/rocoto_style_fv3gfs/worktools.py | 220 ++ 210 files changed, 48510 insertions(+) create mode 100644 docs/aprun-examples.txt create mode 100644 docs/terry-explanation.txt create mode 100644 systems/ecflow_fv3gfs/_main.yaml create mode 100644 systems/ecflow_fv3gfs/config_files.yaml create mode 100644 systems/ecflow_fv3gfs/config_locations.yaml create mode 100644 systems/ecflow_fv3gfs/dump_waiter.yaml create mode 100644 systems/ecflow_fv3gfs/ecf_file.yaml create mode 100755 systems/ecflow_fv3gfs/load_ecflow_workflow.sh create mode 100644 systems/ecflow_fv3gfs/make_next_cycles.yaml create mode 100755 systems/ecflow_fv3gfs/make_rocoto_xml_for.sh create mode 100644 systems/ecflow_fv3gfs/post_manager.yaml create mode 100755 systems/ecflow_fv3gfs/remake_ecflow_files_for.sh create mode 100644 systems/ecflow_fv3gfs/resources_C192_C192.yaml create mode 100644 systems/ecflow_fv3gfs/resources_C768_C384.yaml create mode 100644 systems/ecflow_fv3gfs/rocoto.yaml create mode 100644 systems/ecflow_fv3gfs/schedulers.yaml create mode 100644 systems/ecflow_fv3gfs/settings.yaml create mode 100644 systems/ecflow_fv3gfs/settings_validator.yaml create mode 100644 systems/ecflow_fv3gfs/suite_def.yaml create mode 100644 systems/ecflow_fv3gfs/task.yaml create mode 100644 systems/ecflow_fv3gfs/task_validator.yaml create mode 100644 systems/ecflow_fv3gfs/totality_limit.def create mode 100755 systems/ecflow_fv3gfs/update_ecflow_workflow.sh create mode 100644 systems/ecflow_fv3gfs/workflow.xml create mode 100644 systems/ecflow_fv3gfs/worktools.py create mode 100644 systems/ecflow_fv3gfs/worktools.sh.inc create mode 100644 systems/fv3gfs/exp/_main.yaml create mode 100644 systems/fv3gfs/exp/actions/_main.yaml create mode 100644 systems/fv3gfs/exp/actions/anal.yaml create mode 100644 systems/fv3gfs/exp/actions/arch.yaml create mode 100644 systems/fv3gfs/exp/actions/base.yaml create mode 100644 systems/fv3gfs/exp/actions/crow_fcst_sh.yaml create mode 100644 systems/fv3gfs/exp/actions/earc.yaml create mode 100644 systems/fv3gfs/exp/actions/ecen.yaml create mode 100644 systems/fv3gfs/exp/actions/efcs.yaml create mode 100644 systems/fv3gfs/exp/actions/eobs.yaml create mode 100644 systems/fv3gfs/exp/actions/eomg.yaml create mode 100644 systems/fv3gfs/exp/actions/epos.yaml create mode 100644 systems/fv3gfs/exp/actions/eupd.yaml create mode 100644 systems/fv3gfs/exp/actions/fcst.yaml create mode 100644 systems/fv3gfs/exp/actions/final.yaml create mode 100644 systems/fv3gfs/exp/actions/ics.yaml create mode 100644 systems/fv3gfs/exp/actions/post.yaml create mode 100644 systems/fv3gfs/exp/actions/prep.yaml create mode 100644 systems/fv3gfs/exp/actions/vrfy.yaml create mode 100644 systems/fv3gfs/exp/cases/August2017_GFS@C384_ENKF@C192+20MEM.yaml create mode 100644 systems/fv3gfs/exp/cases/CROW_exe_Cindy_GFS@C384.yaml create mode 100644 systems/fv3gfs/exp/cases/CROW_io_Cindy_GFS@C384.yaml create mode 100644 systems/fv3gfs/exp/cases/CROW_sh_Cindy_GFS@C384.yaml create mode 100644 systems/fv3gfs/exp/cases/CROW_sh_df_Cindy_GFS@C384.yaml create mode 100644 systems/fv3gfs/exp/cases/Cindy_GFS@C384.yaml create mode 100644 systems/fv3gfs/exp/cases/Harvey_GFS@C192.yaml create mode 100644 systems/fv3gfs/exp/create_comrot.py create mode 100644 systems/fv3gfs/exp/defaults/fv3_enkf.yaml create mode 100644 systems/fv3gfs/exp/defaults/no.yaml create mode 100644 systems/fv3gfs/exp/defaults/places.yaml create mode 100644 systems/fv3gfs/exp/defaults/settings.yaml create mode 100644 systems/fv3gfs/exp/platforms/_main.yaml create mode 100644 systems/fv3gfs/exp/platforms/choice.yaml create mode 100644 systems/fv3gfs/exp/platforms/jet.yaml create mode 100644 systems/fv3gfs/exp/platforms/theia.yaml create mode 100644 systems/fv3gfs/exp/platforms/wcoss_cray.yaml create mode 100644 systems/fv3gfs/exp/resources/resources.yaml create mode 100644 systems/fv3gfs/exp/runtime/_main.yaml create mode 100644 systems/fv3gfs/exp/runtime/crow_exe_fcst_workflow.yaml create mode 100644 systems/fv3gfs/exp/runtime/crow_sh_df_fcst_workflow.yaml create mode 100644 systems/fv3gfs/exp/runtime/crow_sh_fcst_workflow.yaml create mode 100644 systems/fv3gfs/exp/runtime/cycled_workflow.yaml create mode 100644 systems/fv3gfs/exp/runtime/gfs_forecast_workflow.yaml create mode 100644 systems/fv3gfs/exp/runtime/rocoto.yaml create mode 100644 systems/fv3gfs/exp/runtime/runtime.yaml create mode 100755 systems/fv3gfs/exp/setup_case.py create mode 100755 systems/fv3gfs/exp/test_sections.py create mode 100644 systems/fv3gfs/exp/user.yaml.default create mode 100644 systems/fv3gfs/exp/validation/_main.yaml create mode 100644 systems/fv3gfs/exp/validation/accounting.yaml create mode 100644 systems/fv3gfs/exp/validation/case.yaml create mode 100644 systems/fv3gfs/exp/validation/chgres.yaml create mode 100644 systems/fv3gfs/exp/validation/data_assimilation.yaml create mode 100644 systems/fv3gfs/exp/validation/fv3.yaml create mode 100644 systems/fv3gfs/exp/validation/fv3_forecast.yaml create mode 100644 systems/fv3gfs/exp/validation/obsproc.yaml create mode 100644 systems/fv3gfs/exp/validation/output.yaml create mode 100644 systems/fv3gfs/exp/validation/vrfy.yaml create mode 100644 systems/fv3gfs/exp/validation/workflow.yaml create mode 100755 systems/fv3gfs/jobs/anal.sh create mode 100755 systems/fv3gfs/jobs/arch.sh create mode 100755 systems/fv3gfs/jobs/drive_makeprepbufr.sh create mode 100755 systems/fv3gfs/jobs/earc.sh create mode 100755 systems/fv3gfs/jobs/ecen.sh create mode 100755 systems/fv3gfs/jobs/efcs.sh create mode 100755 systems/fv3gfs/jobs/eobs.sh create mode 100755 systems/fv3gfs/jobs/eomg.sh create mode 100755 systems/fv3gfs/jobs/epos.sh create mode 100755 systems/fv3gfs/jobs/eupd.sh create mode 100755 systems/fv3gfs/jobs/fcst.sh create mode 100755 systems/fv3gfs/jobs/fcst_df.sh create mode 100755 systems/fv3gfs/jobs/fv3ic.sh create mode 100755 systems/fv3gfs/jobs/fv3ic_df.sh create mode 100755 systems/fv3gfs/jobs/getic.sh create mode 100755 systems/fv3gfs/jobs/job_wrapper.sh create mode 100755 systems/fv3gfs/jobs/post.sh create mode 100755 systems/fv3gfs/jobs/prep.sh create mode 100755 systems/fv3gfs/jobs/vrfy.sh create mode 100755 systems/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_dump.sh.ecf create mode 100755 systems/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_makeprepbufr.sh.ecf create mode 100755 systems/fv3gfs/outofcontrol_scripts/theia/obsproc/getges.sh create mode 100755 systems/fv3gfs/outofcontrol_scripts/theia/obsproc/getges_sig.sh create mode 100755 systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcbufr.sh create mode 100755 systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcvad.sh create mode 100755 systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_makeprepbufr.sh create mode 100755 systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_oiqcbufr.sh create mode 100755 systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prepacqc.sh create mode 100755 systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prevents.sh create mode 100755 systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_profcqc.sh create mode 100755 systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_syndata.sh create mode 100755 systems/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.sh create mode 100644 systems/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.txt create mode 100755 systems/fv3gfs/outofcontrol_scripts/theia/prep/getges.sh create mode 100644 systems/fv3gfs/outofcontrol_scripts/theia/prep/getges.txt create mode 100755 systems/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.sh create mode 100644 systems/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.txt create mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf create mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf.txt create mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf create mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf.txt create mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.sh create mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.txt create mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges_sig.sh create mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.sh create mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.txt create mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.sh create mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.txt create mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/repobs_makeprepbufr.txt create mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.sh create mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.txt create mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.sh create mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.txt create mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.sh create mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.txt create mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.sh create mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.txt create mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.sh create mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.txt create mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.sh create mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.txt create mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.sh create mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.txt create mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepdata.txt create mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prevents.txt create mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_profcqc.txt create mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.sh create mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.txt create mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/gfs_genesis_para_fv3gfs.sh create mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/gfs_genesis_para_fv3gfs.txt create mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_extrkr.sh create mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_extrkr.txt create mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_tracker.sh create mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_tracker.txt create mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/vsdbjob.sh create mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/vsdbjob.txt create mode 100755 systems/fv3gfs/scripts/exglobal_fcst_crow.sh create mode 100755 systems/fv3gfs/scripts/exglobal_fcst_crow_df.sh create mode 100755 systems/fv3gfs/scripts/exglobal_fcst_crow_exe.sh create mode 100755 systems/fv3gfs/scripts/exglobal_fcst_crow_io.sh create mode 100755 systems/fv3gfs/scripts/exglobal_fcst_original.sh create mode 100644 systems/rocoto_style_fv3gfs/_main.yaml create mode 100755 systems/rocoto_style_fv3gfs/begin_ecflow_workflow.sh create mode 100644 systems/rocoto_style_fv3gfs/dump_waiter.yaml create mode 120000 systems/rocoto_style_fv3gfs/envir-p1.h create mode 120000 systems/rocoto_style_fv3gfs/envir-p2.h create mode 120000 systems/rocoto_style_fv3gfs/envir-xc40.h create mode 120000 systems/rocoto_style_fv3gfs/head.h create mode 100755 systems/rocoto_style_fv3gfs/make-rocoto-xml.py create mode 100644 systems/rocoto_style_fv3gfs/make_next_cycles.yaml create mode 120000 systems/rocoto_style_fv3gfs/model_ver.h create mode 100644 systems/rocoto_style_fv3gfs/post_manager.yaml create mode 100755 systems/rocoto_style_fv3gfs/remake_ecflow_files_for.sh create mode 100644 systems/rocoto_style_fv3gfs/resources_C192_C192.yaml create mode 100644 systems/rocoto_style_fv3gfs/resources_C768_C384.yaml create mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.anal create mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.arch create mode 100644 systems/rocoto_style_fv3gfs/sample-config/config.base create mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.base.default create mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.base.nco create mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.earc create mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.ecen create mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.efcs create mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.eobs create mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.epos create mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.eupd create mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.fcst create mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.fv3 create mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.fv3ic create mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.getic create mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.nsst create mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.post create mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.prep create mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.prepbufr create mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.resources create mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.vrfy create mode 100644 systems/rocoto_style_fv3gfs/schedulers.yaml create mode 100644 systems/rocoto_style_fv3gfs/settings.yaml create mode 100644 systems/rocoto_style_fv3gfs/suite_def.yaml create mode 120000 systems/rocoto_style_fv3gfs/tail.h create mode 100644 systems/rocoto_style_fv3gfs/task_template.yaml create mode 100755 systems/rocoto_style_fv3gfs/update_ecflow_workflow.sh create mode 100644 systems/rocoto_style_fv3gfs/validator.yaml create mode 100644 systems/rocoto_style_fv3gfs/workflow.xml create mode 100644 systems/rocoto_style_fv3gfs/worktools.py diff --git a/docs/aprun-examples.txt b/docs/aprun-examples.txt new file mode 100644 index 0000000..297dbe1 --- /dev/null +++ b/docs/aprun-examples.txt @@ -0,0 +1,85 @@ +Assuming: + * 24 physical cores per node + * 2 logical cpus per core when hyperthreading is enabled + * hyperthreading is disabled by default + +There are two aspects to this: + +1. Write a sysenv.parallelism.(module).Parallelism class for aprun +2. Write a sysenv.scheduler.(module).Scheduler class for LSF + ALPS + +Aprun documentation: + + https://bluewaters.ncsa.illinois.edu/using-aprun + +Produtil's equivalent to sysenv.parallelism.(module).Parallelism: + + /scratch3/NCEPDEV/hwrf/save/Samuel.Trahan/H216O-quasitag/ush/produtil/mpi_impl/lsf_cray_intel.py + + +Examples: + + +[ { exe='yup' } ] +aprun -n 1 -N 1 -d 1 -j 1 -cc depth yup +1 + + +[ { exe='blah', mpi_ranks=24 } ] +becomes: +aprun -n 24 -N 24 -d 1 -j 1 -cc depth blah +1 + + +[ { exe="flur", mpi_ranks=12 }, + { exe='zhod', mpi_ranks=12 } ] +becomes: +aprun -n 12 -N 12 -d 1 -j 1 -cc depth flur \ + : -n 12 -N 12 -d 1 -j 1 -cc depth zhod +2 + + + +[ { exe="flur", mpi_ranks=12, max_ppn=4 }, + { exe="flur", mpi_ranks=48 } ] +becomes: +aprun -n 12 -N 4 -d 1 -j 1 -cc depth flur \ + : -n 48 -N 24 -d 1 -j 1 -cc depth flur +5 + + + +[ { exe="bleh", OMP_NUM_THREADS=48, hyperthreads=2 } ] +becomes: +aprun -n 1 -N 1 -d 48 -j 2 -cc depth /usr/bin/env OMP_NUM_THREADS=48 bleh +1 + + + +[ { exe="bleh", OMP_NUM_THREADS=24, mpi_ranks=12 }, + { exe="bleh", OMP_NUM_THREADS=4, mpi_ranks=12 }, + { exe="bleh", OMP_NUM_THREADS=48, mpi_ranks=48, hyperthreads=2 } ] +becomes: +aprun -n 12 -N 1 -d 24 -j 1 -cc depth /usr/bin/env OMP_NUM_THREADS=24 bleh \ + : -n 12 -N 6 -d 4 -j 1 -cc depth /usr/bin/env OMP_NUM_THREADS=4 bleh \ + : -n 48 -N 1 -d 48 -j 2 -cc depth /usr/bin/env OMP_NUM_THREADS=48 bleh +62 + + + +Special cases needed for aprun: + +[ { exe="thing", CrayAprun_cc_extra='numa_node', mpi_ranks=48 } ] +becomes: +aprun -n 48 -N 24 -d 1 -j 1 -cc numa_node thing +2 + +[ { exe="thing", CrayAprun_p_state_extra=2601000, mpi_ranks=12 } ] +becomes: +aprun -n 12 -N 12 -d 1 -j 1 -cc depth --p-state 2601000\ +1 +NOTE: We will want to add a turbo mode enabling option. That requires +parsing this file: + /sys/devices/system/cpu/cpu0/cpufreq/scaling_available_frequencies +and getting the highest available frequency, which is the magic number +for turbo mode. That can wait until later. diff --git a/docs/terry-explanation.txt b/docs/terry-explanation.txt new file mode 100644 index 0000000..4fbef96 --- /dev/null +++ b/docs/terry-explanation.txt @@ -0,0 +1,71 @@ +program1 40 ranks +program1 20 ranks program1 60 ranks +program2 30 ranks program2 30 ranks + +node = 24 ranks + + + + + +8 * atmos.exe +8 * ocean.exe +8 * wave.exe + +t1204 [ 8*atmos + 8*ocean + 8*wave ] + +8 * atmos.exe (1) +8 * ocean.exe (1) +8 * wave.exe (1) + + => same_except_exe => + +24 * (unknown) + +#PBS -l nodes=1:ppn=24 + + +8 * atmos.exe (1) +8 * ocean.exe (1) +8 * wave.exe (1) + + => can_merge_ranks => + +8 * atmos.exe (1) +8 * ocean.exe (1) +8 * wave.exe (1) + +mpiexec -np 8 atmos.exe : -np 8 ocean.exe : -np 8 wave.exe + + + +s134 [ 8*atmos + 16*nothing ] +s135 [ 8*ocean + 16*nothing ] +s136 [ 8*wave + 16*nothing ] + + + + +2 * atmos.exe (4) +4 * atmos.exe (2) +8 * atmos.exe (1) + + => same_except_exe => + +2 * (unknown) (4) +4 * (unknown) (2) +8 * (unknown) (1) + +#PBS -l nodes=1:ppn=8+1:pnp=8+1:ppn=8 + + => can_merge_ranks => + +2 * atmos.exe (4) +4 * atmos.exe (2) +8 * atmos.exe (1) + +mpirun -np 2 /usr/bin/env OMP_NUM_THREADS=4 atmos.exe : \ + -np 4 /usr/bin/env OMP_NUM_THREADS=2 atmos.exe : \ + -np 8 /usr/bin/env OMP_NUM_THREADS=1 atmos.exe + + diff --git a/systems/ecflow_fv3gfs/_main.yaml b/systems/ecflow_fv3gfs/_main.yaml new file mode 100644 index 0000000..561f10a --- /dev/null +++ b/systems/ecflow_fv3gfs/_main.yaml @@ -0,0 +1,8 @@ +include: + - "config*.yaml" + - "*_validator.yaml" + - schedulers.yaml + - rocoto.yaml + - task.yaml + - "*.yaml" + - suite_def.yaml diff --git a/systems/ecflow_fv3gfs/config_files.yaml b/systems/ecflow_fv3gfs/config_files.yaml new file mode 100644 index 0000000..63d5a8b --- /dev/null +++ b/systems/ecflow_fv3gfs/config_files.yaml @@ -0,0 +1,53 @@ +# Variables taken from config.* files: +config_files: + + # config.base: + FHMAX_GFS: !Immediate [ !calc ' int(tools.env("FHMAX_GFS"),10) ' ] + FHMIN_GFS: !Immediate [ !calc ' int(tools.env("FHMIN_GFS"),10) ' ] + FHOUT_GFS: !Immediate [ !calc ' int(tools.env("FHOUT_GFS"),10) ' ] + FHMAX: !Immediate [ !calc ' int(tools.env("FHMAX"),10) ' ] + FHMIN: !Immediate [ !calc ' int(tools.env("FHMIN"),10) ' ] + FHOUT: !Immediate [ !calc ' int(tools.env("FHOUT"),10) ' ] + FHMAX_HF_GFS: !Immediate [ !calc ' int(tools.env("FHMAX_HF_GFS"),10) ' ] + FHOUT_HF_GFS: !Immediate [ !calc ' int(tools.env("FHOUT_HF_GFS"),10) ' ] + PSLOT: !Immediate [ !calc tools.env("PSLOT") ] + EXPDIR: !Immediate [ !calc tools.env("EXPDIR") ] + ROTDIR: !Immediate [ !calc tools.env("ROTDIR") ] + RUNDIR: !Immediate [ !calc tools.env("RUNDIR") ] + HOMEgfs: !Immediate [ !calc tools.env("HOMEgfs") ] + CASE: !Immediate [ !calc tools.env("CASE") ] + CASE_ENKF: !Immediate [ !calc tools.env("CASE_ENKF") ] + SDATE: !Immediate [ !calc tools.env("SDATE") ] + EDATE: !Immediate [ !calc tools.env("EDATE") ] + NMEM_ENKF: !Immediate [ !calc ' int( tools.env("NMEM_ENKF"),10 )'] + DMPDIR: !Immediate [ !calc tools.env("DMPDIR") ] + QUEUE: !Immediate [ !calc tools.env("QUEUE") ] + QUEUE_ARCH: !Immediate [ !calc tools.env("QUEUE_ARCH") ] + ACCOUNT: !Immediate [ !calc tools.env("ACCOUNT") ] + machine: !Immediate [ !calc tools.env("machine") ] + + # config.eobs: + NMEM_EOMGGRP: !Immediate [ !calc ' int(tools.env("NMEM_EOMGGRP"),10) ' ] + + # config.efcs: + NMEM_EFCSGRP: !Immediate [ !calc ' int(tools.env("NMEM_EFCSGRP"),10) ' ] + + # config.earc: + NMEM_EARCGRP: !Immediate [ !calc ' int(tools.env("NMEM_EARCGRP"),10) ' ] + + # config.fcst / config.fv3: + layout_x: !Immediate [ !calc ' int(tools.env("layout_x"),10) ' ] + layout_y: !Immediate [ !calc ' int(tools.env("layout_y"),10) ' ] + WRITE_GROUP: !Immediate [ !calc ' int(tools.env("WRITE_GROUP"),10) ' ] + WRTTASK_PER_GROUP: !Immediate + - !calc int(tools.env("WRTTASK_PER_GROUP"),10) + + # config.efcs / config.fv3: + ENKF_layout_x: !Immediate + - !calc ' int(tools.env("ENKF_layout_x"),10) ' + ENKF_layout_y: !Immediate + - !calc ' int(tools.env("ENKF_layout_y"),10) ' + ENKF_WRITE_GROUP: !Immediate + - !calc ' int(tools.env("ENKF_WRITE_GROUP"),10) ' + ENKF_WRTTASK_PER_GROUP: !Immediate + - !calc int(tools.env("ENKF_WRTTASK_PER_GROUP"),10) diff --git a/systems/ecflow_fv3gfs/config_locations.yaml b/systems/ecflow_fv3gfs/config_locations.yaml new file mode 100644 index 0000000..f6c12b9 --- /dev/null +++ b/systems/ecflow_fv3gfs/config_locations.yaml @@ -0,0 +1,7 @@ +## initial_directory: location from which the setup script was run. +initial_directory: !Immediate [ !calc "tools.realpath(tools.abspath('.'))" ] + +# pyYAML cannot correctly write out a document unless it contains +# at least one mapping +workaround_for_bug_in_pyyaml: + xyz: 123 diff --git a/systems/ecflow_fv3gfs/dump_waiter.yaml b/systems/ecflow_fv3gfs/dump_waiter.yaml new file mode 100644 index 0000000..d02ea96 --- /dev/null +++ b/systems/ecflow_fv3gfs/dump_waiter.yaml @@ -0,0 +1,42 @@ +dump_waiter: &dump_waiter_task !Task + <<: *exclusive_task_template + manual: | + The dump_waiter task is a special job needed to run this + workflow outside of the production suite. It handles the + external dependency on the dump and the associated + (approximate) time dependency. + In ecflow: + The dump_waiter is started when the cycle is allowed to + begin, and waits for the dump to be available. It sets + the "updated_status" event when the dump is available. + In Rocoto: + The dump_waiter is never run; it is defined so that the + updated_status data event can be used as a data dependency + in the prep job. + + Disable: !calc metasched.type=='rocoto' + + resources: !calc doc.resources.run_dump_waiter + + updated_status: !DataEvent + file: !expand >- + {doc.settings.DMPDIR}/@Y@m@d@H/{up.CDUMP}/{up.CDUMP}.t@Hz.updated.status.tm00.bufr_d + + # The batch_job_command is dumped into the ecf file where the + # J-Job would normally be called. This job is never run by + # Rocoto, so Rocoto never uses this code block. + ecflow_command: !expand | + workdir=/tmp/$USER.dump_waiter.$$.$RANDOM + mkdir -p "$workdir" + cd "$workdir" + setpdy.sh + source ./PDY + WAITFILE=%DUMPDIR%/${{PDY}}%CYC%/{CDUMP}/{CDUMP}.t%CYC%z.updated.status.tm00.bufr_d + while [[ ! -s "$WAITFILE" ]] ; do + echo "$WAITFILE: nope" + sleep 37 + done + echo "$WAITFILE: yup" + ecflow_client --event updated_status + cd / + rm -rf "$workdir" diff --git a/systems/ecflow_fv3gfs/ecf_file.yaml b/systems/ecflow_fv3gfs/ecf_file.yaml new file mode 100644 index 0000000..7c90640 --- /dev/null +++ b/systems/ecflow_fv3gfs/ecf_file.yaml @@ -0,0 +1,76 @@ +ecf_file_logic: &ecf_file_logic + + # Default resources for a job are serial + resources: !calc doc.resource_demo.run_nothing + + ecf_file: !expand | + #! /bin/sh + {ecf_batch_resources} + %include + %include + + set -x + + {ecf_resource_more} + + export model=%MODEL_NAME% + %include + + ############################################################ + # Load modules + ############################################################ + . $MODULESHOME/init/sh + {ecf_module_commands} + module list + + ############################################################# + # WCOSS environment settings + ############################################################# + + {ecf_environment_settings} + + ########################################################### + export cyc=%CYC% + + # CALL executable job script here + echo ${{HOMEgfs}}/jobs/{J_JOB} + + %include + %manual + {ecf_manual} + %end + + ecf_manual: | + # FIXME: Insert manual for this job. + + ecf_module_commands: |- + # Add any "module" commands here (switch, load, use, etc.) + + ecf_environment_settings: |- + # Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + + ecf_batch_resources: !expand |- + {sched.batch_accounting(accounting,jobname=task_path_var,outerr="%ECF_OUT%/"+task_path_var+"_t"+"%CYC%"+"z.log")} + {sched.batch_resources(resources)} + + ecf_resource_more: !expand |- + {ecf_maybe_ntasks} + {ecf_maybe_ptile} + {ecf_maybe_threads} + + ecf_maybe_ntasks: !FirstTrue + - when: !calc resources.total_ranks()>0 + do: !expand 'export ntasks={resources.total_ranks()}' + - otherwise: "# No MPI in use, so I am not setting $ntasks." + + ecf_maybe_threads: !FirstTrue + - when: !calc resources.has_threads() + do: !expand 'export threads={resources[0]["OMP_NUM_THREADS"]}' + - otherwise: "# No OpenMP in use, so I am not setting $threads" + + ecf_maybe_ptile: !FirstTrue + - when: !calc resources.has_threads() or resources.total_ranks()>0 + do: !expand 'export ptile={sched.max_ranks_per_node(resources)}' + - otherwise: "# Neither OpenMP nor MPI are in use, so I am not setting $ptile" + + diff --git a/systems/ecflow_fv3gfs/load_ecflow_workflow.sh b/systems/ecflow_fv3gfs/load_ecflow_workflow.sh new file mode 100755 index 0000000..bf9af77 --- /dev/null +++ b/systems/ecflow_fv3gfs/load_ecflow_workflow.sh @@ -0,0 +1,75 @@ +#! /bin/bash + +set -ue + +# Get the directory in which this script resides. We'll assume the +# yaml files are there: +dir0=$( dirname "$0" ) +here=$( cd "$dir0" ; pwd -P ) + +export WORKTOOLS_VERBOSE=NO + +crowdir=$( cd ../../ ; pwd -P ) + +# Make sure this directory is in the python path so we find worktools.py: +export PYTHONPATH=$here:$crowdir:${PYTHONPATH:+:$PYTHONPATH} + +source "$dir0/worktools.sh.inc" + +# Parse arguments: +if [[ "$1" == "-v" ]] ; then + export WORKTOOLS_VERBOSE=YES + shift 1 +fi +export CONFIGDIR="$1" + +if [[ ! -d /usrx/local || -e /etc/redhat-release ]] ; then + echo "ERROR: This script only runs on WCOSS Cray" 1>&2 + exit 1 +fi + +if ( ! which ecflow_client > /dev/null 2>&1 ) ; then + echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." + exit 1 +fi + +check_ecf_variables + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + echo "load_ecflow_workflow.sh: verbose mode" +fi + +echo 'ecFlow server settings:' +echo " port: $ECF_PORT" +echo " root: $ECF_ROOT" +echo " home: $ECF_HOME" +echo " host: $ECF_HOST" + +set +e +find_python36 +set -e + +tmpfile=${TMPDIR:-/tmp}/find-expdir.$RANDOM.$RANDOM.$$ + +if ( ! ( make_yaml_files ) ) ; then + echo "Failed to make YAML files" + exit 1 +fi + +source "$tmpfile" +rm -f "$tmpfile" + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + set -x +fi + +if ( ! ecflow_client --ping ) ; then + echo "Could not connect to ecflow server. Aborting." + exit 1 +fi + +if ( ! ecflow_client --get=/totality_limit > /dev/null 2>&1 ) ; then + ecflow_client --load ./totality_limit.def +fi + +$python36 -c "import worktools ; worktools.create_and_load_ecflow_workflow('$EXPDIR',begin=False)" diff --git a/systems/ecflow_fv3gfs/make_next_cycles.yaml b/systems/ecflow_fv3gfs/make_next_cycles.yaml new file mode 100644 index 0000000..5f4eb47 --- /dev/null +++ b/systems/ecflow_fv3gfs/make_next_cycles.yaml @@ -0,0 +1,69 @@ +make_next_cycles: &make_next_cycles_task !Task + <<: *exclusive_task_template + manual: | + The make_next_cycles task generates and begins the suites for the + next few cycles in the workflow. This job is only used when running + in ecflow. + + Disable: !calc metasched.type=='rocoto' + + resources: !calc doc.resources.run_make_next_cycles + + Trigger: !Depend gdas + + CDUMP: gdas # useless but required + + # The batch_job_command is dumped into the ecf file where the + # J-Job would normally be called. This job is never run by + # Rocoto, so Rocoto never uses this code block. + ecflow_command: !expand | + workdir=/tmp/$USER.dump_waiter.$$.$RANDOM + mkdir -p "$workdir" + cd "$workdir" + export WORKFLOW_FIRST_CYCLE=%WORKFLOW_FIRST_CYCLE:1970010100% + export WORKFLOW_LAST_CYCLE=%WORKFLOW_LAST_CYCLE:ETERNITY% + export WORKFLOW_CYCLES_TO_GENERATE=%WORKFLOW_CYCLES_TO_GENERATE:5% + export WORKFLOW_CROW_HOME=%WORKFLOW_CROW_HOME% + export WORKFLOW_EXPDIR=%WORKFLOW_EXPDIR% + export ECF_HOME=%ECF_HOME% + export ECF_ROOT=%ECF_ROOT:X% + + if [[ "$ECF_ROOT" == X ]] ; then + export ECF_ROOT=$( cd "$ECF_HOME" ; cd .. ; pwd -P ) + fi + + export PDY=%PDY% + export CYC=%CYC% + export cycle=t%CYC%z + setpdy.sh + source ./PDY + + module load prod_util + module load ecflow + + set -uex + + # Decide the range of cycles to start + first_cycle=$( $NDATE +6 "%PDY%%CYC%" ) + + if [[ "${{WORKFLOW_LAST_CYCLE:-ETERNITY}}" != ETERNITY && + "$first_cycle" -gt "$WORKFLOW_LAST_CYCLE" ]] ; then + postmsg "Last cycle reached. Not starting any new cycles." + fi + + last_cycle=$first_cycle + for istart in $( seq 1 5 ) ; do + if [[ "${{WORKFLOW_LAST_CYCLE:-ETERNITY}}" != ETERNITY && + "$last_cycle" -gt "$WORKFLOW_LAST_CYCLE" ]] ; then + break + fi + last_cycle=$( $NDATE +6 "$last_cycle" ) + done + + cd "$WORKFLOW_CROW_HOME" + postmsg "Start cycles $first_cycle through $last_cycle." + ./update_ecflow_workflow.sh "$WORKFLOW_EXPDIR" "$first_cycle" "$last_cycle" + + + cd / + rm -rf "$workdir" diff --git a/systems/ecflow_fv3gfs/make_rocoto_xml_for.sh b/systems/ecflow_fv3gfs/make_rocoto_xml_for.sh new file mode 100755 index 0000000..6cab9bd --- /dev/null +++ b/systems/ecflow_fv3gfs/make_rocoto_xml_for.sh @@ -0,0 +1,53 @@ +#! /bin/bash + +set -ue + +# Get the directory in which this script resides. We'll assume the +# yaml files are there: +dir0=$( dirname "$0" ) +here=$( cd "$dir0" ; pwd -P ) + +export WORKTOOLS_VERBOSE=NO + +crowdir=$( cd ../../ ; pwd -P ) + +# Make sure this directory is in the python path so we find worktools.py: +export PYTHONPATH=$here:$crowdir:${PYTHONPATH:+:$PYTHONPATH} + +source "$dir0/worktools.sh.inc" + +# Parse arguments: +if [[ "$1" == "-v" ]] ; then + export WORKTOOLS_VERBOSE=YES + shift 1 +fi +export CONFIGDIR="$1" + +if [[ ! ( -d /scratch4 && -d /scratch3 || \ + -d /usrx/local && ! -e /etc/redhat-release ) \ + ]] ; then + echo "ERROR: This script only runs on WCOSS Cray and Theia" 1>&2 + exit 1 +fi + +set +e +find_python36 +set -e + +tmpfile=${TMPDIR:-/tmp}/find-expdir.$RANDOM.$RANDOM.$$ + +if ( ! ( make_yaml_files ) ) ; then + echo "Failed to make YAML files" + exit 1 +fi + +source "$tmpfile" +rm -f "$tmpfile" + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + echo "make_rocoto_xml_for.sh: EXPDIR=$EXPDIR" + set -x +fi + +$python36 -c "import worktools ; worktools.make_rocoto_xml_for( + '$EXPDIR')" diff --git a/systems/ecflow_fv3gfs/post_manager.yaml b/systems/ecflow_fv3gfs/post_manager.yaml new file mode 100644 index 0000000..ea867ac --- /dev/null +++ b/systems/ecflow_fv3gfs/post_manager.yaml @@ -0,0 +1,23 @@ +post_manager_job_contents: &post_manager_job_contents !expand | + fhrs='anl {tools.join(up.Dimensions.fhr," ")}' + CDATE=%PDY%%CYC% + for fid in $fhrs ; do + if [[ "$fid" == anl ]] ; then + fhr3=000 + event=release_postanl + else + fhr3=$( printf %%03d $fid ) + fhr2=$( printf %%02d $fid ) + event=release_post$fhr2 + fi + + file=%COM%/$CDUMP.%PDY%/%CYC%/$CDUMP.t%CYC%z.logf$fhr3.nemsio + + while [[ ! -s "$file" ]] ; do + echo "$file: nope" + sleep 33 + done + echo "$file: yup" + ecflow_client --event "$event" + done + echo "done" diff --git a/systems/ecflow_fv3gfs/remake_ecflow_files_for.sh b/systems/ecflow_fv3gfs/remake_ecflow_files_for.sh new file mode 100755 index 0000000..180a3d6 --- /dev/null +++ b/systems/ecflow_fv3gfs/remake_ecflow_files_for.sh @@ -0,0 +1,67 @@ +#! /bin/bash + +set -ue + +# Get the directory in which this script resides. We'll assume the +# yaml files are there: +dir0=$( dirname "$0" ) +here=$( cd "$dir0" ; pwd -P ) + +export WORKTOOLS_VERBOSE=NO + +crowdir=$( cd ../../ ; pwd -P ) + +# Make sure this directory is in the python path so we find worktools.py: +export PYTHONPATH=$here:$crowdir:${PYTHONPATH:+:$PYTHONPATH} + +source "$dir0/worktools.sh.inc" + +# Parse arguments: +if [[ "$1" == "-v" ]] ; then + export WORKTOOLS_VERBOSE=YES + shift 1 +fi +export CONFIGDIR="$1" +export FIRST_CYCLE="$2" +export LAST_CYCLE="$3" + +if [[ ! -d /usrx/local || -e /etc/redhat-release ]] ; then + echo "ERROR: This script only runs on WCOSS Cray" 1>&2 + exit 1 +fi + +check_ecf_variables + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + echo "remake_ecflow_files_for.sh: verbose mode" +fi + +echo 'ecFlow server settings:' +echo " port: $ECF_PORT" +echo " root: $ECF_ROOT" +echo " home: $ECF_HOME" +echo " host: $ECF_HOST" + +set +e +find_python36 +set -e + +tmpfile=${TMPDIR:-/tmp}/find-expdir.$RANDOM.$RANDOM.$$ + +if ( ! ( make_yaml_files ) ) ; then + echo "Failed to make YAML files" + exit 1 +fi + +source "$tmpfile" +rm -f "$tmpfile" + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + echo "remake_ecflow_files_for.sh: EXPDIR=$EXPDIR" + set -x +fi + +$python36 -c "import worktools ; worktools.remake_ecflow_files_for_cycles( + '$EXPDIR', + '$FIRST_CYCLE', + '$LAST_CYCLE')" diff --git a/systems/ecflow_fv3gfs/resources_C192_C192.yaml b/systems/ecflow_fv3gfs/resources_C192_C192.yaml new file mode 100644 index 0000000..f6dd244 --- /dev/null +++ b/systems/ecflow_fv3gfs/resources_C192_C192.yaml @@ -0,0 +1,216 @@ +resources: + + memory_3072M_on_wcoss_cray: !FirstTrue + - when: !calc doc.settings.fv3gfs_machine != 'WCOSS_C' + do: null + - otherwise: "3072M" + + memory_1024M_on_wcoss_cray: !FirstTrue + - when: !calc doc.settings.fv3gfs_machine != 'WCOSS_C' + do: null + - otherwise: "1024M" + + memory_254M_on_wcoss_cray: !FirstTrue + - when: !calc doc.settings.fv3gfs_machine != 'WCOSS_C' + do: null + - otherwise: "254M" + + # From if[[...ecen]] block in config.resources: + run_ecen: !JobRequest + - memory: !calc doc.resources.memory_3072M_on_wcoss_cray + walltime: !timedelta "00:10:00" + mpi_ranks: 84 + exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 2 + + run_chgres: !JobRequest + - exe: time + OMP_NUM_THREADS: 12 + args: + - placeholder + + run_nothing: !JobRequest # Special placeholder for "do nothing" + - memory: "300M" + exe: placeholder + walltime: !timedelta "00:02:00" + exclusive: false + + run_one_hour_exclusive: !JobRequest # Placeholder for one node jobs + - memory: "300M" + exe: placeholder + mpi_ranks: 0 + walltime: !timedelta "00:02:00" + exclusive: true + + run_dump_waiter: !JobRequest + - memory: "300M" + exe: placeholder + walltime: !FirstTrue + - when: !calc doc.settings.realtime + do: !timedelta "01:00:00" + - otherwise: !timedelta "00:05:00" + + run_make_next_cycles: !JobRequest + - memory: "300M" + exe: placeholder + walltime: !timedelta "00:15:00" + + run_eobs: !JobRequest + - memory: !calc doc.resources.memory_3072M_on_wcoss_cray + walltime: !timedelta "00:30:00" + mpi_ranks: !calc 10*max_ppn + exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 2 + + run_eomg: !JobRequest + - memory: !calc doc.resources.memory_3072M_on_wcoss_cray + walltime: !timedelta "03:00:00" + mpi_ranks: !calc 12*12 + exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 2 + + run_eupd: !JobRequest + - memory: !calc doc.resources.memory_3072M_on_wcoss_cray + walltime: !timedelta "00:30:00" + mpi_ranks: !calc 40*6 + exe: placeholder + max_ppn: 6 + OMP_NUM_THREADS: 4 + +# run_enkf: !JobRequest +# pi_ranks: !calc 10*12 +# resources: !JobRequest +# - exe: placeholder +# max_ppn: 12 +# OMP_NUM_THREADS: 4 + + run_efcs: !JobRequest + - memory: !calc doc.resources.memory_254M_on_wcoss_cray + walltime: !timedelta "03:00:00" + mpi_ranks: !calc doc.settings.ENKF_layout_x*doc.settings.ENKF_layout_y*6 + max_ppn: 12 + + run_epos: !JobRequest + - memory: !calc doc.resources.memory_254M_on_wcoss_cray + mpi_ranks: 84 + walltime: !timedelta "00:10:00" + exe: placeholder + max_ppn: 12 + + run_prep: !JobRequest + - memory: !calc doc.resources.memory_3072M_on_wcoss_cray + walltime: !timedelta "00:15:00" + max_ppn: 12 + mpi_ranks: 12 + exe: placeholder + + run_anal: !JobRequest + - memory: !calc doc.resources.memory_3072M_on_wcoss_cray + mpi_ranks: !calc 144 + walltime: !timedelta "1:30:00" + exe: placeholder + max_ppn: 6 + +# run_gsi: !JobRequest +# pi_ranks: !calc 24*6 +# resources: !JobRequest +# - exe: placeholder +# max_ppn: 6 +# OMP_NUM_THREADS: 4 + + run_gdasfcst: !JobRequest + - memory: !calc doc.resources.memory_1024M_on_wcoss_cray + mpi_ranks: !calc >- + doc.settings.layout_x*doc.settings.layout_y*6 + + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP + walltime: !timedelta "01:00:00" + max_ppn: 12 + + run_gdas_post_manager: !JobRequest + - memory: "300M" + exe: placeholder + walltime: !calc >- + doc.resources.run_gdasfcst[0].walltime + tools.to_timedelta('00:15:00') + + run_gfsfcst: !JobRequest + - memory: !calc doc.resources.memory_1024M_on_wcoss_cray + mpi_ranks: !calc >- + doc.settings.layout_x*doc.settings.layout_y*6 + + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP + walltime: !timedelta "06:00:00" + max_ppn: 12 + + run_gfs_post_manager: !JobRequest + - memory: "300M" + exe: placeholder + walltime: !calc >- + doc.resources.run_gfsfcst[0].walltime + tools.to_timedelta('00:15:00') + + run_gdaspost: !JobRequest + - memory: !calc doc.resources.memory_3072M_on_wcoss_cray + mpi_ranks: !calc 6*max_ppn + walltime: !timedelta "00:30:00" + exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 1 + + run_gfspost: !JobRequest + - memory: !calc doc.resources.memory_3072M_on_wcoss_cray + mpi_ranks: !calc 6*max_ppn + walltime: !timedelta "00:10:00" + exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 1 + + run_gfsvrfy: !JobRequest + - memory: !FirstTrue + - when: !calc doc.settings.fv3gfs_machine == 'THEIA' + do: "16384M" + - otherwise: "3072M" + mpi_ranks: 1 + walltime: !timedelta "03:00:00" + exe: placeholder + max_ppn: 1 + + run_gdasvrfy: !JobRequest + - memory: !FirstTrue + - when: !calc doc.settings.fv3gfs_machine == 'THEIA' + do: "16384M" + - otherwise: "3072M" + mpi_ranks: 1 + walltime: !timedelta "01:30:00" + exe: placeholder + max_ppn: 1 + + run_arch: !JobRequest + - memory: !calc doc.resources.memory_3072M_on_wcoss_cray + exclusive: false + mpi_ranks: 1 + walltime: !timedelta "06:00:00" + exe: placeholder + max_ppn: 1 + OMP_NUM_THREADS: 2 + + run_final: !JobRequest + - memory: "1024M" + mpi_ranks: 1 + walltime: !timedelta "00:01:00" + exe: placeholder + max_ppn: 1 + OMP_NUM_THREADS: 2 + + run_earc: !JobRequest + - memory: !calc doc.resources.memory_3072M_on_wcoss_cray + mpi_ranks: 1 + walltime: !timedelta "06:00:00" + exe: placeholder + max_ppn: 1 + exclusive: false + + run_fv3ic: !JobRequest + - memory: !calc doc.resources.memory_3072M_on_wcoss_cray + mpi_ranks: 24 + exe: placeholder diff --git a/systems/ecflow_fv3gfs/resources_C768_C384.yaml b/systems/ecflow_fv3gfs/resources_C768_C384.yaml new file mode 100644 index 0000000..656c338 --- /dev/null +++ b/systems/ecflow_fv3gfs/resources_C768_C384.yaml @@ -0,0 +1,198 @@ +resources: + + # From if[[...ecen]] block in config.resources: + run_ecen: !JobRequest + - memory: "254M" + walltime: !timedelta "00:30:00" + mpi_ranks: 80 + exe: placeholder + max_ppn: 4 + OMP_NUM_THREADS: 2 + + run_chgres: !JobRequest + - exe: time + OMP_NUM_THREADS: 12 + args: + - placeholder + + run_nothing: !JobRequest # Special placeholder for "do nothing" + - memory: "300M" + exe: placeholder + walltime: !timedelta "00:02:00" + exclusive: false + + run_one_hour_exclusive: !JobRequest # Placeholder for one node jobs + - memory: "2000M" + exe: placeholder + mpi_ranks: 0 + walltime: !timedelta "00:02:00" + exclusive: true + + run_dump_waiter: !JobRequest + - memory: "300M" + exe: placeholder + walltime: !FirstTrue + - when: !calc doc.settings.realtime + do: !timedelta "01:00:00" + - otherwise: !timedelta "00:05:00" + + run_make_next_cycles: !JobRequest + - memory: "300M" + exe: placeholder + walltime: !timedelta "00:15:00" + + run_eobs: !JobRequest + - memory: "3072M" + walltime: !timedelta "00:30:00" + mpi_ranks: !calc 12*12 + exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 2 + + run_eomg: !JobRequest + - memory: "3072M" + walltime: !timedelta "03:00:00" + mpi_ranks: !calc 12*12 + exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 2 + + run_eupd: !JobRequest + - memory: "3072M" + walltime: !timedelta "00:30:00" + mpi_ranks: !calc 40*6 + exe: placeholder + max_ppn: 6 + OMP_NUM_THREADS: 4 + +# run_enkf: !JobRequest +# pi_ranks: !calc 10*12 +# resources: !JobRequest +# - exe: placeholder +# max_ppn: 12 +# OMP_NUM_THREADS: 4 + + run_efcs: !JobRequest + - walltime: !timedelta "03:00:00" + mpi_ranks: !calc >- + doc.settings.ENKF_layout_x*doc.settings.ENKF_layout_y*6 + + doc.settings.ENKF_WRITE_GROUP*doc.settings.ENKF_WRTTASK_PER_GROUP + max_ppn: 12 + memory: "254M" + + run_epos: !JobRequest + - memory: "254M" + mpi_ranks: 80 + walltime: !timedelta "02:00:00" + exe: placeholder + max_ppn: 1 + OMP_NUM_THREADS: 24 + + run_prep: !JobRequest + - memory: "3072M" + walltime: !timedelta "00:15:00" + max_ppn: 2 + mpi_ranks: 6 + exe: placeholder + + run_anal: !JobRequest + - memory: "3072M" + mpi_ranks: !calc 60*6 + walltime: !timedelta "1:30:00" + exe: placeholder + max_ppn: 6 + +# run_gsi: !JobRequest +# pi_ranks: !calc 24*6 +# resources: !JobRequest +# - exe: placeholder +# max_ppn: 6 +# OMP_NUM_THREADS: 4 + + run_gdasfcst: !JobRequest + - mpi_ranks: !calc >- + doc.settings.layout_x*doc.settings.layout_y*6 + + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP + walltime: !timedelta "01:00:00" + max_ppn: 12 + memory: "1024M" + + run_gdas_post_manager: !JobRequest + - memory: "300M" + exe: placeholder + walltime: !calc >- + doc.resources.run_gdasfcst[0].walltime + tools.to_timedelta('00:15:00') + + run_gfsfcst: !JobRequest + - mpi_ranks: !calc >- + doc.settings.layout_x*doc.settings.layout_y*6 + + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP + walltime: !timedelta "06:00:00" + max_ppn: 12 + memory: "1024M" + + run_gfs_post_manager: !JobRequest + - memory: "300M" + exe: placeholder + walltime: !calc >- + doc.resources.run_gfsfcst[0].walltime + tools.to_timedelta('00:15:00') + + run_gdaspost: !JobRequest + - memory: "3072M" + mpi_ranks: !calc 6*max_ppn + walltime: !timedelta "00:45:00" + exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 1 + + run_gfspost: !JobRequest + - memory: "3072M" + mpi_ranks: !calc 6*max_ppn + walltime: !timedelta "00:30:00" + exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 1 + + run_gfsvrfy: !JobRequest + - memory: "3072M" + mpi_ranks: 1 + walltime: !timedelta "04:00:00" + exe: placeholder + max_ppn: 1 + + run_gdasvrfy: !JobRequest + - memory: "3072M" + mpi_ranks: 1 + walltime: !timedelta "02:00:00" + exe: placeholder + max_ppn: 1 + + run_arch: !JobRequest + - memory: "3072M" + exclusive: false + mpi_ranks: 1 + walltime: !timedelta "06:00:00" + exe: placeholder + max_ppn: 1 + OMP_NUM_THREADS: 2 + + run_final: !JobRequest + - memory: "2M" + mpi_ranks: 1 + walltime: !timedelta "00:01:00" + exe: placeholder + max_ppn: 1 + OMP_NUM_THREADS: 2 + + run_earc: !JobRequest + - memory: "3072M" + mpi_ranks: 1 + walltime: !timedelta "06:00:00" + exe: placeholder + max_ppn: 1 + exclusive: false + + run_fv3ic: !JobRequest + - memory: "3072M" + mpi_ranks: 24 + exe: placeholder diff --git a/systems/ecflow_fv3gfs/rocoto.yaml b/systems/ecflow_fv3gfs/rocoto.yaml new file mode 100644 index 0000000..c8db2fe --- /dev/null +++ b/systems/ecflow_fv3gfs/rocoto.yaml @@ -0,0 +1,34 @@ +Rocoto: &Rocoto + scheduler: !calc doc.scheduler + max_tries: 1 + + workflow_xml: !expand | + + + + + + + + + + + {suite.common_metasched_vars} + ]> + + &LOG_DIR;/rocoto_@Y@m@d@H.log + {to_rocoto.make_time_xml(indent=1)} + {to_rocoto.make_task_xml(indent=1)} + + +rocoto_task_template: &rocoto_task_template !expand | + {doc.settings.ROCOTO_HOMEgfs}/jobs/{J_JOB} + {sched.rocoto_accounting(accounting, + jobname=task_path_var, + outerr="&LOG_DIR;/"+task_path_var+"_t"+"@H"+"z.log")} + {sched.rocoto_resources(resources)} + CDATE@Y@m@d@H diff --git a/systems/ecflow_fv3gfs/schedulers.yaml b/systems/ecflow_fv3gfs/schedulers.yaml new file mode 100644 index 0000000..532eaeb --- /dev/null +++ b/systems/ecflow_fv3gfs/schedulers.yaml @@ -0,0 +1,23 @@ + +wcoss_cray_scheduler_settings: &wcoss_cray_scheduler_settings + name: LSFAlps + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + +theia_scheduler_settings: &theia_scheduler_settings + name: MoabTorque + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + +# Magic block to automatically detect your machine +automatic_scheduler_settings: &automatic_scheduler_settings !FirstTrue + - when: !calc tools.isdir("/gpfs") and tools.isdir("/usrx/local") + do: *wcoss_cray_scheduler_settings + - when: !calc tools.isdir("/scratch4") and tools.isdir("/scratch3") + do: *theia_scheduler_settings + - otherwise: !error "You are not on Theia or WCOSS" + +scheduler_settings: *automatic_scheduler_settings + diff --git a/systems/ecflow_fv3gfs/settings.yaml b/systems/ecflow_fv3gfs/settings.yaml new file mode 100644 index 0000000..b84f646 --- /dev/null +++ b/systems/ecflow_fv3gfs/settings.yaml @@ -0,0 +1,46 @@ +settings: + Template: *settings_validator + + # Import certain variables from doc.config_files: + Inherit: !Inherit + - [ 'doc.config_files','FH.*|QUEUE|.*layout.*|.*WRITE_GROUP|.*WRT.*|HOME.*' ] + + # NOTE: Additional files are set by the validator. See validator.yaml. + + resource_file: !expand >- + resources_{doc.config_files.CASE}_{doc.config_files.CASE_ENKF}.yaml + + DMPDIR: !calc doc.config_files.DMPDIR + COM: !calc doc.config_files.ROTDIR + EXPDIR: !calc doc.config_files.EXPDIR + DATAROOT: !calc doc.config_files.RUNDIR + experiment_name: !calc doc.config_files.PSLOT + + PROJECT: !calc ( doc.config_files.ACCOUNT ) + QUEUESERV: !calc ( doc.config_files.QUEUE_ARCH ) + + ENKF_INNOVATE_GROUPS: !calc >- + doc.config_files.NMEM_ENKF // doc.config_files.NMEM_EOMGGRP + + ENKF_FORECAST_GROUPS: !calc >- + doc.config_files.NMEM_ENKF // doc.config_files.NMEM_EFCSGRP + + ENKF_ARCHIVE_GROUPS: !calc >- + doc.config_files.NMEM_ENKF // doc.config_files.NMEM_EARCGRP + + start_date: !calc tools.strptime(doc.config_files.SDATE,"%Y%m%d%H") + end_date: !calc tools.strptime(doc.config_files.EDATE,"%Y%m%d%H") + + gfs_forecast_hours: !calc >- + tools.uniq(sorted( + tools.seq(FHMIN_GFS,FHMAX_HF_GFS,FHOUT_HF_GFS) + + tools.seq(FHMIN_GFS,FHMAX_GFS, FHOUT_GFS))) + gdas_forecast_hours: !calc tools.seq(FHMIN,FHMAX,FHOUT) + wafs_last_hour: !calc 120 + + realtime: True + archive_to_hpss: True + four_cycle_mode: False + ecflow_machine: xc40-prod + + fv3gfs_machine: !calc doc.config_files.machine diff --git a/systems/ecflow_fv3gfs/settings_validator.yaml b/systems/ecflow_fv3gfs/settings_validator.yaml new file mode 100644 index 0000000..c52cfd6 --- /dev/null +++ b/systems/ecflow_fv3gfs/settings_validator.yaml @@ -0,0 +1,131 @@ +settings_validator: &settings_validator !Template + + ENKF_INNOVATE_GROUPS: + description: ENKF innovate will be split into this many jobs + type: int + + ENKF_FORECAST_GROUPS: + description: ENKF forecast will be split into this many jobs + type: int + + ENKF_ARCHIVE_GROUPS: + description: ENKF archiving will be split into this many jobs + type: int + + gfs_forecast_hours: + description: >- + Monotonically increasing list of lead times for which the GFS forecast outputs + type: int list + + gdas_forecast_hours: + description: >- + Monotonically increasing list of lead times for which the GDAS forecast outputs + type: int list + + resource_file: + description: >- + Name of the file that will be copied to resources.yaml. This + should contain the declaration of the "resources" map with + resources per-job referred to from suite_def.yaml. + type: string + + DMPDIR: + description: Location of the global dump for gfs and gdas + type: string + + max_job_tries: + description: Maximum number of times to try most jobs + type: int + default: 1 + + realtime: + description: Is this a real-time simulation (true), or retrospective (false)? + type: bool + + archive_to_hpss: + description: Should the simulation outputs be archived to tape (HPSS)? + type: bool + + four_cycle_mode: + description: >- + When ecFlow is used, should there be suites for only four cycles + in the workflow, as in NCEP Operations, or should there be one + suite per cycle. This variable is ignored in Rocoto mode. + type: bool + + experiment_name: + description: >- + An alphanumeric identifier for this workflow. This is used to + decide output and scrub file locations, and the ecFlow suite + name. + type: string + + start_date: + description: >- + Date and time of the first cycle of the workflow. In most + cases, this cycle will be a half cycle. + type: datetime + + end_date: + description: >- + Date and time of the last cycle of the workflow. + type: datetime + + start_date_gfs: + description: Date and time of the first GFS cycle in this workflow + type: datetime + default: !calc ( start_date+tools.to_timedelta('6:00:00') ) + + end_date_gfs: + description: Date and time of the last GFS cycle in this workflow + type: datetime + default: !calc end_date + + interval_gfs: + description: >- + Time between cycles for which GFS is run. Must be a multiple of six hours. + type: timedelta + default: !timedelta "6:00:00" + + COM: + description: Location of the top-level output directory for this workflow + type: string + + EXPDIR: + description: Location where config and yaml files reside for this workflow + type: string + + DATAROOT: + description: Location where per-task scrub areas reside for this workflow + type: string + + ECF_HOME: + description: >- + The $ECF_HOME setting for the ecFlow server. Not used in Rocoto mode. + type: string + default: !calc ( tools.env('ECF_HOME','localhost') ) + + ROCOTO_HOME: + description: >- + Directory in which to write the Rocoto XML document. + Not used in ecFlow mode. Default is to use EXPDIR. + type: string + default: !calc EXPDIR + + QUEUE: + description: >- + Batch queue in which to run jobs that take more than one processor. + type: string + + QUEUESERV: + description: >- + Batch queue in which to run jobs that have to transfer files to + or from some outside location such as a tape archiving system or website. + type: string + + QUEUESHARED: + description: >- + Batch queue in which to run single processor jobs. Default is to use + the same queue as multi-processor jobs. + type: string + default: !calc QUEUE diff --git a/systems/ecflow_fv3gfs/suite_def.yaml b/systems/ecflow_fv3gfs/suite_def.yaml new file mode 100644 index 0000000..5393f4c --- /dev/null +++ b/systems/ecflow_fv3gfs/suite_def.yaml @@ -0,0 +1,850 @@ +scheduler: !calc | + tools.get_scheduler(doc.scheduler_settings.name, + doc.scheduler_settings) +suite: !Cycle + Clock: !Clock + start: !calc doc.settings.start_date + end: !calc doc.settings.end_date + step: !timedelta "6:00:00" + + Alarms: + first: !Clock + start: !calc suite.Clock.start + end: !calc suite.Clock.start + step: !calc suite.Clock.step + gdas: !Clock + start: !calc suite.Clock.start + end: !calc suite.Clock.end + step: !calc suite.Clock.step + gfs: !Clock + start: !calc doc.settings.start_date_gfs + end: !calc doc.settings.end_date_gfs + step: !calc doc.settings.interval_gfs + + ecFlow: + suite_def_filename: !expand "{doc.settings.experiment_name}_%Y%m%d%H.def" + suite_def_filename: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + do: !expand "{doc.settings.experiment_name}%H.def" + - otherwise: !expand "{doc.settings.experiment_name}_%Y%m%d%H.def" + suite_name: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + do: !expand "{doc.settings.experiment_name}%H" + - otherwise: !expand "{doc.settings.experiment_name}_%Y%m%d%H" + scheduler: !calc doc.scheduler + dates_in_time_dependencies: true + + # write_cycles/analyze_cycles: Use these to generate a subset of + # the cycles in ecFlow. Make sure analyze_cycles starts at least + # one cycle before write_cycles so that dependencies are + # processed. These are overridden by update_ecflow_workflow.py + # during its execution. + + # write_cycles: !Clock + # start: 2018-01-02T00:00:00 + # end: 2018-01-02T18:00:00 + # step: !timedelta "6:00:00" + # analyze_cycles: !Clock + # start: 2018-01-02T00:00:00 + # end: 2018-01-02T18:00:00 + # step: !timedelta "6:00:00" + + ecflow_cycling_logic: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + do: "repeat day 1" + - otherwise: !expand | + autocancel 5 + edit PDY '{tools.strftime(suite.Clock.now,"%Y%m%d")}' + + Rocoto: *Rocoto + + before_suite_def: + extern /totality_limit:TOTALITY + + ecflow_def: !expand | + {ecflow_cycling_logic} + edit ECF_TRIES '{doc.settings.max_job_tries}' + edit ECF_HOME '{doc.settings.ECF_HOME}' + edit CYC '{tools.strftime(suite.Clock.now,"%H")}' + edit MACHINE '{doc.settings.ecflow_machine}' + edit ENVIR 'prod' + edit E 'jecffv3' + edit EMCPEN '{doc.settings.experiment_name}' + edit DATAROOT '{doc.settings.DATAROOT}' + edit ECF_OUT '{doc.settings.ECF_HOME}/output' + edit ECF_LOG '{doc.settings.EXPDIR}/ecf.log' + edit RUN 'gfs' + edit NET 'gfs' + {common_metasched_vars} + inlimit /totality_limit:TOTALITY + + common_metasched_vars: !expand | + {metasched.defvar("QUEUE", doc.settings.QUEUE)} + {metasched.defvar("HOMEgfs", doc.settings.HOMEgfs)} + {metasched.defvar("QUEUESHARED", doc.settings.QUEUESHARED)} + {metasched.defvar("COM", doc.settings.COM)} + {metasched.defvar("QUEUESERV", doc.settings.QUEUESERV)} + {metasched.defvar("PROJENVIR", doc.settings.PROJECT)} + {metasched.defvar("PROJ", doc.settings.PROJECT)} + + gfs: !Family + Complete: !Depend ~ suite.has_cycle('-6:00:00') + AlarmName: gfs + RUN: 'gfs' + ecflow_def: !expand | + edit RUN '{RUN}' + + dump_waiter: !Task + <<: *dump_waiter_task + Disable: !calc metasched.type != 'ecflow' + realtime_logic: !FirstTrue + - when: !calc doc.settings.realtime + do: "edit ECF_TRIES 72" + - otherwise: "" + ecflow_def: !expand | + {realtime_logic} + edit DUMPDIR '{doc.settings.DMPDIR}' + Trigger: !Depend up.gdas.post.at('-6:00:00') + + dump: !Family + Dummy: true ## <-- Node is not implemented yet + Complete: !Depend ~ suite.has_cycle('-6:00:00') + jgfs_tropcy_qc_reloc: !Task + <<: *exclusive_task_template + Trigger: !Depend jgfs_dump + jtwc_bull_email: !DataEvent {file="/dev/null"} + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + #Replaced by emc version of dump job + #This dump job should be using NCO version when delivery to NCO + jgfs_dump: !Task + <<: *exclusive_task_template + release_sfcprep: !DataEvent {file="/dev/null"} + Time: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + do: !timedelta +3:50:00 + - otherwise: null + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + prep: !Family + Trigger: !Depend dump_waiter.updated_status + Complete: !Depend ~ suite.has_cycle('-6:00:00') + jgfs_emcsfc_sfc_prep: !Task + <<: *exclusive_task_template + Dummy: true ## <-- Node is not implemented yet + Trigger: !Depend up.dump.jgfs_dump.release_sfcprep + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + jgfs_prep: !Task + <<: *exclusive_task_template + Trigger: !Depend up.dump + #Trigger: !Depend ( up.dump.jgfs_dump & up.dump.jgfs_tropcy_qc_reloc + resources: !calc ( doc.resources.run_prep ) + J_JOB: rocoto/prep.sh + + jgfs_prep_post: !Task + <<: *exclusive_task_template + Dummy: true ## <-- Node is not implemented yet + Trigger: !Depend up.jgfs_analysis + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + jgfs_analysis: !Task + <<: *exclusive_task_template + Trigger: !Depend ( prep.jgfs_prep & prep.jgfs_emcsfc_sfc_prep & up.gdas.enkf.jgdas_enkf_post.at('-6:00:00') ) + #Trigger: !Depend ( prep.jgfs_prep & prep.jgfs_emcsfc_sfc_prep ) + resources: !calc ( doc.resources.run_anal ) + J_JOB: JGLOBAL_ANALYSIS + Complete: !Depend ~ suite.has_cycle('-6:00:00') + + jgfs_vminmon: !Task + <<: *exclusive_task_template + Dummy: true ## <-- Node is not implemented yet + Trigger: !Depend jgfs_analysis + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + forecast: !Family + Complete: !Depend ~ suite.has_cycle('-6:00:00') + jgfs_forecast_high: !Task + <<: *exclusive_task_template + Trigger: !Depend up.jgfs_analysis + resources: !calc ( doc.resources.run_gfsfcst ) + J_JOB: JGLOBAL_FORECAST + + jgfs_forecast_low: !Task + <<: *exclusive_task_template + Dummy: true ## <-- Node is not implemented yet + Trigger: !Depend jgfs_forecast_high + resources: !calc ( doc.resources.run_gfsfcst ) + J_JOB: JGLOBAL_FORECAST + + sminit_guam: !Family + Dummy: true ## <-- Node is not implemented yet + jgfs_sminit_guam_even: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.post.jgfs_post_anl.is_running() | up.post.jgfs_post_anl ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + jgfs_sminit_guam_odd: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.post.jgfs_post_anl.is_running() | up.post.jgfs_post_anl ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + post_processing: !Family + Dummy: true ## <-- Node is not implemented yet + fax: !Family + jgfs_fax_f00: !Task + ecflow_def: | + edit FCSTHR '00' + <<: *exclusive_task_template + Trigger: !Depend ( up.up.prdgen.jgfs_pgrb2_f00 & up.up.prdgen.jgfs_pgrb2_anl ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + jgfs_fax_anl: !Task + ecflow_def: | + edit FCSTHR 'anl' + <<: *exclusive_task_template + Trigger: !Depend up.up.prdgen.jgfs_pgrb2_anl + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + jgfs_fax_wafs_f12: !Task + ecflow_def: | + edit FCSTHR '12' + <<: *exclusive_task_template + Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f12 + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + jgfs_fax_wafs_f24: !Task + ecflow_def: | + edit FCSTHR '24' + <<: *exclusive_task_template + Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f24 + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + jgfs_fax_wafs_f36: !Task + ecflow_def: | + edit FCSTHR '36' + <<: *exclusive_task_template + Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f36 + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + grib_wafs: !TaskArray + Dimensions: + fhr: !calc tools.seq(0,doc.settings.wafs_last_hour,6) + jgfs_wafs: !TaskElement + <<: *exclusive_task_template + Name: !expand 'jgfs_wafs_f{dimval.fhr:02d}' + Trigger: !FirstTrue + - when: !calc dimval.fhr == 0 + do: !Depend ( up.up.prdgen.jgfs_pgrb2_f00 & up.up.prdgen.jgfs_pgrb2_f120 & up.grib2_wafs.jgfs_wafs_grib2 ) + - otherwise: !Depend >- + up.up.prdgen.depend("jgfs_pgrb2_f{N:02d}",N=[ dimval.fhr ]) + & up.grib_wafs.depend("jgfs_wafs_f{N:02d}",N=[ dimval.fhr-6 ]) + resources: !calc ( doc.resources.run_one_hour_exclusive ) + ecflow_def: !expand "edit FCSTHR '{dimval.fhr:02d}'" + + bufr_sounding: !Family + jgfs_postsnd: !Task + <<: *exclusive_task_template + Trigger: !Depend up.up.post.jgfs_post_manager.release_post00 + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + bulletins: !Family + jgfs_fbwind: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.up.post.jgfs_post_f06 & up.up.post.jgfs_post_f12 & up.up.post.jgfs_post_f24 ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + jgfs_cyclone_tracker: !Task + <<: *exclusive_task_template + Trigger: !Depend >- + up.up.post.depend("jgfs_post_f{N:02d}",N=tools.seq(0,180,6)) + & up.up.prdgen.depend("jgfs_pgrb2_f{N:02d}",N=tools.seq(0,180,6)) + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + grib2_wafs: !Family + jgfs_wafs_grib2: !Task + <<: *exclusive_task_template + Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f00 + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + jgfs_wafs_blending: !Task + <<: *exclusive_task_template + Trigger: !Depend jgfs_wafs_grib2 + Time: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + do: !timedelta +4:33:00 + - otherwise: null + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + grib_awips: !TaskArray + Dimensions: + fhr: !calc doc.settings.gfs_forecast_hours + # tasks every 6 hours till f240 + jgfs_awips: !TaskElement + <<: *exclusive_task_template + Foreach: [ fhr ] + Name: !expand "jgfs_awips_f{dimval.fhr:02d}" + Trigger: !Depend up.up.prdgen.depend('jgfs_pgrb2_f{N:02d}',N=dimval.fhr) + resources: !calc ( doc.resources.run_one_hour_exclusive ) + ecflow_def: !expand | + edit FCSTHR '{dimval.fhr:02d}' + + awips_1p0deg: !TaskArray + Dimensions: + fhr: !calc doc.settings.gfs_forecast_hours + ecflow_def: !expand | + edit RES '1p0deg' + edit RESC '1P0DEG' + #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + + jgfs_awips: !TaskElement + <<: *exclusive_task_template + Foreach: [ fhr ] + Name: !expand "jgfs_awips_f{dimval.fhr:03d}" + Trigger: !Depend up.up.prdgen.depend('jgfs_pgrb2_f{N:02d}',N=dimval.fhr) + resources: !calc ( doc.resources.run_one_hour_exclusive ) + ecflow_def: !expand | + edit FCSTHR '{dimval.fhr:03d}' + + awips_20km: !TaskArray + Dimensions: + fhr: !calc doc.settings.gfs_forecast_hours + ecflow_def: !expand | + edit RES '20km' + edit RESC '20KM' + #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' + edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' + # tasks every 3 hours till f084, then every 6 hours till f240 + jgfs_awips: !TaskElement + <<: *exclusive_task_template + Foreach: [ fhr ] + Name: !expand "jgfs_awips_f{dimval.fhr:03d}" + Trigger: !Depend up.up.prdgen.depend('jgfs_pgrb2_f{N:02d}',N=dimval.fhr) + ecflow_def: !expand | + edit FCSTHR '{dimval.fhr:03d}' + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + #endfamily post_processing + + post: !TaskArray + RUN: !calc up.RUN + Dimensions: + fhr: !calc doc.settings.gfs_forecast_hours + jgfs_post_manager_el: !TaskElement + <<: *exclusive_task_template + RUN: !calc up.RUN + Disable: !calc metasched.type == 'rocoto' + Trigger: !Depend up.forecast.is_running() + Complete: !Depend up.forecast + Foreach: [ ] + ecflow_command: *post_manager_job_contents + J_JOB: post_manager + Name: jgfs_post_manager + resources: !calc doc.resources.run_gfs_post_manager + release_postanl: !DataEvent + file: !expand >- + {metasched.varref("COM")}/gfs.{metasched.datestring("%Y%m%d/%H/")}gfs.t{metasched.datestring("%H")}z.logf000.nemsio + release_post_fhr: !DataEventElement + Name: !expand "release_post{dimval.fhr:02d}" + Foreach: [ fhr ] + file: !expand >- + {metasched.varref("COM")}/gfs.{metasched.datestring("%Y%m%d/%H/")}gfs.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio + # NOTE: the above files must match post_manager.yaml + + jgfs_post_anl: !Task + <<: *exclusive_task_template + RUN: !calc up.RUN + FHRGRP: '000' + FHRLST: anl + more_vars: [ FHRGRP, FHRLST, FHR, HR ] + FHR: !expand 'anl' + HR: !expand 'anl' + Trigger: !Depend jgfs_post_manager.release_postanl | up.forecast + release_pgrb2_anl: !DataEvent {file="/dev/null"} + resources: !calc ( doc.resources.run_gfspost ) + J_JOB: JGLOBAL_NCEPPOST + ecflow_command: !expand | + export post_times=anl FHRLST={FHRLST} FHRGRP={FHRGRP} + $HOMEgfs/jobs/{J_JOB} + rocoto_command: !expand >- + {rocoto_load_modules} ; + /usr/bin/env post_times=anl &HOMEgfs;/jobs/{J_JOB} + more_vars: [ FHRGRP, FHRLST ] + + jgfs_post_fhr_el: !TaskElement + <<: *exclusive_task_template + Foreach: [ fhr ] + resources: !calc doc.resources.run_gfspost + Name: !expand jgfs_post_f{dimval.fhr:02d} + FHRGRP: !expand "{dimidx.fhr+1:03d}" + FHRLST: !expand "f{dimval.fhr:03d}" + FHR: !expand 'f{dimval.fhr:02d}' + HR: !expand '{dimval.fhr:02d}' + more_vars: [ FHRGRP, FHRLST, FHR, HR ] + J_JOB: JGLOBAL_NCEPPOST + Trigger: !Depend jgfs_post_manager.depend("release_post{F:02d}",F=[dimval.fhr]) | up.forecast + ecflow_command: !expand | + export post_times={dimval.fhr:03d} FHRLST={FHRLST} FHRGRP={FHRGRP} + $HOMEgfs/jobs/{J_JOB} + rocoto_command: !expand >- + {rocoto_load_modules} ; + /usr/bin/env post_times={dimval.fhr:03d} &HOMEgfs;/jobs/{J_JOB} + + jgfs_pgrb2_spec_post: !FirstTrue + - when: !calc max(doc.settings.gfs_forecast_hours)>=384 + do: !Task + <<: *exclusive_task_template + Dummy: true ## <-- Node is not implemented yet + Trigger: !Depend ( jgfs_post_f336 & jgfs_post_f348 & jgfs_post_f360 & jgfs_post_f372 & jgfs_post_f384 ) + resources: !calc ( doc.resources.run_gfspost ) + - otherwise: null + #endfamily post + + prdgen: !TaskArray + Dummy: true ## <-- Node is not implemented yet + Dimensions: + fhr: !calc doc.settings.gfs_forecast_hours + + jgfs_pgrb2_manager_el: !TaskElement + <<: *exclusive_task_template + Foreach: [] + Name: jgfs_pgrb2_manager + Trigger: !Depend ( up.post ) + #release_postanl: !DataEvent {file="/dev/null"} + resources: !calc ( doc.resources.run_one_hour_exclusive ) + ecflow_first_event_number: 2 + release_post_fhr: !DataEventElement + Name: !expand "release_pgrb2_{dimval.fhr:02d}" + Foreach: [ fhr ] + file: "/dev/null" + + jgfs_npoess_pgrb2_0p5deg: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.post ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + jgfs_pgrb2_anl: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.post.jgfs_post_anl.release_pgrb2_anl ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) + J_JOB: JGLOBAL_POST + ecflow_def: !expand | + edit FHR 'anl' + edit HR 'anl' + + pgrb2_f: !TaskElement + <<: *exclusive_task_template + Foreach: [ fhr ] + Name: !expand "jgfs_pgrb2_f{dimval.fhr:02d}" + Trigger: !Depend >- + jgfs_pgrb2_manager.depend('release_pgrb2_{N:02d}',N=dimval.fhr) + & up.post.depend('jgfs_post_f{N:02d}',N=dimval.fhr) + resources: !calc ( doc.resources.run_one_hour_exclusive ) + J_JOB: JGLOBAL_POST + ecflow_def: !expand | + edit FHR '{dimval.fhr:02d}' + edit HR '{dimval.fhr:02d}' + #endfamily prdgen + + gempak: !Family + Dummy: true ## <-- Node is not implemented yet + jgfs_gempak_upapgif: !Task + <<: *exclusive_task_template + Trigger: !Depend up.dump.jgfs_dump + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + jgfs_gempak_ncdc: !Task + <<: *exclusive_task_template + #Trigger: !Depend jgfs_gempak.is_running() + Trigger: !Depend jgfs_gempak.is_running() | jgfs_gempak.is_completed() + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + jgfs_gempak: !Task + <<: *exclusive_task_template + Trigger: !Depend up.jgfs_analysis + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + jgfs_gempak_meta: !Task + <<: *exclusive_task_template + Trigger: !Depend up.jgfs_analysis + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + jgfs_pgrb2_spec_gempak: !Task + <<: *exclusive_task_template + Trigger: !Depend up.post.jgfs_pgrb2_spec_post + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + jgfs_emc_vrfy: !Task + <<: *exclusive_task_template + Trigger: !Depend post + resources: !calc ( doc.resources.run_gfsvrfy ) + J_JOB: rocoto/vrfy.sh + ecf_module_commands: "# vrfy.sh will load modules instead" + + #endfamily gempak + #endfamily gfs + + ###################################################################### + ## GDAS FAMILY ####################################################### + ###################################################################### + + gdas: !Family + RUN: gdas + ecflow_def: !expand | + edit RUN '{RUN}' + + jgdas_verfrad: !Task + <<: *exclusive_task_template + Dummy: true ## <-- Node is not implemented yet + Trigger: !Depend enkf + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + jgdas_vminmon: !Task + <<: *exclusive_task_template + Dummy: true ## <-- Node is not implemented yet + Trigger: !Depend analysis.jgdas_analysis_high + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + dump_waiter: !Task + <<: *dump_waiter_task + Disable: !calc metasched.type != 'ecflow' + realtime_logic: !FirstTrue + - when: !calc doc.settings.realtime + do: "edit ECF_TRIES 72" + - otherwise: "" + ecflow_def: !expand | + {realtime_logic} + edit DUMPDIR '{doc.settings.DMPDIR}' + Trigger: !Depend post.at('-6:00:00') + + dump: !Family + Dummy: true ## <-- Node is not implemented yet + jgdas_ics: !Task + <<: *exclusive_task_template + release_gdas00_ics: !DataEvent {file="/dev/null"} + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + #jgdas_dump_post: !Task + # Trigger: !Depend jgdas_dump + # release_sfcprep: !DataEvent {file="/dev/null"} + # release_gdas00_dump_alert: !DataEvent {file="/dev/null"} + # ecf_file: *ecf_file_template + # resources: !calc ( doc.resources.run_nothing ) + # accounting: *exclusive_accounting + # J_JOB: nothing + + jgdas_tropcy_qc_reloc: !Task + <<: *exclusive_task_template + Trigger: !Depend jgdas_dump + Time: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + do: !timedelta +5:50:00 + - otherwise: null + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + #Replaced by emc version of dump job + #This dump job should be using NCO version when delivery to NCO + jgdas_dump: !Task + <<: *exclusive_task_template + release_sfcprep: !DataEvent {file="/dev/null"} + Time: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + do: !timedelta +6:20:00 + - otherwise: null + resources: !calc ( doc.resources.run_one_hour_exclusive ) + #endfamily dump + + prep: !Family + Trigger: !Depend dump_waiter.updated_status + Complete: !Depend ( ~ suite.has_cycle('-6:00:00') ) + jgdas_emcsfc_sfc_prep: !Task + <<: *exclusive_task_template + Dummy: true ## <-- Node is not implemented yet + Trigger: !Depend up.dump.jgdas_dump.release_sfcprep + resources: !calc ( doc.resources.run_prep ) + + jgdas_prep: !Task + <<: *exclusive_task_template + Trigger: !Depend (up.dump.jgdas_dump & up.dump.jgdas_tropcy_qc_reloc & up.up.gdas.post.at('-6:00:00') ) + #Trigger: !Depend ( up.dump.jgdas_dump & up.dump.jgdas_tropcy_qc_reloc ) + resources: !calc ( doc.resources.run_prep ) + J_JOB: rocoto/prep.sh + ecf_module_commands: "# prep.sh will load modules instead" + + + jgdas_prep_post: !Task + <<: *exclusive_task_template + Dummy: true ## <-- Node is not implemented yet + Trigger: !Depend up.analysis.jgdas_analysis_high + resources: !calc ( doc.resources.run_prep ) + + analysis: !Family + Complete: !Depend ( ~ suite.has_cycle('-6:00:00') ) + jgdas_analysis_high: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.prep.jgdas_prep & up.prep.jgdas_emcsfc_sfc_prep & up.enkf.jgdas_enkf_post.at('-6:00:00') ) + #Trigger: !Depend ( up.prep.jgdas_prep & up.prep.jgdas_emcsfc_sfc_prep ) + #release_fcst: !DataEvent {file="/dev/null"} + resources: !calc ( doc.resources.run_anal ) + J_JOB: JGLOBAL_ANALYSIS + + forecast: !Family + jgdas_forecast_high: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.analysis.jgdas_analysis_high & up.enkf.innovate ) | ~ suite.has_cycle('-6:00:00') + #release_fcst: !DataEvent {file="/dev/null"} + resources: !calc ( doc.resources.run_gdasfcst ) + J_JOB: JGLOBAL_FORECAST + + jgdas_forecast: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.analysis.jgdas_analysis_high & up.enkf.innovate ) | ~ suite.has_cycle('-6:00:00') + #release_fcst: !DataEvent {file="/dev/null"} + #resources: !calc ( doc.resources.run_gdasfcst ) + resources: !calc ( doc.resources.run_nothing ) + J_JOB: JGLOBAL_FORECAST + Dummy: true ## <-- Node is not implemented yet + + post_processing: !Family + Dummy: true ## <-- Node is not implemented yet + Complete: !Depend ~ suite.has_cycle('-6:00:00') + bulletins: !Family + jgdas_mknavybulls: !Task + <<: *exclusive_task_template + #Trigger: !Depend ( up.up.dump.jgdas_dump_post ) + Trigger: !Depend ( up.up.dump.jgdas_dump ) + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + gempak: !Family + Dummy: true ## <-- Node is not implemented yet + Complete: !Depend ~ suite.has_cycle('-6:00:00') + jgdas_gempak: !Task + <<: *exclusive_task_template + Trigger: !Depend up.forecast.jgdas_forecast + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + jgdas_gempak_meta: !Task + <<: *exclusive_task_template + Trigger: !Depend jgdas_gempak + resources: !calc ( doc.resources.run_one_hour_exclusive ) + + jgdas_gempak_ncdc: !Task + <<: *exclusive_task_template + Trigger: !Depend jgdas_gempak + resources: !calc ( doc.resources.run_one_hour_exclusive ) + #endfamily gempak + + + post: !TaskArray + RUN: !calc up.RUN + Dimensions: + fhr: !calc doc.settings.gdas_forecast_hours + post_manager_el: !TaskElement + <<: *exclusive_task_template + RUN: !calc up.RUN + Trigger: !Depend up.forecast.is_running() + Complete: !Depend up.forecast + Disable: !calc metasched.type=='rocoto' + Foreach: [] + J_JOB: post_manager + ecflow_command: *post_manager_job_contents + Name: jgdas_post_manager + resources: !calc doc.resources.run_gdas_post_manager + release_postanl: !DataEvent + file: !expand >- + {metasched.varref("COM")}/gdas.{metasched.datestring("%Y%m%d/%H/")}gdas.t{metasched.datestring("%H")}z.logf000.nemsio + release_post_fhr: !DataEventElement + Name: !expand "release_post{dimval.fhr:02d}" + Foreach: [ fhr ] + file: !expand > + {metasched.varref("COM")}/gdas.{metasched.datestring("%Y%m%d/%H/")}gdas.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio + # NOTE: the above files must match post_manager.yaml + + jgdas_post_anl: !Task + <<: *exclusive_task_template + FHR: 'anl' + HR: 'anl' + FHRGRP: '000' + FHRLST: 'anl' + more_vars: [ FHRGRP, FHRLST, HR, FHR ] + Trigger: !Depend jgdas_post_manager.release_postanl | up.forecast + release_pgrb2_anl: !DataEvent {file="/dev/null"} + resources: !calc ( doc.resources.run_gdaspost ) + J_JOB: JGLOBAL_NCEPPOST + ecflow_command: !expand | + export post_times=anl FHRLST={FHRLST} FHRGRP={FHRGRP} + $HOMEgfs/jobs/{J_JOB} + rocoto_command: !expand >- + {rocoto_load_modules} ; + /usr/bin/env post_times=anl &HOMEgfs;/jobs/{J_JOB} + + jgdas_post_fhr_el: !TaskElement + <<: *exclusive_task_template + Foreach: [ fhr ] + resources: !calc doc.resources.run_gdaspost + Name: !expand jgdas_post_f{dimval.fhr:02d} + FHRGRP: !expand '{dimidx.fhr+1:03d}' + FHRLST: !expand 'f{dimval.fhr:03d}' + FHR: !expand 'f{dimval.fhr:02d}' + HR: !expand '{dimval.fhr:02d}' + J_JOB: JGLOBAL_NCEPPOST + ecflow_command: !expand | + export post_times={dimval.fhr:03d} FHRLST={FHRLST} FHRGRP={FHRGRP} + $HOMEgfs/jobs/{J_JOB} + rocoto_command: !expand >- + {rocoto_load_modules} ; + /usr/bin/env post_times={dimval.fhr:03d} &HOMEgfs;/jobs/{J_JOB} + Trigger: !Depend jgdas_post_manager.depend("release_post{F:02d}",F=[dimval.fhr]) | up.forecast + more_vars: [ FHR, HR, FHRGRP, FHRLST ] + #endfamily post + + jgdas_emc_vrfy: !Task + <<: *exclusive_task_template + Complete: !Depend ( ~ suite.has_cycle('-6:00:00') ) + Trigger: !Depend post + resources: !calc ( doc.resources.run_gdasvrfy ) + J_JOB: rocoto/vrfy.sh + ecf_module_commands: "# vrfy.sh will load modules instead" + + enkf: !Family + jgdas_enkf_select_obs: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.prep.jgdas_prep & jgdas_enkf_post.at('-6:00:00') ) + #Trigger: !Depend ( up.prep.jgdas_prep ) + resources: !calc ( doc.resources.run_eobs ) + Complete: !Depend ~ suite.has_cycle('-6:00:00') + J_JOB: JGDAS_ENKF_SELECT_OBS + + innovate: !TaskArray + Trigger: !Depend jgdas_enkf_select_obs + Complete: !Depend ~ suite.has_cycle('-6:00:00') + Dimensions: + groupid: !calc tools.seq(1,doc.settings.ENKF_INNOVATE_GROUPS,1) + jgdas_enkf_innovate_obs_grp: !TaskElement + <<: *exclusive_task_template + Foreach: [ groupid ] + Name: !expand "jgdas_enkf_innovate_obs_grp{dimval.groupid}" + resources: !calc ( doc.resources.run_eomg ) + J_JOB: JGDAS_ENKF_INNOVATE_OBS + ENSGRP: !expand "{dimval.groupid:02d}" + more_vars: [ ENSGRP ] + + jgdas_enkf_update: !Task + <<: *exclusive_task_template + ecflow_def: | + edit ECF_PASS 'FREE' + Trigger: !Depend innovate + Complete: !Depend ~ suite.has_cycle('-6:00:00') + resources: !calc ( doc.resources.run_eupd ) + J_JOB: JGDAS_ENKF_UPDATE + + jgdas_enkf_inflate_recenter: !Task + <<: *exclusive_task_template + Trigger: !Depend ( jgdas_enkf_update & up.analysis.jgdas_analysis_high ) + resources: !calc ( doc.resources.run_ecen ) + J_JOB: JGDAS_ENKF_RECENTER + Complete: !Depend ~ suite.has_cycle('-6:00:00') + + forecast: !TaskArray + + Trigger: !Depend up.enkf.jgdas_enkf_inflate_recenter | ~ suite.has_cycle('-6:00:00') + Dimensions: + groupid: !calc tools.seq(1,doc.settings.ENKF_FORECAST_GROUPS,1) + jgdas_enkf_fcst_grp: !TaskElement + <<: *exclusive_task_template + Foreach: [ groupid ] + Name: !expand "jgdas_enkf_fcst_grp{dimval.groupid}" + resources: !calc ( doc.resources.run_efcs ) + J_JOB: JGDAS_ENKF_FCST + ENSGRP: !expand "{dimval.groupid:02d}" + more_vars: [ ENSGRP ] + + jgdas_enkf_post: !Task + <<: *exclusive_task_template + Trigger: !Depend forecast + resources: !calc ( doc.resources.run_epos ) + J_JOB: JGDAS_ENKF_POST + #endfamily enkf + #endfamily gdas + + cycle_end: !Task + <<: *exclusive_task_template + ecflow_def: !expand | + edit ECF_PASS 'FREE' + Dummy: true ## <-- Node is not implemented yet + Disable: !calc >- + not metasched.type=="ecflow" + or not doc.settings.four_cycle_mode + Time: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + do: !timedelta +23:00:00 + - otherwise: null + resources: !calc ( doc.resources.run_nothing ) + + make_next_cycles: !Task + <<: *make_next_cycles_task + Disable: !calc not metasched.type=="ecflow" + Trigger: !Depend gdas + ecflow_def: !expand | + # These variables are used by the make_next_cycles job to generate + # suites for later cycles once earlier cycles have finished. + edit WORKFLOW_FIRST_CYCLE '{tools.strftime(suite.Clock.start,"%Y%m%d%H")}' + edit WORKFLOW_LAST_CYCLE '{tools.strftime(suite.Clock.end,"%Y%m%d%H")}' + edit WORKFLOW_CYCLES_TO_GENERATE '5' + edit WORKFLOW_CROW_HOME '{doc.initial_directory}' + edit WORKFLOW_EXPDIR '{doc.settings.EXPDIR}' + + archive: !Family + ecflow_def: + edit ECF_TRIES '3' + jgdas_archive: !Task + <<: *service_task_template + AlarmName: gdas + Trigger: !Depend up.gdas + #Trigger: !Depend up.gdas.jgdas_emc_vrfy + resources: !calc ( doc.resources.run_arch ) + Disable: !calc not doc.settings.archive_to_hpss + J_JOB: rocoto/arch.sh + ecf_module_commands: "# arch.sh will load modules instead" + RUN: gdas + ecflow_def: + time +00:10 + + jgdas_enkf_archive: !TaskArray + AlarmName: gdas + Trigger: !Depend up.gdas.enkf.jgdas_enkf_post + Dimensions: + groupid: !calc tools.seq(0,doc.settings.ENKF_ARCHIVE_GROUPS-1,1) + grp: !TaskElement + <<: *service_task_template + resources: !calc ( doc.resources.run_arch ) + J_JOB: rocoto/earc.sh + ecf_module_commands: "# arch.sh will load modules instead" + Foreach: [ groupid ] + Name: !expand "grp{dimval.groupid:02d}" + RUN: gdas + ecflow_def: !expand | + time +00:10 + edit ENSGRP '{dimval.groupid:02d}' + + jgfs_archive: !Task + <<: *service_task_template + Complete: !Depend ~ suite.has_cycle('-6:00:00') + AlarmName: gfs + Trigger: !Depend up.gfs.jgfs_emc_vrfy + resources: !calc ( doc.resources.run_arch ) + Disable: !calc not doc.settings.archive_to_hpss + J_JOB: rocoto/arch.sh + ecf_module_commands: "# arch.sh will load modules instead" + RUN: gfs + ecflow_def: + time +00:10 + + final: !Task + <<: *service_task_template + Disable: !calc not metasched.type=="rocoto" + resources: !calc (doc.resources.run_nothing) + rocoto_command: /bin/true + RUN: gfs # useless but required + diff --git a/systems/ecflow_fv3gfs/task.yaml b/systems/ecflow_fv3gfs/task.yaml new file mode 100644 index 0000000..9979507 --- /dev/null +++ b/systems/ecflow_fv3gfs/task.yaml @@ -0,0 +1,161 @@ +task_template: &task_template + + Template: *task_validator + + rocoto_load_modules: !expand >- + source &HOMEgfs;/ush/load_fv3gfs_modules.sh ; + module list + rocoto_command: !expand >- + {rocoto_load_modules} ; + &HOMEgfs;/jobs/{J_JOB} + ecflow_command: !expand "${{HOMEgfs}}/jobs/{J_JOB}" + + Rocoto: !expand | + sh -c '{rocoto_command}' + {sched.rocoto_accounting(accounting,jobname=task_path_var, + outerr="&LOG_DIR;/@Y@m@d/@H/&PSLOT;_"+task_path_var+".log")} + {sched.rocoto_resources(resources)} + CDATE@Y@m@d@H + PDY@Y@m@d + cyc@H + EXPDIR&EXPDIR; + CDUMP{CDUMP} + RUN_ENVIRemc + HOMEgfs&HOMEgfs; + {rocoto_more_vars} + + CDUMP: !calc task_path_list[0] + + # Default resources for a job are serial + #resources: !calc doc.resources.run_nothing + + ecf_dev_overrides: !expand | + # Set data and logs to locations specified in the suite definition. + # This is needed when running without write access to the NCO com areas. + export DATAROOT=%DATAROOT% + export jlogfile=%ECF_OUT%/jlogfile + export COMROOT=%COM% + export cycle=t%CYC%z + export CDATE=%PDY%%CYC% + export EXPDIR="{doc.settings.EXPDIR}" + + ecf_more_exports: "" + + more_vars: [] + + ecf_more_exports: !calc | + "\n".join([ tools.expand("export {VAR}=%{VAR}%\n",VAR=V) for V in more_vars ]) + + rocoto_more_vars: !calc | + "\n".join([ metasched.defenvar(VAR,this[VAR]) for VAR in more_vars ]) + + ecflow_def_more_vars: !calc | + "\n".join([ metasched.defenvar(VAR,this[VAR]) for VAR in more_vars ]) + + ecflow_def: !calc ecflow_def_more_vars + + ecf_file: !expand | + #! /bin/sh + {ecf_batch_resources} + {ecf_dev_overrides} + %include + %include + + set -x + + {ecf_resource_more} + + # Workaround for vrfy bug + mkdir -p %COM%/logs/%PDY%%CYC%/ + + export HOMEgfs=%HOMEgfs% + export CDUMP=%RUN% + {ecf_more_exports} + ## don't include + + ############################################################ + # Load modules + ############################################################ + #. $MODULESHOME/init/sh + {ecf_module_commands} + module load ecflow + module list + + ############################################################# + # WCOSS environment settings + ############################################################# + + {ecf_environment_settings} + + ########################################################### + export cyc=%CYC% + + # CALL executable job script here + {ecflow_command} + + %include + %manual + {ecf_manual} + %end + + ecf_manual: | + # FIXME: Insert manual for this job. + + ecf_module_commands: |- + source "$HOMEgfs/ush/load_fv3gfs_modules.sh" + + ecf_environment_settings: |- + # Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + + ecf_batch_resources: !expand |- + {sched.batch_accounting(accounting,jobname=task_path_var,outerr="%ECF_OUT%/%EMCPEN%_%PDY%%CYC%_"+task_path_var+".log")} + {sched.batch_resources(resources)} + + ecf_resource_more: !expand |- + {ecf_maybe_ntasks} + {ecf_maybe_ptile} + {ecf_maybe_threads} + + ecf_maybe_ntasks: !FirstTrue + - when: !calc resources.total_ranks()>0 + do: !expand 'export ntasks={resources.total_ranks()}' + - otherwise: "# No MPI in use, so I am not setting $ntasks." + + ecf_maybe_threads: !FirstTrue + - when: !calc resources.has_threads() + do: !expand 'export threads={resources[0]["OMP_NUM_THREADS"]}' + - otherwise: "# No OpenMP in use, so I am not setting $threads" + + ecf_maybe_ptile: !FirstTrue + - when: !calc resources.has_threads() or resources.total_ranks()>0 + do: !expand 'export ptile={sched.max_ranks_per_node(resources)}' + - otherwise: "# Neither OpenMP nor MPI are in use, so I am not setting $ptile" + + + +shared_accounting: &shared_accounting + queue: !calc metasched.varref('QUEUESHARED') + project: !calc metasched.varref('PROJ') + +service_accounting: &service_accounting + queue: !calc metasched.varref('QUEUESERV') + project: !calc metasched.varref('PROJ') + +exclusive_accounting: &exclusive_accounting + queue: !calc metasched.varref('QUEUE') + project: !calc metasched.varref('PROJ') + +shared_task_template: &shared_task_template + <<: *task_template + accounting: *shared_accounting + J_JOB: !expand '{task_path_list[-1]}.sh' + +service_task_template: &service_task_template + <<: *task_template + accounting: *service_accounting + J_JOB: !expand '{task_path_list[-1]}.sh' + +exclusive_task_template: &exclusive_task_template + <<: *task_template + accounting: *exclusive_accounting + J_JOB: !expand '{task_path_list[-1]}.sh' diff --git a/systems/ecflow_fv3gfs/task_validator.yaml b/systems/ecflow_fv3gfs/task_validator.yaml new file mode 100644 index 0000000..c11e936 --- /dev/null +++ b/systems/ecflow_fv3gfs/task_validator.yaml @@ -0,0 +1,75 @@ +task_validator: &task_validator !Template + + Rocoto: + description: >- + XML to insert in the task definition, excluding the task tag + itself, and the dependencies. + type: string + + ecf_file: + description: >- + Contents of the ecf file that will be run for this task + type: string + + rocoto_more_vars: + description: >- + Additional text to include after other envar tags in Rocoto task + definition. This is referenced by the Rocoto variable. + type: string + default: "" + + ecflow_command: + description: >- + Command to execute for this task when run in ecflow. This is + inserted into the ecf file. + type: string + + rocoto_command: + description: >- + Command to execute for this task when run in rocoto. This is + inserted into the rocoto command tag for the task. + type: string + + more_vars: + description: >- + List of variables that should be added to Rocoto envar blocks, + ecflow suite definition edits, and ecf file exports. Overriding + ecflow_def will prevent this from being passed through as edits + in the suite definition. + type: string list + + ecf_environment_settings: + description: "Tuning variables like KMP_AFFINITY and OMP_STACKSIZE." + type: string + default: "" + + ecf_module_commands: + description: >- + Any "module" commands: module load, module switch, etc. + type: string + default: "" + + ecf_resource_more: + description: >- + Generates additional resource specification variables such as + ntasks, threads, and ptile. + type: string + default: "" + + ecf_maybe_ntasks: + description: "Sets the $ntasks variable (number of MPI ranks) if needed" + type: string + default: "" + + ecf_maybe_threads: + description: "Sets the $threads variable (number of OpenMP threads) if needed" + type: string + default: "" + + ecf_maybe_ptile: + description: >- + Sets the $ptile variable (maximum number of MPI ranks per node) if needed + type: string + default: "" + + diff --git a/systems/ecflow_fv3gfs/totality_limit.def b/systems/ecflow_fv3gfs/totality_limit.def new file mode 100644 index 0000000..2eb08e4 --- /dev/null +++ b/systems/ecflow_fv3gfs/totality_limit.def @@ -0,0 +1,3 @@ +suite totality_limit + limit TOTALITY 12 +endsuite \ No newline at end of file diff --git a/systems/ecflow_fv3gfs/update_ecflow_workflow.sh b/systems/ecflow_fv3gfs/update_ecflow_workflow.sh new file mode 100755 index 0000000..645cb53 --- /dev/null +++ b/systems/ecflow_fv3gfs/update_ecflow_workflow.sh @@ -0,0 +1,69 @@ +#! /bin/bash + +set -ue + +# Get the directory in which this script resides. We'll assume the +# yaml files are there: +dir0=$( dirname "$0" ) +here=$( cd "$dir0" ; pwd -P ) + +export WORKTOOLS_VERBOSE=NO + +# Make sure this directory is in the python path so we find worktools.py: +export PYTHONPATH=$here:${PYTHONPATH:+:$PYTHONPATH} + +source "$dir0/worktools.sh.inc" + +# Parse arguments: +if [[ "$1" == "-v" ]] ; then + export WORKTOOLS_VERBOSE=YES + shift 1 +fi +export EXPDIR="$1" +export FIRST_CYCLE="$2" +export LAST_CYCLE="$3" + +if [[ ! -d /usrx/local || -e /etc/redhat-release ]] ; then + echo "ERROR: This script only runs on WCOSS Cray" 1>&2 + exit 1 +fi + +if ( ! which ecflow_client > /dev/null 2>&1 ) ; then + echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." + exit 1 +fi + +check_ecf_host=NO +check_ecf_variables + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + echo "begin_ecflow_workflow.sh: verbose mode" + export redirect=" " +else + export redirect="> /dev/null 2>&1" +fi + +echo "ecFlow server port: $ECF_PORT" +echo "ecFlow server root: $ECF_ROOT" +echo "ecFlow server home: $ECF_HOME" + +set +e +find_python36 +set -e + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + set -x +fi + +if ( ! ecflow_client --ping $redirect ) ; then + echo "Could not connect to ecflow server. Aborting." + exit 1 +fi + +$python36 -c " +import worktools ; +worktools.add_cycles_to_running_ecflow_workflow_at( + '$EXPDIR', + '$FIRST_CYCLE', + '$LAST_CYCLE' +)" diff --git a/systems/ecflow_fv3gfs/workflow.xml b/systems/ecflow_fv3gfs/workflow.xml new file mode 100644 index 0000000..f3b3aed --- /dev/null +++ b/systems/ecflow_fv3gfs/workflow.xml @@ -0,0 +1,872 @@ + + + + + + + + +]> + + &LOG_DIR;/rocoto_@Y@m@d@H.log + 201801010000 201801011800 06:00:00 + + + DUMMY_VALUE + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_VERFRAD + &QUEUE; + &PROJECT; + gdas.jgdas_verfrad + &LOG_DIR;/gdas.jgdas_verfrad_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_VMINMON + &QUEUE; + &PROJECT; + gdas.jgdas_vminmon + &LOG_DIR;/gdas.jgdas_vminmon_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + + + + + + DUMMY_VALUE + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_ICS + &QUEUE; + &PROJECT; + gdas.dump.jgdas_ics + &LOG_DIR;/gdas.dump.jgdas_ics_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_TROPCY_QC_RELOC + &QUEUE; + &PROJECT; + gdas.dump.jgdas_tropcy_qc_reloc + &LOG_DIR;/gdas.dump.jgdas_tropcy_qc_reloc_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_DUMP + &QUEUE; + &PROJECT; + gdas.dump.jgdas_dump + &LOG_DIR;/gdas.dump.jgdas_dump_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + + + + + DUMMY_VALUE + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_EMCSFC_SFC_PREP + &QUEUE; + &PROJECT; + gdas.prep.jgdas_emcsfc_sfc_prep + &LOG_DIR;/gdas.prep.jgdas_emcsfc_sfc_prep_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_PREP + &QUEUE; + &PROJECT; + gdas.prep.jgdas_prep + &LOG_DIR;/gdas.prep.jgdas_prep_t@Hz.log + + 0:02:00 + 3072M + 3:ppn=24 + CDATE@Y@m@d@H + + + + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_PREP_POST + &QUEUE; + &PROJECT; + gdas.prep.jgdas_prep_post + &LOG_DIR;/gdas.prep.jgdas_prep_post_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + + + + + + + DUMMY_VALUE + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_ENKF_SELECT_OBS + &QUEUE; + &PROJECT; + gdas.enkf.jgdas_enkf_select_obs + &LOG_DIR;/gdas.enkf.jgdas_enkf_select_obs_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + + + + + + + + + DUMMY_VALUE + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate + &QUEUE; + &PROJECT; + gdas.enkf.innovate.grp1 + &LOG_DIR;/gdas.enkf.innovate.grp1_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate + &QUEUE; + &PROJECT; + gdas.enkf.innovate.grp2 + &LOG_DIR;/gdas.enkf.innovate.grp2_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate + &QUEUE; + &PROJECT; + gdas.enkf.innovate.grp3 + &LOG_DIR;/gdas.enkf.innovate.grp3_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate + &QUEUE; + &PROJECT; + gdas.enkf.innovate.grp4 + &LOG_DIR;/gdas.enkf.innovate.grp4_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate + &QUEUE; + &PROJECT; + gdas.enkf.innovate.grp5 + &LOG_DIR;/gdas.enkf.innovate.grp5_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate + &QUEUE; + &PROJECT; + gdas.enkf.innovate.grp6 + &LOG_DIR;/gdas.enkf.innovate.grp6_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate + &QUEUE; + &PROJECT; + gdas.enkf.innovate.grp7 + &LOG_DIR;/gdas.enkf.innovate.grp7_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate + &QUEUE; + &PROJECT; + gdas.enkf.innovate.grp8 + &LOG_DIR;/gdas.enkf.innovate.grp8_t@Hz.log + + 0:02:00 + 3072M + 12:ppn=24 + CDATE@Y@m@d@H + + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_ENKF_UPDATE + &QUEUE; + &PROJECT; + gdas.enkf.jgdas_enkf_update + &LOG_DIR;/gdas.enkf.jgdas_enkf_update_t@Hz.log + + 0:02:00 + 3072M + 40:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_ENKF_INFLATE_RECENTER + &QUEUE; + &PROJECT; + gdas.enkf.jgdas_enkf_inflate_recenter + &LOG_DIR;/gdas.enkf.jgdas_enkf_inflate_recenter_t@Hz.log + + 0:02:00 + 3072M + 20:ppn=24 + CDATE@Y@m@d@H + + + + + + + + + DUMMY_VALUE + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST + &QUEUE; + &PROJECT; + gdas.enkf.forecast.grp1 + &LOG_DIR;/gdas.enkf.forecast.grp1_t@Hz.log + + 0:02:00 + 254M + 64:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST + &QUEUE; + &PROJECT; + gdas.enkf.forecast.grp2 + &LOG_DIR;/gdas.enkf.forecast.grp2_t@Hz.log + + 0:02:00 + 254M + 64:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST + &QUEUE; + &PROJECT; + gdas.enkf.forecast.grp3 + &LOG_DIR;/gdas.enkf.forecast.grp3_t@Hz.log + + 0:02:00 + 254M + 64:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST + &QUEUE; + &PROJECT; + gdas.enkf.forecast.grp4 + &LOG_DIR;/gdas.enkf.forecast.grp4_t@Hz.log + + 0:02:00 + 254M + 64:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST + &QUEUE; + &PROJECT; + gdas.enkf.forecast.grp5 + &LOG_DIR;/gdas.enkf.forecast.grp5_t@Hz.log + + 0:02:00 + 254M + 64:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST + &QUEUE; + &PROJECT; + gdas.enkf.forecast.grp6 + &LOG_DIR;/gdas.enkf.forecast.grp6_t@Hz.log + + 0:02:00 + 254M + 64:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST + &QUEUE; + &PROJECT; + gdas.enkf.forecast.grp7 + &LOG_DIR;/gdas.enkf.forecast.grp7_t@Hz.log + + 0:02:00 + 254M + 64:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST + &QUEUE; + &PROJECT; + gdas.enkf.forecast.grp8 + &LOG_DIR;/gdas.enkf.forecast.grp8_t@Hz.log + + 0:02:00 + 254M + 64:ppn=24 + CDATE@Y@m@d@H + + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_ENKF_POST + &QUEUE; + &PROJECT; + gdas.enkf.jgdas_enkf_post + &LOG_DIR;/gdas.enkf.jgdas_enkf_post_t@Hz.log + + 0:02:00 + 254M + 20:ppn=24 + CDATE@Y@m@d@H + + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_ANALYSIS + &QUEUE; + &PROJECT; + gdas.jgdas_analysis + &LOG_DIR;/gdas.jgdas_analysis_t@Hz.log + + 0:02:00 + 3072M + 60:ppn=24 + CDATE@Y@m@d@H + + + + + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST + &QUEUE; + &PROJECT; + gdas.jgdas_forecast + &LOG_DIR;/gdas.jgdas_forecast_t@Hz.log + + 0:02:00 + 1024M + 64:ppn=24 + CDATE@Y@m@d@H + + + + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/post + &QUEUE; + &PROJECT; + gdas.post + &LOG_DIR;/gdas.post_t@Hz.log + + 0:02:00 + 3072M + 6:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/vrfy + &QUEUE; + &PROJECT; + gdas.vrfy + &LOG_DIR;/gdas.vrfy_t@Hz.log + + 0:02:00 + 3072M + 1:ppn=24 + CDATE@Y@m@d@H + + + + + + + DUMMY_VALUE + + DUMMY_VALUE + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_TROPCY_QC_RELOC + &QUEUE; + &PROJECT; + gfs.dump.jgfs_tropcy_qc_reloc + &LOG_DIR;/gfs.dump.jgfs_tropcy_qc_reloc_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_DUMP + &QUEUE; + &PROJECT; + gfs.dump.jgfs_dump + &LOG_DIR;/gfs.dump.jgfs_dump_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + + + + + DUMMY_VALUE + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_EMCSFC_SFC_PREP + &QUEUE; + &PROJECT; + gfs.prep.jgfs_emcsfc_sfc_prep + &LOG_DIR;/gfs.prep.jgfs_emcsfc_sfc_prep_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_PREP + &QUEUE; + &PROJECT; + gfs.prep.jgfs_prep + &LOG_DIR;/gfs.prep.jgfs_prep_t@Hz.log + + 0:02:00 + 3072M + 3:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_PREP_POST + &QUEUE; + &PROJECT; + gfs.prep.jgfs_prep_post + &LOG_DIR;/gfs.prep.jgfs_prep_post_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_ANALYSIS + &QUEUE; + &PROJECT; + gfs.jgfs_analysis + &LOG_DIR;/gfs.jgfs_analysis_t@Hz.log + + 0:02:00 + 3072M + 60:ppn=24 + CDATE@Y@m@d@H + + + + + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_VMINMON + &QUEUE; + &PROJECT; + gfs.jgfs_vminmon + &LOG_DIR;/gfs.jgfs_vminmon_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST + &QUEUE; + &PROJECT; + gfs.jgfs_forecast + &LOG_DIR;/gfs.jgfs_forecast_t@Hz.log + + 0:02:00 + 1024M + 64:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_POST + &QUEUE; + &PROJECT; + gfs.jgfs_post + &LOG_DIR;/gfs.jgfs_post_t@Hz.log + + 0:02:00 + 3072M + 6:ppn=24 + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_VRFY + &QUEUE; + &PROJECT; + gfs.jgfs_vrfy + &LOG_DIR;/gfs.jgfs_vrfy_t@Hz.log + + 0:02:00 + 3072M + 1:ppn=24 + CDATE@Y@m@d@H + + + + + + + DUMMY_VALUE + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/gdasarch + &QUEUESERV; + &PROJECT; + archive.gdasarch + &LOG_DIR;/archive.gdasarch_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/gfsarch + &QUEUESERV; + &PROJECT; + archive.gfsarch + &LOG_DIR;/archive.gfsarch_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + + + + + + DUMMY_VALUE + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp1 + &LOG_DIR;/archive.earc.grp1_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp2 + &LOG_DIR;/archive.earc.grp2_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp3 + &LOG_DIR;/archive.earc.grp3_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp4 + &LOG_DIR;/archive.earc.grp4_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp5 + &LOG_DIR;/archive.earc.grp5_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp6 + &LOG_DIR;/archive.earc.grp6_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp7 + &LOG_DIR;/archive.earc.grp7_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc + &QUEUESERV; + &PROJECT; + archive.earc.grp8 + &LOG_DIR;/archive.earc.grp8_t@Hz.log + + 0:02:00 + 3072M + 1 + + + CDATE@Y@m@d@H + + + + + + + + /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/L + &QUEUESERV; + &PROJECT; + final + &LOG_DIR;/final_t@Hz.log + + 300M + 1:ppn=24 + CDATE@Y@m@d@H + + + + + + + + + + diff --git a/systems/ecflow_fv3gfs/worktools.py b/systems/ecflow_fv3gfs/worktools.py new file mode 100644 index 0000000..d922ce3 --- /dev/null +++ b/systems/ecflow_fv3gfs/worktools.py @@ -0,0 +1,256 @@ +#! /usr/bin/env python3 +f'This python module requires python 3.6 or newer' + +import logging, os, io, sys, datetime, glob, shutil, subprocess, re +from collections import OrderedDict +from copy import copy +logger=logging.getLogger('crow.model.fv3gfs') + +try: + import crow +except ImportError as ie: + thisdir=os.path.dirname(os.path.abspath(__file__)) + topdir=os.path.realpath(os.path.join(thisdir,"../..")) + sys.path.append(topdir) + del thisdir, topdir + +level=logging.WARNING +if os.environ.get('WORKTOOLS_VERBOSE','NO') == 'YES': + level=logging.INFO +logging.basicConfig(stream=sys.stderr,level=level) + +import crow.tools, crow.config +from crow.metascheduler import to_ecflow, to_rocoto +from crow.config import from_dir, Suite, from_file, to_yaml +from crow.tools import Clock + +ECFNETS_INCLUDE = "/ecf/ecfnets/include" +SIX_HOURS = datetime.timedelta(seconds=6*3600) + +def read_yaml_suite(dir): + logger.info(f'{dir}: read yaml files specified in _main.yaml') + conf=from_dir(dir) + crow.config.validate(conf.settings) + suite=Suite(conf.suite) + return conf,suite + +def make_yaml_files(srcdir,tgtdir): + if not os.path.exists(tgtdir): + logger.info(f'{tgtdir}: make directory') + os.makedirs(tgtdir) + logger.info(f'{tgtdir}: send yaml files to here') + logger.info(f'{srcdir}: get yaml files from here') + for srcfile in glob.glob(f'{srcdir}/*.yaml'): + srcbase=os.path.basename(srcfile) + if srcbase.startswith('resources'): continue + if srcbase.startswith('config'): continue + tgtfile=os.path.join(tgtdir,srcbase) + logger.info(f'{srcbase}: copy yaml file') + shutil.copyfile(srcfile,tgtfile) + del srcbase,tgtfile + + readme=[ os.path.join(srcdir,'settings_validator.yaml') ] + + # Deal with the config files: + for srcfile in glob.glob(f'{srcdir}/config*.yaml'): + logger.info(f'{srcfile}: read file') + doc=from_file(srcfile) + tgtfile=os.path.join(tgtdir,os.path.basename(srcfile)) + yaml=to_yaml(doc) + anchor=os.path.basename(srcfile)[:-5] + anchored=re.sub(r'\A([a-zA-Z][a-zA-Z0-9_]*):', + r'\1: &'+anchor,yaml) + logger.info(f'{tgtfile}: generate file') + with open(tgtfile,'wt') as fd: + fd.write('# This file is automatically generated from:\n') + fd.write(f'# {srcfile}') + fd.write('# Changes to this file may be overwritten.\n\n') + fd.write(yaml) + readme.insert(0,tgtfile) + del doc,tgtfile + + # Read the settings file + readme.append('settings.yaml') + logger.info(f'Read files: {", ".join(readme)}') + doc=from_file(*readme) + + # Now the resources: + resource_basename=doc.settings.resource_file + resource_srcfile=os.path.join(srcdir,resource_basename) + resource_tgtfile=os.path.join(tgtdir,'resources.yaml') + logger.info(f'{resource_srcfile}: use this resource yaml file') + shutil.copyfile(resource_srcfile,resource_tgtfile) + logger.info(f'{tgtdir}: yaml files created here') + +def loudly_make_dir_if_missing(dirname): + if dirname and not os.path.exists(dirname): + logger.info(f'{dirname}: make directory') + os.makedirs(dirname) + +def make_parent_dir(filename): + loudly_make_dir_if_missing(os.path.dirname(filename)) + +def make_clocks_for_cycle_range(suite,first_cycle,last_cycle,surrounding_cycles): + suite_clock=copy(suite.Clock) + logger.info(f'cycles to write: {first_cycle:%Ft%T} - {last_cycle:%Ft%T}') + suite.ecFlow.write_cycles = Clock( + start=first_cycle,end=last_cycle,step=SIX_HOURS) + first_analyzed=max(suite_clock.start,first_cycle-surrounding_cycles*SIX_HOURS) + last_analyzed=min(suite_clock.end,last_cycle+surrounding_cycles*SIX_HOURS) + logger.info(f'cycles to analyze: {first_analyzed:%Ft%T} - {last_analyzed:%Ft%T}') + suite.ecFlow.analyze_cycles=Clock( + start=first_analyzed,end=last_analyzed,step=SIX_HOURS) + +def generate_ecflow_suite_in_memory(suite,first_cycle,last_cycle,surrounding_cycles): + logger.info(f'make suite for cycles: {first_cycle:%Ft%T} - {last_cycle:%Ft%T}') + make_clocks_for_cycle_range(suite,first_cycle,last_cycle,surrounding_cycles) + suite_defs, ecf_files = to_ecflow(suite) + return suite_defs, ecf_files + +def write_ecflow_suite_to_disk(targetdir, suite_defs, ecf_files): + written_suite_defs=OrderedDict() + logger.info(f'{targetdir}: write suite here') + for deffile in suite_defs.keys(): + defname = suite_defs[deffile]['name'] + defcontents = suite_defs[deffile]['def'] + filename=os.path.realpath(os.path.join(targetdir,'defs',deffile)) + make_parent_dir(filename) + logger.info(f'{defname}: {filename}: write suite definition') + with open(os.path.join(targetdir,filename),'wt') as fd: + fd.write(defcontents) + written_suite_defs[defname]=filename + for setname in ecf_files: + logger.info(f'{defname}: write ecf file set {setname}') + for filename in ecf_files[setname]: + full_fn=os.path.realpath(os.path.join(targetdir,defname,filename)+'.ecf') + logger.debug(f'{defname}: {setname}: write ecf file {full_fn}') + make_parent_dir(full_fn) + with open(full_fn,'wt') as fd: + fd.write(ecf_files[setname][filename]) + return written_suite_defs + +def get_target_dir_and_check_ecflow_env(): + ECF_HOME=os.environ.get('ECF_HOME',None) + + if not ECF_HOME: + logger.error('Set $ECF_HOME to location where your ecflow files should reside.') + return None + elif not os.environ.get('ECF_PORT',None): + logger.error('Set $ECF_PORT to the port number of your ecflow server.') + return None + elif not os.path.isdir(ECF_HOME): + logger.error('Directory $ECF_HOME={ECF_HOME} does not exist. You need to set up your account for ecflow before you can run any ecflow workflows.') + return None + + for file in [ 'head.h', 'tail.h', 'envir-xc40.h' ]: + yourfile=os.path.join(ECF_HOME,file) + if not os.path.exists(yourfile): + logger.warning(f'{yourfile}: does not exist. I will get one for you.') + os.symlink(os.path.join(ECFNETS_INCLUDE,file),yourfile) + else: + logger.info(f'{yourfile}: exists.') + + return ECF_HOME + +def create_new_ecflow_workflow(suite,surrounding_cycles=1): + ECF_HOME=get_target_dir_and_check_ecflow_env() + if not ECF_HOME: return None,None,None,None + first_cycle=suite.Clock.start + last_cycle=min(suite.Clock.end,first_cycle+suite.Clock.step*2) + suite_defs, ecf_files = generate_ecflow_suite_in_memory( + suite,first_cycle,last_cycle,surrounding_cycles) + suite_def_files = write_ecflow_suite_to_disk( + ECF_HOME,suite_defs,ecf_files) + return ECF_HOME, suite_def_files, first_cycle, last_cycle + +def update_existing_ecflow_workflow(suite,first_cycle,last_cycle, + surrounding_cycles=1): + ECF_HOME=get_target_dir_and_check_ecflow_env() + suite_defs, ecf_files = generate_ecflow_suite_in_memory( + suite,first_cycle,last_cycle,surrounding_cycles) + suite_def_files = write_ecflow_suite_to_disk( + ECF_HOME,suite_defs,ecf_files) + return ECF_HOME, suite_def_files + +def load_ecflow_suites(ECF_HOME,suite_def_files): + logger.info(f'{ECF_HOME}: load suites: ' + f'{", ".join(suite_def_files.keys())}') + with crow.tools.chdir(ECF_HOME): + for file in suite_def_files.values(): + cmd=f'ecflow_client --load {file}' + logger.info(cmd) + subprocess.run(cmd,check=False,shell=True) + +def begin_ecflow_suites(ECF_HOME,suite_def_files): + logger.info(f'{ECF_HOME}: begin suites: ' + f'{", ".join(suite_def_files.keys())}') + with crow.tools.chdir(ECF_HOME): + for suite in suite_def_files.keys(): + cmd=f'ecflow_client --begin {suite}' + logger.info(cmd) + subprocess.run(cmd,check=False,shell=True) + +def make_rocoto_xml(suite,filename): + with open(filename,'wt') as fd: + logger.info(f'{filename}: create Rocoto XML document') + fd.write(to_rocoto(suite)) + print(f'{filename}: Rocoto XML document created here.') + +######################################################################## + +# These functions are called directly from scripts, and can be thought +# of as "main programs." + +def remake_ecflow_files_for_cycles( + yamldir,first_cycle_str,last_cycle_str, + surrounding_cycles=1): + ECF_HOME=get_target_dir_and_check_ecflow_env() + conf,suite=read_yaml_suite(yamldir) + loudly_make_dir_if_missing(f'{conf.settings.COM}/log') + + first_cycle=datetime.datetime.strptime(first_cycle_str,'%Y%m%d%H') + first_cycle=max(suite.Clock.start,first_cycle) + + last_cycle=datetime.datetime.strptime(last_cycle_str,'%Y%m%d%H') + last_cycle=max(first_cycle,min(suite.Clock.end,last_cycle)) + + suite_defs, ecf_files = generate_ecflow_suite_in_memory( + suite,first_cycle,last_cycle,surrounding_cycles) + written_suite_defs = write_ecflow_suite_to_disk( + ECF_HOME, suite_defs, ecf_files) + print(f'''Suite definition files and ecf files have been written to: + + {ECF_HOME} + +If all you wanted to do was update the ecf files, then you're done. + +If you want to update the suite (cycle) definitions, or add suites +(cycles), you will need to call ecflow_client's --load, --begin, +--replace, or --delete commands.''') + +def create_and_load_ecflow_workflow(yamldir,surrounding_cycles=1,begin=False): + conf,suite=read_yaml_suite(yamldir) + loudly_make_dir_if_missing(f'{conf.settings.COM}/log') + ECF_HOME, suite_def_files, first_cycle, last_cycle = \ + create_new_ecflow_workflow(suite,surrounding_cycles) + if not ECF_HOME: + logger.error('Could not create workflow files. See prior errors for details.') + return False + load_ecflow_suites(ECF_HOME,suite_def_files) + if begin: + begin_ecflow_suites(ECF_HOME,suite_def_files) + +def add_cycles_to_running_ecflow_workflow_at( + yamldir,first_cycle_str,last_cycle_str,surrounding_cycles=1): + conf,suite=read_yaml_suite(yamldir) + first_cycle=datetime.datetime.strptime(first_cycle_str,'%Y%m%d%H') + last_cycle=datetime.datetime.strptime(last_cycle_str,'%Y%m%d%H') + ECF_HOME, suite_def_files = update_existing_ecflow_workflow( + suite,first_cycle,last_cycle,surrounding_cycles) + load_ecflow_suites(ECF_HOME,suite_def_files) + begin_ecflow_suites(ECF_HOME,suite_def_files) + +def make_rocoto_xml_for(yamldir): + conf,suite=read_yaml_suite(yamldir) + loudly_make_dir_if_missing(f'{conf.settings.COM}/log') + make_rocoto_xml(suite,f'{yamldir}/workflow.xml') diff --git a/systems/ecflow_fv3gfs/worktools.sh.inc b/systems/ecflow_fv3gfs/worktools.sh.inc new file mode 100644 index 0000000..7e4ad20 --- /dev/null +++ b/systems/ecflow_fv3gfs/worktools.sh.inc @@ -0,0 +1,94 @@ +check_ecf_variables() { + if ( ! which ecflow_client > /dev/null 2>&1 ) ; then + echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." + exit 1 + fi + + if [[ "${ECF_ROOT:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_ROOT" + exit 1 + fi + + if [[ "${ECF_HOME:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_HOME. I suggest \$ECF_ROOT/submit" + exit 1 + fi + + if [[ "${check_ecf_host:-YES}" == YES ]] ; then + if [[ "${ECF_HOST:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_HOST." + exit 1 + fi + fi + + if [[ "${ECF_PORT:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_PORT. See /usrx/local/sys/ecflow/assigned_ports.txt" + exit 1 + fi + + export ECF_HOME="${ECF_HOME:-$ECF_ROOT/submit}" +} + +maybe_verbose_source() { + if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + echo "$1: source" + source "$1" + else + source "$1" > /dev/null 2>&1 + fi +} + +find_python36() { + if ( ! which python3 > /dev/null 2>&1 || \ + ! python3 -c 'import yaml ; f{"1+1"}' > /dev/null 2>&1 ) ; then + python36=/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/python/3.6.1-emc/bin/python3.6 + if [[ ! -x "$python36" ]] ; then + module load intelpython/3.6.1.0 + python36="$( which python3 )" + fi + else + python36="$( which python3 )" + fi +} + +make_yaml_files() { + if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + set -x + fi + + # NOTE: Sourcing config.base clobbers the ecflow variables, so we + # must do it in a subshell. + set +ue + maybe_verbose_source "$CONFIGDIR"/config.base + set -ue + + if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + set -x + fi + + echo "EXPDIR=\"$EXPDIR\"" > "$tmpfile" + + mkdir -p "$EXPDIR"/logs + + set +ue + ( maybe_verbose_source "$CONFIGDIR"/config.earc ; + echo "export NMEM_EARCGRP=\"$NMEM_EARCGRP\"" >> "$tmpfile" ) + ( maybe_verbose_source "$CONFIGDIR"/config.efcs ; + echo "export NMEM_EFCSGRP=\"$NMEM_EFCSGRP\"" >> "$tmpfile" ; + echo "export ENKF_layout_x=\"$layout_x\"" >> "$tmpfile" ; + echo "export ENKF_layout_y=\"$layout_y\"" >> "$tmpfile" ; + echo "export ENKF_WRITE_GROUP=\"$WRITE_GROUP\"" >> "$tmpfile" ; + echo "export ENKF_WRTTASK_PER_GROUP=\"$WRTTASK_PER_GROUP\"" >> "$tmpfile" ) + ( maybe_verbose_source "$CONFIGDIR"/config.eobs ; + echo "export NMEM_EOMGGRP=\"$NMEM_EOMGGRP\"" >> "$tmpfile" ) + ( maybe_verbose_source "$CONFIGDIR"/config.fcst ; + echo "export layout_x=\"$layout_x\"" >> "$tmpfile" ; + echo "export layout_y=\"$layout_y\"" >> "$tmpfile" ; + echo "export WRITE_GROUP=\"$WRITE_GROUP\"" >> "$tmpfile" ; + echo "export WRTTASK_PER_GROUP=\"$WRTTASK_PER_GROUP\"" >> "$tmpfile" ) + set -ue + + source "$tmpfile" + + $python36 -c "import worktools ; worktools.make_yaml_files('$here','$EXPDIR')" +} diff --git a/systems/fv3gfs/exp/_main.yaml b/systems/fv3gfs/exp/_main.yaml new file mode 100644 index 0000000..d77c3b8 --- /dev/null +++ b/systems/fv3gfs/exp/_main.yaml @@ -0,0 +1,11 @@ +case_yaml: null # replaced by setup_case.py +user_yaml: null # replaced by setup_case.py +include: + - resources + - platforms + - validation + - defaults + - !calc doc.user_yaml + - !calc doc.case_yaml + - actions + - runtime diff --git a/systems/fv3gfs/exp/actions/_main.yaml b/systems/fv3gfs/exp/actions/_main.yaml new file mode 100644 index 0000000..e0e6044 --- /dev/null +++ b/systems/fv3gfs/exp/actions/_main.yaml @@ -0,0 +1,4 @@ +include: + - base.yaml + - "*.yaml" + - final.yaml diff --git a/systems/fv3gfs/exp/actions/anal.yaml b/systems/fv3gfs/exp/actions/anal.yaml new file mode 100644 index 0000000..c314aa4 --- /dev/null +++ b/systems/fv3gfs/exp/actions/anal.yaml @@ -0,0 +1,64 @@ +anal_base: &anal_base !Action + <<: *action_base + + J_JOB: anal + walltime: !timedelta 02:00:00 + resources: !calc run_anal.resources + anal_resources: !calc run_anal.resources + memory: !calc run_anal.memory + gsi_resources: !calc run_gsi.resources + accounting: !calc doc.platform.parallel_accounting + assim_freq: 6 + + # FIXME: temporary kludge until gsi scripts are updated: + APRUN_CALCINC: !FirstTrue + - when: !calc doc.platform.name=="THEIA" + do: "mpirun -np $ncmd" + - when: !calc doc.platform.name=="WCOSS_C" + do: !expand "aprun -j 1 -n $ncmd -N 1 -d {NTHREADS_CALCINC} -cc depth" + - otherwise: !error "No APRUN_CALCINC for unknown platform {doc.platform.name}" + APRUN_CYCLE: !FirstTrue + - when: !calc doc.platform.name=="THEIA" + do: time + - when: !calc doc.platform.name=="WCOSS_C" + do: !expand "aprun -j 1 -n $ncmd -N 1 -d {NTHREADS_CYCLE} -cc depth" + - otherwise: !error "No APRUN_CALCINC for unknown platform {doc.platform.name}" + NTHREADS_CYCLE: 12 + NTHREADS_CALCINC: 1 + + APRUN_GSI: !calc tools.command_without_exe(par,run_gsi.resources,"placeholder") + ANALYSISSH: !expand "{doc.places.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" + NTHREADS_GSI: !calc nodes.omp_threads_for(run_gsi.resources[0]) + CASE_ENKF: !calc doc.fv3_enkf_settings.CASE + + VERBOSE: YES + + shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "sys_tp", "l.*4d.*" ] + +anal_gdas_action: &anal_gdas_action !Action + <<: *anal_base + CDUMP: gdas + Template: null + Inherit: !Inherit + - [ doc.platform.general_env, ".*" ] + - [ doc.fv3_gdas_settings, ".*" ] + - [ doc.data_assimilation, ".*" ] + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.platform.mpi_tuning, '.*' ] + - [ doc.fv3_enkf_settings, "FHCYC" ] + - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc" ] + +anal_gfs_action: &anal_gfs_action !Action + <<: *anal_base + CDUMP: gfs + Template: null + Inherit: !Inherit + - [ doc.platform.general_env, ".*" ] + - [ doc.fv3_gfs_settings, ".*" ] + - [ doc.data_assimilation, ".*" ] + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.platform.mpi_tuning, '.*' ] + - [ doc.fv3_enkf_settings, "FHCYC" ] + - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc" ] diff --git a/systems/fv3gfs/exp/actions/arch.yaml b/systems/fv3gfs/exp/actions/arch.yaml new file mode 100644 index 0000000..46b5704 --- /dev/null +++ b/systems/fv3gfs/exp/actions/arch.yaml @@ -0,0 +1,33 @@ +arch_base: &arch_base !Action + <<: *action_base + J_JOB: arch + walltime: !timedelta 06:00:00 + resources: !calc run_arch.resources + memory: !calc run_arch.memory + accounting: !calc doc.platform.transfer_accounting + + CASE_ENKF: !calc doc.fv3_enkf_settings.case + CASE_ENKF: "C96" + WRITE_NEMSIOFILE: YES + + shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "sys_tp", "l.*4d.*" ] + +arch_gfs_action: &arch_gfs_action !Action + <<: [ *fv3_gfs_settings, *data_assimilation, *arch_base ] + CDUMP: gfs + Inherit: !Inherit + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.data_assimilation, ".*" ] + - [ doc.platform.general_env, ".*" ] + - [ doc.platform.mpi_tuning, ".*" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + +arch_gdas_action: &arch_gdas_action !Action + <<: [ *fv3_gdas_settings, *data_assimilation, *arch_base ] + CDUMP: gdas + Inherit: !Inherit + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.data_assimilation, ".*" ] + - [ doc.platform.general_env, ".*" ] + - [ doc.platform.mpi_tuning, ".*" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] diff --git a/systems/fv3gfs/exp/actions/base.yaml b/systems/fv3gfs/exp/actions/base.yaml new file mode 100644 index 0000000..8418d5e --- /dev/null +++ b/systems/fv3gfs/exp/actions/base.yaml @@ -0,0 +1,44 @@ +action_base: &action_base + <<: [ *resource_defaults, *places ] + KEEPDATA: NO + + # These are probably just needed by vrfy: + ACCOUNT: !calc doc.accounting.cpu_project + QUEUE: !calc doc.platform.parallel_accounting.queue + QUEUE_ARCH: !calc doc.platform.transfer_accounting.queue + + DONST: NO + BASE_NEMSfv3gfs: !calc doc.places.BASE_NEMSfv3gfs + + # FIXME: This should never be used in the scripts. + PSLOT: !calc doc.case.experiment_name + + # Variables to import in shell: + shell_vars: [ "[A-Z][A-Z0-9_]*$" ] + + # Used to convert resources to shell commands: + accounting: !calc doc.platform.parallel_accounting + par: !calc doc.platform.parallelism + nodes: !calc doc.platform.nodes + + sys_tp: !FirstTrue + - when: !calc doc.platform.name=="THEIA" + do: "Cray-CS400" + - when: !calc doc.platform.name=="WCOSS_C" + do: "Cray-XC40" + - otherwise: !error Do not know sys_tp for platform {doc.platform.name} + + NCO_NAMING_CONV: !calc doc.gfs_output_settings.NCO_NAMING_CONV + + # FIXME: temporary kludge until scripts are updated to use mpiserial + # on all platforms: + APRUNCFP: !FirstTrue + - when: USE_CFP == 'NO' + do: null + - when: !calc doc.platform.name=='THEIA' + do: "mpirun -np $ncmd" + - when: !calc doc.platform.name=='WCOSS_C' + do: !expand > + aprun -j 1 -n $ncmd -N 1 -d + {resources.total_ranks()} -cc depth + - otherwise: !error "I don't know how to run cfp on {doc.platform.name}" diff --git a/systems/fv3gfs/exp/actions/crow_fcst_sh.yaml b/systems/fv3gfs/exp/actions/crow_fcst_sh.yaml new file mode 100644 index 0000000..5b37c2b --- /dev/null +++ b/systems/fv3gfs/exp/actions/crow_fcst_sh.yaml @@ -0,0 +1,495 @@ +crow_sh_fcst_base: &crow_sh_fcst_base !Action + <<: *action_base + J_JOB: fcst + fcst_resources: !JobRequest + - exe: placeholder + mpi_ranks: !calc "layout_x*layout_y*6" + OMP_NUM_THREADS: 2 + resources: !calc fcst_resources + memory: !calc run_fcst.memory + resources_regrid_nemsio: !JobRequest + - exe: placeholder + mpi_ranks: !calc doc.fv3_settings.LEVS + max_ppn: !calc nodes.max_ranks_per_node(fcst_resources[0]) + true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE', 'WRITE_NEMSIOFLIP' ] + shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*" ] + + APRUN_REGRID_NEMSIO: !calc | + tools.command_without_exe( + par,resources_regrid_nemsio,'placeholder') + APRUN_FV3: !calc tools.command_without_exe(par,fcst_resources,'placeholder') + APRUN_REMAP: !calc APRUN_FV3 + ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" + + CASE_ENKF: !calc doc.fv3_enkf_settings.CASE + + FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" + + KEEPDATA: NO + + NTHREADS_FV3: !calc | + nodes.omp_threads_for(resources[0]) + NTHREADS_REGRID_NEMSIO: !calc | + nodes.omp_threads_for(resources_regrid_nemsio[0]) + NTHREADS_REMAP: !calc NTHREADS_FV3 + + # Keep: + NTASKS_FV3: !calc resources.total_ranks() + + PSLOT: !calc doc.case.experiment_name + PARM_FV3DIAG: !calc doc.places.PARM_FV3DIAG + REMAPSH: !expand "{doc.places.BASE_GSM}/ush/fv3gfs_remap.sh" + TYPE: "nh" + USE_COUPLER_RES: NO + VERBOSE: YES + WRITE_NEMSIOFILE: YES + + true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE', 'nst_anl', 'zhao_mic' ] + + # Variables to import in shell: + shell_vars: [ "[A-Z][A-Z0-9_]*$", 'restart_interval', 'ntiles' ] + + cores_per_node: !calc nodes.cores_per_node + restart_interval: 6 + + USE_COUPLER_RES: NO + + restart_interval: !FirstTrue + - when: !calc CDUMP=="gdas" + do: 6 + - otherwise: 0 + + master_grid: !calc doc.case.master_grid + + CDATE: !calc doc.clock.now + + diag_table_header: !expand | + FV3 Forecast + {CDATE:%Y %m %d %H} 0 0 + + nems_configure: | + EARTH_component_list: ATM + ATM_model: fv3 + runSeq:: + ATM + :: + + model_configure: !expand | + total_member: {ENS_NUM} + print_esmf: {tools.fort(print_esmf)} + PE_MEMBER01: {NTASKS_FV3} + start_year: {CDATE:%Y} + start_month: {CDATE:%m} + start_day: {CDATE:%d} + start_hour: {CDATE:%H} + start_minute: 0 + start_second: 0 + nhours_fcst: {FHMAX} + RUN_CONTINUE: {tools.fort(RUN_CONTINUE)} + ENS_SPS: {tools.fort(ENS_SPS)} + + dt_atmos: {DELTIM} + calendar: {tools.fort(calendar)} + memuse_verbose: {tools.fort(memuse_verbose)} + atmos_nthreads: {NTHREADS_FV3} + use_hyper_thread: {tools.fort(hyperthread)} + ncores_per_node: {cores_per_node} + restart_interval: {restart_interval} + + quilting: {tools.fort(QUILTING)} + write_groups: {WRITE_GROUP} + write_tasks_per_group: {WRTTASK_PER_GROUP} + num_files: {NUM_FILES} + filename_base: {filename_base} + output_grid: {tools.fort(OUTPUT_GRID)} + write_nemsiofile: {tools.fort(WRITE_NEMSIOFILE)} + write_nemsioflip: {tools.fort(WRITE_NEMSIOFLIP)} + imo: {LONB} + jmo: {LATB} + + nfhout: {FHOUT} + nfhmax_hf: {FHMAX_HF} + nfhout_hf: {FHOUT_HF} + nsout: {NSOUT} + + input_nml: !expand | + &amip_interp_nml + interp_oi_sst = .true. + use_ncep_sst = .true. + use_ncep_ice = .false. + no_anom_sst = .false. + data_set = 'reynolds_oi' + date_out_of_range = 'climo' + {amip_interp_nml} + / + + &atmos_model_nml + blocksize = {blocksize} + chksum_debug = {chksum_debug} + dycore_only = {dycore_only} + {atmos_model_nml} + / + + &diag_manager_nml + prepend_date = .false. + {diag_manager_nml} + / + + &fms_io_nml + checksum_required = .false. + max_files_r = 100 + max_files_w = 100 + {fms_io_nml} + / + + &fms_nml + clock_grain = 'ROUTINE' + domains_stack_size = {domains_stack_size} + print_memory_usage = {print_memory_usage} + {fms_nml} + / + + &fv_core_nml + layout = {layout_x},{layout_y} + io_layout = {io_layout} + npx = {npx} + npy = {npy} + ntiles = {ntiles} + npz = {npz} + grid_type = -1 + make_nh = {make_nh} + fv_debug = {fv_debug} + range_warn = {range_warn} + reset_eta = .false. + n_sponge = {n_sponge} + nudge_qv = {nudge_qv} + nudge_dz = {nudge_dz} + tau = {tau} + rf_cutoff = {rf_cutoff} + d2_bg_k1 = {d2_bg_k1} + d2_bg_k2 = {d2_bg_k2} + kord_tm = {kord_tm} + kord_mt = {kord_mt} + kord_wz = {kord_wz} + kord_tr = {kord_tr} + hydrostatic = {hydrostatic} + phys_hydrostatic = {phys_hydrostatic} + use_hydro_pressure = {use_hydro_pressure} + beta = 0. + a_imp = 1. + p_fac = 0.1 + k_split = {k_split} + n_split = {n_split} + nwat = {nwat} + na_init = {na_init} + d_ext = 0. + dnats = {dnats} + fv_sg_adj = {fv_sg_adj} + d2_bg = 0. + nord = {nord} + dddmp = {dddmp} + d4_bg = {d4_bg} + vtdm4 = {vtdm4} + delt_max = {delt_max} + ke_bg = 0. + do_vort_damp = {do_vort_damp} + external_ic = {external_ic} + external_eta = {external_eta} + gfs_phil = {gfs_phil} + nggps_ic = {nggps_ic} + mountain = {mountain} + ncep_ic = {ncep_ic} + d_con = {d_con} + hord_mt = {hord_mt} + hord_vt = {hord_xx} + hord_tm = {hord_xx} + hord_dp = {hord_dp} + hord_tr = {hord_tr} + adjust_dry_mass = {adjust_dry_mass} + consv_te = {consv_te} + do_sat_adj = {do_sat_adj} + consv_am = .false. + fill = .true. + dwind_2d = .false. + print_freq = {print_freq} + warm_start = {warm_start} + no_dycore = {no_dycore} + z_tracer = .true. + agrid_vel_rst = {agrid_vel_rst} + read_increment = {read_increment} + res_latlon_dynamics = {tools.fort(res_latlon_dynamics)} + {fv_core_nml} + / + + &external_ic_nml + filtered_terrain = {filtered_terrain} + levp = {LEVS} + gfs_dwinds = {gfs_dwinds} + checker_tr = .false. + nt_checker = 0 + {external_ic_nml} + / + + &gfs_physics_nml + fhzero = {FHZER} + ldiag3d = {ldiag3d} + fhcyc = {FHCYC} + use_ufo = {use_ufo} + pre_rad = {pre_rad} + ncld = {ncld} + zhao_mic = {tools.fort(zhao_mic)} + pdfcld = {pdfcld} + fhswr = {FHSWR} + fhlwr = {FHLWR} + ialb = {IALB} + iems = {IEMS} + iaer = {IAER} + ico2 = {ICO2} + isubc_sw = {isubc_sw} + isubc_lw = {isubc_lw} + isol = {ISOL} + lwhtr = {lwhtr} + swhtr = {swhtr} + cnvgwd = {cnvgwd} + shal_cnv = {shal_cnv} + cal_pre = {cal_pre} + redrag = {redrag} + dspheat = {dspheat} + hybedmf = {hybedmf} + random_clds = {random_clds} + trans_trac = {trans_trac} + cnvcld = {cnvcld} + imfshalcnv = {imfshalcnv} + imfdeepcnv = {imfdeepcnv} + cdmbgwd = {cdmbgwd} + prslrd0 = {prslrd0} + ivegsrc = {ivegsrc} + isot = {isot} + debug = {gfs_phys_debug} + nstf_name = {nstf_name} + nst_anl = {tools.fort(nst_anl)} + psautco = {psautco} + prautco = {prautco} + {gfs_physics_nml} + / + + &gfdl_cloud_microphysics_nml + sedi_transport = .true. + do_sedi_heat = .false. + rad_snow = .true. + rad_graupel = .true. + rad_rain = .true. + const_vi = .F. + const_vs = .F. + const_vg = .F. + const_vr = .F. + vi_max = 1. + vs_max = 2. + vg_max = 12. + vr_max = 12. + qi_lim = 1. + prog_ccn = .false. + do_qa = .true. + fast_sat_adj = .true. + tau_l2v = 300. + tau_l2v = 225. + tau_v2l = 150. + tau_g2v = 900. + rthresh = 10.e-6 ! This is a key parameter for cloud water + dw_land = 0.16 + dw_ocean = 0.10 + ql_gen = 1.0e-3 + ql_mlt = 1.0e-3 + qi0_crt = 8.0E-5 + qs0_crt = 1.0e-3 + tau_i2s = 1000. + c_psaci = 0.05 + c_pgacs = 0.01 + rh_inc = 0.30 + rh_inr = 0.30 + rh_ins = 0.30 + ccn_l = 300. + ccn_o = 100. + c_paut = 0.5 + c_cracw = 0.8 + use_ppm = .false. + use_ccn = .true. + mono_prof = .true. + z_slope_liq = .true. + z_slope_ice = .true. + de_ice = .false. + fix_negative = .true. + icloud_f = 1 + mp_time = 150. + / + + &nggps_diag_nml + fdiag = {fdiag} + {nggps_diag_nml} + / + + &interpolator_nml + interp_method = 'conserve_great_circle' + {interpolator_nml} + / + + &namsfc + FNGLAC = {tools.fort(FNGLAC)} + FNMXIC = {tools.fort(FNMXIC)} + FNTSFC = {tools.fort(FNTSFC)} + FNSNOC = {tools.fort(FNSNOC)} + FNZORC = {tools.fort(FNZORC)} + FNALBC = {tools.fort(FNALBC)} + FNALBC2 = {tools.fort(FNALBC2)} + FNAISC = {tools.fort(FNAISC)} + FNTG3C = {tools.fort(FNTG3C)} + FNVEGC = {tools.fort(FNVEGC)} + FNVETC = {tools.fort(FNVETC)} + FNSOTC = {tools.fort(FNSOTC)} + FNSMCC = {tools.fort(FNSMCC)} + FNMSKH = {tools.fort(FNMSKH)} + FNTSFA = {tools.fort(FNTSFA)} + FNACNA = {tools.fort(FNACNA)} + FNSNOA = {tools.fort(FNSNOA)} + FNVMNC = {tools.fort(FNVMNC)} + FNVMXC = {tools.fort(FNVMXC)} + FNSLPC = {tools.fort(FNSLPC)} + FNABSC = {tools.fort(FNABSC)} + LDEBUG = {LDEBUG} + FSMCL(2) = {FSMCL2} + FSMCL(3) = {FSMCL3} + FSMCL(4) = {FSMCL4} + FTSFS = {FTSFS} + FAISL = {FAISL} + FAISS = {FAISS} + FSNOL = {FSNOL} + FSNOS = {FSNOS} + FSICL = 99999 + FSICS = 99999 + FTSFL = 99999 + FVETL = 99999 + FSOTL = 99999 + FvmnL = 99999 + FvmxL = 99999 + FSLPL = 99999 + FABSL = 99999 + {namsfc_nml} + / + + &fv_grid_nml + grid_file = 'INPUT/grid_spec.nc' + {fv_grid_nml} + / + + {input_nml_stochy} + + input_nml_stochy: !FirstTrue + - when: !calc MEMBER>0 + do: !expand | + &nam_stochy + ntrunc = {JCAP_STP} + lon_s = {LONB_STP} + lat_s = {LATB_STP} + {input_nml_stochy_skeb} + {input_nml_stochy_shum} + {input_nml_stochy_sppt} + {nam_stochy_nml} + / + - otherwise: | + &nam_stochy + / + + input_nml_stochy_skeb: !FirstTrue + - when: !calc MEMBER>0 and DO_SKEB + do: !expand | + skeb = {SKEB} + iseed_skeb = {ISEED_SKEB} + skeb_tau = {SKEB_TAU} + skeb_lscale = {SKEB_LSCALE} + skebnorm = {SKEBNORM} + - otherwise: "" + + input_nml_stochy_shum: !FirstTrue + - when: !calc MEMBER>0 and DO_SHUM + do: !expand | + shum = {SHUM} + iseed_shum = {ISEED_SHUM} + shum_tau = {SHUM_TAU} + shum_lscale = {SHUM_LSCALE} + - otherwise: "" + + input_nml_stochy_sppt: !FirstTrue + - when: !calc MEMBER>0 and DO_SPPT + do: !expand | + sppt = SPPT + iseed_sppt = {ISEED_SPPT} + sppt_tau = {SPPT_TAU} + sppt_lscale = {SPPT_LSCALE} + sppt_logit = {SPPT_LOGIT} + sppt_sfclimit = {SPPT_SFCLIMIT} + - otherwise: "" + +crow_sh_fcst_gdas: &crow_sh_fcst_gdas_action !Action + <<: *crow_sh_fcst_base + Template: [ *fv3_settings_template, *fv3_forecast_template ] + Inherit: !Inherit + - [ doc.fv3_gdas_settings, ".*" ] + - [ doc.data_assimilation, ".*" ] + - [ doc.gfs_output_settings, ".*" ] + - [ doc.platform.mpi_tuning, ".*" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + CDUMP: gdas + walltime: !timedelta 00:10:00 + FHOUT: !calc doc.gfs_output_settings.FHOUT_GDAS + FHMAX: !calc doc.gfs_output_settings.FHMAX_GDAS + FHMIN: !calc doc.gfs_output_settings.FHMIN_GDAS + FHMAX_HF: 6 + FHOUT_HF: 1 + +crow_sh_fcst_gfs: &crow_sh_fcst_gfs_action !Action + <<: [ *fv3_gfs_settings, *data_assimilation, *crow_sh_fcst_base ] + Template: [ *fv3_settings_template, *fv3_forecast_template ] + Inherit: !Inherit + - [ doc.fv3_gfs_settings, ".*" ] + - [ doc.data_assimilation, ".*" ] + - [ doc.gfs_output_settings, ".*" ] + - [ doc.platform.mpi_tuning, ".*" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + CDUMP: gfs + walltime: !timedelta 02:35:00 +# walltime: !timedelta 02:35:00 + FHOUT: !calc doc.gfs_output_settings.FHOUT_GFS + FHMAX: !calc doc.gfs_output_settings.FHMAX_GFS + FHMIN: !calc doc.gfs_output_settings.FHMIN_GFS + + FHMAX_HF: !calc doc.gfs_output_settings.FHMAX_HF_GFS + FHOUT_HF: !calc doc.gfs_output_settings.FHOUT_HF_GFS + + KEEPDATA: YES + +crow_sh_df_fcst_gdas: &crow_sh_df_fcst_gdas_action !Action + <<: *crow_sh_fcst_gdas_action + J_JOB: fcst_df + +crow_sh_df_fcst_gfs: &crow_sh_df_fcst_gfs_action !Action + <<: *crow_sh_fcst_gfs_action + J_JOB: fcst_df + + +crow_exe_fcst_gdas: &crow_exe_fcst_gdas_action !Action + <<: *crow_sh_df_fcst_gdas_action + J_JOB: fcst_df + actual_fcst_resources: !JobRequest + - exe: !expand "{doc.places.FCSTEXECDIR}/fv3_gfs_nh.prod.32bit.x" + mpi_ranks: !calc "layout_x*layout_y*6" + OMP_NUM_THREADS: 2 + FCSTEXEC: !calc tools.basename(fcst_resources[0].exe) + +crow_exe_fcst_gfs: &crow_exe_fcst_gfs_action !Action + <<: *crow_sh_df_fcst_gfs_action + J_JOB: fcst_df + actual_fcst_resources: !JobRequest + - exe: !expand "{doc.places.FCSTEXECDIR}/fv3_gfs_nh.prod.32bit.x" + mpi_ranks: !calc "layout_x*layout_y*6" + OMP_NUM_THREADS: 2 + FCSTEXEC: !calc tools.basename(fcst_resources[0].exe) diff --git a/systems/fv3gfs/exp/actions/earc.yaml b/systems/fv3gfs/exp/actions/earc.yaml new file mode 100644 index 0000000..99240a8 --- /dev/null +++ b/systems/fv3gfs/exp/actions/earc.yaml @@ -0,0 +1,26 @@ + +earc: &earc_action !Action + <<: *action_base + J_JOB: earc + walltime: !timedelta 00:15:00 + resources: !calc run_earc.resources + accounting: !calc doc.platform.transfer_accounting + memory: !calc run_earc.memory + Inherit: !Inherit + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.fv3_enkf_settings, ".*" ] + - [ doc.data_assimilation, ".*" ] + - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc" ] + + shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*" ] + + CDUMP: gdas + CASE: !calc CASE_ENKF + CASE_ENKF: !calc doc.fv3_enkf_settings.CASE + GROUP_NUMBER: null # replaced in workflow + ENSGRP: !expand "{GROUP_NUMBER:02d}" + + KEEPDATA: NO + NMEM_EARCGRP: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + VERBOSE: YES diff --git a/systems/fv3gfs/exp/actions/ecen.yaml b/systems/fv3gfs/exp/actions/ecen.yaml new file mode 100644 index 0000000..8a0fe3f --- /dev/null +++ b/systems/fv3gfs/exp/actions/ecen.yaml @@ -0,0 +1,43 @@ +ecen: &ecen_action !Action + <<: *action_base + + Template: *chgres_template + + # ---------------------------------------- + # From config.resources + J_JOB: ecen + walltime: !timedelta 00:30:00 + resources: !calc run_ecen.resources + resource_env: !calc run_ecen.env + memory: !calc run_ecen.memory + + shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*" ] + + # Each command (APRUN_whatever) in config.resources needs a + # run_whatever entry in the corresponding action. + # Executable name is specified deep inside scripts + # Use "placeholder" for exe name + # ---------------------------------------- + # From config.ecen + ENKFRECENSH: !expand "{doc.places.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_recenter_fv3gfs.sh.ecf" + CHGRESSH: !calc doc.places.CHGRESSH_ECEN + APRUN_CHGRES: !FirstTrue + - when: !calc doc.platform.name == "THEIA" + do: "time" + - otherwise: !calc | + tools.command_without_exe( + par,chgres_resources,"placeholder") + APRUN_ECEN: !calc tools.command_without_exe(par,resources,"placeholder") + NTHREADS_ECEN: !calc nodes.omp_threads_for(resources[0]) + APRUN_CHGRES: "time" + CDUMP: gdas + Inherit: !Inherit + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.fv3_enkf_settings, "FHCYC" ] + - [ doc.data_assimilation, ".*" ] + - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] + - [ doc.platform.mpi_tuning, ".*" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc" ] + + CASE_ENKF: !calc doc.fv3_enkf_settings.CASE + VERBOSE: YES diff --git a/systems/fv3gfs/exp/actions/efcs.yaml b/systems/fv3gfs/exp/actions/efcs.yaml new file mode 100644 index 0000000..6d86a41 --- /dev/null +++ b/systems/fv3gfs/exp/actions/efcs.yaml @@ -0,0 +1,70 @@ +efcs: &efcs_action !Action + <<: [ *action_base, *places ] + Template: *fv3_settings + + J_JOB: efcs + walltime: !timedelta 00:25:00 + efcs_resources: !JobRequest + - exe: placeholder + mpi_ranks: !calc "layout_x*layout_y*6" + OMP_NUM_THREADS: 1 + resources: !calc efcs_resources + memory: !calc run_efcs.memory + APRUN_FV3: !calc tools.command_without_exe(par,resources,'placeholder') + resources_regrid_nemsio: !JobRequest + - exe: placeholder + mpi_ranks: !calc doc.fv3_settings.LEVS + max_ppn: !calc nodes.max_ranks_per_node(efcs_resources[0]) + APRUN_REGRID_NEMSIO: !calc | + tools.command_without_exe( + par,resources_regrid_nemsio,'placeholder') + ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" + + Inherit: !Inherit + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.fv3_enkf_settings, ".*" ] + - [ doc.data_assimilation, ".*" ] + - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc" ] + + true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE', 'nst_anl', 'zhao_mic' ] + + CASE: !calc doc.fv3_enkf_settings.CASE + CASE_ENKF: !calc CASE + CDUMP: gdas + NTASKS_FV3: !calc resources.total_ranks() + NTHREADS_FV3: 1 + NTHREADS_REGRID_NEMSIO: 1 + + FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" + KEEPDATA: NO + NMEM_EFCSGRP: 10 + NTHSTACK: 1024000000 + + GROUP_NUMBER: null # replaced in workflow + ENSGRP: !expand "{GROUP_NUMBER:02d}" + + SMOOTH_ENKF: YES + TYPE: !calc doc.fv3_enkf_settings.nh_type + + VERBOSE: YES + WRITE_NEMSIOFILE: YES + + master_grid: !calc doc.case.master_grid + + DO_SKEB: NO + SKEB: -999. + SKEB_TAU: 21600. + SKEB_LSCALE: 500000. + SKEBNORM: 1 + DO_SHUM: YES + SHUM: 0.006 + SHUM_TAU: 21600. + SHUM_LSCALE: 500000. + DO_SPPT: YES + SPPT: 0.5 + SPPT_TAU: 21600. + SPPT_LSCALE: 500000. + SPPT_LOGIT: ".true." + SPPT_SFCLIMIT: ".true." + diff --git a/systems/fv3gfs/exp/actions/eobs.yaml b/systems/fv3gfs/exp/actions/eobs.yaml new file mode 100644 index 0000000..10c1fa9 --- /dev/null +++ b/systems/fv3gfs/exp/actions/eobs.yaml @@ -0,0 +1,31 @@ + +eobs: &eobs_action !Action + <<: *action_base + J_JOB: eobs + CASE_ENKF: !calc doc.fv3_enkf_settings.CASE + CASE: !calc doc.fv3_gdas_settings.CASE + walltime: !timedelta 00:15:00 + resources: !calc run_eobs.resources + memory: !calc run_eobs.memory + ANALYSISSH: !expand "{doc.places.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" + APRUN_GSI: !calc tools.command_without_exe(par,resources,'placeholder') + + shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*" ] + + Inherit: !Inherit + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.fv3_enkf_settings, ".*" ] + - [ doc.data_assimilation, ".*" ] + - [ doc.platform.mpi_tuning, '.*' ] + - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc" ] + + true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE', 'nst_anl', 'zhao_mic' ] + + NMEM_EOMGGRP: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + NTHREADS_GSI: !calc nodes.omp_threads_for(resources[0]) + NTHSTACK: 1024000000 + CDUMP: gdas +# GSI namelist options related to observer for EnKF + VERBOSE: YES + WRITE_NEMSIOFILE: YES diff --git a/systems/fv3gfs/exp/actions/eomg.yaml b/systems/fv3gfs/exp/actions/eomg.yaml new file mode 100644 index 0000000..b30da7e --- /dev/null +++ b/systems/fv3gfs/exp/actions/eomg.yaml @@ -0,0 +1,35 @@ +eomg: &eomg_action !Action + <<: *action_base + J_JOB: eomg + ANALYSISSH: !expand "{doc.places.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" + APRUN_GSI: !calc tools.command_without_exe(par,run_eomg.resources,'placeholder') + walltime: !timedelta 00:35:00 + resources: !calc run_eomg.resources + memory: !calc run_eomg.memory + shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "sys_tp", "l.*4d.*" ] + + Inherit: !Inherit + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.fv3_enkf_settings, "FHCYC" ] + - [ doc.data_assimilation, ".*" ] + - [ doc.platform.mpi_tuning, ".*" ] + - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc" ] + CDUMP: gdas + CASE: !calc doc.fv3_enkf_settings.CASE + NMEM_EOMGGRP: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + NTHREADS_GSI: !calc nodes.omp_threads_for(run_gsi.resources[0]) + NTHSTACK: 1024000000 + GROUP_NUMBER: null # replaced in workflow + ENSGRP: !expand "{GROUP_NUMBER:02d}" + VERBOSE: YES + WRITE_NEMSIOFILE: YES + APRUN_CALCINC: " " + + APRUN_CYCLE: !FirstTrue + - when: !calc doc.platform.name=="THEIA" + do: time + - when: !calc doc.platform.name=="WCOSS_C" + do: !expand "aprun -j 1 -n $ncmd -N 1 -d {NTHREADS_CYCLE} -cc depth" + - otherwise: !error "No APRUN_CALCINC for unknown platform {doc.platform.name}" + NTHREADS_CYCLE: 12 diff --git a/systems/fv3gfs/exp/actions/epos.yaml b/systems/fv3gfs/exp/actions/epos.yaml new file mode 100644 index 0000000..56939d2 --- /dev/null +++ b/systems/fv3gfs/exp/actions/epos.yaml @@ -0,0 +1,23 @@ +epos: &epos_action !Action + <<: *action_base + J_JOB: epos + resources: !calc run_epos.resources + memory: !calc run_epos.memory + walltime: !timedelta 00:15:00 + APRUN_EPOS: !calc tools.command_without_exe(par,resources,'placeholder') + NTHREADS_EPOS: !calc nodes.omp_threads_for(resources[0]) + + CASE: !calc doc.fv3_enkf_settings.CASE + ENKFPOSTSH: !expand "{doc.places.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_post_fv3gfs.sh.ecf" + shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*" ] + + Inherit: !Inherit + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.platform.mpi_tuning, '.*' ] + - [ doc.data_assimilation, ".*" ] + - [ doc.fv3_enkf_settings, "FHCYC|QUILTING|WRITE_NEMSIOFILE|nst_anl|CDUMP|LEVS" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc" ] + CDUMP: gdas + VERBOSE: YES + WRITE_NEMSIOFILE: YES + accounting: !calc doc.platform.parallel_accounting diff --git a/systems/fv3gfs/exp/actions/eupd.yaml b/systems/fv3gfs/exp/actions/eupd.yaml new file mode 100644 index 0000000..3e1b68b --- /dev/null +++ b/systems/fv3gfs/exp/actions/eupd.yaml @@ -0,0 +1,28 @@ +eupd: &eupd_action !Action + <<: *action_base + J_JOB: eupd + ANALYSISSH: !expand "{doc.places.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" + APRUN_ENKF: !calc | + tools.command_without_exe( + par,enkf_resources,'placeholder') + ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" + walltime: !timedelta 00:15:00 + enkf_resources: !calc run_enkf.resources + eupd_resources: !calc run_eupd.resources + resources: !calc run_eupd.resources + memory: !calc run_eupd.memory + shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*" ] + + Inherit: !Inherit + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.fv3_enkf_settings, "FHCYC" ] + - [ doc.data_assimilation, ".*" ] + - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc" ] + CDUMP: gdas + ENKFUPDSH: !calc doc.places.ENKFUPDSH + CASE: !calc doc.fv3_enkf_settings.CASE + NTHREADS_ENKF: 2 + NTHSTACK: 1024000000 + VERBOSE: YES + WRITE_NEMSIOFILE: YES diff --git a/systems/fv3gfs/exp/actions/fcst.yaml b/systems/fv3gfs/exp/actions/fcst.yaml new file mode 100644 index 0000000..7169835 --- /dev/null +++ b/systems/fv3gfs/exp/actions/fcst.yaml @@ -0,0 +1,85 @@ +fcst_base: &fcst_base !Action + <<: *action_base + J_JOB: fcst + fcst_resources: !JobRequest + - exe: placeholder + mpi_ranks: !calc "layout_x*layout_y*6" + OMP_NUM_THREADS: 2 + resources: !calc fcst_resources + memory: !calc run_fcst.memory + resources_regrid_nemsio: !JobRequest + - exe: placeholder + mpi_ranks: !calc doc.fv3_settings.LEVS + max_ppn: !calc nodes.max_ranks_per_node(fcst_resources[0]) + true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE' ] + shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*" ] + + APRUN_REGRID_NEMSIO: !calc | + tools.command_without_exe( + par,resources_regrid_nemsio,'placeholder') + APRUN_FV3: !calc tools.command_without_exe(par,fcst_resources,'placeholder') + APRUN_REMAP: !calc APRUN_FV3 + ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" + + CASE_ENKF: !calc doc.fv3_enkf_settings.CASE + + FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" + + KEEPDATA: NO + + NTHREADS_FV3: !calc | + nodes.omp_threads_for(resources[0]) + NTHREADS_REGRID_NEMSIO: !calc | + nodes.omp_threads_for(resources_regrid_nemsio[0]) + NTHREADS_REMAP: !calc NTHREADS_FV3 + NTASKS_FV3: !calc resources.total_ranks() + + PSLOT: !calc doc.case.experiment_name + + REMAPSH: !expand "{doc.places.BASE_GSM}/ush/fv3gfs_remap.sh" + TYPE: "nh" + USE_COUPLER_RES: NO + VERBOSE: YES + WRITE_NEMSIOFILE: YES + + true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE', 'nst_anl', 'zhao_mic' ] + + # Variables to import in shell: + shell_vars: [ "[A-Z][A-Z0-9_]*$", 'restart_interval' ] + + cores_per_node: 24 + restart_interval: 6 + + USE_COUPLER_RES: NO + + restart_interval: !FirstTrue + - when: !calc CDUMP=="gdas" + do: 6 + - otherwise: 0 + + + master_grid: !calc doc.case.master_grid + +fcst_gdas: &fcst_gdas_action !Action + <<: *fcst_base + Template: *fv3_settings_template + Inherit: !Inherit + - [ doc.fv3_gdas_settings, ".*" ] + - [ doc.data_assimilation, ".*" ] + - [ doc.gfs_output_settings, ".*" ] + - [ doc.platform.mpi_tuning, ".*" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + CDUMP: gdas + walltime: !timedelta 00:25:00 + +fcst_gfs: &fcst_gfs_action !Action + <<: [ *fv3_gfs_settings, *data_assimilation, *fcst_base ] + Template: *fv3_settings_template + Inherit: !Inherit + - [ doc.fv3_gfs_settings, ".*" ] + - [ doc.data_assimilation, ".*" ] + - [ doc.gfs_output_settings, ".*" ] + - [ doc.platform.mpi_tuning, ".*" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + CDUMP: gfs + walltime: !timedelta 02:35:00 diff --git a/systems/fv3gfs/exp/actions/final.yaml b/systems/fv3gfs/exp/actions/final.yaml new file mode 100644 index 0000000..e767005 --- /dev/null +++ b/systems/fv3gfs/exp/actions/final.yaml @@ -0,0 +1,7 @@ +final: &final_action !Action + <<: *action_base + walltime: !timedelta 00:03:00 + resources: !calc run_nothing.resources + memory: !calc run_nothing.memory + accounting: !calc doc.platform.serial_accounting + J_JOB: /bin/true diff --git a/systems/fv3gfs/exp/actions/ics.yaml b/systems/fv3gfs/exp/actions/ics.yaml new file mode 100644 index 0000000..4a3ab68 --- /dev/null +++ b/systems/fv3gfs/exp/actions/ics.yaml @@ -0,0 +1,38 @@ +fv3ics: &fv3ics_action !Action + <<: *action_base + J_JOB: fv3ic + walltime: !timedelta 00:30:00 + resources: !calc run_fv3ic.resources + memory: !calc run_fv3ic.memory + CASE: !calc doc.fv3_settings.CASE + + shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "sys_tp", "l.*4d.*" ] + Inherit: !Inherit + - [ doc.platform.general_env, ".*" ] + - [ doc.fv3_gfs_settings, ".*" ] + - [ doc.data_assimilation, ".*" ] + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.platform.mpi_tuning, '.*' ] + - [ doc.fv3_enkf_settings, "FHCYC" ] + - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc" ] + +fv3ics_df: &fv3ics_df_action !Action + <<: *fv3ics_action + J_JOB: fv3ic_df + +getics: &getics_action !Action + <<: *action_base + J_JOB: getic + walltime: !timedelta 06:00:00 + resources: !calc run_arch.resources + memory: !calc run_arch.memory + accounting: !calc doc.platform.transfer_accounting + + ics_from: !calc doc.case.ics_from + parexp: !calc doc.case.parexp + HPSS_PAR_PATH: !calc doc.case.HPSS_PAR_PATH + ICSDIR: !calc doc.places.ICSDIR + + # Variables to import in shell: + shell_vars: [ "[A-Z][A-Z0-9_]*$", "ics_from", "parexp", "HPSS_PAR_PATH" ] diff --git a/systems/fv3gfs/exp/actions/post.yaml b/systems/fv3gfs/exp/actions/post.yaml new file mode 100644 index 0000000..b3392e5 --- /dev/null +++ b/systems/fv3gfs/exp/actions/post.yaml @@ -0,0 +1,56 @@ +post_base: &post_base !Action + <<: *action_base + J_JOB: post + resources: !calc run_post.resources + memory: !calc run_post.memory + + APRUN_DWN: !calc tools.command_without_exe(par,resources,'placeholder') + APRUN_NP: !calc tools.command_without_exe(par,resources,'placeholder') + CASE_ENKF: !calc doc.fv3_enkf_settings.CASE + DO_GDAS_FCST_POST: YES + + FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" + FLXF: YES + GFS_DOWNSTREAM: YES + GOESF: NO + GPOST: YES + GTGF: NO + NTHREADS_DWN: 2 + NTHREADS_NP: 1 + downset: 1 + shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*", "downset" ] + true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE' ] + +post_gfs: &post_gfs_action !Action + <<: *post_base + CDUMP: gfs + Template: null + Inherit: !Inherit + - [ doc.fv3_gfs_settings, ".*" ] + - [ doc.data_assimilation, ".*" ] + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.platform.general_env, ".*" ] + - [ doc.platform.mpi_tuning, ".*" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + walltime: !timedelta 02:35:00 + FHOUT: !calc doc.gfs_output_settings.FHOUT_GFS + FHMAX: !calc doc.gfs_output_settings.FHMAX_GFS + FHMIN: !calc doc.gfs_output_settings.FHMIN_GFS + QUILTING: !calc doc.fv3_gfs_settings.QUILTING + +post_gdas: &post_gdas_action !Action + <<: *post_base + CDUMP: gdas + Template: null + Inherit: !Inherit + - [ doc.fv3_gdas_settings, ".*" ] + - [ doc.data_assimilation, ".*" ] + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.platform.general_env, ".*" ] + - [ doc.platform.mpi_tuning, ".*" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + walltime: !timedelta 00:25:00 + FHOUT: !calc doc.gfs_output_settings.FHOUT_GDAS + FHMAX: !calc doc.gfs_output_settings.FHMAX_GDAS + FHMIN: !calc doc.gfs_output_settings.FHMIN_GDAS + QUILTING: !calc doc.fv3_gdas_settings.QUILTING diff --git a/systems/fv3gfs/exp/actions/prep.yaml b/systems/fv3gfs/exp/actions/prep.yaml new file mode 100644 index 0000000..425fef7 --- /dev/null +++ b/systems/fv3gfs/exp/actions/prep.yaml @@ -0,0 +1,49 @@ +prep: &prep_base !Action + <<: *action_base + J_JOB: prep + walltime: !timedelta 01:00:00 + resources: !calc run_prep.resources + memory: !calc run_prep.memory + + CASE_ENKF: !calc doc.fv3_enkf_settings.CASE + Template: null + + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + + POE: !calc doc.platform.name=='WCOSS_C' + PSLOT: !calc doc.case.experiment_name + VERBOSE: YES + WRITE_NEMSIOFILE: YES + + PARALLEL: YES + BACK: YES + NPROCS: 4 + + # Variables to import in shell: + shell_vars: [ "[A-Z][A-Z0-9_]*$", "sys_tp", "HOMEobs.*", "l.*4d.*" ] + +prep_gdas: &prep_gdas_action !Action + <<: *prep_base + CDUMP: gdas + Inherit: !Inherit + - [ doc.fv3_gdas_settings, '.*' ] + - [ doc.data_assimilation, '.*' ] + - [ doc.prepbufr, '.*' ] + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + - [ doc.platform.mpi_tuning, '.*' ] + Template: null + +prep_gfs: &prep_gfs_action !Action + <<: *prep_base + CDUMP: gfs + Inherit: !Inherit + - [ doc.fv3_gfs_settings, '.*' ] + - [ doc.data_assimilation, '.*' ] + - [ doc.prepbufr, '.*' ] + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + - [ doc.platform.mpi_tuning, '.*' ] + Template: null diff --git a/systems/fv3gfs/exp/actions/vrfy.yaml b/systems/fv3gfs/exp/actions/vrfy.yaml new file mode 100644 index 0000000..63eafbf --- /dev/null +++ b/systems/fv3gfs/exp/actions/vrfy.yaml @@ -0,0 +1,74 @@ + +vrfy_base: &vrfy_base !Action + <<: *action_base + Template: [ *CYC_TRACK_VARS, *CYC_GEN_VARS, *MIN_VARS, *RAD_VARS, *OZN_VARS, *MIN_RAD_OZN_VARS, *VRFY_CDUMP_GFS_VARS, *vrfy_template ] + J_JOB: vrfy + walltime: !timedelta 01:00:00 + resources: !calc run_vrfy.resources + memory: !calc run_vrfy.memory + + shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "sys_tp", "l.*4d.*" ] + + CASE_ENKF: !calc doc.fv3_enkf_settings.CASE + CDFNL: "gdas" + CDUMPFCST: "gdas" # Fit-to-obs with GDAS/GFS prepbufr + GRIB1_WORKS: NO + SMOOTH_ENKF: YES + VRFYG2OBS: NO + VRFYGENESIS: NO + VRFYGMPK: NO + VRFYMINMON: YES + VRFYOZN: NO + VRFYPRCP: YES + VRFYRAD: YES + VRFYTRAK: NO + VSDB_STEP1: YES + VSDB_STEP2: NO + VRFYGMPK: NO # Gempak verification + WRITE_NEMSIOFILE: YES + assim_freq: 6 + nst_anl: YES + true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE', 'nst_anl', 'zhao_mic' ] + +vrfy_gfs_action: &vrfy_gfs_action !Action + <<: *vrfy_base + Template: [ *vrfy_template_gfs ] + CDUMP: gfs + CASE: !calc doc.fv3_gfs_settings.CASE + Inherit: !Inherit + - [ doc.fv3_gfs_settings, ".*" ] + - [ doc.data_assimilation, ".*" ] + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.platform.general_env, ".*" ] + - [ doc.platform.mpi_tuning, ".*" ] + - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + BACKDATEVSDB: 24 + VBACKUP_PRCP: 24 + VSDBSH: !calc doc.places.VSDBSH + FHMIN: !calc doc.gfs_output_settings.FHMIN_GFS + FHMAX: !calc doc.gfs_output_settings.FHMIN_GFS + FHOUT: !calc doc.gfs_output_settings.FHMIN_GFS + ftyplist: "pgbq" + vhr_rain: 240 + vlength: 240 + vsdbhome: !calc doc.places.vsdbhome + vsdbsave: !calc doc.places.vsdbsave + VDUMP: !calc CDUMP + + # Variables to import in shell: + shell_vars: [ "[A-Z][A-Z0-9_]*$", "v.*", "ftyplist", "envir", ".*syndir", "HOME.*", "COM.*", ".*TANK.*", ".*typlist", ".*vrfy.*", "fitdir" ] + +vrfy_gdas_action: &vrfy_gdas_action !Action + <<: *vrfy_base + CDUMP: gdas + CASE: !calc doc.fv3_gdas_settings.CASE + Inherit: !Inherit + - [ doc.fv3_gdas_settings, ".*" ] + - [ doc.data_assimilation, ".*" ] + - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] + - [ doc.platform.general_env, ".*" ] + - [ doc.platform.mpi_tuning, ".*" ] + - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] + - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] + VDUMP: !calc CDUMP diff --git a/systems/fv3gfs/exp/cases/August2017_GFS@C384_ENKF@C192+20MEM.yaml b/systems/fv3gfs/exp/cases/August2017_GFS@C384_ENKF@C192+20MEM.yaml new file mode 100644 index 0000000..b469035 --- /dev/null +++ b/systems/fv3gfs/exp/cases/August2017_GFS@C384_ENKF@C192+20MEM.yaml @@ -0,0 +1,71 @@ +fv3_settings: &fv3_settings + LEVS: 65 # Number of vertical levels (mandatory) + QUILTING: NO + +fv3_gfs_settings: &fv3_gfs_settings + <<: *fv3_settings + Template: *fv3_settings_template + CASE: C384 # FV3 horizontal resolution (mandatory) + CDUMP: gfs + +fv3_enkf_settings: &fv3_enkf_settings + <<: [ *fv3_enkf_defaults, *fv3_settings ] + Template: *fv3_settings_template + CASE: C192 # FV3 horizontal resolution for ensemble (mandatory) + CDUMP: gdas + +fv3_gdas_settings: &fv3_gdas_settings + <<: *fv3_gfs_settings + Template: *fv3_settings_template + CDUMP: gdas + +######################################################################## + +gfs_output_settings: &gfs_output_settings + Template: *gfs_output_settings_template + + FHMAX_GFS: 120 # Last GFS forecast hour (mandatory) + FHOUT_GFS: 6 # GFS output frequency (optional) + + OUTPUT_GRID: "gaussian_grid" + +######################################################################## + +data_assimilation: &data_assimilation + Template: *data_assimilation_template + # Shared parameters/switches for data assimilation + DOHYBVAR: YES # optional + NMEM_ENKF: 20 + NMEM_ENKF_GRP_EOMN: 10 # DO NOT CHANGE (yet) + NMEM_ENKF_GRP_EFMN: 10 # DO NOT CHANGE (yet) + RECENTER_ENKF: YES # optional + l4densvar: ".false." # optional + lwrite4danl: ".false." # optional + DO_MAKEPREPBUFR: YES # if NO, will copy prepbufr from globaldump + +prepbufr: &prepbufr + # Parameters and switches for propbufr creation. + Template: *obsproc_template + # See validation/obsproc.yaml for variables you can override + +######################################################################## + +places: &places { <<: *user_places } + +case: + Template: [ *case_template, *known_workflows ] + + SDATE: 2017-07-31t18:00:00 + EDATE: 2017-08-05t00:00:00 + + workflow: cycled_workflow + + IC_CDUMP: gdas # Get initial conditions from 1st cycle from GFS or GDAS + IC_DIR: !FirstTrue + - when: !calc doc.platform.name == "THEIA" + do: "/scratch4/NCEPDEV/global/noscrub/glopara/ICS/FV3GFS" + - when: !calc doc.platform.name == "WCOSS_C" + do: "/gpfs/hps3/emc/global/noscrub/emc.glopara/ICS" + - otherwise: !error "No ICs for platform {doc.platform.name}" + +#-END OF FILE-# diff --git a/systems/fv3gfs/exp/cases/CROW_exe_Cindy_GFS@C384.yaml b/systems/fv3gfs/exp/cases/CROW_exe_Cindy_GFS@C384.yaml new file mode 100644 index 0000000..817dc0e --- /dev/null +++ b/systems/fv3gfs/exp/cases/CROW_exe_Cindy_GFS@C384.yaml @@ -0,0 +1,53 @@ +fv3_settings: &fv3_settings + LEVS: 65 # Number of vertical levels (mandatory) + CASE: C384 # FV3 horizontal resolution (mandatory) + QUILTING: NO + +fv3_gfs_settings: &fv3_gfs_settings + <<: *fv3_settings + Template: *fv3_settings_template + CDUMP: gfs + +fv3_enkf_settings: &fv3_enkf_settings + <<: *no_enkf + +fv3_gdas_settings: &fv3_gdas_settings + <<: *no_gdas + +######################################################################## + +gfs_output_settings: &gfs_output_settings + Template: *gfs_output_settings_template + + FHMAX_GFS: 120 # Last GFS forecast hour (mandatory) + FHOUT_GFS: 6 # GFS output frequency (optional) + + OUTPUT_GRID: "gaussian_grid" + +######################################################################## + +data_assimilation: &data_assimilation + <<: *no_data_assimilation + +prepbufr: &prepbufr + <<: *no_prepbufr + +######################################################################## + +places: &places + <<: *user_places + FORECASTSH: !expand "{HOMEcrow}/model/fv3gfs/scripts/exglobal_fcst_crow_exe.sh" + +######################################################################## + +case: + Template: [ *case_template, *known_workflows ] + SDATE: 2017-06-19t18:00:00 + EDATE: 2017-06-22t12:00:00 + + workflow: crow_exe_fcst_workflow + + ics_from: pargfs + parexp: prnemsrn + +#-END OF FILE-# diff --git a/systems/fv3gfs/exp/cases/CROW_io_Cindy_GFS@C384.yaml b/systems/fv3gfs/exp/cases/CROW_io_Cindy_GFS@C384.yaml new file mode 100644 index 0000000..823715c --- /dev/null +++ b/systems/fv3gfs/exp/cases/CROW_io_Cindy_GFS@C384.yaml @@ -0,0 +1,53 @@ +fv3_settings: &fv3_settings + LEVS: 65 # Number of vertical levels (mandatory) + CASE: C384 # FV3 horizontal resolution (mandatory) + QUILTING: NO + +fv3_gfs_settings: &fv3_gfs_settings + <<: *fv3_settings + Template: *fv3_settings_template + CDUMP: gfs + +fv3_enkf_settings: &fv3_enkf_settings + <<: *no_enkf + +fv3_gdas_settings: &fv3_gdas_settings + <<: *no_gdas + +######################################################################## + +gfs_output_settings: &gfs_output_settings + Template: *gfs_output_settings_template + + FHMAX_GFS: 120 # Last GFS forecast hour (mandatory) + FHOUT_GFS: 6 # GFS output frequency (optional) + + OUTPUT_GRID: "gaussian_grid" + +######################################################################## + +data_assimilation: &data_assimilation + <<: *no_data_assimilation + +prepbufr: &prepbufr + <<: *no_prepbufr + +######################################################################## + +places: &places + <<: *user_places + FORECASTSH: !expand "{HOMEcrow}/model/fv3gfs/scripts/exglobal_fcst_crow_io.sh" + +######################################################################## + +case: + Template: [ *case_template, *known_workflows ] + SDATE: 2017-06-19t18:00:00 + EDATE: 2017-06-22t12:00:00 + + workflow: crow_sh_df_fcst_workflow + + ics_from: pargfs + parexp: prnemsrn + +#-END OF FILE-# diff --git a/systems/fv3gfs/exp/cases/CROW_sh_Cindy_GFS@C384.yaml b/systems/fv3gfs/exp/cases/CROW_sh_Cindy_GFS@C384.yaml new file mode 100644 index 0000000..83c07df --- /dev/null +++ b/systems/fv3gfs/exp/cases/CROW_sh_Cindy_GFS@C384.yaml @@ -0,0 +1,51 @@ +fv3_settings: &fv3_settings + LEVS: 65 # Number of vertical levels (mandatory) + CASE: C384 # FV3 horizontal resolution (mandatory) + QUILTING: NO + +fv3_gfs_settings: &fv3_gfs_settings + <<: *fv3_settings + Template: *fv3_settings_template + CDUMP: gfs + +fv3_enkf_settings: &fv3_enkf_settings + <<: *no_enkf + +fv3_gdas_settings: &fv3_gdas_settings + <<: *no_gdas + +######################################################################## + +gfs_output_settings: &gfs_output_settings + Template: *gfs_output_settings_template + + FHMAX_GFS: 120 # Last GFS forecast hour (mandatory) + FHOUT_GFS: 6 # GFS output frequency (optional) + + OUTPUT_GRID: "gaussian_grid" + +######################################################################## + +data_assimilation: &data_assimilation + <<: *no_data_assimilation + +prepbufr: &prepbufr + <<: *no_prepbufr + +######################################################################## + +places: &places + <<: *user_places + FORECASTSH: !expand "{HOMEcrow}/model/fv3gfs/scripts/exglobal_fcst_crow.sh" + +case: + Template: [ *case_template, *known_workflows ] + SDATE: 2017-06-19t18:00:00 + EDATE: 2017-06-22t12:00:00 + + workflow: crow_sh_fcst_workflow + + ics_from: pargfs + parexp: prnemsrn + +#-END OF FILE-# diff --git a/systems/fv3gfs/exp/cases/CROW_sh_df_Cindy_GFS@C384.yaml b/systems/fv3gfs/exp/cases/CROW_sh_df_Cindy_GFS@C384.yaml new file mode 100644 index 0000000..977fa82 --- /dev/null +++ b/systems/fv3gfs/exp/cases/CROW_sh_df_Cindy_GFS@C384.yaml @@ -0,0 +1,53 @@ +fv3_settings: &fv3_settings + LEVS: 65 # Number of vertical levels (mandatory) + CASE: C384 # FV3 horizontal resolution (mandatory) + QUILTING: NO + +fv3_gfs_settings: &fv3_gfs_settings + <<: *fv3_settings + Template: *fv3_settings_template + CDUMP: gfs + +fv3_enkf_settings: &fv3_enkf_settings + <<: *no_enkf + +fv3_gdas_settings: &fv3_gdas_settings + <<: *no_gdas + +######################################################################## + +gfs_output_settings: &gfs_output_settings + Template: *gfs_output_settings_template + + FHMAX_GFS: 120 # Last GFS forecast hour (mandatory) + FHOUT_GFS: 6 # GFS output frequency (optional) + + OUTPUT_GRID: "gaussian_grid" + +######################################################################## + +data_assimilation: &data_assimilation + <<: *no_data_assimilation + +prepbufr: &prepbufr + <<: *no_prepbufr + +######################################################################## + +places: &places + <<: *user_places + FORECASTSH: !expand "{HOMEcrow}/model/fv3gfs/scripts/exglobal_fcst_crow_df.sh" + +######################################################################## + +case: + Template: [ *case_template, *known_workflows ] + SDATE: 2017-06-19t18:00:00 + EDATE: 2017-06-22t12:00:00 + + workflow: crow_sh_df_fcst_workflow + + ics_from: pargfs + parexp: prnemsrn + +#-END OF FILE-# diff --git a/systems/fv3gfs/exp/cases/Cindy_GFS@C384.yaml b/systems/fv3gfs/exp/cases/Cindy_GFS@C384.yaml new file mode 100644 index 0000000..f3300dc --- /dev/null +++ b/systems/fv3gfs/exp/cases/Cindy_GFS@C384.yaml @@ -0,0 +1,49 @@ +fv3_settings: &fv3_settings + LEVS: 65 # Number of vertical levels (mandatory) + CASE: C384 # FV3 horizontal resolution (mandatory) + QUILTING: NO + +fv3_gfs_settings: &fv3_gfs_settings + <<: *fv3_settings + Template: *fv3_settings_template + CDUMP: gfs + +fv3_enkf_settings: &fv3_enkf_settings + <<: *no_enkf + +fv3_gdas_settings: &fv3_gdas_settings + <<: *no_gdas + +######################################################################## + +gfs_output_settings: &gfs_output_settings + Template: *gfs_output_settings_template + + FHMAX_GFS: 120 # Last GFS forecast hour (mandatory) + FHOUT_GFS: 6 # GFS output frequency (optional) + + OUTPUT_GRID: "gaussian_grid" + +######################################################################## + +data_assimilation: &data_assimilation + <<: *no_data_assimilation + +prepbufr: &prepbufr + <<: *no_prepbufr + +######################################################################## + +places: &places { <<: *user_places } + +case: + Template: [ *case_template, *known_workflows ] + SDATE: 2017-06-19t18:00:00 + EDATE: 2017-06-22t12:00:00 + + workflow: gfs_forecast_workflow + + ics_from: pargfs + parexp: prnemsrn + +#-END OF FILE-# diff --git a/systems/fv3gfs/exp/cases/Harvey_GFS@C192.yaml b/systems/fv3gfs/exp/cases/Harvey_GFS@C192.yaml new file mode 100644 index 0000000..ce38d1e --- /dev/null +++ b/systems/fv3gfs/exp/cases/Harvey_GFS@C192.yaml @@ -0,0 +1,55 @@ +fv3_settings: &fv3_settings + LEVS: 65 # Number of vertical levels (mandatory) + CASE: C192 # FV3 horizontal resolution (mandatory) + QUILTING: NO + +fv3_gfs_settings: &fv3_gfs_settings + <<: *fv3_settings + Template: *fv3_settings_template + CDUMP: gfs + +fv3_enkf_settings: &fv3_enkf_settings + <<: *no_enkf + +fv3_gdas_settings: &fv3_gdas_settings + <<: *no_gdas + +######################################################################## + +gfs_output_settings: &gfs_output_settings + Template: *gfs_output_settings_template + + FHMAX_GFS: 120 # Last GFS forecast hour (mandatory) + FHOUT_GFS: 6 # GFS output frequency (optional) + + OUTPUT_GRID: "gaussian_grid" + +######################################################################## + +data_assimilation: &data_assimilation + <<: *no_data_assimilation + +prepbufr: &prepbufr + <<: *no_prepbufr + +######################################################################## + +places: &places { <<: *user_places } + +case: + Template: [ *case_template, *known_workflows ] + SDATE: 2017-08-17t12:00:00 + EDATE: 2017-08-31t00:00:00 + + workflow: gfs_forecast_workflow + + ics_from: opsgfs + +#-END OF FILE-# + + + + + + +# ... or is it? diff --git a/systems/fv3gfs/exp/create_comrot.py b/systems/fv3gfs/exp/create_comrot.py new file mode 100644 index 0000000..bbcb76e --- /dev/null +++ b/systems/fv3gfs/exp/create_comrot.py @@ -0,0 +1,57 @@ +#! /usr/bin/env python3 +f'This script requires python 3.6 or later' + +import os, logging +from contextlib import suppress + +logger=logging.getLogger('create_comrot') + +def make_link(src,tgt): + logger.debug(f'{src}: symlink {tgt}') + with suppress(FileNotFoundError): os.unlink(tgt) + if not os.path.exists(src): + logger.warning(f'{src}: link target does not exist') + os.symlink(src,tgt) + +def make_dir(dir): + logger.debug(f'{dir}: makedirs') + with suppress(FileExistsError): os.makedirs(dir) + +def create_COMROT(conf): + cdump = conf.case.IC_CDUMP + icsdir = conf.case.IC_DIR + comrot = conf.places.ROTDIR + resens = conf.fv3_enkf_settings.CASE[1:] + resdet = conf.fv3_gfs_settings.CASE[1:] + idate = conf.case.SDATE + detdir = f'{cdump}.{idate:%Y%m%d}/{idate:%H}' + nens = conf.data_assimilation.NMEM_ENKF + enkfdir = f'enkf.{cdump}.{idate:%Y%m%d}/{idate:%H}' + idatestr = f'{idate:%Y%m%d%H}' + + logger.info(f'Input conditions: {icsdir}') + + make_dir(os.path.join(comrot,enkfdir)) + make_dir(os.path.join(comrot, detdir)) + + logger.info(f'Workflow COM root: {comrot}') + + # Link ensemble member initial conditions + for i in range(1, nens + 1): + memdir=os.path.join(comrot,enkfdir,f'mem{i:03d}') + make_dir(memdir) + src=os.path.join(icsdir, idatestr, f'C{resens}',f'mem{i:03d}','INPUT') + tgt=os.path.join(comrot, enkfdir, f'mem{i:03d}', 'INPUT') + make_link(src,tgt) + + # Link deterministic initial conditions + src=os.path.join(icsdir, idatestr, f'C{resdet}', 'control', 'INPUT') + tgt=os.path.join(comrot, detdir, 'INPUT') + make_link(src,tgt) + + # Link bias correction and radiance diagnostics files + for fname in ['abias', 'abias_pc', 'abias_air', 'radstat']: + file=f'{cdump}.t{idate:%H}z.{fname}' + src=os.path.join(icsdir, idatestr, file) + tgt=os.path.join(comrot, detdir, file) + make_link(src,tgt) diff --git a/systems/fv3gfs/exp/defaults/fv3_enkf.yaml b/systems/fv3gfs/exp/defaults/fv3_enkf.yaml new file mode 100644 index 0000000..ead091f --- /dev/null +++ b/systems/fv3gfs/exp/defaults/fv3_enkf.yaml @@ -0,0 +1,34 @@ +fv3_enkf_defaults: &fv3_enkf_defaults + FHSWR: 3600. + FHLWR: 3600. + IEMS: 1 + ISOL: 2 + IAER: 111 + ICO2: 2 + dspheat: ".true." + shal_cnv: ".false." + FHZER: 6 + FHCYC: 24 + restart_interval: 6 + FHMAX: 6 + +# Stochastic physics parameters (only for ensemble forecasts) + DO_SKEB: NO + SKEB: -999. + SKEB_TAU: 21600. + SKEB_LSCALE: 500000. + SKEBNORM: 1 + DO_SHUM: YES + SHUM: 0.006 + SHUM_TAU: 21600. + SHUM_LSCALE: 500000. + DO_SPPT: YES + SPPT: 0.5 + SPPT_TAU: 21600. + SPPT_LSCALE: 500000. + SPPT_LOGIT: ".true." + SPPT_SFCLIMIT: ".true." + + k_split: 1 + n_split: 12 + consv_te: 1. diff --git a/systems/fv3gfs/exp/defaults/no.yaml b/systems/fv3gfs/exp/defaults/no.yaml new file mode 100644 index 0000000..9e0bb94 --- /dev/null +++ b/systems/fv3gfs/exp/defaults/no.yaml @@ -0,0 +1,17 @@ +no_enkf: &no_enkf + Template: *fv3_settings_template + CDUMP: gdas + CASE: !calc doc.fv3_gdas_settings.CASE + LEVS: !calc doc.fv3_gdas_settings.LEVS + +no_gdas: &no_gdas + Template: *fv3_settings_template + CDUMP: gdas + CASE: !calc doc.fv3_gfs_settings.CASE + LEVS: !calc doc.fv3_gfs_settings.LEVS + +no_data_assimilation: &no_data_assimilation + Template: *data_assimilation_template + +no_prepbufr: &no_prepbufr + Template: *obsproc_template diff --git a/systems/fv3gfs/exp/defaults/places.yaml b/systems/fv3gfs/exp/defaults/places.yaml new file mode 100644 index 0000000..c57e044 --- /dev/null +++ b/systems/fv3gfs/exp/defaults/places.yaml @@ -0,0 +1,88 @@ +default_places: &default_places + PROJECT_DIR: !error Please select a project directory. + + # Locations of CROW and this test suite. + HOMEcrow: !calc tools.crow_install_dir() + + # NOTE: These two directories will need to be changed for the real + # fv3gfs workflow: + HOMEgfs: /scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/fv3gfs-fcst-post/EXP-cyc-try2/ +#!calc tools.crow_install_dir('model/fv3gfs') + HOMEgdas: !calc HOMEgfs + + HOMEDIR: !expand "{PROJECT_DIR}/save/{tools.env('USER')}" + STMP: !calc PTMP + PTMP: !expand "{doc.platform.least_used_scrub}/{tools.env('USER')}" + NOSCRUB: !expand "{PROJECT_DIR}/noscrub/{tools.env('USER')}" + + BASE_WORKFLOW: !expand "{HOMEgfs}/gfs_workflow.v15.0.0" + BASE_GSM: !expand "{HOMEgfs}/global_shared.v15.0.0" + BASE_MODULES: !expand "{HOMEgfs}/global_shared.v15.0.0/modulefiles" + BASE_ENV: !expand "{HOMEgfs}/gfs_workflow.v15.0.0/env" + BASE_JOB: !expand "{HOMEcrow}/model/fv3gfs/jobs" + + # User paths + EXPDIR: !expand "{HOMEDIR}/{doc.case.experiment_name}" + ROTDIR: !expand "{PTMP}/{doc.case.experiment_name}" + ICSDIR: !expand "{ROTDIR}/FV3ICS" + RUNDIR: !expand "{STMP}/RUNDIRS/{doc.case.experiment_name}" + ARCDIR: !expand "{NOSCRUB}/archive/{doc.case.experiment_name}" + ATARDIR: !expand "/NCEPDEV/{doc.accounting.hpss_project}/1year/{tools.env('USER')}/{doc.platform.name}/scratch/{doc.case.experiment_name}" +# CDUMP: "gfs" + + # Component paths + BASE_FV3GFS: !calc HOMEgfs + BASE_GDAS: !expand "{HOMEgfs}/gdas.v15.0.0" + BASE_GFS: !expand "{HOMEgfs}/gfs.v15.0.0" + BASE_SVN: !calc doc.platform.BASE_SVN + MYBASE_SVN: !expand "{NOSCRUB}/{tools.env('USER')}/svn" + BASE_GSM: !expand "{HOMEgfs}/global_shared.v15.0.0" + BASE_GSI: !expand "{BASE_GSM}/sorc/gsi.fd" + BASE_NEMSfv3gfs: !expand "{BASE_GSM}/sorc/fv3gfs.fd" + BASE_POST: !expand "{BASE_SVN}/post/tags/ncep_post.v7.7.2/" + BASE_PREP: !expand "{BASE_SVN}/obsproc/releases/obsproc_prep_RB-4.0.0" + BASE_PREP_GLOBAL: !expand "{BASE_SVN}/obsproc/releases/obsproc_global_RB-3.0.0" + BASE_VERIF: !expand "{BASE_SVN}/verif/global/tags/vsdb" + BASE_OZNMON: !calc BASE_GSI + GFSDOWNSH: !expand "{BASE_WORKFLOW}/ush/fv3gfs_downstream_nems.sh" + GFSDWNSH: !expand "{BASE_WORKFLOW}/ush/fv3gfs_dwn_nems.sh" + ENKFUPDSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_update_fv3gfs.sh.ecf" + + FIX_DIR: !expand "{BASE_GSM}/fix" + FIX_AM: !expand "{FIX_DIR}/fix_am" + FIX_FV3: !expand "{FIX_DIR}/fix_fv3" + + CHGRESSH: !expand "{BASE_POST}/ush/global_chgres_GSM.sh" + CHGRESSH_ECEN: !expand "{BASE_GSM}/ush/global_chgres_GSM.sh" + + vsdbhome: !calc BASE_VERIF + vsdbsave: !expand "{PROJECT_DIR}/noscrub/{tools.env('USER')}/archive/vsdb_data" + VSDBSH: !expand "{vsdbhome}/vsdbjob.sh" # VSDB job script + +#"/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb/vsdbjob.sh" + + # Locations of specific scripts: + + POSTJJOBSH: !expand "{BASE_WORKFLOW}/jobs/JGFS_POST.sh" + ENKFFCSTSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" + ENKFRECENSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_recenter_fv3gfs.sh.ecf" + CHGRESEXEC: !expand "{BASE_GSM}/exec/global_chgres_GSM" + ENKFINVOBSSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" + INVOBSSH: !expand "{BASE_GSI}/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" + ENKFEXEC: !expand "{BASE_GSI}/exec/global_enkf" + + FCSTEXECDIR: !expand "{BASE_GSM}/sorc/fv3gfs.fd/NEMS/exe" + FORECASTSH: !expand "{BASE_GSM}/scripts/exglobal_fcst_nemsfv3gfs.sh" + POSTGPSH: !expand "{BASE_POST}/ush/global_nceppost.sh" + POSTGPEXEC: !expand "{BASE_POST}/exec/ncep_post" + PARM_FV3DIAG: !expand "{BASE_GSM}/parm/parm_fv3diag" + FIELD_TABLE: !expand "{PARM_FV3DIAG}/field_table_ncld1" + + REGRID_NEMSIO_SH: !expand "{BASE_GSM}/ush/fv3gfs_regrid_nemsio.sh" + REGRID_NEMSIO_TBL: !expand "{PARM_FV3DIAG}/variable_table_da_nonsst.txt" + NC2NEMSIOSH: !expand "{BASE_GSM}/ush/fv3gfs_nc2nemsio.sh" + REMAPSH: !expand "{BASE_GSM}/ush/fv3gfs_remap.sh" + + + DRIVE_MAKEPREPBUFRSH: !expand "{BASE_GSM}/ush/drive_makeprepbufr.sh" + MAKEPREPBUFRSH: !expand "{BASE_PREP}/ush/prepobs_makeprepbufr.sh" diff --git a/systems/fv3gfs/exp/defaults/settings.yaml b/systems/fv3gfs/exp/defaults/settings.yaml new file mode 100644 index 0000000..51ae58b --- /dev/null +++ b/systems/fv3gfs/exp/defaults/settings.yaml @@ -0,0 +1,2 @@ +default_settings: &default_settings + NCO_NAMING_CONV: YES diff --git a/systems/fv3gfs/exp/platforms/_main.yaml b/systems/fv3gfs/exp/platforms/_main.yaml new file mode 100644 index 0000000..76e9da6 --- /dev/null +++ b/systems/fv3gfs/exp/platforms/_main.yaml @@ -0,0 +1,3 @@ +include: + - "*.yaml" + - choice.yaml diff --git a/systems/fv3gfs/exp/platforms/choice.yaml b/systems/fv3gfs/exp/platforms/choice.yaml new file mode 100644 index 0000000..6dc46a3 --- /dev/null +++ b/systems/fv3gfs/exp/platforms/choice.yaml @@ -0,0 +1,11 @@ +platform: !Immediate + - !FirstTrue + - do: + <<: *wcoss_cray + Evaluate: true + when: !calc do.detect + - do: + <<: *theia + Evaluate: true + when: !calc do.detect + - otherwise: null diff --git a/systems/fv3gfs/exp/platforms/jet.yaml b/systems/fv3gfs/exp/platforms/jet.yaml new file mode 100644 index 0000000..21e9131 --- /dev/null +++ b/systems/fv3gfs/exp/platforms/jet.yaml @@ -0,0 +1,57 @@ + +jet: &jet !Platform + <<: *resource_defaults + Evaluate: false + name: JET + detect: !calc tools.isdir("/scratch4") and tools.isdir("/scratch3") + + BASE_SVN: "/dev/null" + + # Environment variables to set in all jobs + general_env: + # FIXME: INSERT TEXT HERE + NCP: "/bin/cp -p" + NLN: "/bin/ln -sf" + NMV: "/bin/mv" + + CHGRP_CMD: chgrp rstprod + + default_cpu_project: fv3-cpu + + serial_accounting: + queue: debug + project: !calc doc.accounting.cpu_project + transfer_accounting: + queue: service + project: !calc doc.accounting.cpu_project + parallel_accounting: + queue: batch + project: !calc doc.accounting.cpu_project + + scheduler_settings: &jet_scheduler + name: MoabTorque + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + parallelism_settings: { <<: *jet_scheduler, name: HydraIMPI } + node_type_settings: { <<: *jet_scheduler, node_type: generic } + + mpi_tuning: + MPI_BUFS_PER_HOST: 2048 + MPI_BUFS_PER_PROC: 2048 + MPI_GROUP_MAX: 256 + MPI_MEMMAP_OFF: 1 + MP_STDOUTMODE: "ORDERED" + NTHSTACK: 1024000000 + OMP_STACKSIZE: 2048000 + + scheduler: !calc | + tools.get_scheduler(scheduler_settings.name, scheduler_settings) + parallelism: !calc | + tools.get_parallelism(parallelism_settings.name, parallelism_settings) + nodes: !calc | + tools.node_tool_for(node_type_settings.node_type, node_type_settings) + + # Path to pan_df, the program used to get Panasas disk usage information: + least_used_scrub: !error You must specify a scrub area when running on jet. diff --git a/systems/fv3gfs/exp/platforms/theia.yaml b/systems/fv3gfs/exp/platforms/theia.yaml new file mode 100644 index 0000000..df5135a --- /dev/null +++ b/systems/fv3gfs/exp/platforms/theia.yaml @@ -0,0 +1,87 @@ + +theia: &theia !Platform + <<: *resource_defaults + Evaluate: false + name: THEIA + detect: !calc tools.isdir("/scratch4") and tools.isdir("/scratch3") + + BASE_SVN: "/scratch4/NCEPDEV/global/save/glopara/svn" + + # Environment variables to set in all jobs + general_env: + POSTGRB2TBL: "/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" + NWPROD: "/scratch4/NCEPDEV/global/save/glopara/nwpara" + DMPDIR: "/scratch4/NCEPDEV/global/noscrub/dump" + RTMFIX: "/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" + NEMSIOGET: !expand "{doc.places.BASE_GSM}/exec/nemsio_get" + #NEMSIOGET: !expand "{NWPROD}/util/exec/nemsio_get" + NDATE: !expand "{NWPROD}/util/exec/ndate" + NHOUR: !expand "{NWPROD}/util/exec/nhour" + WGRIB: !expand "{NWPROD}/util/exec/wgrib" + WGRIB2: !expand "{NWPROD}/util/exec/wgrib2" + COPYGB: !expand "{NWPROD}/util/exec/copygb" + COPYGB2: !expand "{NWPROD}/util/exec/copygb2" + GRBINDEX: !expand "{NWPROD}/util/exec/grbindex" + GRB2INDEX: !expand "{NWPROD}/util/exec/grb2index" + GRBINDEX2: !expand "{NWPROD}/util/exec/grb2index" + CNVGRIB: "/apps/cnvgrib/1.4.0/bin/cnvgrib" + WGRIB: !expand "{NWPROD}/util/exec/wgrib" + WGRIB2: "/scratch3/NCEPDEV/nwprod/utils/wgrib2.v2.0.6c/wgrib2/wgrib2" + + prep_step: !expand "{NWPROD}/prod_util.v1.0.15/ush/prep_step" + + NCP: "/bin/cp -p" + NLN: "/bin/ln -sf" + NMV: "/bin/mv" + + CHGRP_CMD: chgrp rstprod + + default_cpu_project: fv3-cpu + + serial_accounting: + queue: debug + project: !calc doc.accounting.cpu_project + transfer_accounting: + queue: service + project: !calc doc.accounting.cpu_project + parallel_accounting: + queue: batch + project: !calc doc.accounting.cpu_project + + scheduler_settings: &theia_scheduler + name: MoabTorque + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + parallelism_settings: { <<: *theia_scheduler, name: HydraIMPI } + node_type_settings: { <<: *theia_scheduler, node_type: generic } + + mpi_tuning: + MPI_BUFS_PER_HOST: 2048 + MPI_BUFS_PER_PROC: 2048 + MPI_GROUP_MAX: 256 + MPI_MEMMAP_OFF: 1 + MP_STDOUTMODE: "ORDERED" + NTHSTACK: 1024000000 + OMP_STACKSIZE: 2048000 + + scheduler: !calc | + tools.get_scheduler(scheduler_settings.name, scheduler_settings) + parallelism: !calc | + tools.get_parallelism(parallelism_settings.name, parallelism_settings) + nodes: !calc | + tools.node_tool_for(node_type_settings.node_type, node_type_settings) + + # Path to pan_df, the program used to get Panasas disk usage information: + pan_df: pan_df + least_used_scrub: !Immediate + - !FirstMax + - do: /scratch3/NCEPDEV/stmp1 + when: !calc tools.panasas_gb(do) + - do: /scratch3/NCEPDEV/stmp2 + when: !calc tools.panasas_gb(do) + - do: /scratch4/NCEPDEV/stmp3 + when: !calc tools.panasas_gb(do) + - do: /scratch4/NCEPDEV/stmp4 + when: !calc tools.panasas_gb(do) diff --git a/systems/fv3gfs/exp/platforms/wcoss_cray.yaml b/systems/fv3gfs/exp/platforms/wcoss_cray.yaml new file mode 100644 index 0000000..fac857b --- /dev/null +++ b/systems/fv3gfs/exp/platforms/wcoss_cray.yaml @@ -0,0 +1,59 @@ + +wcoss_cray: &wcoss_cray !Platform + <<: *resource_defaults + name: WCOSS_C + Evaluate: false + detect: !calc | + tools.isdir("/gpfs/hps") and \ + tools.isfile("/etc/SuSE-release") + default_cpu_project: GFS-T2O + serial_accounting: + queue: dev + project: !calc doc.accounting.cpu_project + transfer_accounting: + queue: transfer + project: !calc doc.accounting.cpu_project + parallel_accounting: + queue: dev + project: !calc doc.accounting.cpu_project + + scheduler_settings: &wcoss_cray_scheduler + name: LSFAlps + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + parallelism_settings: { <<: *wcoss_cray_scheduler, name: LSFAlps } + node_type_settings: { <<: *wcoss_cray_scheduler, node_type: generic } + + mpi_tuning: + # FIXME: Update for WCOSS Cray + MPI_BUFS_PER_HOST: 2048 + MPI_BUFS_PER_PROC: 2048 + MPI_GROUP_MAX: 256 + MPI_MEMMAP_OFF: 1 + MP_STDOUTMODE: "ORDERED" + NTHSTACK: 1024000000 + OMP_STACKSIZE: 2048000 + + scheduler: !calc | + tools.get_scheduler(scheduler_settings.name, scheduler_settings) + parallelism: !calc | + tools.get_parallelism(parallelism_settings.name, parallelism_settings) + nodes: !calc | + tools.node_tool_for(node_type_settings.node_type, node_type_settings) + + BASE_SVN: "/gpfs/hps3/emc/global/noscrub/emc.glopara/svn" + + general_env: + POSTGRB2TBL: "/gpfs/hps/nco/ops/nwprod/lib/g2tmpl/v1.3.0/src/params_grib2_tbl_new" + CHGRP_CMD: chgrp rstprod + + # Path to mmlsquota, the program used to get GPFS disk usage information: + mmlsquota: "/usr/lpp/mmfs/bin/mmlsquota" + least_used_scrub: !Immediate + - !FirstMax + - do: /gpfs/hps2/ptmp + when: !calc tools.gpfs_gb(do,"hps2-ptmp","hps2",mmlsquota) + - do: /gpfs/hps3/ptmp + when: !calc tools.gpfs_gb(do,"hps3-ptmp","hps3",mmlsquota) diff --git a/systems/fv3gfs/exp/resources/resources.yaml b/systems/fv3gfs/exp/resources/resources.yaml new file mode 100644 index 0000000..5c2a919 --- /dev/null +++ b/systems/fv3gfs/exp/resources/resources.yaml @@ -0,0 +1,188 @@ +resource_defaults: &resource_defaults + + run_test: + resources: !JobRequest + - exe: nothing + mpi_ranks: 1 + + # From if[[...ecen]] block in config.resources: + run_ecen: + env: { } #*mpi_tuning + memory: !FirstTrue + - when: !calc doc.platform.name=='WCOSS_C' + do: "3072M" + - otherwise: "" + resources: !JobRequest + - exe: placeholder + mpi_ranks: 84 + # max_ppn comes from THEIA.env: 84/12 = 7 + max_ppn: 7 + OMP_NUM_THREADS: 2 + + run_chgres: + env: { } + resources: !JobRequest + - exe: time + OMP_NUM_THREADS: 12 + args: + - placeholder + + run_nothing: # Special placeholder for "do nothing" + env: { } + memory: 300M + resources: !JobRequest + - exe: nothing + + run_eobs: + env: { } + memory: !FirstTrue + - when: !calc doc.platform.name=='WCOSS_C' + do: "3072M" + - otherwise: "" + resources: !JobRequest + - exe: placeholder + mpi_ranks: !calc 12*6 + max_ppn: 6 + OMP_NUM_THREADS: 4 + + run_eomg: + env: { } + memory: !FirstTrue + - when: !calc doc.platform.name=='WCOSS_C' + do: "3072M" + - otherwise: "" + resources: !JobRequest + - exe: placeholder + mpi_ranks: !calc 6*12 + max_ppn: 6 + OMP_NUM_THREADS: 2 + + run_eupd: + env: { } + memory: !FirstTrue + - when: !calc doc.platform.name=='WCOSS_C' + do: "3072M" + - otherwise: "" + resources: !JobRequest + - exe: placeholder + mpi_ranks: !calc 10*12 + max_ppn: 12 + OMP_NUM_THREADS: 2 + + run_enkf: + env: { } + resources: !JobRequest + - exe: placeholder + mpi_ranks: !calc 10*12 + max_ppn: 12 + OMP_NUM_THREADS: 4 + + run_efcs: + env: { } + memory: !FirstTrue + - when: !calc doc.platform.name=='WCOSS_C' + do: "3072M" + - otherwise: "" + + run_epos: + env: { } + memory: !FirstTrue + - when: !calc doc.platform.name=='WCOSS_C' + do: "254M" + - otherwise: "" + resources: !JobRequest + - exe: placeholder + mpi_ranks: !calc 7*12 + max_ppn: 12 + OMP_NUM_THREADS: 2 + + run_prep: + env: { } + memory: !FirstTrue + - when: !calc doc.platform.name=='WCOSS_C' + do: "3072M" + - otherwise: "" + resources: !JobRequest + - exe: placeholder + mpi_ranks: 12 + max_ppn: 12 + OMP_NUM_THREADS: max + + run_anal: + env: { } + memory: !FirstTrue + - when: !calc doc.platform.name=='WCOSS_C' + do: "3072M" + - otherwise: "" + resources: !JobRequest + - exe: placeholder + mpi_ranks: !calc 24*6 + max_ppn: 6 + OMP_NUM_THREADS: 2 + + run_gsi: + env: { } + resources: !JobRequest + - exe: placeholder + mpi_ranks: !calc 24*6 + max_ppn: 6 + OMP_NUM_THREADS: 4 + + run_fcst: + env: { } + memory: !FirstTrue + - when: !calc doc.platform.name=='WCOSS_C' + do: "3072M" + - otherwise: "" + + run_post: + env: { } + memory: !FirstTrue + - when: !calc doc.platform.name=='WCOSS_C' + do: "3072M" + - otherwise: "" + resources: !JobRequest + - exe: placeholder + mpi_ranks: !calc 6*12 + max_ppn: 12 + OMP_NUM_THREADS: 1 + + run_vrfy: + env: { } + memory: !FirstTrue + - when: !calc doc.platform.name=='WCOSS_C' + do: "3072M" + - otherwise: "16384M" + resources: !JobRequest + - exe: placeholder + mpi_ranks: 1 + max_ppn: 1 + OMP_NUM_THREADS: 2 + + run_arch: + env: { } + memory: "3072M" + resources: !JobRequest + - exe: placeholder + mpi_ranks: 1 + max_ppn: 1 + OMP_NUM_THREADS: 2 + + run_earc: + env: { } + memory: "3072M" + resources: !JobRequest + - exe: placeholder + mpi_ranks: 1 + max_ppn: 1 + OMP_NUM_THREADS: 2 + + run_fv3ic: + env: { } + memory: !FirstTrue + - when: !calc doc.platform.name=='WCOSS_C' + do: "3072M" + - otherwise: "" + resources: !JobRequest + - exe: placeholder + mpi_ranks: 24 diff --git a/systems/fv3gfs/exp/runtime/_main.yaml b/systems/fv3gfs/exp/runtime/_main.yaml new file mode 100644 index 0000000..8207894 --- /dev/null +++ b/systems/fv3gfs/exp/runtime/_main.yaml @@ -0,0 +1,4 @@ +include: + - runtime.yaml + - rocoto.yaml + - "*.yaml" diff --git a/systems/fv3gfs/exp/runtime/crow_exe_fcst_workflow.yaml b/systems/fv3gfs/exp/runtime/crow_exe_fcst_workflow.yaml new file mode 100644 index 0000000..562b34f --- /dev/null +++ b/systems/fv3gfs/exp/runtime/crow_exe_fcst_workflow.yaml @@ -0,0 +1,92 @@ +crow_exe_fcst_workflow: !Cycle + Rocoto: *Rocoto + + Clock: *clock + + ICSDIR_CYC: !calc | + doc.places.ICSDIR+"{cycle:%Y%m%d%H}/gfs/"+doc.fv3_gfs_settings.CASE+"/INPUT/" + + ics: !Family + getics: !Task + Perform: + <<: *getics_action + CDUMP: gfs + Rocoto: *task_template + + fv3ics: !Task + Perform: + <<: *fv3ics_df_action + CDUMP: gfs + Rocoto: *task_template + Trigger: !Depend getics + + + gfs_ctrl_nc: !OutputSlot { Loc: !calc suite.ICSDIR_CYC+"gfs_ctrl.nc" } + output_data_tiles: !OutputSlot + tile: [ 1, 2, 3, 4, 5, 6 ] + kind: [ sfc_data, gfs_data ] + Loc: !calc suite.ICSDIR_CYC+"{kind}.tile{tile}.nc" + + gfs: !Family + Trigger: !Depend ics + + fcst: !Task + Perform: *crow_exe_fcst_gfs_action + Rocoto: *task_template + + COMOUT: !calc | + doc.places.ROTDIR+"/gfs.{cycle:%Y%m%d}/{cycle:%H}/" + + # Inputs from fv3ic + gfs_ctrl_nc: !InputSlot + Out: !Message up.up.ics.fv3ics.gfs_ctrl_nc + input_data_tiles: !InputSlot + Out: !Message up.up.ics.fv3ics.output_data_tiles(tile=tile,kind=kind) + tile: [ 1, 2, 3, 4, 5, 6 ] + kind: [ sfc_data, gfs_data ] + + coupler_res: !OutputSlot + dt_end: !calc Perform.restart_dt + Loc: !calc COMOUT+"RESTART/"+"{cycle+dt_end:%Y%m%d.%H%M%S}.coupler.res" + + restart_time_tiles: !OutputSlot + dt_end: !calc Perform.restart_dt + tile: [ 1, 2, 3, 4, 5, 6 ] + kind: + - fv_core.res + - fv_srf_wnd.res + - fv_tracer.res + - phy_data + - sfc_data + Loc: !calc COMOUT+"RESTART/"+"{cycle+dt_end:%Y%m%d.%H%M%S}.{kind}.tile{tile}.nc" + + untimed_tiles: !OutputSlot + tile: [ 1, 2, 3, 4, 5, 6 ] + kind: + - atmos_4xdaily + - atmos_static + - grid_spec + - nggps2d + - nggps3d + Loc: !calc COMOUT+"{kind}.tile{tile}.nc" + + post: !Task + Perform: *post_gfs_action + Rocoto: *task_template + Trigger: !Depend fcst + + vrfy: !Task + Perform: *vrfy_gfs_action + Rocoto: *task_template + Trigger: !Depend post + + arch: !Task + Perform: + <<: *arch_gfs_action + CDUMP: gfs + Rocoto: *task_template + Trigger: !Depend gfs + + final: !Task + Perform: *final_action + Rocoto: *task_template diff --git a/systems/fv3gfs/exp/runtime/crow_sh_df_fcst_workflow.yaml b/systems/fv3gfs/exp/runtime/crow_sh_df_fcst_workflow.yaml new file mode 100644 index 0000000..3030d3c --- /dev/null +++ b/systems/fv3gfs/exp/runtime/crow_sh_df_fcst_workflow.yaml @@ -0,0 +1,92 @@ +crow_sh_df_fcst_workflow: !Cycle + Rocoto: *Rocoto + + Clock: *clock + + ICSDIR_CYC: !calc | + doc.places.ICSDIR+"{cycle:%Y%m%d%H}/gfs/"+doc.fv3_gfs_settings.CASE+"/INPUT/" + + ics: !Family + getics: !Task + Perform: + <<: *getics_action + CDUMP: gfs + Rocoto: *task_template + + fv3ics: !Task + Perform: + <<: *fv3ics_df_action + CDUMP: gfs + Rocoto: *task_template + Trigger: !Depend getics + + + gfs_ctrl_nc: !OutputSlot { Loc: !calc suite.ICSDIR_CYC+"gfs_ctrl.nc" } + output_data_tiles: !OutputSlot + tile: [ 1, 2, 3, 4, 5, 6 ] + kind: [ sfc_data, gfs_data ] + Loc: !calc suite.ICSDIR_CYC+"{kind}.tile{tile}.nc" + + gfs: !Family + Trigger: !Depend ics + + fcst: !Task + Perform: *crow_sh_df_fcst_gfs_action + Rocoto: *task_template + + COMOUT: !calc | + doc.places.ROTDIR+"/gfs.{cycle:%Y%m%d}/{cycle:%H}/" + + # Inputs from fv3ic + gfs_ctrl_nc: !InputSlot + Out: !Message up.up.ics.fv3ics.gfs_ctrl_nc + input_data_tiles: !InputSlot + Out: !Message up.up.ics.fv3ics.output_data_tiles(tile=tile,kind=kind) + tile: [ 1, 2, 3, 4, 5, 6 ] + kind: [ sfc_data, gfs_data ] + + coupler_res: !OutputSlot + dt_end: !calc Perform.restart_dt + Loc: !calc COMOUT+"RESTART/"+"{cycle+dt_end:%Y%m%d.%H%M%S}.coupler.res" + + restart_time_tiles: !OutputSlot + dt_end: !calc Perform.restart_dt + tile: [ 1, 2, 3, 4, 5, 6 ] + kind: + - fv_core.res + - fv_srf_wnd.res + - fv_tracer.res + - phy_data + - sfc_data + Loc: !calc COMOUT+"RESTART/"+"{cycle+dt_end:%Y%m%d.%H%M%S}.{kind}.tile{tile}.nc" + + untimed_tiles: !OutputSlot + tile: [ 1, 2, 3, 4, 5, 6 ] + kind: + - atmos_4xdaily + - atmos_static + - grid_spec + - nggps2d + - nggps3d + Loc: !calc COMOUT+"{kind}.tile{tile}.nc" + + post: !Task + Perform: *post_gfs_action + Rocoto: *task_template + Trigger: !Depend fcst + + vrfy: !Task + Perform: *vrfy_gfs_action + Rocoto: *task_template + Trigger: !Depend post + + arch: !Task + Perform: + <<: *arch_gfs_action + CDUMP: gfs + Rocoto: *task_template + Trigger: !Depend gfs + + final: !Task + Perform: *final_action + Rocoto: *task_template diff --git a/systems/fv3gfs/exp/runtime/crow_sh_fcst_workflow.yaml b/systems/fv3gfs/exp/runtime/crow_sh_fcst_workflow.yaml new file mode 100644 index 0000000..ffb69e7 --- /dev/null +++ b/systems/fv3gfs/exp/runtime/crow_sh_fcst_workflow.yaml @@ -0,0 +1,46 @@ +crow_sh_fcst_workflow: !Cycle + Rocoto: *Rocoto + + Clock: *clock + + ics: !Family + getics: !Task + Perform: + <<: *getics_action + CDUMP: gfs + Rocoto: *task_template + + fv3ics: !Task + Perform: + <<: *fv3ics_action + CDUMP: gfs + Rocoto: *task_template + Trigger: !Depend getics + + gfs: !Family + Trigger: !Depend ics + + fcst: !Task + Perform: *crow_sh_fcst_gfs_action + Rocoto: *task_template + + post: !Task + Perform: *post_gfs_action + Rocoto: *task_template + Trigger: !Depend fcst + + vrfy: !Task + Perform: *vrfy_gfs_action + Rocoto: *task_template + Trigger: !Depend post + + arch: !Task + Perform: + <<: *arch_gfs_action + CDUMP: gfs + Rocoto: *task_template + Trigger: !Depend gfs + + final: !Task + Perform: *final_action + Rocoto: *task_template diff --git a/systems/fv3gfs/exp/runtime/cycled_workflow.yaml b/systems/fv3gfs/exp/runtime/cycled_workflow.yaml new file mode 100644 index 0000000..8edd0aa --- /dev/null +++ b/systems/fv3gfs/exp/runtime/cycled_workflow.yaml @@ -0,0 +1,427 @@ +cycled_workflow: !Cycle + Rocoto: *Rocoto + + Clock: *clock + + gdas: !Family + prep: !Task + Perform: *prep_gdas_action + Rocoto: *task_template + Complete: !Depend ~ suite.has_cycle('-6:00:00') + Trigger: !Depend up.gdas.post.at('-6:00:00') + + enkf: !Family + eobs: !Task + Perform: *eobs_action + Rocoto: *task_template + Complete: !Depend ~ suite.has_cycle('-6:00:00') + Trigger: !Depend ( up.prep & epos.at('-6:00:00') ) + + eomg: !Family + Trigger: !Depend eobs + Complete: !Depend ~ suite.has_cycle('-6:00:00') + grp1: !Task + Perform: + <<: *eomg_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 1 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + + grp2: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==20 + do: !Task + Perform: + <<: *eomg_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 2 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp3: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==30 + do: !Task + Perform: + <<: *eomg_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 3 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp4: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==40 + do: !Task + Perform: + <<: *eomg_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 4 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp5: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==50 + do: !Task + Perform: + <<: *eomg_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 5 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp6: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==60 + do: !Task + Perform: + <<: *eomg_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 6 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp7: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==70 + do: !Task + Perform: + <<: *eomg_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 7 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp8: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==80 + do: !Task + Perform: + <<: *eomg_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 8 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + eupd: !Task + Perform: *eupd_action + Rocoto: *task_template + Complete: !Depend ~ suite.has_cycle('-6:00:00') + Trigger: !Depend eomg + + ecen: !Task + Perform: *ecen_action + Rocoto: *task_template + Complete: !Depend ~ suite.has_cycle('-6:00:00') + Trigger: !Depend ( eupd & up.anal ) + +# efcs: !TaskArray +# Trigger: !Depend ecen +# Indices: +# GROUP_NUMBER_INDEX: [ 1, 2, 3, 4, 5, 6, 7, 8 ] +# OTHER_INDEX: [ a, b, c, d ] +# Names: +# grp: !expand grp{indices.GROUP_NUMBER_INDEX:%d}_{indices.OTHER_INDEX} +# other: !expand other{indices.OTHER_INDEX}_{indices.GROUP_NUMBER_INDEX} +# Contents: +# other: !Task +# ... +# grp: !Task +# Perform: +# <<: *efcs_action +# NMEM_ENKF: *NMEM_ENKF +# NMEM_ENKF_GRP_EFMN: *NMEM_ENKF_GRP_EFMN +# GROUP_NUMBER: !calc indices.GROUP_NUMBER_INDEX # Convert to ENSGRP %02d +# task_template: *task_template +# ens_more: *ens_task_template +# Rocoto: !expand "{task_template}{ens_more}" + + efcs: !Family + Trigger: !Depend ( ecen | ~ suite.has_cycle('-6:00:00') ) + grp1: !Task + Perform: + <<: *efcs_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN + GROUP_NUMBER: 1 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *efcs_task_template + Rocoto: !expand "{task_template}{ens_more}" + + grp2: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==20 + do: !Task + Perform: + <<: *efcs_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN + GROUP_NUMBER: 2 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *efcs_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp3: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==30 + do: !Task + Perform: + <<: *efcs_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN + GROUP_NUMBER: 3 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *efcs_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp4: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==40 + do: !Task + Perform: + <<: *efcs_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN + GROUP_NUMBER: 4 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *efcs_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp5: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==50 + do: !Task + Perform: + <<: *efcs_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN + GROUP_NUMBER: 5 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *efcs_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp6: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==60 + do: !Task + Perform: + <<: *efcs_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN + GROUP_NUMBER: 6 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *efcs_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp7: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==70 + do: !Task + Perform: + <<: *efcs_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN + GROUP_NUMBER: 7 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *efcs_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + grp8: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==80 + do: !Task + Perform: + <<: *efcs_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN + GROUP_NUMBER: 8 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *efcs_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + epos: !Task + Perform: *epos_action + Rocoto: *task_template + Trigger: !Depend efcs + + anal: !Task + Perform: *anal_gdas_action + Rocoto: *task_template + Complete: !Depend ~ suite.has_cycle('-6:00:00') + Trigger: !Depend ( prep & enkf.epos.at('-6:00:00') ) + + fcst: !Task + Perform: *fcst_gdas_action + Rocoto: *task_template + Trigger: !Depend ( anal | ~ suite.has_cycle('-6:00:00') ) + + post: !Task + Perform: *post_gdas_action + Rocoto: *task_template + Trigger: !Depend fcst + + vrfy: !Task + Perform: *vrfy_gdas_action + Rocoto: *task_template + Trigger: !Depend post + + gfs: !Family + Complete: !Depend ~ suite.has_cycle('-6:00:00') + prep: !Task + Perform: *prep_gfs_action + Rocoto: *task_template + Trigger: !Depend up.gdas.post.at('-6:00:00') + + anal: !Task + Perform: *anal_gfs_action + Rocoto: *task_template + Trigger: !Depend ( prep & up.gdas.enkf.epos.at('-6:00:00') ) + + fcst: !Task + Perform: *fcst_gfs_action + Rocoto: *task_template + Trigger: !Depend anal + + post: !Task + Perform: *post_gfs_action + Rocoto: *task_template + Trigger: !Depend fcst + + vrfy: !Task + Perform: *vrfy_gfs_action + Rocoto: *task_template + Trigger: !Depend post + + archive: !Family + gdasarch: !Task + Perform: + <<: *arch_gdas_action + CDUMP: gdas + Rocoto: *task_template + Trigger: !Depend up.gdas.vrfy + + gfsarch: !Task + Perform: + <<: *arch_gfs_action + CDUMP: gfs + Rocoto: *task_template + Complete: !Depend ~ suite.has_cycle('-6:00:00') + Trigger: !Depend up.gfs.vrfy + + earc: !Family + Trigger: !Depend up.gdas.enkf.epos + grp1: !Task + Perform: + <<: *earc_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 1 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + grp2: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==20 + do: !Task + Perform: + <<: *earc_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 2 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + grp3: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==30 + do: !Task + Perform: + <<: *earc_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 3 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + grp4: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==40 + do: !Task + Perform: + <<: *earc_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 4 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + grp5: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==50 + do: !Task + Perform: + <<: *earc_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 5 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + grp6: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==60 + do: !Task + Perform: + <<: *earc_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 6 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + grp7: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==70 + do: !Task + Perform: + <<: *earc_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 7 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + grp8: !FirstTrue + - when: !calc doc.data_assimilation.NMEM_ENKF==80 + do: !Task + Perform: + <<: *earc_action + NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF + NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN + GROUP_NUMBER: 8 # Convert to ENSGRP %02d + task_template: *task_template + ens_more: *eomn_task_template + Rocoto: !expand "{task_template}{ens_more}" + - otherwise: null + + final: !Task + Perform: *final_action + Rocoto: *task_template diff --git a/systems/fv3gfs/exp/runtime/gfs_forecast_workflow.yaml b/systems/fv3gfs/exp/runtime/gfs_forecast_workflow.yaml new file mode 100644 index 0000000..9c83310 --- /dev/null +++ b/systems/fv3gfs/exp/runtime/gfs_forecast_workflow.yaml @@ -0,0 +1,46 @@ +gfs_forecast_workflow: !Cycle + Rocoto: *Rocoto + + Clock: *clock + + ics: !Family + getics: !Task + Perform: + <<: *getics_action + CDUMP: gfs + Rocoto: *task_template + + fv3ics: !Task + Perform: + <<: *fv3ics_action + CDUMP: gfs + Rocoto: *task_template + Trigger: !Depend getics + + gfs: !Family + Trigger: !Depend ics + + fcst: !Task + Perform: *fcst_gfs_action + Rocoto: *task_template + + post: !Task + Perform: *post_gfs_action + Rocoto: *task_template + Trigger: !Depend fcst + + vrfy: !Task + Perform: *vrfy_gfs_action + Rocoto: *task_template + Trigger: !Depend post + + arch: !Task + Perform: + <<: *arch_gfs_action + CDUMP: gfs + Rocoto: *task_template + Trigger: !Depend gfs + + final: !Task + Perform: *final_action + Rocoto: *task_template diff --git a/systems/fv3gfs/exp/runtime/rocoto.yaml b/systems/fv3gfs/exp/runtime/rocoto.yaml new file mode 100644 index 0000000..70ba0f9 --- /dev/null +++ b/systems/fv3gfs/exp/runtime/rocoto.yaml @@ -0,0 +1,117 @@ +Rocoto: &Rocoto + scheduler: !calc doc.platform.scheduler + parallelism: !calc doc.platform.parallelism +# EXPDIR: !calc doc.places.EXPDIR + max_tries: 1 + + workflow_xml: !expand | + + + + + + + + + ]> + + &LOG_DIR;/rocoto_@Y@m@d@H.log + {to_rocoto.make_time_xml(indent=1)} + {to_rocoto.make_task_xml(indent=1)} + + + task_template: &task_template !expand | + {doc.places.BASE_JOB}/job_wrapper.sh {Perform.J_JOB} + {doc.case.experiment_name}{task_path_str}@@Y@m@d@H + &LOG_DIR;/@Y@m@d@H/{task_path_var}.log + {Perform.walltime} + {Perform.memory} + {sched.rocoto_accounting(Perform.accounting)} + {sched.rocoto_resources(Perform.resources)} + CDATE@Y@m@d@H + + + COMOUTgfs + &COM_DIR;/@Y@m@d@H + + + OLD_COM_DIR + &COM_DIR;/@Y@m@d@H + + + SCRUB_DIR + &SCRUB_DIR;/@Y@m@d@H + + + TASK_PATH + {task_path_var}.Perform + + + MACHINE + {doc.platform.name} + + + machine + {doc.platform.name} + + + BASE_MODULES + {doc.places.BASE_MODULES} + + + CONFIG_YAML + {doc.places.EXPDIR}/config.yaml + + + CROW_DATAFLOW_DB + {doc.places.EXPDIR}/dataflow.db + + + HOMEcrow + {doc.places.HOMEcrow} + + + BASE_JOB + {doc.places.BASE_JOB} + + + HOMEgfs + {doc.places.HOMEgfs} + + + ACTUALLY_RUN + {"YES" if doc.case.ACTUALLY_RUN else "NO"} + + + efcs_task_template: &efcs_task_template !expand | + + NMEM_ENKF + {Perform.NMEM_ENKF} + + + NMEM_ENKF_GRP_EFMN + {Perform.NMEM_ENKF_GRP_EFMN} + + + GROUP_NUMBER + GROUP_NUMBER + + + eomn_task_template: &eomn_task_template !expand | + + NMEM_ENKF + {Perform.NMEM_ENKF} + + + NMEM_ENKF_GRP_EOMN + {Perform.NMEM_ENKF_GRP_EOMN} + + + GROUP_NUMBER + GROUP_NUMBER + + diff --git a/systems/fv3gfs/exp/runtime/runtime.yaml b/systems/fv3gfs/exp/runtime/runtime.yaml new file mode 100644 index 0000000..5f69a04 --- /dev/null +++ b/systems/fv3gfs/exp/runtime/runtime.yaml @@ -0,0 +1,28 @@ +runtime: &runtime + com: !calc tools.env('COMINtest') + prior_com: !calc tools.env('COMOLDtest') + +clock: &clock !Clock + start: !calc doc.case.SDATE + end: !calc doc.case.EDATE + step: !timedelta 06:00 + now: !FirstTrue + - do: !calc tools.from_YMDH(tools.env('CDATE')) + when: !calc tools.have_env('CDATE') + - otherwise: null + +clock2: !Clock + start: !calc doc.case.SDATE + end: !calc doc.case.EDATE + step: !timedelta 06:00 + now: !FirstTrue + - do: !calc tools.from_YMDH(tools.env('CDATE')) + when: !calc tools.have_env('CDATE') + - otherwise: null + +test1: + test2: + test3: !FirstTrue + - do: !calc tools.from_YMDH(tools.env('CDATE')) + when: !calc tools.have_env('CDATE') + - otherwise: null diff --git a/systems/fv3gfs/exp/setup_case.py b/systems/fv3gfs/exp/setup_case.py new file mode 100755 index 0000000..401cc22 --- /dev/null +++ b/systems/fv3gfs/exp/setup_case.py @@ -0,0 +1,109 @@ +#! /usr/bin/env python3 +f'This script requires python 3.6 or later' + +import os, sys, logging, glob, io, getopt, re +from collections.abc import Sequence + +sys.path.append(os.path.abspath(os.path.join( + os.path.dirname(__file__),'../../..'))) + +from create_comrot import create_COMROT +import crow.config, crow.metascheduler, crow.dataflow +from crow.config import Platform, follow_main + +logger=logging.getLogger("setup_case") + +def epicfail(why): + logger.error(why) + sys.exit(1) + +def read_contents(case): + for case_file in [ case,f"{case}.yaml",f"cases/{case}", + f"cases/{case}.yaml","/" ]: + if os.path.exists(case_file) and case_file!='/': + logger.info(f"{case_file}: file for this case") + break + if case_file == "/": + epicfail(f"{case}: no such case; pick one from in cases/") + if not os.path.exists("user.yaml"): + epicfail("Please copy user.yaml.default to user.yaml and fill in values.") + with io.StringIO() as yfd: + follow_main(yfd,".",{ "case_yaml":case_file, "user_yaml":"user.yaml" }) + yaml=yfd.getvalue() + return crow.config.from_string(yaml) + +def main(): + ( optval, args ) = getopt.getopt(sys.argv[1:],"v",["verbose","force"]) + options=dict(optval) + level=logging.INFO + if '-v' in options or '--verbose' in options: + level=logging.DEBUG + logging.basicConfig(stream=sys.stderr,level=level) + force="--force" in options + + if len(args)!=2: + sys.stderr.write("Format: setup_case.py [-v] [--force] case-name experiment-name\n") + exit(1) + + case=args[0] + experiment_name=args[1] + + logger.info(f"read case {case}") + conf=read_contents(case) + conf.experiment_name=experiment_name + crow.config.validate(conf.case) + logger.info("Remove platforms from configuration.") + for key in list(conf.keys()): + if isinstance(conf[key],Platform) and key!='platform': + del conf[key] + + EXPDIR=conf.places.EXPDIR + logger.info(f'Run directory: {EXPDIR}') + config_yaml=os.path.join(EXPDIR,'config.yaml') + dataflow_db=os.path.join(EXPDIR,'dataflow.db') + + try: + os.makedirs(EXPDIR) + except FileExistsError: + logger.warning(f'{EXPDIR}: exists') + if not force: + logger.error(f'{EXPDIR}: already exists. Delete or use --force.') + sys.exit(1) + logger.warning(f'--force given; will replace config.yaml without ' + 'deleting directory') + + if 'IC_CDUMP' in conf.case and 'IC_DIR' in conf.case: + create_COMROT(conf) + + chosen_workflow=conf.case.workflow + conf.workflow=conf[chosen_workflow] + + suite=crow.config.Suite(conf[chosen_workflow]) + doc=crow.config.document_root(suite) + + expname=conf.case.experiment_name + logger.info(f'Experiment name: {expname}') + + logger.info(f'Generate suite definition') + rocoto_xml=crow.metascheduler.to_rocoto(suite) + logger.info(f'Prepare cached YAML') + yaml=crow.config.to_yaml(doc) + + logger.info(f'Write the config file: {config_yaml}') + with open(config_yaml,'wt') as fd: + fd.write(yaml) + + if not os.path.exists(dataflow_db): + logger.info(f'Write the dataflow sqlite3 file: {dataflow_db}') + df=crow.dataflow.from_suite(suite,dataflow_db) + #Uncomment to dump database to stdout: df.dump(sys.stdout) + + rocoto_xml_file=os.path.join(EXPDIR,f'{expname}.xml') + logger.info(f'Rocoto XML file: {rocoto_xml_file}') + with open(rocoto_xml_file,'wt') as fd: + fd.write(rocoto_xml) + logger.info('Workflow XML file is generated.') + logger.info('Use Rocoto to execute this workflow.') + +if __name__ == "__main__": + main() diff --git a/systems/fv3gfs/exp/test_sections.py b/systems/fv3gfs/exp/test_sections.py new file mode 100755 index 0000000..433e5eb --- /dev/null +++ b/systems/fv3gfs/exp/test_sections.py @@ -0,0 +1,26 @@ +#! /usr/bin/env python3.6 + +import os, sys, logging + +try: + import crow.config +except ModuleNotFoundError: + there=os.path.abspath(os.path.join(os.path.dirname(__file__),'../..')) + sys.path.append(there) + import crow.config +from crow.config import Platform + +logging.basicConfig(stream=sys.stderr,level=logging.INFO, + format='%(module)s:%(lineno)d: %(levelname)8s: %(message)s') +logger=logging.getLogger('setup_expt') + +if len(sys.argv)<3: + logger.error('Format: test_sections.py /path/to/config.yaml sections') + exit(1) + +conf=crow.config.from_file(sys.argv[1]) + +for var in sys.argv[2:]: + val=eval(var,{},conf) + crow.config.validate(val) + print(f'{var} = {val!r}') diff --git a/systems/fv3gfs/exp/user.yaml.default b/systems/fv3gfs/exp/user.yaml.default new file mode 100644 index 0000000..0182e54 --- /dev/null +++ b/systems/fv3gfs/exp/user.yaml.default @@ -0,0 +1,14 @@ +user_places: &user_places + <<: *default_places + + PROJECT_DIR: !error Please select a project directory. + # ie. /scratch4/NCEPDEV/ocean + + HOMEgfs: !error Where is your fv3gfs checkout? + # NOTE: Use this: /scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/fv3gfs-fcst-post/fv3gfs + +accounting: &accounting + # Project for CPU accounting. + Template: *accounting_template + cpu_project: !error What accounting code do I use to submit jobs? # ie.: global + hpss_project: !error Where do I put data on HPSS? # ie.: emc-global diff --git a/systems/fv3gfs/exp/validation/_main.yaml b/systems/fv3gfs/exp/validation/_main.yaml new file mode 100644 index 0000000..1828e34 --- /dev/null +++ b/systems/fv3gfs/exp/validation/_main.yaml @@ -0,0 +1,4 @@ +include: + - fv3.yaml + - "*.yaml" + - case.yaml diff --git a/systems/fv3gfs/exp/validation/accounting.yaml b/systems/fv3gfs/exp/validation/accounting.yaml new file mode 100644 index 0000000..26a825f --- /dev/null +++ b/systems/fv3gfs/exp/validation/accounting.yaml @@ -0,0 +1,12 @@ +accounting_template: !Template &accounting_template + cpu_project: + type: string + description: Which project or accounting code to use for cpu time in the batch queue + + hpss_project: + type: string + description: Which project or accounting code to use for HPSS tape storage. + + noscrub_project: + type: string + description: Which project to use for non-scrubbed disk areas diff --git a/systems/fv3gfs/exp/validation/case.yaml b/systems/fv3gfs/exp/validation/case.yaml new file mode 100644 index 0000000..6bea6e5 --- /dev/null +++ b/systems/fv3gfs/exp/validation/case.yaml @@ -0,0 +1,57 @@ +case_template: !Template &case_template + experiment_name: + type: string + description: user-defined experiment name + override: !FirstTrue + - when: !calc tools.grep('^[A-Za-z][A-Za-z0-9_]*$',experiment_name) + do: null # no change + - otherwise: !error Experiment names must be alphanumeric and start with a letter. + + gfs_cyc: + type: int + default: 2 + allowed: [ 0, 1, 2, 4 ] + description: | + When to run GFS forecast. Data assimilation is run for every + cycle regardless of these values + * 0: no GFS cycle + * 1: 00Z only + * 2: 00Z and 12Z only + * 4: all 4 cycles (0, 6, 12, 18 Z) + + SDATE: + type: datetime + description: | + First cycle to run. In the cycled workflow, this is a + "half-cycle" in that it only runs the parts of the workflow that + do not require a prior cycle. The first "real" cycle is the + following cycle. + + EDATE: + type: datetime + description: Last cycle to run GDAS. + + master_grid: + type: string + default: "0p25deg" + allowed: [ "1deg", "0p5deg", "0p25deg", "0p125deg" ] + description: Original grid of the post + + DO_RELOCATE: + type: bool + default: NO + allowed: [ NO ] + description: vortex relocation flag - keep as NO - relocate not yet implemented + + ACTUALLY_RUN: + type: bool + default: YES + description: For test purposes only. Keep as YES + + experiment_name: + type: string + default: !calc doc.experiment_name + description: | + User-defined experiment name; should be a-z followed by alphanumeric. + Formerly known as PSLOT. + diff --git a/systems/fv3gfs/exp/validation/chgres.yaml b/systems/fv3gfs/exp/validation/chgres.yaml new file mode 100644 index 0000000..4de42b7 --- /dev/null +++ b/systems/fv3gfs/exp/validation/chgres.yaml @@ -0,0 +1,10 @@ +chgres_template: !Template &chgres_template + CHGRESVARS_ENKF: + type: string + default: "use_ufo=.true.,nopdpvv=.true." + + CHGRESTHREAD: + type: int + default: 12 + description: Number of threads to use for chgres + diff --git a/systems/fv3gfs/exp/validation/data_assimilation.yaml b/systems/fv3gfs/exp/validation/data_assimilation.yaml new file mode 100644 index 0000000..8080b5f --- /dev/null +++ b/systems/fv3gfs/exp/validation/data_assimilation.yaml @@ -0,0 +1,46 @@ +data_assimilation_template: !Template &data_assimilation_template + DOHYBVAR: + type: bool + default: YES + description: flag (YES or NO) for hybrid ensemble variational option + NMEM_ENKF: + type: int + default: 80 + allowed: [ 10, 20, 30, 40, 50, 60, 70, 80 ] + description: Number of members of the GFS ENKF ensemble. + NMEM_ENKF_GRP_EOMN: { type: int, default: 10, allowed: [ 10 ] } + NMEM_ENKF_GRP_EFMN: { type: int, default: 10, allowed: [ 10 ] } + RECENTER_ENKF: { type: bool, default: YES } + SMOOTH_ENKF: { type: bool, default: YES } + assim_freq: + type: int + default: 6 + l4densvar: + type: string + allowed: [ '.true.', '.false.' ] + default: '.false.' + lwrite4danl: + type: string + allowed: [ '.true.', '.false.' ] + default: '.false.' + + DO_MAKEPREPBUFR: + type: bool + default: YES + description: If NO, will copy prepbufr from globaldump. Otherwise, generates prepbufr file anew. + + OBSINPUT_INVOBS: + type: string + default: "dmesh(1)=225.0,dmesh(2)=225.0" + OBSQC_INVOBS: + type: string + default: "tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" + RERUN_EOMGGRP: + type: bool + default: YES + + +# These variable largely eliminate the need for explicitly setting +# USH directories, FIX files, PARM files, EXECutables below +# The USER can overwrite components that they wish +# e.g. PRVT is used from the GSI diff --git a/systems/fv3gfs/exp/validation/fv3.yaml b/systems/fv3gfs/exp/validation/fv3.yaml new file mode 100644 index 0000000..e20bc4d --- /dev/null +++ b/systems/fv3gfs/exp/validation/fv3.yaml @@ -0,0 +1,250 @@ +fv3_settings_template: !Template &fv3_settings_template + + do_vort_damp: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + description: vorticity and divergence damping + consv_te: + type: float + default: 1. + description: conserve total energy + fv_sg_adj: + type: int + default: 450 + description: time-scale to remove 2dz instability + dspheat: + type: string + default: ".true." + allowed: [ ".true.", ".false." ] + description: dissipative heating + shal_cnv: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + description: shallow convection flag + agrid_vel_rst: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + description: "write velocity restarts on A grid?" + + IEMS: { type: int, default: 1 } + IALB: { type: int, default: 1 } + ISOL: { type: int, default: 2 } + IAER: { type: int, default: 111 } + ICO2: { type: int, default: 2 } + + warm_start: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + + read_increment: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + + restart_interval: + type: int + default: 6 + + LEVS: + type: int + description: Number of vertical levels in FV3 + + FHCYC: + type: int + default: 24 + description: Surface cycle update frequency + + QUILTING: + type: bool + default: YES + description: Should output quilting be used? + + WRITE_NEMSIOFILE: + type: bool + default: YES + description: Should nemsio output be used (yes/true) instead of netcdf (no/false)? + + WRITE_NEMSIOFLIP: + type: bool + default: YES + + nst_anl: + type: bool + default: YES + + DONST: + type: bool + default: YES + + MONO: + type: string + default: "non-mono" + allowed: [ mono, non-mono ] + + MEMBER: + type: int + stage: [ execution ] + default: -1 + description: "-1: control, 0: ensemble mean, >0: ensemble member $MEMBER" + + ISEED: + type: int + default: 0 + stage: [ execution ] + description: > + Default seed for shum, skeb, and sppt, if specific seeds + are not specified. + + SET_STP_SEED: + type: bool + default: YES + description: > + Automatically set seeds for SKEB, SHUM, and SPPT at execution + time based on simulation date and member. + + DO_SHUM: + type: bool + default: NO + if_present: !FirstTrue + - when: !calc DO_SHUM + do: + ISEED_SHUM: { type: int, optional: true } + SHUM: { type: float, default: -999. } + SHUM_TAU: { type: float, default: -999. } + SHUM_LSCALE: { type: float, default: -999. } + - otherwise: null + + DO_SKEB: + type: bool + default: NO + if_present: !FirstTrue + - when: !calc DO_SKEB + do: + ISEED_SHUM: { type: int, optional: true } + SKEB_TAU: { type: float, default: -999. } + SKEB_LSCALE: { type: float, default: -999. } + SKEBNORM: { type: int, default: 1 } + - otherwise: null + + DO_SPPT: + type: bool + default: NO + if_present: !FirstTrue + - when: !calc DO_SPPT==True + do: + ISEED_SHUM: { type: int, optional: true } + SPPT: { type: float } + SPPT_TAU: { type: float, default: -999. } + SPPT_LSCALE: { type: float, default: -999. } + SPPT_SFCLIMIT: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + - otherwise: !Message "Don't SPPT" + + RUN_EFCSGRP: { type: bool, default: NO } + + ncld: { type: int, default: 1 } + nwat: { type: int, default: 2 } + + zhao_mic: { type: bool, default: YES } + + nh_type: { type: string, default: 'nh' } + + USE_COUPLER_RES: { type: bool, default: NO } + + CDUMP: + type: string + allowed: [ gfs, gdas ] + if_present: !Template + DIAG_TABLE: + type: string + stages: [ suite ] + default: !FirstTrue + - when: !calc CDUMP=="gdas" + do: !expand "{doc.places.BASE_GSM}/parm/parm_fv3diag/diag_table_da" + - when: !calc CDUMP=="gfs" + do: !expand "{doc.places.BASE_GSM}/parm/parm_fv3diag/diag_table" + - otherwise: !error "Do not know DIAG_TABLE for CDUMP={CDUMP}" + + CASE: + type: string + allowed: [ 'C48', 'C96', 'C192', 'C384', 'C768', 'C1152', 'C3072' ] + if_present: !FirstTrue + - when: !calc CASE=='C48' + do: !Template + DELTIM: { default: 3600, type: int } + layout_x: { default: 4, type: int } + layout_y: { default: 8, type: int } + WRITE_GROUP: { default: 1, type: int } + WRTTASK_PER_GROUP: { default: 12, type: int } + + - when: !calc CASE=='C96' + do: !Template + DELTIM: { default: 1800, type: int } + layout_x: { default: 4, type: int } + layout_y: { default: 8, type: int } + cdmbgwd: + default: "0.125,3.0" + type: string + description: "mountain blocking and gravity wave drag" + WRITE_GROUP: { default: 1, type: int } + WRTTASK_PER_GROUP: { default: 12, type: int } + + - when: !calc CASE=='C192' + do: !Template + DELTIM: { default: 900, type: int } + layout_x: { default: 4, type: int } + layout_y: { default: 8, type: int } + cdmbgwd: + default: "0.2,2.5" + type: string + description: "mountain blocking and gravity wave drag" + WRITE_GROUP: { default: 1, type: int } + WRTTASK_PER_GROUP: { default: 24, type: int } + + - when: !calc CASE=='C384' + do: !Template + DELTIM: { default: 450, type: int } + layout_x: { default: 4, type: int } + layout_y: { default: 8, type: int } + cdmbgwd: + default: "1.0,1.2" + type: string + description: "mountain blocking and gravity wave drag" + WRITE_GROUP: { default: 2, type: int } + WRTTASK_PER_GROUP: { default: 48, type: int } + + - when: !calc CASE=='C768' + do: !Template + DELTIM: { default: 225, type: int } + layout_x: { default: 8, type: int } + layout_y: { default: 16, type: int } + cdmbgwd: + default: "3.5,0.25" + type: string + description: 'mountain blocking and gravity wave drag' + WRITE_GROUP: { default: 2, type: int } + WRTTASK_PER_GROUP: { default: 96, type: int } + + - when: !calc CASE=='C1152' + do: + DELTIM: { default: 150, type: int } + layout_x: { default: 8, type: int } + layout_y: { default: 16, type: int } + WRITE_GROUP: { default: 2, type: int } + WRTTASK_PER_GROUP: { default: 120, type: int } + + - when: !calc CASE=='C3072' + do: + DELTIM: { default: 90, type: int } + layout_x: { default: 16, type: int } + layout_y: { default: 32, type: int } + WRITE_GROUP: { default: 4, type: int } + WRTTASK_PER_GROUP: { default: 120, type: int } + + - otherwise: null diff --git a/systems/fv3gfs/exp/validation/fv3_forecast.yaml b/systems/fv3gfs/exp/validation/fv3_forecast.yaml new file mode 100644 index 0000000..eb27c97 --- /dev/null +++ b/systems/fv3gfs/exp/validation/fv3_forecast.yaml @@ -0,0 +1,530 @@ +fv3_forecast_template: !Template &fv3_forecast_template + # Required variables with no defaults: + QUILTING: { type: bool } + cores_per_node: { type: int } + OUTPUT_GRID: { type: string } + + FHZER: { type: int, default: 6 } + NSOUT: { type: int, default: -1 } + ENS_NUM: { type: int, default: 1 } + ntiles: { type: int, default: 6 } + NUM_FILES: { type: int, default: 2 } + hyperthread: { type: bool, default: false } + + filename_base: + type: string + stages: [ execution ] + default: !expand | + '{CDUMP}.t{doc.clock.now:%H}z.atm' '{CDUMP}.t{doc.clock.now:%H}z.sfc' + + rCDUMP: + type: string + allowed: [ gfs, gdas ] + default: !FirstTrue + - when: !calc CDUMP=="gfs" + do: gdas + - otherwise: !calc CDUMP + + FIELD_TABLE: + type: string + default: !expand "{doc.places.PARM_FV3DIAG}/field_table" + + DIAG_TABLE: + type: string + default: !expand "{doc.places.PARM_FV3DIAG}/diag_table" + + DATA_TABLE: + type: string + default: !expand "{doc.places.PARM_FV3DIAG}/data_table" + + domains_stack_size: { type: int, default: 3000000 } + print_memory_usage: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + + res: { type: int, default: !calc "int(CASE[1:])" } + JCAP_CASE: { type: int, default: !calc "2*res-2" } + LONB_CASE: { type: int, default: !calc "4*res" } + LATB_CASE: { type: int, default: !calc "2*res" } + JCAP: { type: int, default: !calc JCAP_CASE } + LONB: { type: int, default: !calc LONB_CASE } + LATB: { type: int, default: !calc LATB_CASE } + JCAP_STP: { type: int, default: !calc JCAP_CASE } + LONB_STP: { type: int, default: !calc LONB_CASE } + LATB_STP: { type: int, default: !calc LATB_CASE } + npx: { type: int, default: !calc res+1 } + npy: { type: int, default: !calc res+1 } + npz: { type: int, default: !calc LEVS-1 } + + FNGLAC: { type: string, default: !expand "{doc.places.FIX_AM}/global_glacier.2x2.grb" } + FNMXIC: { type: string, default: !expand "{doc.places.FIX_AM}/global_maxice.2x2.grb"} + FNTSFC: { type: string, default: !expand "{doc.places.FIX_AM}/RTGSST.1982.2012.monthly.clim.grb"} + FNSNOC: { type: string, default: !expand "{doc.places.FIX_AM}/global_snoclim.1.875.grb"} + FNZORC: { type: string, default: "igbp"} + FNALBC2: { type: string, default: !expand "{doc.places.FIX_AM}/global_albedo4.1x1.grb"} + FNAISC: { type: string, default: !expand "{doc.places.FIX_AM}/CFSR.SEAICE.1982.2012.monthly.clim.grb"} + FNTG3C: { type: string, default: !expand "{doc.places.FIX_AM}/global_tg3clim.2.6x1.5.grb"} + FNVEGC: { type: string, default: !expand "{doc.places.FIX_AM}/global_vegfrac.0.144.decpercent.grb"} + FNMSKH: { type: string, default: !expand "{doc.places.FIX_AM}/seaice_newland.grb"} + FNVMNC: { type: string, default: !expand "{doc.places.FIX_AM}/global_shdmin.0.144x0.144.grb"} + FNVMXC: { type: string, default: !expand "{doc.places.FIX_AM}/global_shdmax.0.144x0.144.grb"} + FNSLPC: { type: string, default: !expand "{doc.places.FIX_AM}/global_slope.1x1.grb"} + + FNALBC: + type: string + default: !expand "{doc.places.FIX_AM}/global_snowfree_albedo.bosu.t{JCAP}.{LONB}.{LATB}.rg.grb" + override: !FirstTrue + - when: !calc not tools.isfile(FNALBC) + do: "{doc.places.FIX_AM}/global_snowfree_albedo.bosu.t1534.3072.1536.rg.grb" + - otherwise: null + + FNVETC: + type: string + default: !expand "{doc.places.FIX_AM}/global_vegtype.igbp.t{JCAP}.{LONB}.{LATB}.rg.grb" + override: !FirstTrue + - when: !calc not tools.isfile(FNVETC) + do: "{doc.places.FIX_AM}/global_vegtype.igbp.t1534.3072.1536.rg.grb" + - otherwise: null + + FNSOTC: + type: string + default: !expand "{doc.places.FIX_AM}/global_soiltype.statsgo.t{JCAP}.{LONB}.{LATB}.rg.grb" + otherwise: !FirstTrue + - when: !calc tools.isfile(FNSOTC) + do: "{doc.places.FIX_AM}/global_soiltype.statsgo.t1534.3072.1536.rg.grb" + - otherwise: null + + FNABSC: + type: string + default: !expand "{doc.places.FIX_AM}/global_mxsnoalb.uariz.t{JCAP}.{LONB}.{LATB}.rg.grb" + otherwise: !FirstTrue + - when: !calc tools.isfile(FNABSC) + do: "{doc.places.FIX_AM}/global_mxsnoalb.uariz.t1534.3072.1536.rg.grb" + - otherwise: null + + FNTSFA: { type: string, default: "" } + FNACNA: { type: string, default: "" } + FNSNOA: { type: string, default: "" } + + FNSMCC: + type: string + default: !expand "{doc.places.FIX_AM}/global_soilmgldas.t{JCAP}.{LONB}.{LATB}.grb" + otherwise: !FirstTrue + - when: !calc tools.isfile(FNSMCC) + do: "{doc.places.FIX_AM}/global_soilmgldas.t1534.3072.1536.grb" + - otherwise: null + + nstf_name: + type: string + default: "0,0,0,0,0" + description: | + nstf_name contains the NSST related parameters + nstf_name(1) : 0 = NSSTM off, 1 = NSSTM on but uncoupled, 2 = NSSTM on and coupled + nstf_name(2) : 0 = NSSTM spin up off, 1 = NSSTM spin up on, + nstf_name(3) : 0 = NSSTM analysis off, 1 = NSST analysis on + nstf_name(4) : zsea1 in mm + nstf_name(5) : zsea2 in mm + + io_layout: + type: string + default: "1,1" + + blocksize: + type: int + default: 32 + description: | + Comment from exglobal_fcst_nemsfv3gfs.sh: + blocking factor used for threading and general physics performance + + nyblocks=`expr \( $npy - 1 \) \/ $layout_y ` + nxblocks=`expr \( $npx - 1 \) \/ $layout_x \/ 32` + if [ $nxblocks -le 0 ]; then nxblocks=1 ; fi + + warm_start: + type: string + allowed: [ ".true.", ".false." ] + description: | + True = warm start from restart file + False = CHGRES GFS analyses + if_present: !FirstTrue + - when: !calc warm_start==".true." + do: !Template + na_init: { type: int, default: 0 } + nggps_ic: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + ncep_ic: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + external_ic: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + mountain: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + + res_latlon_dynamics: + description: Add increment on the fly to the restarts + type: string + default: !FirstTrue + - when: !calc read_increment == ".true." + do: "fv3_increment.nc" + - otherwise: "" + - otherwise: !Template + na_init: { type: int, default: 1 } + + nggps_ic: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + ncep_ic: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + external_ic: + type: string + allowed: [ ".true.", ".false." ] + override: ".true." + mountain: + type: string + allowed: [ ".true.", ".false." ] + override: ".false." + read_increment: + type: string + allowed: [ ".true.", ".false." ] + override: ".false." + res_latlon_dynamics: + type: string + default: "" + + filtered_terrain: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + + gfs_dwinds: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + + no_dycore: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + + adiabatic: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + + dycore_only: + type: string + allowed: [ ".true.", ".false." ] + default: !calc adiabatic + + chksum_debug: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + + gfs_phys_debug: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + + print_esmf: { type: bool, default: true } + RUN_CONTINUE: { type: bool, default: false } + ENS_SPS: { type: bool, default: false } + calendar: { type: string, default: "julian" } + memuse_verbose: { type: bool, default: false } + + + print_freq: + type: int + default: 6 + + + k_split: { type: int, default: 2 } + n_split: { type: int, default: 6 } + + hord_mt_nh_mono: { type: int, default: 10 } + hord_xx_nh_mono: { type: int, default: 10 } + vtdm4_nh_mono: { type: float, default: 0.05 } + + hord_mt_hydro_mono: { type: int, default: 10 } + hord_xx_hydro_mono: { type: int, default: 10 } + vtdm4_hydro_mono: { type: float, default: 0.05 } + + hord_mt_nh_nonmono: { type: int, default: 5 } + hord_xx_nh_nonmono: { type: int, default: 5 } + vtdm4_nh_nonmono: { type: float, default: 0.06 } + + hord_mt_hydro_nonmono: { type: int, default: 10 } + hord_xx_hydro_nonmono: { type: int, default: 10 } + vtdm4_hydro_nonmono: { type: float, default: 0.05 } + + nh_type: + type: string + default: "nh" + if_present: !FirstTrue + - when: !calc nh_type == "nh" + do: !Template + hord_mt_mono: { type: int, default: !calc hord_mt_nh_mono } + hord_xx_mono: { type: int, default: !calc hord_xx_nh_mono } + vtdm4_mono: { type: float, default: !calc vtdm4_nh_mono } + hord_mt_nonmono: { type: int, default: !calc hord_mt_nh_nonmono } + hord_xx_nonmono: { type: int, default: !calc hord_xx_nh_nonmono } + vtdm4_nonmono: { type: float, default: !calc vtdm4_nh_nonmono } + hydrostatic: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + phys_hydrostatic: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + description: enable heating in hydrostatic balance in non-hydrostatic simulation + use_hydro_pressure: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + description: use hydrostatic pressure for physics + make_nh: + type: string + allowed: [ ".true.", ".false." ] + default: !FirstTrue + - when: !calc warm_start==".true." + do: ".false." + - otherwise: ".true." + - otherwise: !Template + hord_mt_mono: { type: int, default: !calc hord_mt_hydro_mono } + hord_xx_mono: { type: int, default: !calc hord_xx_hydro_mono } + vtdm4_mono: { type: float, default: !calc vtdm4_hydro_mono } + hord_mt_nonmono: { type: int, default: !calc hord_mt_hydro_nonmono } + hord_xx_nonmono: { type: int, default: !calc hord_xx_hydro_nonmono } + vtdm4_nonmono: { type: float, default: !calc vtdm4_hydro_nonmono } + hydrostatic: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + phys_hydrostatic: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + description: ignored when hydrostatic is true + use_hydro_pressure: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + description: ignored when hydrostatic is true + make_nh: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + + MONO: + type: string + default: "non-mono" + allowed: [ mono, non-mono ] + if_present: !FirstTrue + - when: !calc MONO == "mono" + do: !Template + d_con_mono: { type: float, default: 0. } + d_con: { type: float, override: !calc d_con_mono } + do_vort_damp: { type: string, override: ".false." } + hord_mt: { type: int, override: !calc hord_mt_mono } + hord_xx: { type: int, override: !calc hord_xx_mono } + vtdm4: { type: float, override: !calc vtdm4_mono } + - otherwise: !Template + d_con_nonmono: { type: float, default: 1. } + d_con: { type: float, override: !calc d_con_nonmono } + do_vort_damp: { type: string, override: ".true." } + hord_mt: { type: int, override: !calc hord_mt_nonmono } + hord_xx: { type: int, override: !calc hord_xx_nonmono } + vtdm4: { type: float, override: !calc vtdm4_nonmono } + + + + fv_debug: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + + range_warn: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + n_sponge: + type: int + default: 10 + nudge_qv: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + nudge_dz: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + + tau: { type: float, default: 10. } + rf_cutoff: { type: float, default: 7.5e+2 } + d2_bg_k1: { type: float, default: 0.15 } + d2_bg_k2: { type: float, default: 0.02 } + kord_tm: { type: int, default: -9 } + kord_mt: { type: int, default: 9 } + kord_wz: { type: int, default: 9 } + kord_tr: { type: int, default: 9 } + nwat: { type: int, default: 2 } + dnats: { type: int, default: 0 } + fv_sg_adj: { type: int, default: 450 } + nord: { type: int, default: 3 } + dddmp: { type: float, default: 0.2 } + d4_bg: { type: float, default: 0.15 } + delt_max: { type: float, default: 0.002 } + external_eta: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + gfs_phil: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + hord_tr: { type: int, default: 8 } + adjust_dry_mass: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + do_sat_adj: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + hord_dp: { type: int, default: !calc -hord_xx } + agrid_vel_rst: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + + ldiag3d: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + use_ufo: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + pre_rad: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + ncld: + type: int + default: 1 + pdfcld: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + FHSWR: { type: float, default: 3600. } + FHLWR: { type: float, default: 3600. } + isubc_sw: { type: int, default: 2 } + isubc_lw: { type: int, default: 2 } + lwhtr: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + swhtr: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + cnvgwd: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + shal_cnv: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + cal_pre: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + redrag: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + dspheat: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + hybedmf: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + random_clds: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + trans_trac: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + cnvcld: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + imfshalcnv: { type: int, default: 2 } + imfdeepcnv: { type: int, default: 2 } + cdmbgwd: { type: string, default: "3.5,0.25" } + prslrd0: { type: float, default: 0. } + ivegsrc: { type: int, default: 1 } + isot: { type: int, default: 1 } + psautco: { type: string, default: "0.0008,0.0005" } + prautco: { type: string, default: "0.00015,0.00015" } + + fdiag: { type: int, default: !calc FHOUT } + + LDEBUG: + type: string + allowed: [ ".true.", ".false." ] + default: ".false." + + FSMCL2: { type: int, default: 99999 } + FSMCL3: { type: int, default: 99999 } + FSMCL4: { type: int, default: 99999 } + + FTSFS: { type: int, default: 90 } + FAISL: { type: int, default: 99999 } + FAISS: { type: int, default: 99999 } + FSNOL: { type: int, default: 99999 } + FSNOS: { type: int, default: 99999 } + + SPPT_LOGIT: + type: string + allowed: [ ".true.", ".false." ] + default: ".true." + + restart_dt: + type: timedelta + default: !FirstTrue + - when: !calc restart_interval > 0 + do: !calc tools.to_timedelta(restart_interval*3600) + - otherwise: !calc tools.to_timedelta(FHMAX*3600) + + amip_interp_nml: { type: string, default: "" } + atmos_model_nml: { type: string, default: "" } + diag_manager_nml: { type: string, default: "" } + fms_io_nml: { type: string, default: "" } + fms_nml: { type: string, default: "" } + fv_core_nml: { type: string, default: "" } + external_ic_nml: { type: string, default: "" } + gfs_physics_nml: { type: string, default: "" } + nggps_diag_nml: { type: string, default: "" } + interpolator_nml: { type: string, default: "" } + namsfc_nml: { type: string, default: "" } + fv_grid_nml: { type: string, default: "" } + nam_stochy_nml: { type: string, default: "" } + diff --git a/systems/fv3gfs/exp/validation/obsproc.yaml b/systems/fv3gfs/exp/validation/obsproc.yaml new file mode 100644 index 0000000..8a2d8c7 --- /dev/null +++ b/systems/fv3gfs/exp/validation/obsproc.yaml @@ -0,0 +1,119 @@ +obsproc_template: !Template &obsproc_template + SYNDATA: + type: bool + default: YES + description: Execute program SYNDAT_SYNDATA + PREPACQC: + type: bool + default: YES + description: Execute program PREPOBS_PREPACQC + PROCESS_ACQC: + type: bool + default: YES + description: Execute program PREPOBS_PREPACQC + PROCESS_ACPF: + type: bool + default: NO + descriptioN: Execute program PREPOBS_PREPACPF + PROFCQC: + type: bool + default: YES + description: Execute program PREPOBS_PROFCQC + CQCVAD: + type: bool + default: YES + description: Execute program PREPOBS_CQCVAD + CQCBUFR: + type: bool + default: YES + description: Execute program PREPOBS_CQCBUFR + OIQCBUFR: + type: bool + default: NO + description: Execute program PREPOBS_OIQCBUFR + PREPDATA: + type: bool + default: YES + description: > + Execute program PREPOBS_MPCOPYBUFR, PREPOBS_PREPDATA, + PREPOBS_LISTHEADERS, PREPOBS_MONOPREPBUFR + GETGUESS: + type: bool + default: YES + description: > + Encode first guess (background) values interpolated to + observation locations in the PREPBUFR file for use by + the q.c. programs. This guess is always from a global + guess file valid at the center PREPBUFR processing date/time. + DO_QC: + type: bool + default: YES + description: > + IF NO, programs PREPOBS_PREPACQC, PREPOBS_ACARSQC, + PREPOBS_PROFCQC, PREPOBS_CQCVAD, PREPOBS_CQCBUFR and + PREPOBS_OIQCBUFR will NEVER execute regardless of other + switches. Should be set to NO only as a last resort!!! + override: !FirstTrue + - when: !calc DO_QC + do: null + message: "Enabling quality control." + - when: !calc not DO_QC + do: null + message: > + WARNING: DO_QC=NO. Disabling quality control! + You are being naughty! + - otherwise: null + + BUFRLIST: + type: string + description: BUFR data types to process + default: "adpupa proflr aircar aircft satwnd adpsfc sfcshp vadwnd wdsatr ascatw rassda gpsipw" + + NSPLIT: + type: int + default: 4 + description: execute in parallel + + HOMEobsproc_prep: { type: string, default: !calc doc.places.BASE_PREP } + HOMEobsproc_network: { type: string, default: !calc doc.places.BASE_PREP_GLOBAL } + EXECPREP: { type: string, default: !expand "{doc.places.BASE_PREP}/exec" } + FIXPREP: { type: string, default: !expand "{doc.places.BASE_PREP}/fix" } + PARMPREP: { type: string, default: !expand "{doc.places.BASE_PREP_GLOBAL}/parm" } + + USHSYND: { type: string, optional: true } #"$BASE_PREP/ush" + USHPREV: { type: string, optional: true } #"$BASE_PREP/ush" + USHCQC: { type: string, optional: true } #"$BASE_PREP/ush" + USHPQC: { type: string, optional: true } #"$BASE_PREP/ush" + USHVQC: { type: string, optional: true } #"$BASE_PREP/ush" + USHAQC: { type: string, optional: true } #"$BASE_PREP/ush" + USHOIQC: { type: string, optional: true } #"$BASE_PREP/ush" + + # Fix files + CQCS: { type: string, optional: true } #"$BASE_PREP/fix/prepobs_cqc_statbge" + LANDC: {type: string, optional: true } #"$BASE_PREP/fix/prepobs_landc" + PRPT: { type: string, optional: true } #"$BASE_PREP/fix/prepobs_prep.bufrtable" + PRVT: + type: string + default: !expand "{doc.places.BASE_GSI}/fix/prepobs_errtable.global" + OIQCT: { type: string, optional: true } #"$BASE_PREP_GLOBAL/fix/prepobs_oiqc.oberrs" + + # parm files + AQCC: { type: string, optional: true } #"$BASE_PREP_GLOBAL/parm/prepobs_prepacqc.${CDUMP}.parm" + CQCC: { type: string, optional: true } #"$BASE_PREP_GLOBAL/parm/prepobs_cqcbufr.gdas.parm" + PRPC: { type: string, optional: true } #"$BASE_PREP_GLOBAL/parm/prepobs_prepdata.${CDUMP}.parm" + PQCC: { type: string, optional: true } #"$BASE_PREP_GLOBAL/parm/prepobs_profcqc.gdas.parm" + SYNDC: { type: string, optional: true } #"$BASE_PREP_GLOBAL/parm/syndat_syndata.gdas.parm" + + # Executables + PRPX: {type: string, optional: true } #"$BASE_PREP/exec/prepobs_prepdata" + PREX: {type: string, optional: true } #"$BASE_PREP/exec/prepobs_prevents" + AQCX: {type: string, optional: true } #"$BASE_PREP/exec/prepobs_prepacqc" + PQCX: {type: string, optional: true } #"$BASE_PREP/exec/prepobs_profcqc" + CQCX: {type: string, optional: true } #"$BASE_PREP/exec/prepobs_cqcbufr" + SYNDX: {type: string, optional: true} #"$BASE_PREP/exec/syndat_syndata" + MPCOPYX: {type: string, optional: true} #"$BASE_PREP/exec/prepobs_mpcopybufr" + LISTHDX: {type: string, optional: true} #"$BASE_PREP/exec/prepobs_listheaders" + MONOBFRX: {type: string, optional: true} #"$BASE_PREP/exec/prepobs_monoprepbufr" + VQCX: {type: string, optional: true} #"$BASE_PREP/exec/prepobs_cqcvad" + OIQCX: {type: string, optional: true} #"$BASE_PREP/exec/prepobs_oiqcbufr" + diff --git a/systems/fv3gfs/exp/validation/output.yaml b/systems/fv3gfs/exp/validation/output.yaml new file mode 100644 index 0000000..fc16325 --- /dev/null +++ b/systems/fv3gfs/exp/validation/output.yaml @@ -0,0 +1,52 @@ +gfs_output_settings_template: !Template &gfs_output_settings_template + FHOUT_GFS: + type: int + default: 3 + description: GFS forecast output frequency in hours + FHMAX_GFS: + type: int + description: GFS forecast length in hours + FHMIN_GFS: + type: int + default: 0 + description: GFS forecast initial hour for output + + FHMIN_ENKF: + type: int + default: 3 + description: GDAS ENKF initial hour for output + FHMAX_ENKF: + type: int + default: 9 + description: GDAS ENKF forecast length + FHOUT_ENKF: + type: int + default: 3 + description: GDAS ENKF output frequency in hours + + FHMIN_GDAS: + type: int + default: 0 + description: GDAS initial hour for output + FHMAX_GDAS: + type: int + default: 9 + description: GDAS forecast length + FHOUT_GDAS: + type: int + default: 3 + description: GDAS output frequency in hours + + FHMAX_HF_GFS: + type: int + default: 0 + description: Last forecast hour with high-frequency output for gfs + FHOUT_HF_GFS: + type: int + default: 1 + description: Output frequency until FHMAX_HF_GFS hours. + + NCO_NAMING_CONV: + type: bool + default: YES + description: "YES = use standard, operational, naming conventions. NO = use non-standard naming conventions" diff --git a/systems/fv3gfs/exp/validation/vrfy.yaml b/systems/fv3gfs/exp/validation/vrfy.yaml new file mode 100644 index 0000000..805bb4c --- /dev/null +++ b/systems/fv3gfs/exp/validation/vrfy.yaml @@ -0,0 +1,353 @@ + +CYC_TRACK_VARS: &CYC_TRACK_VARS !Template + TRACKERSH: + type: string + default: !expand "{BASE_GSM}/ush/global_tracker.sh" + PARATRKR: + type: string + default: !expand "{BASE_GSM}/ush/global_extrkr.sh" + GETTRKEXEC: + type: string + default: !expand "{BASE_GSM}/exec/gettrk" + GETTX: + type: string + default: !calc GETTRKEXEC + SUPVX: + type: string + default: !expand "{BASE_GSM}/exec/supvit" + HOMERELO: + type: string + default: !calc BASE_GSM + homesyndir: + type: string + default: !calc BASE_GSM + FHMIN: { type: int, default: 0 } + FHMIN_ENKF: { type: int, default: !calc doc.gfs_output_settings.FHMIN_ENKF } + FHMAX_ENKF: { type: int, default: !calc doc.gfs_output_settings.FHMAX_ENKF } + FHOUT_ENKF: { type: int, default: !calc doc.gfs_output_settings.FHOUT_ENKF } + FHMAX_GFS: { type: int, default: !calc doc.gfs_output_settings.FHMAX_GFS } + FHMAX2_GFS: { type: int, default: !calc FHMAX_GFS } + FHOUT: + type: int + default: !FirstTrue + - when: !calc CDUMP=="gfs" + do: !calc FHOUT_GFS + - when: !calc CDUMP=="gdas" + do: !calc doc.gfs_output_settings.FHOUT_GDAS + - otherwise: !error "FHOUT undefined!" + FHMAX: + type: int + default: !FirstTrue + - when: !calc CDUMP=="gfs" + do: !calc FHMAX2_GFS + - when: !calc CDUMP=="gdas" + do: !calc doc.gfs_output_settings.FHMAX_GDAS + - otherwise: !error "FHMAX undefined!" + + FHMAX2: { type: int, default: !calc FHMAX2_GFS } + + COMROOTp1: + type: string + default: !FirstTrue + - when: !calc doc.platform.name=="THEIA" + do: "/scratch4/NCEPDEV/rstprod/com" + - otherwise: !error "COMROOTp1 undefined!" + archsyndir: + type: string + default: !FirstTrue + - when: !calc doc.platform.name=="THEIA" + do: !expand "{COMROOTp1}/arch/prod/syndat" + - otherwise: !error "archsyndir undefined!" + +CYC_GEN_VARS: &CYC_GEN_VARS !Template + NWROOTGENESIS: + type: string + default: !FirstTrue + - when: !calc doc.platform.name=="WCOSS_C" + do: "/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/gfs/q3fy17" + - when: !calc doc.platform.name=="THEIA" + do: "/scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17" + - otherwise: !error "doc.platform.name not supported!" + UTILROOT: + type: string + default: !FirstTrue + - when: !calc doc.platform.name=="THEIA" + do: "/scratch4/NCEPDEV/global/save/glopara/nwpara/prod_util.v1.0.15" + - otherwise: null + GETTX_GEN: + type: string + default: !FirstTrue + - when: !calc doc.platform.name=="THEIA" + do: !expand "{NWROOTGENESIS}/ens_tracker.v2.0.1/exec/gettrk_gen_g2_theia" + - otherwise: null + GENESISSH: + type: string + default: !FirstTrue + - when: !calc ( doc.platform.name=="WCOSS_C" or doc.platform.name=="THEIA" ) + do: !expand "{NWROOTGENESIS}/ens_tracker.v2.0.1/gfs_genesis_para_fv3gfs.sh" + - when: !calc ( not doc.platform.name=="WCOSS_C" and not doc.platform.name=="THEIA" ) + do: "" + - otherwise: null + +MIN_VARS: &MIN_VARS !Template + HOMEgfs: + type: string + default: !expand "{BASE_SVN}/fv3gfs/trunk/gfs.v15.0.0" + HOMEminmon: + type: string + default: !calc BASE_GSM + MINMON_SUFFIX: + type: string + default: !calc doc.case.experiment_name + M_TANKverf: + type: string + default: !expand "{NOSCRUB}/minmon" + VRFYMINSH: + type: string + default: !FirstTrue + - when: !calc ( CDUMP=="gdas" and ( doc.platform.name=="WCOSS_C" or doc.platform.name=="THEIA" ) ) + do: !expand "{HOMEgdas}/jobs/JGDAS_VMINMON" + - when: !calc ( CDUMP=="gfs" and ( doc.platform.name=="WCOSS_C" or doc.platform.name=="THEIA" ) ) + do: !expand "{HOMEgfs}/jobs/JGDAS_VMINMON" + - otherwise : null + message: !expand "WARNING: Minimization monitoring is not enabled on {doc.platform.name}!" + +RAD_VARS: &RAD_VARS !Template + HOMEradmon: + type: string + default: !calc BASE_GSM + RADMON_SUFFIX: + type: string + default: !calc doc.case.experiment_name + TANKverf: + type: string + default: !expand "{NOSCRUB}/radmon" + VRFYRADSH: + type: string + default: !FirstTrue + - when: !calc ( doc.platform.name=="WCOSS_C" or doc.platform.name=="THEIA" ) + do: !expand "{HOMEgdas}/jobs/JGDAS_VERFRAD" + - when: !calc ( not doc.platform.name=="WCOSS_C" and not doc.platform.name=="THEIA" ) + message: !expand "WARNING: Radiance monitoring is not enabled on {doc.platform.name}!" + do: null + - otherwise: null + +OZN_VARS: &OZN_VARS !Template +# echo "WARNING: Ozone Monitoring is just a stub for now!" + VRFYOZN: + type: bool + default: NO + OZNDIR: + type: string + stages: [ execution ] + default: !expand "{NOSCRUB}/{tools.env('LOGNAME')}/ozone/stats/{doc.case.experiment_name}" + VRFYOZNSH: + type: string + default: "" + BASEDIR_OZNMON: + type: string + default: !expand "{doc.places.BASE_OZNMON}/util/Ozone_Monitor" + +MIN_RAD_OZN_VARS: &MIN_RAD_OZN_VARS !Template + HOMEgdas: + type: string + default: !calc BASE_GDAS + envir: + type: string + default: "para" + +VRFY_CDUMP_GFS_VARS: &VRFY_CDUMP_GFS_VARS !Template + BACKDATEVSDB: + type: int + default: 24 + VBACKUP_PRCP: + type: int + default: 24 + vsdbsave: + type: string + default: !expand "{NOSCRUB}/archive/vsdb_data" + vsdbhome: + type: string + default: !calc BASE_VERIF + VSDBSH: + type: string + default: !expand "{vsdbhome}/vsdbjob.sh" + vlength: + type: int + default: !calc FHMAX_GFS + vhr_rain: + type: int + default: !calc FHMAX_GFS + ftyplist: + type: string + default: "pgbq" + +vrfy_template_gfs: &vrfy_template_gfs !Template # for "CDUMP = gfs" + VRFY_CDUMP_GFS: + type: bool + default: !FirstTrue + - when: !calc ( VSDB_STEP1 or VRFYPRCP or VRFYG2OBS ) + do: YES + - otherwise: NO + if_present: !FirstTrue + - when: !calc ( VSDB_STEP1 or VRFYPRCP or VRFYG2OBS ) + do: *VRFY_CDUMP_GFS_VARS + message: "VRFY_CDUMP_GFS_VARS loaded!" + - otherwise: null + +vrfy_template: &vrfy_template !Template + # verifying dump + VDUMP: + type: string + allowed: [ "gfs", "gdas" ] + + CDUMPFCST: + description: Fit-to-obs with GDAS/GFS prepbufr + type: string + allowed: [ "gfs", "gdas" ] + + CDFNL: + description: Scores verification against GDAS/GFS analysis + type: string + allowed: [ "gfs", "gdas" ] + + VSDB_STEP1: + description: populate VSDB database + type: bool + default: NO + + VSDB_STEP2: + type: bool + default: NO + + VRFYG2OBS: + description: Grid to observations + type: bool + default: NO + + VRFYFITS: + description: Fit to observations + type: bool + default: NO + + VRFYPRCP: + description: Precip threat scores + type: bool + default: NO + + VRFYMINMON: + type: bool + default: NO + if_present: !FirstTrue + - when: !calc VRFYMINMON + do: *MIN_VARS + - otherwise: null + message: !expand "WARNING: Minimization monitoring is turned off!" + + VRFYRAD: + description: Radiance data assimilation monitoring + type: bool + default: NO + + VRFYOZN: + type: bool + default: NO + if_present: !FirstTrue + - when: !calc VRFYOZN + message: "WARNING: Ozone Monitoring is just a stub for now!" + do: *OZN_VARS + - otherwise: null + + VRFYTRAK: + description: Hurricane track forecasts + type: bool + default: NO + if_present: !FirstTrue + - when: !calc VRFYTRAK + do: *CYC_TRACK_VARS + - otherwise: null + + VRFYGENESIS: + type: bool + default: NO + if_present: !FirstTrue + - when: !calc VRFYGENESIS + do: *CYC_GEN_VARS + - otherwise: null + + VRFYGMPK: + description: Gempak verification + type: bool + default: NO + + nth_vrfy: + type: int + default: 1 + +# End of user speficied variables +# + fitdir: + type: string + default: !FirstTrue + - when: !calc doc.platform.name=="WCOSS_C" + do: !expand "{BASE_SVN}/verif/global/parafits.fv3nems/batrun" + - when: !calc doc.platform.name=="THEIA" + do: !expand "{BASE_SVN}/verif/global/parafits.fv3nems/batrun" + - otherwise: !error "Do not know fitdir for this platform" + + PREPQFITSH: + type: string + default: !FirstTrue + - when: !calc ( doc.platform.name=="WCOSS_C" and VRFYFITS ) + do: !expand "{fitdir}/subfits_cray_nems" + message: WCOSS_C fits file + - when: !calc ( doc.platform.name=="THEIA" and VRFYFITS ) + do: !expand "{fitdir}/subfits_theia_nems" + message: "THEIA fits file" + - when: !calc not VRFYFITS + do: "/dev/null" + - otherwise: !error "Do not know subfits file (PREPQFITSH) for {doc.platform.name}" + +# VRFY_CDUMP_GFS: +# type: bool +# default: !FirstTrue +# - when: !calc ( CDUMP=="gfs" and ( VSDB_STEP1 or VRFYPRCP or VRFYG2OBS ) ) +# do: YES +# - otherwise: NO +# if_present: !FirstTrue +# - when: !calc ( CDUMP=="gfs" and ( VSDB_STEP1 or VRFYPRCP or VRFYG2OBS ) ) +# do: *VRFY_CDUMP_GFS_VARS +# message: "VRFY_CDUMP_GFS_VARS unavailable!" +# - otherwise: null + + NO_VRFYMINSH: + type: bool + default: NO + override: !FirstTrue + - when: !calc ( not doc.platform.name=="THEIA" and not doc.platform.name=="WCOSS_C" ) + do: YES + message: !expand "WARNING: Minimization monitoring is not enabled on {doc.platform.name}!" + - otherwise: null + + MIN_RAD_OZN: + type: bool + default: !FirstTrue + - when: !calc ( VRFYRAD or VRFYMINMON or VRFYOZN ) + do: YES + - otherwise: NO + if_present: !FirstTrue + - when: !calc ( VRFYRAD or VRFYMINMON or VRFYOZN ) + do: *MIN_RAD_OZN_VARS + - otherwise: null + + RAD: + type: bool + default: !FirstTrue + - when: !calc ( VRFYRAD and CDUMP==CDFNL ) + do: YES + - otherwise: NO + if_present: !FirstTrue + - when: !calc ( VRFYRAD and CDUMP==CDFNL ) + do: *RAD_VARS + - otherwise: null + message: !expand "WARNING: Radiance monitoring is turned off!" diff --git a/systems/fv3gfs/exp/validation/workflow.yaml b/systems/fv3gfs/exp/validation/workflow.yaml new file mode 100644 index 0000000..ba448f8 --- /dev/null +++ b/systems/fv3gfs/exp/validation/workflow.yaml @@ -0,0 +1,30 @@ +known_workflows: !Template &known_workflows + workflow: + type: string + allowed: [ cycled_workflow, gfs_forecast_workflow, crow_sh_fcst_workflow, + crow_sh_df_fcst_workflow, crow_exe_fcst_workflow ] + if_present: !FirstTrue + - when: !calc workflow=="cycled_workflow" + do: !Template + IC_CDUMP: + type: string + allowed: [ gdas, gfs ] + description: Get initial conditions from 1st cycle from gfs or gdas + default: GDAS + IC_DIR: + type: string + description: Disk location of initial conditions for cycled workflow + - otherwise: !Template + ics_from: + type: string + allowed: [ opsgfs, pargfs ] + default: opsgfs + if_present: !Template + parexp: + default: prnemsrn + type: string + description: Name of a GFS parallel experiment to pull from tape + HPSS_PAR_PATH: + default: !expand "/5year/NCEPDEV/emc-global/emc.glopara/WCOSS_C/{parexp}" + type: string + description: Path to HPSS tapes with GFS parallel data diff --git a/systems/fv3gfs/jobs/anal.sh b/systems/fv3gfs/jobs/anal.sh new file mode 100755 index 0000000..c294d96 --- /dev/null +++ b/systems/fv3gfs/jobs/anal.sh @@ -0,0 +1,110 @@ +#! /bin/bash +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ +# $Revision: 98721 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: anal.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 + +## Abstract: +## Analysis driver script +## EXPDIR : /full/path/to/config/files +## CDATE : current analysis date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +############################################################### + +set -ex +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) + +############################################################### +# Set script and dependency variables +export GDATE=$($NDATE -$assim_freq $CDATE) + +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) +gymd=$(echo $GDATE | cut -c1-8) +ghh=$(echo $GDATE | cut -c9-10) + +export OPREFIX="${CDUMP}.t${chh}z." +export GPREFIX="gdas.t${ghh}z." +export GSUFFIX=".nemsio" +export APREFIX="${CDUMP}.t${chh}z." +export ASUFFIX=".nemsio" + +export COMIN_GES="$ROTDIR/gdas.$gymd/$ghh" +export COMIN_GES_ENS="$ROTDIR/enkf.gdas.$gymd/$ghh" +export COMOUT="$ROTDIR/$CDUMP.$cymd/$chh" +export DATA="$RUNDIR/$CDATE/$CDUMP/anal" +[[ -d $DATA ]] && rm -rf $DATA + +export ATMGES="$COMIN_GES/${GPREFIX}atmf006${GSUFFIX}" +if [ ! -f $ATMGES ]; then + echo "FILE MISSING: ATMGES = $ATMGES" + exit 1 +fi +if [ $DOHYBVAR = "YES" ]; then + export ATMGES_ENSMEAN="$COMIN_GES_ENS/${GPREFIX}atmf006.ensmean$GSUFFIX" + if [ ! -f $ATMGES_ENSMEAN ]; then + echo "FILE MISSING: ATMGES_ENSMEAN = $ATMGES_ENSMEAN" + exit 2 + fi +fi + +# Background resolution +export JCAP=$($NEMSIOGET $ATMGES jcap | awk '{print $2}') +status=$? +[[ $status -ne 0 ]] && exit $status +export LONB=$($NEMSIOGET $ATMGES dimx | awk '{print $2}') +status=$? +[[ $status -ne 0 ]] && exit $status +export LATB=$($NEMSIOGET $ATMGES dimy | awk '{print $2}') +status=$? +[[ $status -ne 0 ]] && exit $status +export LEVS=$($NEMSIOGET $ATMGES dimz | awk '{print $2}') +status=$? +[[ $status -ne 0 ]] && exit $status + +if [ $DOHYBVAR = "YES" ]; then + # Ensemble resolution + export JCAP_ENKF=$($NEMSIOGET $ATMGES_ENSMEAN jcap | awk '{print $2}') + status=$? + [[ $status -ne 0 ]] && exit $status + export LONB_ENKF=$($NEMSIOGET $ATMGES_ENSMEAN dimx | awk '{print $2}') + status=$? + [[ $status -ne 0 ]] && exit $status + export LATB_ENKF=$($NEMSIOGET $ATMGES_ENSMEAN dimy | awk '{print $2}') + status=$? + [[ $status -ne 0 ]] && exit $status +fi + +# Analysis resolution +if [ $DOHYBVAR = "YES" ]; then + export JCAP_A=$JCAP_ENKF + export LONA=$LONB_ENKF + export LATA=$LATB_ENKF +else + export JCAP_A=$JCAP + export LONA=$LONB + export LATA=$LATB +fi + +# Link observational data +export PREPQC="${COMOUT}/${OPREFIX}prepbufr" +export PREPQCPF="${COMOUT}/${OPREFIX}prepbufr.acft_profiles" +[[ $DONST = "YES" ]] && export NSSTBF="${COMOUT}/${OPREFIX}nsstbufr" + +############################################################### +# Run relevant exglobal script +$ANALYSISSH +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Exit out cleanly +exit 0 diff --git a/systems/fv3gfs/jobs/arch.sh b/systems/fv3gfs/jobs/arch.sh new file mode 100755 index 0000000..7d7124f --- /dev/null +++ b/systems/fv3gfs/jobs/arch.sh @@ -0,0 +1,217 @@ +#! /bin/bash +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ +# $Revision: 98721 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: arch.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 + +## Abstract: +## Archive driver script +## EXPDIR : /full/path/to/config/files +## CDATE : current analysis date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +############################################################### + +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) + +set -x + +############################################################### +# Run relevant tasks + +# CURRENT CYCLE +PDY=$(echo $CDATE | cut -c1-8) +cyc=$(echo $CDATE | cut -c9-10) +APREFIX="${CDUMP}.t${cyc}z." +ASUFFIX=".nemsio" + +COMIN="$ROTDIR/$CDUMP.$PDY/$cyc" + +DATA="$RUNDIR/$CDATE/$CDUMP/arch" +[[ -d $DATA ]] && rm -rf $DATA +mkdir -p $DATA +cd $DATA + +############################################################### +# Archive what is needed to restart the experiment +mkdir -p $DATA/${CDUMP}restart +cd $DATA/${CDUMP}restart + +restart_dir="$COMIN/RESTART" +if [ -d $restart_dir ]; then + mkdir -p RESTART + files=$(ls -1 $restart_dir) + for file in $files; do + $NCP $restart_dir/$file RESTART/$file + done +fi + +increment_file="$COMIN/${APREFIX}atminc.nc" +[[ -f $increment_file ]] && $NCP $increment_file . + +files="abias abias_pc abias_air radstat" +for file in $files; do + $NCP $COMIN/${APREFIX}$file . +done + +cd $DATA/${CDUMP}restart + +htar -P -cvf $ATARDIR/$CDATE/${CDUMP}restart.tar . +status=$? +if [ $status -ne 0 ]; then + echo "HTAR $CDATE ${CDUMP}restart.tar failed" + exit $status +fi + +hsi ls -l $ATARDIR/$CDATE/${CDUMP}restart.tar +status=$? +if [ $status -ne 0 ]; then + echo "HSI $CDATE ${CDUMP}restart.tar failed" + exit $status +fi + +cd $DATA +rm -rf ${CDUMP}restart + +############################################################### +# Archive extra information that is good to have +mkdir -p $DATA/$CDUMP +cd $DATA/$CDUMP + +files="gsistat cnvstat prepbufr prepbufr.acft_profiles" +for file in $files; do + $NCP $COMIN/${APREFIX}$file . +done + +$NCP $COMIN/${APREFIX}atmanl${ASUFFIX} . +$NCP $COMIN/${APREFIX}pgrb2.*.fanl* . +$NCP $COMIN/${APREFIX}pgrb2.*.f* . + +cd $DATA + +htar -P -cvf $ATARDIR/$CDATE/${CDUMP}.tar $CDUMP +status=$? +if [ $status -ne 0 ]; then + echo "HTAR $CDATE ${CDUMP}restart.tar failed" + exit $status +fi + +hsi ls -l $ATARDIR/$CDATE/${CDUMP}.tar +status=$? +if [ $status -ne 0 ]; then + echo "HSI $CDATE ${CDUMP}.tar failed" + exit $status +fi + +rm -rf $CDUMP + +############################################################### +# Archive online for verification and diagnostics +cd $COMIN + +[[ ! -d $ARCDIR ]] && mkdir -p $ARCDIR +$NCP ${APREFIX}gsistat $ARCDIR/gsistat.${CDUMP}.${CDATE} +$NCP ${APREFIX}pgrbanl $ARCDIR/pgbanl.${CDUMP}.${CDATE} + +# Archive 1 degree forecast GRIB1 files for verification +if [[ "$CDUMP" == "gfs" ]] ; then + for fname in ${APREFIX}pgrbf*; do + fhr=$(echo $fname | cut -d. -f3 | cut -c 6-) + $NCP $fname $ARCDIR/pgbf${fhr}.${CDUMP}.${CDATE} + done +fi +if [[ "$CDUMP" = "gdas" ]] ; then + flist="00 03 06 09" + for fhr in $flist; do + fname=${APREFIX}pgrbf${fhr} + $NCP $fname $ARCDIR/pgbf${fhr}.${CDUMP}.${CDATE} + done +fi + +# Archive +# 1. quarter degree GRIB1 files for precip verification +# 2. atmospheric nemsio gfs forecast files for fit2obs +VFYARC=$ROTDIR/vrfyarch +[[ ! -d $VFYARC ]] && mkdir -p $VFYARC +if [ $CDUMP = "gfs" ]; then + + for fname in pgbq*${CDUMP}.${CDATE}.grib1; do + fileout=$(echo $fname | cut -d. -f1-3) # strip off ".grib1" suffix + $NCP $fname $ARCDIR/$fileout + done + + mkdir -p $VFYARC/${CDUMP}.$PDY/$cyc + fhmax=$FHMAX_GFS + fhr=0 + while [[ $fhr -le $fhmax ]]; do + fhr3=$(printf %03i $fhr) + sfcfile=${CDUMP}.t${cyc}z.sfcf${fhr3}.nemsio + sigfile=${CDUMP}.t${cyc}z.atmf${fhr3}.nemsio + $NCP $sfcfile $VFYARC/${CDUMP}.$PDY/$cyc/ + $NCP $sigfile $VFYARC/${CDUMP}.$PDY/$cyc/ + (( fhr = $fhr + 6 )) + done + +fi + +############################################################### +# Clean up previous cycles; various depths +# PRIOR CYCLE: Leave the prior cycle alone +GDATE=$($NDATE -$assim_freq $CDATE) + +# PREVIOUS to the PRIOR CYCLE +GDATE=$($NDATE -$assim_freq $GDATE) +gymd=$(echo $GDATE | cut -c1-8) +ghh=$(echo $GDATE | cut -c9-10) + +# Remove the TMPDIR directory +COMIN="$RUNDIR/$GDATE" +[[ -d $COMIN ]] && rm -rf $COMIN + +# Remove the hour directory +COMIN="$ROTDIR/$CDUMP.$gymd/$ghh" +[[ -d $COMIN ]] && rm -rf $COMIN + +# Step back every assim_freq hours +# and remove old rotating directories for successfull cycles +# defaults from 24h to 120h +GDATEEND=$($NDATE -${RMOLDEND:-24} $CDATE) +GDATE=$( $NDATE -${RMOLDSTD:-120} $CDATE) +while [ $GDATE -le $GDATEEND ]; do + gymd=$(echo $GDATE | cut -c1-8) + ghh=$(echo $GDATE | cut -c9-10) + COMIN="$ROTDIR/$CDUMP.$gymd/$ghh" + if [ -d $COMIN ]; then + rocotolog="$EXPDIR/logs/${GDATE}.log" + testend=$(tail -n 1 $rocotolog | grep "This cycle is complete: Success" | wc -l) + rc=$? + [[ $rc -eq 0 ]] && rm -rf $COMIN + fi + # Remove any empty directories + COMIN="$ROTDIR/$CDUMP.$gymd" + if [ -d $COMIN ]; then + [[ ! "$(ls -A $COMIN)" ]] && rm -rf $COMIN + fi + GDATE=$($NDATE +$assim_freq $GDATE) +done + +# Remove archived stuff in $VFYARC that are (48+$FHMAX_GFS) hrs behind +# 1. atmospheric nemsio files used for fit2obs +if [ $CDUMP = "gfs" ]; then + GDATE=$($NDATE -$FHMAX_GFS $GDATE) + gymd=$(echo $GDATE | cut -c1-8) + COMIN="$VFYARC/$CDUMP.$gymd" + [[ -d $COMIN ]] && rm -rf $COMIN +fi + +############################################################### +# Exit out cleanly +if [ ${KEEPDATA:-"NO"} = "NO" ] ; then rm -rf $DATA ; fi +exit 0 diff --git a/systems/fv3gfs/jobs/drive_makeprepbufr.sh b/systems/fv3gfs/jobs/drive_makeprepbufr.sh new file mode 100755 index 0000000..3bc0c7b --- /dev/null +++ b/systems/fv3gfs/jobs/drive_makeprepbufr.sh @@ -0,0 +1,108 @@ +#!/bin/sh -x + +# NOTE: This script must be run by prep.sh + +set -xue + +############################################################### +export KEEPDATA=${KEEPDATA:-"NO"} +export DO_RELOCATE=${DO_RELOCATE:-"NO"} +export DONST=${DONST:-"NO"} + +############################################################### +# Set script and dependency variables +GDATE=$($NDATE -$assim_freq $CDATE) + +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) +gymd=$(echo $GDATE | cut -c1-8) +ghh=$(echo $GDATE | cut -c9-10) + +OPREFIX="${CDUMP}.t${chh}z." +OSUFFIX=".bufr_d" +GPREFIX="gdas.t${ghh}z." +GSUFFIX=".nemsio" +APREFIX="${CDUMP}.t${chh}z." +ASUFFIX=".nemsio" + +COMIN_OBS=${COMIN_OBS:-"$DMPDIR/$CDATE/$CDUMP"} +COMIN_GES=${COMIN_GES:-"$ROTDIR/gdas.$gymd/$ghh"} +COMOUT=${COMOUT:-"$ROTDIR/$CDUMP.$cymd/$chh"} +[[ ! -d $COMOUT ]] && mkdir -p $COMOUT +export DATA="$RUNDIR/$CDATE/$CDUMP/prepbufr" +[[ -d $DATA ]] && rm -rf $DATA +mkdir -p $DATA +cd $DATA + +############################################################### +# MAKEPREPBUFRSH environment specific variables +export NEMSIO_IN=".true." +export COMSP="$DATA/" +export NET=$CDUMP + +############################################################### +# Link observation files in BUFRLIST +for bufrname in $BUFRLIST; do + $NLN $COMIN_OBS/${OPREFIX}${bufrname}.tm00$OSUFFIX ${bufrname}.tm00$OSUFFIX +done + +# Link first guess files +$NLN $COMIN_GES/${GPREFIX}atmf003${GSUFFIX} ./atmgm3$GSUFFIX +$NLN $COMIN_GES/${GPREFIX}atmf006${GSUFFIX} ./atmges$GSUFFIX +$NLN $COMIN_GES/${GPREFIX}atmf009${GSUFFIX} ./atmgp3$GSUFFIX + +[[ -f $COMIN_GES/${GPREFIX}atmf004${GSUFFIX} ]] && $NLN $COMIN_GES/${GPREFIX}atmf004${GSUFFIX} ./atmgm2$GSUFFIX +[[ -f $COMIN_GES/${GPREFIX}atmf005${GSUFFIX} ]] && $NLN $COMIN_GES/${GPREFIX}atmf005${GSUFFIX} ./atmgm1$GSUFFIX +[[ -f $COMIN_GES/${GPREFIX}atmf007${GSUFFIX} ]] && $NLN $COMIN_GES/${GPREFIX}atmf007${GSUFFIX} ./atmgp1$GSUFFIX +[[ -f $COMIN_GES/${GPREFIX}atmf008${GSUFFIX} ]] && $NLN $COMIN_GES/${GPREFIX}atmf008${GSUFFIX} ./atmgp2$GSUFFIX + +# If relocation is turned off: these files don't exist, touch them +if [ $DO_RELOCATE = "NO" ]; then + touch $DATA/tcvitals.relocate.tm00 + touch $DATA/tropcy_relocation_status.tm00 + echo "RECORDS PROCESSED" >> $DATA/tropcy_relocation_status.tm00 +fi + +############################################################### +# if PREPDATA is YES and +# 1. the aircft bufr file is not found, set PREPACQC to NO +# 2. the ****** bufr file is not found, set ******** to NO +if [ $PREPDATA = "YES" ]; then + [[ ! -s aircft.tm00$OSUFFIX ]] && export PREPACQC="NO" +fi + +############################################################### +# Execute MAKEPREPBUFRSH + +echo $(date) EXECUTING $MAKEPREPBUFRSH $CDATE >&2 +$MAKEPREPBUFRSH $CDATE +status=$? +echo $(date) EXITING $MAKEPREPBUFRSH with return code $status >&2 +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Create nsstbufr file +if [ $DONST = "YES" ]; then + SFCSHPBF=${SFCSHPBF:-$COMIN_OBS/sfcshp.$CDUMP.$CDATE} + TESACBF=${TESACBF:-$COMIN_OBS/tesac.$CDUMP.$CDATE} + BATHYBF=${BATHYBF:-$COMIN_OBS/bathy.$CDUMP.$CDATE} + TRKOBBF=${TRKOBBF:-$COMIN_OBS/trkob.$CDUMP.$CDATE} + NSSTBF=${NSSTBF:-$COMOUT/${APREFIX}nsstbufr} + + cat $SFCSHPBF $TESACBF $BATHYBF $TRKOBBF > $NSSTBF + status=$? + echo $(date) CREATE $NSSTBF with return code $status >&2 + + # NSST bufr file must be restricted since it contains unmasked ship ids + chmod 640 $NSSTBF + $CHGRP_CMD $NSSTBF +fi +############################################################### +# Copy prepbufr and prepbufr.acft_profiles to COMOUT +$NCP $DATA/prepda.t${chh}z $COMOUT/${APREFIX}prepbufr +$NCP $DATA/prepbufr.acft_profiles $COMOUT/${APREFIX}prepbufr.acft_profiles + +############################################################### +# Exit out cleanly +if [ $KEEPDATA = "NO" ] ; then rm -rf $DATA ; fi +exit 0 diff --git a/systems/fv3gfs/jobs/earc.sh b/systems/fv3gfs/jobs/earc.sh new file mode 100755 index 0000000..886be95 --- /dev/null +++ b/systems/fv3gfs/jobs/earc.sh @@ -0,0 +1,214 @@ +#! /bin/bash +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-10-23 21:23:33 +0000 (Mon, 23 Oct 2017) $ +# $Revision: 98608 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: earc.sh 98608 2017-10-23 21:23:33Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 + +## Abstract: +## Ensemble archive driver script +## EXPDIR : /full/path/to/config/files +## CDATE : current analysis date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +## ENSGRP : ensemble sub-group to archive (0, 1, 2, ...) +############################################################### + +set -ex +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) + +############################################################### +# Run relevant tasks + +# CURRENT CYCLE +PDY=$(echo $CDATE | cut -c1-8) +cyc=$(echo $CDATE | cut -c9-10) +APREFIX="${CDUMP}.t${cyc}z." +ASUFFIX=".nemsio" + +############################################################### +# Determine if this cycle is going to save ensemble restarts +EARC_CYC=${EARC_CYC:-"00"} +if [ $ENSGRP -gt 0 ]; then + + arch_ens_rst="NO" + for ens_cyc in $EARC_CYC; do + [[ "$ens_cyc" = $cyc ]] && arch_ens_rst="YES" + done + + if [ $arch_ens_rst = "NO" ]; then + echo "Nothing to archive for ENSGRP = $ENSGRP and cyc = $cyc, EXITING!" + exit 0 + fi + +fi + +############################################################### +# Create temporary DATA directory +COMIN_ENS="$ROTDIR/enkf.$CDUMP.$PDY/$cyc" + +DATA="$RUNDIR/$CDATE/$CDUMP/earc$ENSGRP" +[[ -d $DATA ]] && rm -rf $DATA +mkdir -p $DATA +cd $DATA + +############################################################### +# ENSGRP -gt 0 archives ensemble member restarts +if [ $ENSGRP -gt 0 ]; then + + mkdir -p $DATA/enkf.${CDUMP}restart + cd $DATA/enkf.${CDUMP}restart + + # Get ENSBEG/ENSEND from ENSGRP and NMEM_EARCGRP + ENSEND=$((NMEM_EARCGRP * ENSGRP)) + ENSBEG=$((ENSEND - NMEM_EARCGRP + 1)) + + for imem in $(seq $ENSBEG $ENSEND); do + + memchar="mem"$(printf %03i $imem) + + memdir="$COMIN_ENS/$memchar" + tmpmemdir="$DATA/enkf.${CDUMP}restart/$memchar" + + mkdir -p $tmpmemdir + cd $tmpmemdir + + restart_dir="$memdir/RESTART" + if [ -d $restart_dir ]; then + mkdir -p RESTART + files=$(ls -1 $restart_dir) + for file in $files; do + $NCP $restart_dir/$file RESTART/$file + done + fi + + increment_file="$memdir/${APREFIX}atminc.nc" + [[ -f $increment_file ]] && $NCP $increment_file . + + cd $DATA/enkf.${CDUMP}restart + + htar -P -cvf $ATARDIR/$CDATE/enkf.${CDUMP}restart.$memchar.tar $memchar + status=$? + if [ $status -ne 0 ]; then + echo "HTAR $CDATE enkf.${CDUMP}restart.$memchar.tar failed" + exit $status + fi + + hsi ls -l $ATARDIR/$CDATE/enkf.${CDUMP}restart.$memchar.tar + status=$? + if [ $status -ne 0 ]; then + echo "HSI $CDATE enkf.${CDUMP}restart.$memchar.tar failed" + exit $status + fi + + rm -rf $tmpmemdir + + done + + cd $DATA + + rm -rf enkf.${CDUMP}restart + +fi + +############################################################### +# ENSGRP 0 archives extra info, ensemble mean, verification stuff +if [ $ENSGRP -eq 0 ]; then + + ############################################################### + # Archive extra information that is good to have + mkdir -p $DATA/enkf.$CDUMP + cd $DATA/enkf.$CDUMP + + # Ensemble mean related files + ENSMEAN_STATS="gsistat.ensmean cnvstat.ensmean enkfstat atmf006.ensmean.nc4 atmf006.ensspread.nc4" + for file in $ENSMEAN_STATS; do + $NCP $COMIN_ENS/${APREFIX}$file . + done + + # Ensemble member related files + # Only archive gsistat and cnvstat files, user can provide other to ENKF_STAT + # in config.earc if desired + ENKF_STATS=${ENKF_STATS:-"gsistat cnvstat"} + for imem in $(seq 1 $NMEM_ENKF); do + + memchar="mem"$(printf %03i $imem) + + memdir="$COMIN_ENS/$memchar" + tmpmemdir="$DATA/enkf.${CDUMP}/$memchar" + + mkdir -p $tmpmemdir + + for file in $ENKF_STATS; do + $NCP $memdir/${APREFIX}$file $tmpmemdir/. + done + + cd $DATA/enkf.$CDUMP + + done + + cd $DATA + + htar -P -cvf $ATARDIR/$CDATE/enkf.${CDUMP}.tar enkf.$CDUMP + status=$? + if [ $status -ne 0 ]; then + echo "HTAR $CDATE enkf.${CDUMP}.tar failed" + exit $status + fi + + hsi ls -l $ATARDIR/$CDATE/enkf.${CDUMP}.tar + status=$? + if [ $status -ne 0 ]; then + echo "HSI $CDATE enkf.${CDUMP}.tar failed" + exit $status + fi + + rm -rf enkf.$CDUMP + + ############################################################### + # Archive online for verification and diagnostics + [[ ! -d $ARCDIR ]] && mkdir -p $ARCDIR + cd $ARCDIR + + $NCP $COMIN_ENS/${APREFIX}enkfstat enkfstat.${CDUMP}.$CDATE + $NCP $COMIN_ENS/${APREFIX}gsistat.ensmean gsistat.${CDUMP}.${CDATE}.ensmean + +fi + +############################################################### +# ENSGRP 0 also does clean-up +if [ $ENSGRP -eq 0 ]; then + ############################################################### + # Clean up previous cycles; various depths + # PRIOR CYCLE: Leave the prior cycle alone + GDATE=$($NDATE -$assim_freq $CDATE) + + # PREVIOUS to the PRIOR CYCLE + # Now go 2 cycles back and remove the directory + GDATE=$($NDATE -$assim_freq $GDATE) + gymd=$(echo $GDATE | cut -c1-8) + ghh=$(echo $GDATE | cut -c9-10) + + COMIN_ENS="$ROTDIR/enkf.$CDUMP.$gymd/$ghh" + [[ -d $COMIN_ENS ]] && rm -rf $COMIN_ENS + + # PREVIOUS day 00Z remove the whole day + GDATE=$($NDATE -48 $CDATE) + gymd=$(echo $GDATE | cut -c1-8) + ghh=$(echo $GDATE | cut -c9-10) + + COMIN_ENS="$ROTDIR/enkf.$CDUMP.$gymd" + [[ -d $COMIN_ENS ]] && rm -rf $COMIN_ENS + +fi + +############################################################### +# Exit out cleanly +if [ ${KEEPDATA:-"NO"} = "NO" ] ; then rm -rf $DATA ; fi +exit 0 diff --git a/systems/fv3gfs/jobs/ecen.sh b/systems/fv3gfs/jobs/ecen.sh new file mode 100755 index 0000000..1fff763 --- /dev/null +++ b/systems/fv3gfs/jobs/ecen.sh @@ -0,0 +1,53 @@ +#! /bin/bash +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-08-16 21:42:24 +0000 (Wed, 16 Aug 2017) $ +# $Revision: 96658 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: ecen.sh 96658 2017-08-16 21:42:24Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 + +## Abstract: +## Ensemble recentering driver script +## EXPDIR : /full/path/to/config/files +## CDATE : current analysis date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +############################################################### + +set -ex +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) + +############################################################### +# Set script and dependency variables +export GDATE=$($NDATE -$assim_freq $CDATE) + +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) +gymd=$(echo $GDATE | cut -c1-8) +ghh=$(echo $GDATE | cut -c9-10) + +export APREFIX="${CDUMP}.t${chh}z." +export ASUFFIX=".nemsio" +export GPREFIX="${CDUMP}.t${ghh}z." +export GSUFFIX=".nemsio" + +export COMIN="$ROTDIR/$CDUMP.$cymd/$chh" +export COMIN_ENS="$ROTDIR/enkf.$CDUMP.$cymd/$chh" +export COMIN_GES_ENS="$ROTDIR/enkf.$CDUMP.$gymd/$ghh" +export DATA="$RUNDIR/$CDATE/$CDUMP/ecen" +if [ ${KEEPDATA:-"NO"} = "NO" ] ; then rm -rf $DATA ; fi + +############################################################### +# Run relevant exglobal script +$ENKFRECENSH +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Exit out cleanly +exit 0 diff --git a/systems/fv3gfs/jobs/efcs.sh b/systems/fv3gfs/jobs/efcs.sh new file mode 100755 index 0000000..3c957fd --- /dev/null +++ b/systems/fv3gfs/jobs/efcs.sh @@ -0,0 +1,88 @@ +#! /bin/bash +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-10-23 21:23:33 +0000 (Mon, 23 Oct 2017) $ +# $Revision: 98608 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: efcs.sh 98608 2017-10-23 21:23:33Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 + +## Abstract: +## Ensemble forecast driver script +## EXPDIR : /full/path/to/config/files +## CDATE : current analysis date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +## ENSGRP : ensemble sub-group to make forecasts (1, 2, ...) +############################################################### + +set -ex +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH bool:.true.,.false. from:true_false_vars ) + +############################################################### +# Set script and dependency variables +export CASE=$CASE_ENKF +export DATA=$RUNDIR/$CDATE/$CDUMP/efcs.grp$ENSGRP +[[ -d $DATA ]] && rm -rf $DATA + +# Get ENSBEG/ENSEND from ENSGRP and NMEM_EFCSGRP +ENSEND=$((NMEM_EFCSGRP * ENSGRP)) +ENSBEG=$((ENSEND - NMEM_EFCSGRP + 1)) +export ENSBEG=$ENSBEG +export ENSEND=$ENSEND + +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) + +export GDATE=$($NDATE -$assim_freq $CDATE) +gymd=$(echo $GDATE | cut -c1-8) +ghh=$(echo $GDATE | cut -c9-10) + +# Default warm_start is OFF +export warm_start=".false." + +# If RESTART conditions exist; warm start the model +memchar="mem"$(printf %03i $ENSBEG) +if [ -f $ROTDIR/enkf.${CDUMP}.$gymd/$ghh/$memchar/RESTART/${cymd}.${chh}0000.coupler.res ]; then + export warm_start=".true." + if [ -f $ROTDIR/enkf.${CDUMP}.$cymd/$chh/$memchar/${CDUMP}.t${chh}z.atminc.nc ]; then + export read_increment=".true." + else + echo "WARNING: WARM START $CDUMP $CDATE WITHOUT READING INCREMENT!" + fi +fi + +# Forecast length for EnKF forecast +export FHMIN=$FHMIN_ENKF +export FHOUT=$FHOUT_ENKF +export FHMAX=$FHMAX_ENKF + +############################################################### +# Run relevant exglobal script +$ENKFFCSTSH +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Double check the status of members in ENSGRP +EFCSGRP=$ROTDIR/enkf.${CDUMP}.$cymd/$chh/efcs.grp${ENSGRP} +if [ -f $EFCSGRP ]; then + npass=$(grep "PASS" $EFCSGRP | wc -l) +else + npass=0 +fi +echo "$npass/$NMEM_EFCSGRP members successfull in efcs.grp$ENSGRP" +if [ $npass -ne $NMEM_EFCSGRP ]; then + echo "ABORT!" + cat $EFCSGRP + exit 99 +fi + +############################################################### +# Exit out cleanly +exit 0 diff --git a/systems/fv3gfs/jobs/eobs.sh b/systems/fv3gfs/jobs/eobs.sh new file mode 100755 index 0000000..15a786d --- /dev/null +++ b/systems/fv3gfs/jobs/eobs.sh @@ -0,0 +1,114 @@ +#! /bin/bash +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ +# $Revision: 98721 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: eobs.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 + +## Abstract: +## EnKF innovations for ensemble mean driver script +## EXPDIR : /full/path/to/config/files +## CDATE : current analysis date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +############################################################### + +set -ex +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH bool:.true.,.false. from:true_false_vars ) +unset DELTIM + +############################################################### +# Set script and dependency variables +export GDATE=$($NDATE -$assim_freq $CDATE) + +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) +gymd=$(echo $GDATE | cut -c1-8) +ghh=$(echo $GDATE | cut -c9-10) + +export OPREFIX="${CDUMP}.t${chh}z." +export APREFIX="${CDUMP}.t${chh}z." +export ASUFFIX=".nemsio" +export GPREFIX="${CDUMP}.t${ghh}z." +export GSUFFIX=".nemsio" + +export COMIN_GES="$ROTDIR/$CDUMP.$gymd/$ghh" +export COMIN_ANL="$ROTDIR/$CDUMP.$cymd/$chh" +export COMIN_GES_ENS="$ROTDIR/enkf.$CDUMP.$gymd/$ghh" +export COMOUT="$ROTDIR/enkf.$CDUMP.$cymd/$chh" +export DATA="$RUNDIR/$CDATE/$CDUMP/eobs" +[[ -d $DATA ]] && rm -rf $DATA + +export ATMGES_ENSMEAN="$COMIN_GES_ENS/${GPREFIX}atmf006.ensmean$GSUFFIX" +if [ ! -f $ATMGES_ENSMEAN ]; then + echo "FILE MISSING: ATMGES_ENSMEAN = $ATMGES_ENSMEAN" + exit 2 +fi + +export LEVS=$($NEMSIOGET $ATMGES_ENSMEAN dimz | awk '{print $2}') +status=$? +[[ $status -ne 0 ]] && exit $status + +# Link observational data +export PREPQC="$COMIN_ANL/${OPREFIX}prepbufr" +export PREPQCPF="$COMIN_ANL/${OPREFIX}prepbufr.acft_profiles" + +# Guess Bias correction coefficients related to control +export GBIAS=${COMIN_GES}/${GPREFIX}abias +export GBIASPC=${COMIN_GES}/${GPREFIX}abias_pc +export GBIASAIR=${COMIN_GES}/${GPREFIX}abias_air +export GRADSTAT=${COMIN_GES}/${GPREFIX}radstat + +# Bias correction coefficients related to ensemble mean +export ABIAS="$COMOUT/${APREFIX}abias.ensmean" +export ABIASPC="$COMOUT/${APREFIX}abias_pc.ensmean" +export ABIASAIR="$COMOUT/${APREFIX}abias_air.ensmean" +export ABIASe="$COMOUT/${APREFIX}abias_int.ensmean" + +# Diagnostics related to ensemble mean +export GSISTAT="$COMOUT/${APREFIX}gsistat.ensmean" +export CNVSTAT="$COMOUT/${APREFIX}cnvstat.ensmean" +export OZNSTAT="$COMOUT/${APREFIX}oznstat.ensmean" +export RADSTAT="$COMOUT/${APREFIX}radstat.ensmean" + +# Select observations based on ensemble mean +export RUN_SELECT="YES" +export USE_SELECT="NO" +export SELECT_OBS="$COMOUT/${APREFIX}obsinput.ensmean" + +export DIAG_SUFFIX="_ensmean" + +# GSI namelist options specific to eobs +export SETUP_INVOBS="passive_bc=.false." + +# Over-write variables +COMIN_GES_SAVE=$COMIN_GES +GSUFFIX_SAVE=$GSUFFIX +export COMIN_GES=$COMIN_GES_ENS +export GSUFFIX=".ensmean$GSUFFIX" + +# Do not run global_cycle for ensemble mean +export DOGCYCLE="NO" + +############################################################### +# Ensure clean stat tarballs for ensemble mean +for fstat in $CNVSTAT $OZNSTAT $RADSTAT; do + [[ -f $fstat ]] && rm -f $fstat +done + +############################################################### +# Run relevant exglobal script +$INVOBSSH +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Exit out cleanly +exit 0 diff --git a/systems/fv3gfs/jobs/eomg.sh b/systems/fv3gfs/jobs/eomg.sh new file mode 100755 index 0000000..3d18a8d --- /dev/null +++ b/systems/fv3gfs/jobs/eomg.sh @@ -0,0 +1,98 @@ +#! /bin/bash +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ +# $Revision: 98721 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: eomg.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 + +## Abstract: +## EnKF innovations for ensemble members driver script +## EXPDIR : /full/path/to/config/files +## CDATE : current analysis date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +## ENSGRP : ensemble sub-group to compute innovations (1, 2, ...) +############################################################### + +set -ex +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) + +############################################################### +# Set script and dependency variables +export CASE=$CASE_ENKF +export GDATE=$($NDATE -$assim_freq $CDATE) + +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) +gymd=$(echo $GDATE | cut -c1-8) +ghh=$(echo $GDATE | cut -c9-10) + +export OPREFIX="${CDUMP}.t${chh}z." +export APREFIX="${CDUMP}.t${chh}z." +export ASUFFIX=".nemsio" +export GPREFIX="${CDUMP}.t${ghh}z." +export GSUFFIX=".nemsio" + +export COMIN_GES="$ROTDIR/$CDUMP.$gymd/$ghh" +export COMIN_GES_ENS="$ROTDIR/enkf.$CDUMP.$gymd/$ghh" +export COMOUT="$ROTDIR/enkf.$CDUMP.$cymd/$chh" +export DATA="$RUNDIR/$CDATE/$CDUMP/eomg.grp$ENSGRP" +[[ -d $DATA ]] && rm -rf $DATA + +export ATMGES_ENSMEAN="$COMIN_GES_ENS/${GPREFIX}atmf006.ensmean$GSUFFIX" +if [ ! -f $ATMGES_ENSMEAN ]; then + echo "FILE MISSING: ATMGES_ENSMEAN = $ATMGES_ENSMEAN" + exit 1 +fi + +export LEVS=$($NEMSIOGET $ATMGES_ENSMEAN dimz | awk '{print $2}') +status=$? +[[ $status -ne 0 ]] && exit $status + +# Guess Bias correction coefficients related to control +export GBIAS=${COMIN_GES}/${GPREFIX}abias +export GBIASPC=${COMIN_GES}/${GPREFIX}abias_pc +export GBIASAIR=${COMIN_GES}/${GPREFIX}abias_air +export GRADSTAT=${COMIN_GES}/${GPREFIX}radstat + +# Use the selected observations from ensemble mean +export RUN_SELECT="NO" +export USE_SELECT="YES" +export SELECT_OBS="$COMOUT/${APREFIX}obsinput.ensmean" + +############################################################### +# Get ENSBEG/ENSEND from ENSGRP and NMEM_EOMGGRP +ENSEND=$((NMEM_EOMGGRP * ENSGRP)) +ENSBEG=$((ENSEND - NMEM_EOMGGRP + 1)) +export ENSBEG=$ENSBEG +export ENSEND=$ENSEND + +# Run relevant exglobal script +$ENKFINVOBSSH +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Double check the status of members in ENSGRP +EOMGGRP=$ROTDIR/enkf.${CDUMP}.$cymd/$chh/eomg.grp${ENSGRP} +if [ -f $EOMGGRP ]; then + npass=$(grep "PASS" $EOMGGRP | wc -l) +else + npass=0 +fi +echo "$npass/$NMEM_EOMGGRP members successfull in eomg.grp$ENSGRP" +if [ $npass -ne $NMEM_EOMGGRP ]; then + echo "ABORT!" + cat $EOMGGRP + exit 99 +fi + +############################################################### +# Exit out cleanly +exit 0 diff --git a/systems/fv3gfs/jobs/epos.sh b/systems/fv3gfs/jobs/epos.sh new file mode 100755 index 0000000..b86fe91 --- /dev/null +++ b/systems/fv3gfs/jobs/epos.sh @@ -0,0 +1,48 @@ +#! /bin/bash +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-09-23 02:48:49 +0000 (Sat, 23 Sep 2017) $ +# $Revision: 97753 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: epos.sh 97753 2017-09-23 02:48:49Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 + +## Abstract: +## Ensemble post-processing driver script +## EXPDIR : /full/path/to/config/files +## CDATE : current analysis date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +############################################################### + +set -ex +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) + +############################################################### +# Set script and dependency variables +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) + +export PREFIX="${CDUMP}.t${chh}z." +export SUFFIX=".nemsio" + +export COMIN="$ROTDIR/enkf.$CDUMP.$cymd/$chh" +export COMOUT="$ROTDIR/enkf.$CDUMP.$cymd/$chh" +export DATA="$RUNDIR/$CDATE/$CDUMP/epos" +if [ ${KEEPDATA:-"NO"} = "NO" ] ; then rm -rf $DATA ; fi + +export LEVS=$((LEVS-1)) + +############################################################### +# Run relevant exglobal script +$ENKFPOSTSH +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Exit out cleanly +exit 0 diff --git a/systems/fv3gfs/jobs/eupd.sh b/systems/fv3gfs/jobs/eupd.sh new file mode 100755 index 0000000..492dbfc --- /dev/null +++ b/systems/fv3gfs/jobs/eupd.sh @@ -0,0 +1,53 @@ +#! /bin/bash +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-09-23 02:48:49 +0000 (Sat, 23 Sep 2017) $ +# $Revision: 97753 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: eupd.sh 97753 2017-09-23 02:48:49Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 + +## Abstract: +## EnKF update driver script +## EXPDIR : /full/path/to/config/files +## CDATE : current analysis date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +############################################################### + +set -ex +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) +set +e +############################################################### +# Set script and dependency variables +export GDATE=$($NDATE -$assim_freq $CDATE) + +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) +gymd=$(echo $GDATE | cut -c1-8) +ghh=$(echo $GDATE | cut -c9-10) + +export GPREFIX="${CDUMP}.t${ghh}z." +export GSUFFIX=".nemsio" +export APREFIX="${CDUMP}.t${chh}z." +export ASUFFIX=".nemsio" + +export COMIN_GES_ENS="$ROTDIR/enkf.$CDUMP.$gymd/$ghh" +export COMOUT_ANL_ENS="$ROTDIR/enkf.$CDUMP.$cymd/$chh" +export DATA="$RUNDIR/$CDATE/$CDUMP/eupd" +if [ ${KEEPDATA:-"NO"} = "NO" ] ; then rm -rf $DATA ; fi + +############################################################### +# Run relevant exglobal script +echo "RUN: $ENKFUPDSH" +$ENKFUPDSH +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Exit out cleanly +exit 0 diff --git a/systems/fv3gfs/jobs/fcst.sh b/systems/fv3gfs/jobs/fcst.sh new file mode 100755 index 0000000..1e4e70c --- /dev/null +++ b/systems/fv3gfs/jobs/fcst.sh @@ -0,0 +1,105 @@ +#! /bin/bash +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-10-08 16:02:04 +0000 (Sun, 08 Oct 2017) $ +# $Revision: 98185 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: fcst.sh 98185 2017-10-08 16:02:04Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Fanglin Yang Org: NCEP/EMC Date: October 2016 +## Rahul Mahajan Org: NCEP/EMC Date: April 2017 + +## Abstract: +## Model forecast driver script +## EXPDIR : /full/path/to/config/files +## CDATE : current analysis date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +############################################################### + +set -ex +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH bool:.true.,.false. from:true_false_vars ) + +############################################################### +# Set script and dependency variables +export DATA=$RUNDIR/$CDATE/$CDUMP/fcst +[[ -d $DATA ]] && rm -rf $DATA + +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) + +export GDATE=$($NDATE -$assim_freq $CDATE) +gymd=$(echo $GDATE | cut -c1-8) +ghh=$(echo $GDATE | cut -c9-10) + +# Default warm_start is OFF +export warm_start=".false." + +# If RESTART conditions exist; warm start the model +# Restart conditions for GFS cycle come from GDAS +rCDUMP=$CDUMP +[[ $CDUMP = "gfs" ]] && export rCDUMP="gdas" + +if [ -f $ROTDIR/${rCDUMP}.$gymd/$ghh/RESTART/${cymd}.${chh}0000.coupler.res ]; then + export warm_start=".true." + if [ -f $ROTDIR/${CDUMP}.$cymd/$chh/${CDUMP}.t${chh}z.atminc.nc ]; then + export read_increment=".true." + else + echo "WARNING: WARM START $CDUMP $CDATE WITHOUT READING INCREMENT!" + fi +fi + +# Forecast length for GFS forecast +if [ $CDUMP = "gfs" ]; then + export FHMIN=$FHMIN_GFS + export FHOUT=$FHOUT_GFS + export FHMAX=$FHMAX_GFS + export FHMAX_HF=$FHMAX_HF_GFS + export FHOUT_HF=$FHOUT_HF_GFS +fi + +############################################################### +# Run relevant exglobal script +$FORECASTSH +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Convert model native history files to nemsio + +export DATA=$ROTDIR/${CDUMP}.$cymd/$chh + +if [ $CDUMP = "gdas" ]; then + + if [ $OUTPUT_GRID = 'cubed_sphere_grid' -o $QUILTING = ".false." ]; then + # Regrid 6-tile output to global array in NEMSIO gaussian grid for DA + $REGRID_NEMSIO_SH + status=$? + [[ $status -ne 0 ]] && exit $status + fi + +elif [ $CDUMP = "gfs" ]; then + + if [ $OUTPUT_GRID = 'cubed_sphere_grid' -o $QUILTING = ".false." ]; then + # Remap 6-tile output to global array in NetCDF latlon + $REMAPSH + status=$? + [[ $status -ne 0 ]] && exit $status + fi + + if [ $WRITE_NEMSIOFILE = ".false." -o $QUILTING = ".false." ]; then + # Convert NetCDF to nemsio + $NC2NEMSIOSH + status=$? + [[ $status -ne 0 ]] && exit $status + fi + +fi + +############################################################### +# Exit out cleanly +exit 0 diff --git a/systems/fv3gfs/jobs/fcst_df.sh b/systems/fv3gfs/jobs/fcst_df.sh new file mode 100755 index 0000000..1e4e70c --- /dev/null +++ b/systems/fv3gfs/jobs/fcst_df.sh @@ -0,0 +1,105 @@ +#! /bin/bash +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-10-08 16:02:04 +0000 (Sun, 08 Oct 2017) $ +# $Revision: 98185 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: fcst.sh 98185 2017-10-08 16:02:04Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Fanglin Yang Org: NCEP/EMC Date: October 2016 +## Rahul Mahajan Org: NCEP/EMC Date: April 2017 + +## Abstract: +## Model forecast driver script +## EXPDIR : /full/path/to/config/files +## CDATE : current analysis date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +############################################################### + +set -ex +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH bool:.true.,.false. from:true_false_vars ) + +############################################################### +# Set script and dependency variables +export DATA=$RUNDIR/$CDATE/$CDUMP/fcst +[[ -d $DATA ]] && rm -rf $DATA + +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) + +export GDATE=$($NDATE -$assim_freq $CDATE) +gymd=$(echo $GDATE | cut -c1-8) +ghh=$(echo $GDATE | cut -c9-10) + +# Default warm_start is OFF +export warm_start=".false." + +# If RESTART conditions exist; warm start the model +# Restart conditions for GFS cycle come from GDAS +rCDUMP=$CDUMP +[[ $CDUMP = "gfs" ]] && export rCDUMP="gdas" + +if [ -f $ROTDIR/${rCDUMP}.$gymd/$ghh/RESTART/${cymd}.${chh}0000.coupler.res ]; then + export warm_start=".true." + if [ -f $ROTDIR/${CDUMP}.$cymd/$chh/${CDUMP}.t${chh}z.atminc.nc ]; then + export read_increment=".true." + else + echo "WARNING: WARM START $CDUMP $CDATE WITHOUT READING INCREMENT!" + fi +fi + +# Forecast length for GFS forecast +if [ $CDUMP = "gfs" ]; then + export FHMIN=$FHMIN_GFS + export FHOUT=$FHOUT_GFS + export FHMAX=$FHMAX_GFS + export FHMAX_HF=$FHMAX_HF_GFS + export FHOUT_HF=$FHOUT_HF_GFS +fi + +############################################################### +# Run relevant exglobal script +$FORECASTSH +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Convert model native history files to nemsio + +export DATA=$ROTDIR/${CDUMP}.$cymd/$chh + +if [ $CDUMP = "gdas" ]; then + + if [ $OUTPUT_GRID = 'cubed_sphere_grid' -o $QUILTING = ".false." ]; then + # Regrid 6-tile output to global array in NEMSIO gaussian grid for DA + $REGRID_NEMSIO_SH + status=$? + [[ $status -ne 0 ]] && exit $status + fi + +elif [ $CDUMP = "gfs" ]; then + + if [ $OUTPUT_GRID = 'cubed_sphere_grid' -o $QUILTING = ".false." ]; then + # Remap 6-tile output to global array in NetCDF latlon + $REMAPSH + status=$? + [[ $status -ne 0 ]] && exit $status + fi + + if [ $WRITE_NEMSIOFILE = ".false." -o $QUILTING = ".false." ]; then + # Convert NetCDF to nemsio + $NC2NEMSIOSH + status=$? + [[ $status -ne 0 ]] && exit $status + fi + +fi + +############################################################### +# Exit out cleanly +exit 0 diff --git a/systems/fv3gfs/jobs/fv3ic.sh b/systems/fv3gfs/jobs/fv3ic.sh new file mode 100755 index 0000000..37e67bd --- /dev/null +++ b/systems/fv3gfs/jobs/fv3ic.sh @@ -0,0 +1,55 @@ +#! /bin/bash +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ +# $Revision: 98721 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: fv3ic.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Rahul Mahajan Org: NCEP/EMC Date: August 2017 + +## Abstract: +## Create FV3 initial conditions from GFS intitial conditions +## EXPDIR : /full/path/to/config/files +## CDATE : current date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +export EXPDIR=${1:-$EXPDIR} +export CDATE=${2:-$CDATE} +export CDUMP=${3:-$CDUMP} +############################################################### + +set -ex +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) + +# Temporary runtime directory +export DATA="$RUNDIR/$CDATE/$CDUMP/fv3ic$$" +[[ -d $DATA ]] && rm -rf $DATA + +# Input GFS initial condition files +export INIDIR="$ICSDIR/$CDATE/$CDUMP" +export ATMANL="$ICSDIR/$CDATE/$CDUMP/siganl.${CDUMP}.$CDATE" +export SFCANL="$ICSDIR/$CDATE/$CDUMP/sfcanl.${CDUMP}.$CDATE" +if [ -f $ICSDIR/$CDATE/$CDUMP/nstanl.${CDUMP}.$CDATE ]; then + export NSTANL="$ICSDIR/$CDATE/$CDUMP/nstanl.${CDUMP}.$CDATE" +fi + +# Output FV3 initial condition files +export OUTDIR="$ICSDIR/$CDATE/$CDUMP/$CASE/INPUT" + +export OMP_NUM_THREADS_CH=$NTHREADS_CHGRES +export APRUNC=$APRUN_CHGRES + +# Call global_chgres_driver.sh +$BASE_GSM/ush/global_chgres_driver.sh +status=$? +if [ $status -ne 0 ]; then + echo "global_chgres_driver.sh returned with a non-zero exit code, ABORT!" + exit $status +fi + +############################################################### +# Exit cleanly +exit 0 diff --git a/systems/fv3gfs/jobs/fv3ic_df.sh b/systems/fv3gfs/jobs/fv3ic_df.sh new file mode 100755 index 0000000..868fc74 --- /dev/null +++ b/systems/fv3gfs/jobs/fv3ic_df.sh @@ -0,0 +1,81 @@ +#! /bin/bash +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ +# $Revision: 98721 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: fv3ic.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Rahul Mahajan Org: NCEP/EMC Date: August 2017 + +## Abstract: +## Create FV3 initial conditions from GFS intitial conditions +## EXPDIR : /full/path/to/config/files +## CDATE : current date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +export EXPDIR=${1:-$EXPDIR} +export CDATE=${2:-$CDATE} +export CDUMP=${3:-$CDUMP} +############################################################### + +set -ex +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) + +# Temporary runtime directory +export DATA="$RUNDIR/$CDATE/$CDUMP/fv3ic$$" +[[ -d $DATA ]] && rm -rf $DATA + +# Input GFS initial condition files +export INIDIR="$ICSDIR/$CDATE/$CDUMP" +export ATMANL="$ICSDIR/$CDATE/$CDUMP/siganl.${CDUMP}.$CDATE" +export SFCANL="$ICSDIR/$CDATE/$CDUMP/sfcanl.${CDUMP}.$CDATE" +if [ -f $ICSDIR/$CDATE/$CDUMP/nstanl.${CDUMP}.$CDATE ]; then + export NSTANL="$ICSDIR/$CDATE/$CDUMP/nstanl.${CDUMP}.$CDATE" +fi + +# Output FV3 initial condition files +#export OUTDIR="$ICSDIR/$CDATE/$CDUMP/$CASE/INPUT" +export OUTDIR="$DATA/outdir" +mkdir -p "$OUTDIR" + +$HOMEcrow/crow_dataflow_cycle_sh.py "$CROW_DATAFLOW_DB" add "$CDATE" +$HOMEcrow/crow_dataflow_cycle_sh.py "$CROW_DATAFLOW_DB" add "$CDATE" + +export OMP_NUM_THREADS_CH=$NTHREADS_CHGRES +export APRUNC=$APRUN_CHGRES + +# Call global_chgres_driver.sh +$BASE_GSM/ush/global_chgres_driver.sh +status=$? +if [ $status -ne 0 ]; then + echo "global_chgres_driver.sh returned with a non-zero exit code, ABORT!" + exit $status +fi + +set -xue + +ACTOR=$( echo "$TASK_PATH" | sed 's,\.[^.]*$,,g' ) + +$HOMEcrow/crow_dataflow_deliver_sh.py -i "$OUTDIR/gfs_ctrl.nc" \ + "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=gfs_ctrl_nc + +$HOMEcrow/crow_dataflow_deliver_sh.py -m -i "$OUTDIR/{kind}.tile{tile}.nc" \ + "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=output_data_tiles + +# $HOMEcrow/crow_dataflow_deliver_sh.py \ +# -i "$OUTDIR/RESTART/{cycle:%Y%m%d.%H%M%S}0000.{kind}.tile{tile:%d}.nc" \ +# "$crow_db" "$CDATE" "$ACTOR" "slot=end_time_tiles" + +# $HOMEcrow/crow_dataflow_deliver_sh.py \ +# -i "$OUTDIR/RESTART/{kind}.tile{tile:%d}.nc" \ +# "$crow_db" "$CDATE" "$ACTOR" "slot=end_time_tiles" + +# $HOMEcrow/crow_dataflow_deliver_sh.py \ +# -i "$OUTDIR/RESTART/{cycle:%Y%m%d" \ + +############################################################### +# Exit cleanly +exit 0 diff --git a/systems/fv3gfs/jobs/getic.sh b/systems/fv3gfs/jobs/getic.sh new file mode 100755 index 0000000..89e448b --- /dev/null +++ b/systems/fv3gfs/jobs/getic.sh @@ -0,0 +1,183 @@ +#! /bin/bash +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ +# $Revision: 98721 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: getic.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Rahul Mahajan Org: NCEP/EMC Date: August 2017 + +## Abstract: +## Get GFS intitial conditions +## EXPDIR : /full/path/to/config/files +## CDATE : current date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +############################################################### + +set -ex +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) + +############################################################### +# Set script and dependency variables + +yyyy=$(echo $CDATE | cut -c1-4) +mm=$(echo $CDATE | cut -c5-6) +dd=$(echo $CDATE | cut -c7-8) +hh=$(echo $CDATE | cut -c9-10) +cymd=$(echo $CDATE | cut -c1-8) + +############################################################### + +target_dir=$ICSDIR/$CDATE/$CDUMP +mkdir -p $target_dir +cd $target_dir + +# Save the files as legacy EMC filenames +ftanal[1]="pgbanl.${CDUMP}.$CDATE" +ftanal[2]="siganl.${CDUMP}.$CDATE" +ftanal[3]="sfcanl.${CDUMP}.$CDATE" +ftanal[4]="nstanl.${CDUMP}.$CDATE" + +# Initialize return code to 0 +rc=1 + +if [ $ics_from = "opsgfs" ]; then + + # Handle nemsio and pre-nemsio GFS filenames + if [ $CDATE -gt "2017072000" ]; then + nfanal=4 + fanal[1]="./${CDUMP}.t${hh}z.pgrbanl" + fanal[2]="./${CDUMP}.t${hh}z.atmanl.nemsio" + fanal[3]="./${CDUMP}.t${hh}z.sfcanl.nemsio" + fanal[4]="./${CDUMP}.t${hh}z.nstanl.nemsio" + flanal="${fanal[1]} ${fanal[2]} ${fanal[3]} ${fanal[4]}" + tarpref="gpfs_hps_nco_ops_com" + else + nfanal=3 + [[ $CDUMP = "gdas" ]] && str1=1 + fanal[1]="./${CDUMP}${str1}.t${hh}z.pgrbanl" + fanal[2]="./${CDUMP}${str1}.t${hh}z.sanl" + fanal[3]="./${CDUMP}${str1}.t${hh}z.sfcanl" + flanal="${fanal[1]} ${fanal[2]} ${fanal[3]}" + tarpref="com2" + fi + + # First check the COMROOT for files, if present copy over + if [ $machine = "WCOSS_C" ]; then + + # Need COMROOT + module load prod_envir >> /dev/null 2>&1 + + comdir="$COMROOT/$CDUMP/prod/$CDUMP.$cymd" + rc=0 + for i in `seq 1 $nfanal`; do + if [ -f $comdir/${fanal[i]} ]; then + $NCP $comdir/${fanal[i]} ${ftanal[i]} + else + rb=1 ; ((rc+=rb)) + fi + done + + fi + + # Get initial conditions from HPSS + if [ $rc -ne 0 ]; then + + hpssdir="/NCEPPROD/hpssprod/runhistory/rh$yyyy/$yyyy$mm/$cymd" + if [ $CDUMP = "gdas" ]; then + tarball="$hpssdir/${tarpref}_gfs_prod_${CDUMP}.${CDATE}.tar" + elif [ $CDUMP = "gfs" ]; then + tarball="$hpssdir/${tarpref}_gfs_prod_${CDUMP}.${CDATE}.anl.tar" + fi + + # check if the tarball exists + hsi ls -l $tarball + rc=$? + if [ $rc -ne 0 ]; then + echo "$tarball does not exist and should, ABORT!" + exit $rc + fi + # get the tarball + htar -xvf $tarball $flanal + rc=$? + if [ $rc -ne 0 ]; then + echo "untarring $tarball failed, ABORT!" + exit $rc + fi + + # Move the files to legacy EMC filenames + for i in `seq 1 $nfanal`; do + if [[ "${fanal[i]}" != "${ftanal[i]}" ]] ; then + $NMV ${fanal[i]} ${ftanal[i]} + fi + done + + fi + + # If found, exit out + if [ $rc -ne 0 ]; then + echo "Unable to obtain operational GFS initial conditions, ABORT!" + exit 1 + fi + +elif [ $ics_from = "pargfs" ]; then + + # Filenames in parallel + nfanal=4 + fanal[1]="pgbanl.${CDUMP}.$CDATE" + fanal[2]="gfnanl.${CDUMP}.$CDATE" + fanal[3]="sfnanl.${CDUMP}.$CDATE" + fanal[4]="nsnanl.${CDUMP}.$CDATE" + flanal="${fanal[1]} ${fanal[2]} ${fanal[3]} ${fanal[4]}" + + # Get initial conditions from HPSS from retrospective parallel + tarball="$HPSS_PAR_PATH/${CDATE}${CDUMP}.tar" + + # check if the tarball exists + hsi ls -l $tarball + rc=$? + if [ $rc -ne 0 ]; then + echo "$tarball does not exist and should, ABORT!" + exit $rc + fi + # get the tarball + htar -xvf $tarball $flanal + rc=$? + if [ $rc -ne 0 ]; then + echo "untarring $tarball failed, ABORT!" + exit $rc + fi + + # Move the files to legacy EMC filenames + for i in `seq 1 $nfanal`; do + if [[ "${fanal[i]}" != "${ftanal[i]}" ]] ; then + $NMV ${fanal[i]} ${ftanal[i]} + fi + done + + # If found, exit out + if [ $rc -ne 0 ]; then + echo "Unable to obtain parallel GFS initial conditions, ABORT!" + exit 1 + fi + +else + + echo "ics_from = $ics_from is not supported, ABORT!" + exit 1 + +fi +############################################################### + +# Copy pgbanl file to COMROT for verification +COMROT=$ROTDIR/${CDUMP}.$cymd/$hh +[[ ! -d $COMROT ]] && mkdir -p $COMROT +$NCP ${ftanal[1]} $COMROT/${CDUMP}.t${hh}z.pgrbanl + +############################################################### +# Exit out cleanly +exit 0 diff --git a/systems/fv3gfs/jobs/job_wrapper.sh b/systems/fv3gfs/jobs/job_wrapper.sh new file mode 100755 index 0000000..1bd0559 --- /dev/null +++ b/systems/fv3gfs/jobs/job_wrapper.sh @@ -0,0 +1,36 @@ +#! /bin/sh + +# Theia workaround. Reduce stack soft limit while running "module" to +# avoid runaway memory allocation: +ulimit_s=$( ulimit -S -s ) +ulimit -S -s 10000 + +source "$BASE_MODULES"/module-setup.sh.inc +module use "$BASE_MODULES" +module load module_base.$( echo $MACHINE | tr A-Z a-z ) + +# FIXME: Remove hard-coded modules. +module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/python/modulefiles/ +module unload python anaconda +module load python/3.6.1-emc + +# Restore stack soft limit: +ulimit -S -s "$ulimit_s" +unset ulimit_s + +module list + +set -xue + +export PYTHONPATH="$HOMEcrow${PYTHONPATH:+:$PYTHONPATH}" + +python3.6 -c 'import crow ; print(f"CROW library version {crow.version}")' + +if [[ "${1:0:1}" == "/" ]] ; then + exec "$@" +fi + +# Relative path is from j-jobs directory +prog=$1 +shift +exec "$BASE_JOB/$prog.sh" "$@" diff --git a/systems/fv3gfs/jobs/post.sh b/systems/fv3gfs/jobs/post.sh new file mode 100755 index 0000000..bb54857 --- /dev/null +++ b/systems/fv3gfs/jobs/post.sh @@ -0,0 +1,85 @@ +#! /bin/bash +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ +# $Revision: 98721 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: post.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Fanglin Yang Org: NCEP/EMC Date: October 2016 +## Rahul Mahajan Org: NCEP/EMC Date: April 2017 + +## Abstract: +## NCEP post driver script +## EXPDIR : /full/path/to/config/files +## CDATE : current analysis date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +############################################################### + +set -ex +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH bool:.true.,.false. from:true_false_vars ) + +############################################################### +# Set script and dependency variables +PDY=$(echo $CDATE | cut -c1-8) +cyc=$(echo $CDATE | cut -c9-10) + +export COMROT=$ROTDIR/$CDUMP.$PDY/$cyc + +export pgmout="/dev/null" # exgfs_nceppost.sh.ecf will hang otherwise +export PREFIX="$CDUMP.t${cyc}z." +export SUFFIX=".nemsio" + +export DATA=$RUNDIR/$CDATE/$CDUMP/post +[[ -d $DATA ]] && rm -rf $DATA + +# Get metadata JCAP, LONB, LATB from ATMF00 +ATMF00=$ROTDIR/$CDUMP.$PDY/$cyc/${PREFIX}atmf000$SUFFIX +if [ ! -f $ATMF00 ]; then + echo "$ATMF00 does not exist and should, ABORT!" + exit 99 +fi + +if [ $QUILTING = ".false." ]; then + export JCAP=$($NEMSIOGET $ATMF00 jcap | awk '{print $2}') + status=$? + [[ $status -ne 0 ]] && exit $status +else + echo SHOULD NOT GET HERE + exit 99 + # write component does not add JCAP anymore + res=$(echo $CASE | cut -c2-) + export JCAP=$((res*2-2)) +fi + +[[ $status -ne 0 ]] && exit $status +export LONB=$($NEMSIOGET $ATMF00 dimx | awk '{print $2}') +status=$? +[[ $status -ne 0 ]] && exit $status +export LATB=$($NEMSIOGET $ATMF00 dimy | awk '{print $2}') +status=$? +[[ $status -ne 0 ]] && exit $status + +# Run post job to create analysis grib files +export ATMANL=$ROTDIR/$CDUMP.$PDY/$cyc/${PREFIX}atmanl$SUFFIX +if [ -f $ATMANL ]; then + export ANALYSIS_POST="YES" + $POSTJJOBSH + status=$? + [[ $status -ne 0 ]] && exit $status +fi + +# Run post job to create forecast grib files +export ANALYSIS_POST="NO" +$POSTJJOBSH +status=$? +[[ $status -ne 0 ]] && exit $status + +############################################################### +# Exit out cleanly +exit 0 diff --git a/systems/fv3gfs/jobs/prep.sh b/systems/fv3gfs/jobs/prep.sh new file mode 100755 index 0000000..26d6e97 --- /dev/null +++ b/systems/fv3gfs/jobs/prep.sh @@ -0,0 +1,56 @@ +#! /bin/bash +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ +# $Revision: 98721 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: prep.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 + +## Abstract: +## Do prepatory tasks +## EXPDIR : /full/path/to/config/files +## CDATE : current analysis date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +############################################################### + +set -ex +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) + +############################################################### +# Set script and dependency variables + +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) + +export OPREFIX="${CDUMP}.t${chh}z." + +export COMOUT="$ROTDIR/$CDUMP.$cymd/$chh" +[[ ! -d $COMOUT ]] && mkdir -p $COMOUT + +# Do relocation +if [ $DO_RELOCATE = "YES" ]; then + $DRIVE_RELOCATESH + echo "RELOCATION IS TURNED OFF in FV3, DRIVE_RELOCATESH does not exist, ABORT!" + status=1 + [[ $status -ne 0 ]] && exit $status +fi + +# Generate prepbufr files from dumps or copy from OPS +if [ $DO_MAKEPREPBUFR = "YES" ]; then + "$BASE_JOB"/drive_makeprepbufr.sh + [[ $status -ne 0 ]] && exit $status +else + $NCP $DMPDIR/$CDATE/$CDUMP/${OPREFIX}prepbufr $COMOUT/${OPREFIX}prepbufr + $NCP $DMPDIR/$CDATE/$CDUMP/${OPREFIX}prepbufr.acft_profiles $COMOUT/${OPREFIX}prepbufr.acft_profiles + [[ $DONST = "YES" ]] && $NCP $DMPDIR/$CDATE/$CDUMP/${OPREFIX}nsstbufr $COMOUT/${OPREFIX}nsstbufr +fi + +################################################################################ +# Exit out cleanly +exit 0 diff --git a/systems/fv3gfs/jobs/vrfy.sh b/systems/fv3gfs/jobs/vrfy.sh new file mode 100755 index 0000000..1a46bde --- /dev/null +++ b/systems/fv3gfs/jobs/vrfy.sh @@ -0,0 +1,127 @@ +#! /bin/bash +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-09-29 00:33:10 +0000 (Fri, 29 Sep 2017) $ +# $Revision: 97961 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: vrfy.sh 97961 2017-09-29 00:33:10Z fanglin.yang@noaa.gov $ +############################################################### + +############################################################### +## Author: Fanglin Yang Org: NCEP/EMC Date: October 2016 +## Rahul Mahajan Org: NCEP/EMC Date: April 2017 + +## Abstract: +## Inline verification and diagnostics driver script +## EXPDIR : /full/path/to/config/files +## CDATE : current analysis date (YYYYMMDDHH) +## CDUMP : cycle name (gdas / gfs) +############################################################### + +set -ex + +export LOGNAME=${LOGNAME:-${CDUMP:-fv3gfs}} # usually set at ecflow level + +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH \ + apply:LOGNAME=\"$LOGNAME\" from:shell_vars ) +eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH bool:.true.,.false. from:true_false_vars ) + +export OZNDIR="${OZNDIR:-$NOSCRUB/$LOGNAME/ozone/stats/{doc.case.experiment_name}" + +############################################################### + +export PDY=$(echo $CDATE | cut -c1-8) +export cyc=$(echo $CDATE | cut -c9-10) +export CDATEm1=$($NDATE -24 $CDATE) +export PDYm1=$(echo $CDATEm1 | cut -c1-8) +export COMIN="$ROTDIR/$CDUMP.$PDY/$cyc" +export DATAROOT="$RUNDIR/$CDATE/$CDUMP/vrfy" +[[ -d $DATAROOT ]] && rm -rf $DATAROOT + +############################################################### +# Verify Fits +if [ $VRFYFITS = "YES" -a $CDUMP = $CDFNL ]; then + + export CDUMPFCST=$VDUMP + export TMPDIR="$RUNDIR/$CDATE/$CDUMP" + [[ ! -d $TMPDIR ]] && mkdir -p $TMPDIR + + $PREPQFITSH $PSLOT $CDATE $ROTDIR $ARCDIR $TMPDIR + +fi + +############################################################### +# Run VSDB Step1, Verify precipitation and Grid2Obs +# VSDB_STEP1 and VRFYPRCP works +if [ $CDUMP = "gfs" ]; then + + if [ $VSDB_STEP1 = "YES" -o $VRFYPRCP = "YES" -o $VRFYG2OBS = "YES" ]; then + + xdate=$(echo $($NDATE -${BACKDATEVSDB} $CDATE) | cut -c1-8) + export ARCDIR1="$NOSCRUB/archive" + export rundir="$RUNDIR/$CDUMP/$CDATE/vrfy/vsdb_exp" + export COMROT="$ARCDIR1/dummy" + + $VSDBSH $xdate $xdate $vlength $cyc $PSLOT $CDATE $CDUMP $gfs_cyc + + fi +fi + +############################################################### +# Run RadMon data extraction +if [ $VRFYRAD = "YES" -a $CDUMP = $CDFNL ]; then + + export EXP=$PSLOT + export COMOUT="$ROTDIR/$CDUMP.$PDY/$cyc" + export jlogfile="$ROTDIR/logs/$CDATE/${CDUMP}radmon.log" + export TANKverf_rad="$TANKverf/stats/$PSLOT/$CDUMP.$PDY" + export TANKverf_radM1="$TANKverf/stats/$PSLOT/$CDUMP.$PDYm1" + export MY_MACHINE=$machine + + $VRFYRADSH + +fi + +############################################################### +# Run MinMon +if [ $VRFYMINMON = "YES" ]; then + + export COMOUT="$ROTDIR/$CDUMP.$PDY/$cyc" + export jlogfile="$ROTDIR/logs/$CDATE/${CDUMP}minmon.log" + export M_TANKverfM0="$M_TANKverf/stats/$PSLOT/$CDUMP.$PDY" + export M_TANKverfM1="$M_TANKverf/stats/$PSLOT/$CDUMP.$PDYm1" + export MY_MACHINE=$machine + + $VRFYMINSH + +fi + +################################################################################ +# Verify tracks +if [ $VRFYTRAK = "YES" ]; then + + export DATA="${DATAROOT}/tracker" + export COMOUT=$ARCDIR + + $TRACKERSH $CDATE $CDUMP $COMOUT $DATA + +fi + +################################################################################ +# Verify genesis +if [ $VRFYGENESIS = "YES" -a $CDUMP = "gfs" ]; then + + export DATA="${DATAROOT}/genesis_tracker" + export COMOUT=$ARCDIR + export gfspara=$COMIN + + $GENESISSH $CDATE $CDUMP $COMOUT $DATA + +fi + +############################################################### +# Force Exit out cleanly +if [ ${KEEPDATA:-"NO"} = "NO" ] ; then rm -rf $DATAROOT ; fi +exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_dump.sh.ecf b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_dump.sh.ecf new file mode 100755 index 0000000..085b631 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_dump.sh.ecf @@ -0,0 +1,1732 @@ +#!/bin/ksh +############################################################################# +echo "----------------------------------------------------------------------" +echo "exglobal_dump.sh.ecf - Global (GDAS, GFS) network data dump processing" +echo "----------------------------------------------------------------------" +echo "History: Jan 18 2000 - Original script. " +echo " May 16 2007 - Added DBNet alerts for GFS products. " +echo " Apr 2014 - Pick up grib files for planned GFS upgrade. " +echo " Oct 2014 - Remove attempts to dump obsolete sources. " +echo " Nov 2014 - Use parallel scripting to process dump groups. " +echo " Widen hourly satwnd dump window for GFS/GDAS. " +echo " Add new satwnd subtypes for GFS & GDAS. " +echo " GFS/GDAS continue if surface file unavailable. " +echo " Remove DBNet alerts for old surface files. " +echo " Dec 3 2014 - CDAS network, split off into its own script " +echo " excdas_dump.sh.ecf. This script now tailored " +echo " exclusively to GDAS and GFS. " +echo " Feb 2 2015 - Dump window for new satwnd type NC005090 set " +echo " to 3.00 to +2.99 hours about center dump time. " +echo " Removed ADD_satwnd=\"005019 005080\" since " +echo " types are now part of "satwnd" dump group " +echo " mnemonic in bufr_dumplist. " +echo " Aug 22 2016 - GSPIPW dump window reset for new data stream " +echo " (moved to dump group #4 where TIME_TRIM=on) " +echo " Jan 5 2017 - Dump new satellite data types. Reordered to " +echo " improve run time with all the new data. " +echo " Feb 8 2017 - Update to run on Cray-XC40 or IBM iDataPlex " +############################################################################# + +# NOTE: NET is changed to gdas in the parent Job script for the gdas RUN +# (was gfs - NET remains gfs for gfs RUN) +# ----------------------------------------------------------------------- + +set -xau + +# function to highlight an echoed msg with surrounding hashed separator lines. + echo_hashed_msg () { + set +x + msg=$* + echo -e "\n ${msg//?/#}" + echo " ${msg}" + echo -e " ${msg//?/#}\n" + set -x + } +# end of function setup +# +# set some variables if they have not already been set + +set +u + +# JOB_NUMBER = 1 indicates the prepbufr dump job. +# JOB_NUMBER = 2 indicates the non-prepbufr dump job. +# JOB_NUMBER not present indicates dump BOTH prepbufr and non-prepbufr data. +# ----------------------------------------------------------------------------- +# Dump group #1 (non-pb, TIME_TRIM defaults to OFF) = +# avcsam eshrs3 ssmisu cris saphir atms 1bhrs4 sevcsr tesac mls +# esatms +# +# Dump group #2 (pb, TIME_TRIM defaults to OFF) = +# sfcshp atovs* adpsfc ascatt +# * - for GDAS only +# +# Dump group #3 (pb, TIME_TRIM defaults to OFF) = +# adpupa +# +# Dump group #4 (pb, TIME_TRIM defaults to ON) = +# aircar aircft proflr vadwnd rassda gpsipw +# +# Dump group #5 (pb, TIME_TRIM defaults to OFF) = +# msonet +# +# Dump group #6 (non-pb, TIME_TRIM defaults to OFF) = +# nexrad +# +# Dump group #7 (non-pb, TIME_TRIM defaults to OFF) = +# avcspm esmhs goesfv 1bmhs airsev atmsdb gome omi trkob gpsro +# escris +# +# Dump group #8 (pb, TIME_TRIM defaults to ON) = +# satwnd +# +# Dump group #9 (non-pb, TIME_TRIM defaults to ON) = +# geoimr +# +# Dump group #10 (non-pb, TIME_TRIM defaults to OFF) = +# esiasi mtiasi esamua crisdb iasidb sevasr 1bamua bathy osbuv8 +# +# Dump group #11 (non-pb, TIME_TRIM defaults to OFF) = +# amsr2 +# +# Dump group #12 STATUS FILE +# ----------------------------------------------------------------------------- + +#VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV +# The settings below are based on a future change when the DUMP job will dump +# only types that go into PREPBUFR and the DUMP2 job will dump only types that +# do not go into PREPBUFR. This will speed up the DUMP + PREP processing. +# Although the logic is in place to now do this (see below), for now we will +# continue to run only a DUMP job which will dump ALL types (no DUMP2 job) - +# since JOB_NUMBER is not imported to this script, the logic below will dump +# all types ... +# ----------------------------------------------------------------------------- +#^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ + +if [ -n "$JOB_NUMBER" ]; then +set -u + if [ $JOB_NUMBER = 2 ]; then + dump_ind=DUMP2 + DUMP_group1=${DUMP_group1:-"YES"} + DUMP_group2=${DUMP_group2:-"NO"} + DUMP_group3=${DUMP_group3:-"NO"} + DUMP_group4=${DUMP_group4:-"NO"} + DUMP_group5=${DUMP_group5:-"NO"} + DUMP_group6=${DUMP_group6:-"NO"} + DUMP_group7=${DUMP_group7:-"YES"} + DUMP_group8=${DUMP_group8:-"NO"} + DUMP_group9=${DUMP_group9:-"YES"} + DUMP_group10=${DUMP_group10:-"YES"} + DUMP_group11=${DUMP_group11:-"YES"} + else + dump_ind=DUMP + DUMP_group1=${DUMP_group1:-"NO"} + DUMP_group2=${DUMP_group2:-"YES"} + DUMP_group3=${DUMP_group3:-"YES"} + DUMP_group4=${DUMP_group4:-"YES"} + DUMP_group5=${DUMP_group5:-"NO"} + DUMP_group6=${DUMP_group6:-"NO"} + DUMP_group7=${DUMP_group7:-"NO"} + DUMP_group8=${DUMP_group8:-"YES"} + DUMP_group9=${DUMP_group9:-"NO"} + DUMP_group10=${DUMP_group10:-"NO"} + DUMP_group11=${DUMP_group11:-"NO"} + fi +else + dump_ind=DUMP + DUMP_group1=${DUMP_group1:-"YES"} + DUMP_group2=${DUMP_group2:-"YES"} + DUMP_group3=${DUMP_group3:-"YES"} + DUMP_group4=${DUMP_group4:-"YES"} + DUMP_group5=${DUMP_group5:-"NO"} + DUMP_group6=${DUMP_group6:-"NO"} + DUMP_group7=${DUMP_group7:-"YES"} + DUMP_group8=${DUMP_group8:-"YES"} + DUMP_group9=${DUMP_group9:-"YES"} + DUMP_group10=${DUMP_group10:-"YES"} + DUMP_group11=${DUMP_group11:-"YES"} +fi + +if [ "$NET" = 'gfs' ]; then + ADPUPA_wait=${ADPUPA_wait:-"YES"} +########ADPUPA_wait=${ADPUPA_wait:-"NO"} # saves time if ADPUPA_wait=NO +else + ADPUPA_wait=${ADPUPA_wait:-"NO"} +fi + + +# send extra output of DUMP2 for monitoring purposes. +set +u +if [ -n "$JOB_NUMBER" ]; then + [ $JOB_NUMBER = 2 ] && export PS4='$SECONDS + ' +fi +set -u + +# Make sure we are in the $DATA directory +cd $DATA + +msg="HAS BEGUN on `hostname`" +$DATA/postmsg "$jlogfile" "$msg" + +cat break > $pgmout + +export dumptime=`cut -c7-16 ncepdate` +export cycp=`echo $dumptime|cut -c9-10` + +export NET_uc=$(echo $NET | tr [a-z] [A-Z]) +export tmmark_uc=$(echo $tmmark | tr [a-z] [A-Z]) + +msg="$NET_uc ANALYSIS TIME IS $PDY$cyc" +$DATA/postmsg "$jlogfile" "$msg" + +set +x +echo +echo "CENTER DATA DUMP DATE-TIME FOR $tmmark_uc $NET_uc IS $dumptime" +echo +set -x + +export COMSP=$COMOUT/$RUN.${cycle}. + +if [ "$PROCESS_GRIBFLDS" = 'YES' ]; then + +######################################################## +######################################################## +## The following files are not *required* but will still +# be processed here for the near term (missing files +# will not cause job to fail) +# +# copy snogrb (0.5 deg) from $TANK_GRIBFLDS +# copy snogrb_t574 from $TANK_GRIBFLDS +# copy engicegrb from $COM_ENGICE +# copy sstgrb from $COM_SSTOI +# generate sstgrb index file +######################################################## +######################################################## + + snogrb=$TANK_GRIBFLDS/$PDY/wgrbbul/snowdepth.global.grb + snoold=$TANK_GRIBFLDS/$PDYm1/wgrbbul/snowdepth.global.grb + + if [ -s $snogrb ]; then + cp $snogrb ${COMSP}snogrb + msg="todays 0.5 degree snow grib file located and copied to ${COMSP}snogrb" + $DATA/postmsg "$jlogfile" "$msg" + elif [ -s $snoold ]; then + cp $snoold ${COMSP}snogrb + msg="**todays 0.5 degree snow grib file not located - copy 1-day old file" + $DATA/postmsg "$jlogfile" "$msg" + else + set +x + echo " " + echo " #####################################################" + echo " cannot locate 0.5 degree snow grib file" + echo " #####################################################" + echo " " + set -x + msg="***WARNING: CANNOT LOCATE 0.5 DEGREE SNOW GRIB FILE. Not critical." + $DATA/postmsg "$jlogfile" "$msg" + fi + + snogrb_t574=$TANK_GRIBFLDS/$PDY/wgrbbul/snowdepth.t574.grb + snoold_t574=$TANK_GRIBFLDS/$PDYm1/wgrbbul/snowdepth.t574.grb + + if [ -s $snogrb_t574 ]; then + cp $snogrb_t574 ${COMSP}snogrb_t574 + msg="todays T574 snow grib file located and copied to ${COMSP}snogrb_t574" + $DATA/postmsg "$jlogfile" "$msg" + elif [ -s $snoold_t574 ]; then + cp $snoold_t574 ${COMSP}snogrb_t574 + msg="**todays T574 snow grib file not located - copy 1-day old file" + $DATA/postmsg "$jlogfile" "$msg" + else + set +x + echo " " + echo " ###############################################" + echo " cannot locate T574 snow grib file" + echo " ###############################################" + echo " " + set -x + msg="***WARNING: CANNOT LOCATE T574 SNOW GRIB FILE. Not critical." + $DATA/postmsg "$jlogfile" "$msg" + fi + + engicegrb=${COM_ENGICE}.$PDY/engice.t00z.grb + engiceold=${COM_ENGICE}.$PDYm1/engice.t00z.grb + + if [ -s $engicegrb ]; then + cp $engicegrb ${COMSP}engicegrb + msg="todays engice grib file located and copied to ${COMSP}engicegrb" + $DATA/postmsg "$jlogfile" "$msg" + elif [ -s $engiceold ]; then + cp $engiceold ${COMSP}engicegrb + msg="**todays engice grib file not located - copy 1-day old file" + $DATA/postmsg "$jlogfile" "$msg" + else + set +x + echo " " + echo " ############################################" + echo " cannot locate engice grib file" + echo " ############################################" + echo " " + set -x + msg="***WARNING: CANNOT LOCATE LOW RES ENGICE GRIB FILE. Not critical." + $DATA/postmsg "$jlogfile" "$msg" + fi + + sstgrb=${COM_SSTOI}.$PDY/sstoi_grb + sstold=${COM_SSTOI}.$PDYm1/sstoi_grb + + if [ -s $sstgrb ]; then + cp $sstgrb ${COMSP}sstgrb + msg="todays lowres sst grib file located and copied to ${COMSP}sstgrb" + $DATA/postmsg "$jlogfile" "$msg" + elif [ -s $sstold ]; then + cp $sstold ${COMSP}sstgrb + msg="**todays lowres sst grib file not located - copy 1-day old file" + $DATA/postmsg "$jlogfile" "$msg" + else + set +x + echo " " + echo " #########################################" + echo " cannot locate lowres sst grib file" + echo " #########################################" + echo " " + set -x + msg="***WARNING: CANNOT LOCATE LOW RES SST GRIB FILE. Not critical." + $DATA/postmsg "$jlogfile" "$msg" + fi + + if [ -s ${COMSP}sstgrb ]; then + rm errfile + $GRBINDEX ${COMSP}sstgrb ${COMSP}sstgrb.index 2> errfile + errindx=$? + [ "$errindx" -ne '0' ] && cat errfile + rm errfile + else + echo_hashed_msg "cannot create grib index since sst file does not exist" + fi + +# The following may no longer be needed, but leave them in place for now. +# Print msg in the rare case the grib2 files cannot be created. + if [ "$NET" = 'gdas' ]; then + if [ -s ${COMSP}engicegrb ]; then + $CNVGRIB -g12 -p40 ${COMSP}engicegrb ${COMSP}engicegrb.grib2 + else + echo_hashed_msg "Skip engicegrb.grib2 since grib1 file does not exist" + fi + if [ -s ${COMSP}sstgrb ]; then + $CNVGRIB -g12 -p40 ${COMSP}sstgrb ${COMSP}sstgrb.grib2 + else + echo_hashed_msg "Skip sstgrb.grib2 since grib1 file does not exist" + fi + if [ -s ${COMSP}snogrb ]; then + $CNVGRIB -g12 -p40 ${COMSP}snogrb ${COMSP}snogrb.grib2 + else + echo_hashed_msg "Skip snogrb.grib2 since grib1 file does not exist" + fi + fi + + +###################################################################### +###################################################################### +# For the following, try as far as $ndaysback to find recent file. # +# Post warning if no file found for $ndaysback_warn or beyond. # +# The job will continue if no suitable file is available. # +# ---------------------------------------------------------------- # +# copy NPR.SNWN.SP.S1200.MESH16 from $TANK_GRIBFLDS # +# copy NPR.SNWS.SP.S1200.MESH16 from $TANK_GRIBFLDS # +# copy imssnow96.grb.grib2 from $TANK_GRIBFLDS # +# copy seaice.t00z.5min.grb from $COM_ICE5MIN # +# copy seaice.t00z.5min.grb.grib2 from $COM_ICE5MIN # +# copy rtgssthr_grb_0.083 from $COM_SSTRTG # +# copy rtgssthr_grb_0.083.grib2 from $COM_SSTRTG # +###################################################################### +###################################################################### + for gribfile in \ + NPR.SNWN.SP.S1200.MESH16 \ + NPR.SNWS.SP.S1200.MESH16 \ + imssnow96.grb.grib2 \ + seaice.t00z.5min.grb \ + seaice.t00z.5min.grb.grib2 \ + rtgssthr_grb_0.083 \ + rtgssthr_grb_0.083.grib2 + do +# set the values specific to each file + case $gribfile in + NPR.SNWN.SP.S1200.MESH16 | NPR.SNWS.SP.S1200.MESH16 ) # AFWA snow + grib_source='$TANK_GRIBFLDS/$DDATE/wgrbbul'; + target_filename=$gribfile.grb + ndaysback=1; + ndaysback_warn=1;; + imssnow96.grb.grib2 ) # IMS snow + grib_source='$TANK_GRIBFLDS/$DDATE/wgrbbul'; + target_filename=imssnow96.grib2 + ndaysback=1; + ndaysback_warn=1;; + seaice.t00z.5min.grb ) + grib_source='${COM_ICE5MIN}.$DDATE'; + target_filename=seaice.5min.grb + ndaysback=7; + ndaysback_warn=1;; + seaice.t00z.5min.grb.grib2 ) + grib_source='${COM_ICE5MIN}.$DDATE'; + target_filename=seaice.5min.grib2 + ndaysback=7; + ndaysback_warn=1;; + rtgssthr_grb_0.083 ) + grib_source='${COM_SSTRTG}.$DDATE'; + target_filename=rtgssthr.grb + ndaysback=10; + ndaysback_warn=1;; + rtgssthr_grb_0.083.grib2 ) + grib_source='${COM_SSTRTG}.$DDATE'; + target_filename=rtgssthr.grib2 + ndaysback=10; + ndaysback_warn=1;; + *) + msg="***FATAL ERROR: unexpected grib field file $gribfile"; + echo_hashed_msg "$msg" + $DATA/postmsg "$jlogfile" "$msg" + $DATA/err_exit;; + esac +# set up string of dates to check + if [ $ndaysback -gt 0 ];then +set +x; echo -e "\n---> path to finddate.sh below is: `which finddate.sh`"; set -x + CHECK_DATES="$PDY $(finddate.sh $PDY s-$ndaysback)" + else + CHECK_DATES=$PDY + fi + set +x; + echo -e "\nWill check as far back as ${CHECK_DATES##* } for $gribfile" + set -x + ndtry=0 + found=false +# loop through dates to check for this file type + for DDATE in $CHECK_DATES;do + ndtry=`expr $ndtry + 1` + eval tryfile=$grib_source/$gribfile + if [ -s $tryfile ];then + set +x; echo -e "\nPicking up file $tryfile\n"; set -x + cp $tryfile ${COMSP}$target_filename + found=true + break + fi + if [ $DDATE -ne ${CHECK_DATES##* } ]; then + set +x;echo -e "\n$tryfile not available. Try previous day.\n" + set -x + else + set +x;echo -e "\n$tryfile not available.\n";set -x + fi + if [ $ndtry -gt $ndaysback_warn ];then + msg="***WARNING: INVESTIGATE UNEXPECTED ABSENCE OF $tryfile" + echo_hashed_msg "$msg" + $DATA/postmsg "$jlogfile" "$msg" + fi + done + if [ $found != true ]; then + msg="***WARNING: NO USEFUL RECENT FILES FOUND FOR $gribfile!!!" + echo_hashed_msg "$msg" + $DATA/postmsg "$jlogfile" "$msg" + fi + done + if [ "$SENDECF" = "YES" ]; then + ecflow_client --event=release_sfcprep + fi + +# endif loop $PROCESS_GRIBFLDS +fi + + +echo "=======> Dump group 1 (thread_1) not executed." > $DATA/1.out +echo "=======> Dump group 2 (thread_2) not executed." > $DATA/2.out +echo "=======> Dump group 3 (thread_3) not executed." > $DATA/3.out +echo "=======> Dump group 4 (thread_4) not executed." > $DATA/4.out +echo "=======> Dump group 5 (thread_5) not executed." > $DATA/5.out +echo "=======> Dump group 6 (thread_6) not executed." > $DATA/6.out +echo "=======> Dump group 7 (thread_7) not executed." > $DATA/7.out +echo "=======> Dump group 8 (thread_8) not executed." > $DATA/8.out +echo "=======> Dump group 9 (thread_9) not executed." > $DATA/9.out +echo "=======> Dump group 10 (thread_10) not executed." > $DATA/10.out +echo "=======> Dump group 11 (thread_11) not executed." > $DATA/11.out + +err1=0 +err2=0 +err3=0 +err4=0 +err5=0 +err6=0 +err7=0 +err8=0 +err9=0 +err10=0 +err11=0 +if [ "$PROCESS_DUMP" = 'YES' ]; then + +#################################### +#################################### +# The data "dump" script for tm00 +#################################### +#################################### + +msg="START THE $tmmark_uc $NET_uc DATA $dump_ind CENTERED ON $dumptime" +$DATA/postmsg "$jlogfile" "$msg" + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_1; chmod +x thread_1 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_1 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=1 + +#========================================================================= +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump # 1 : AVCSAM: 1 subtype(s) +# ESHRS3: 1 subtype(s) +# SSMISU: 1 subtype(s) +# CRIS: 1 subtype(s) (if present in past 10 days of tanks) +# SAPHIR: 1 subtype(s) +# ATMS: 1 subtype(s) (if present in past 10 days of tanks) +# 1BHRS4: 1 subtype(s) +# SEVCSR: 1 subtype(s) +# TESAC: 1 subtype(s) +# MLS: 1 subtype(s) (if present in past 10 days of tanks) +# ESATMS: 1 subtype(s) (if present in past 10 days of tanks) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 11 +# +#========================================================================= + +DTIM_latest_avcsam=${DTIM_latest_avcsam:-"+2.99"} +DTIM_latest_eshrs3=${DTIM_latest_eshrs3:-"+2.99"} +DTIM_latest_ssmisu=${DTIM_latest_ssmisu:-"+2.99"} +#----------------------------------------------- +# check for cris tank presence in past 10 days +cris="" +err_check_tanks=0 +sh $USHobsproc_dump/check_tanks.sh cris +err_check_tanks=$? +if [ $err_check_tanks -eq 0 ];then + cris=cris + DTIM_latest_cris=${DTIM_latest_cris:-"+2.99"} +fi +#----------------------------------------------- +DTIM_latest_saphir=${DTIM_latest_saphir:-"+2.99"} +#----------------------------------------------- +# check for atms tank presence in past 10 days +atms="" +err_check_tanks=0 +sh $USHobsproc_dump/check_tanks.sh atms +err_check_tanks=$? +if [ $err_check_tanks -eq 0 ];then + atms=atms + DTIM_latest_atms=${DTIM_latest_atms:-"+2.99"} +fi +#----------------------------------------------- +DTIM_latest_1bhrs4=${DTIM_latest_1bhrs4:-"+2.99"} +DTIM_latest_sevcsr=${DTIM_latest_sevcsr:-"+2.99"} +DTIM_latest_tesac=${DTIM_latest_tesac:-"+2.99"} +#----------------------------------------------- +# check for mls tank presence in past 10 days +mls="" +err_check_tanks=0 +sh $USHobsproc_dump/check_tanks.sh mls +err_check_tanks=$? +if [ $err_check_tanks -eq 0 ];then + mls=mls + DTIM_latest_mls=${DTIM_latest_mls:-"+2.99"} +fi +#----------------------------------------------- +#----------------------------------------------- +# check for esatms tank presence in past 10 days +esatms="" +err_check_tanks=0 +sh $USHobsproc_dump/check_tanks.sh esatms +err_check_tanks=$? +if [ $err_check_tanks -eq 0 ];then + esatms=esatms + DTIM_latest_esatms=${DTIM_latest_esatms:-"+2.99"} +fi +#----------------------------------------------- + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM1:-off}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 avcsam eshrs3 ssmisu $cris \ + saphir $atms 1bhrs4 sevcsr tesac $mls $esatms +error1=$? +echo "$error1" > $DATA/error1 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_avcsam $job \ + ${COMSP}avcsam.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_eshrs3 $job \ + ${COMSP}eshrs3.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_ssmisu $job \ + ${COMSP}ssmisu.tm00.bufr_d + if [ "$cris" = cris ];then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_cris $job \ + ${COMSP}cris.tm00.bufr_d + fi +### restricted $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_saphir $job \ +### restricted ${COMSP}saphir.tm00.bufr_d + if [ "$atms" = atms ];then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_atms $job \ + ${COMSP}atms.tm00.bufr_d + fi + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_1bhrs4 $job \ + ${COMSP}1bhrs4.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_sevcsr $job \ + ${COMSP}sevcsr.tm00.bufr_d +####### ALERTS TURNED OFF UNTIL REQUESTED BY USER ######################### +# $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_tesac $job \ +# ${COMSP}tesac.tm00.bufr_d +########################################################################### + if [ "$mls" = mls ];then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_mls $job \ + ${COMSP}mls.tm00.bufr_d + fi + if [ "$esatms" = esatms ];then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esatms $job \ + ${COMSP}esatms.tm00.bufr_d + fi +fi + +set +x +echo "********************************************************************" +echo Script thread_1 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/1.out 2>&1 +EOF +set -x + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_2; chmod +x thread_2 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_2 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=2 + +#========================================================================== +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# (3) GDAS GSI doesn't use ATOVS, but NASA/GSFC is pulling them off our +# server, also CDAS may be running special tests using data from GDAS +# cutoff time (ATOVS is not dumped in GFS) +# +#-------------------------------------------------------------------------- +# GDAS: +# Dump # 2 : SFCSHP: 5 subtype(s) +# ATOVS: 1 subtype(s) +# ADPSFC: 4 subtype(s) +# ASCATT: 1 subtype(s) +# xxxxxxxxx WNDSAT: 1 subtype(s) (if present in past 10 days of tanks) +# ===> Dumping of WNDSAT removed from here until new ingest feed is established +# (had been dumped with a time window radius of -3.00 to +2.99 hours) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 11 +# +#-------------------------------------------------------------------------- +# GFS: +# Dump # 2 : SFCSHP: 5 subtype(s) +# ADPSFC: 4 subtype(s) +# ASCATT: 1 subtype(s) +# xxxxxxxxx WNDSAT: 1 subtype(s) (if present in past 10 days of tanks) +# ===> Dumping of WNDSAT removed from here until new ingest feed is established +# (had been dumped with a time window radius of -3.00 to +2.99 hours) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 10 +# +#========================================================================== + +DTIM_latest_sfcshp=${DTIM_latest_sfcshp:-"+2.99"} + +atovs="" +if [ "$NET" = 'gdas' ]; then + atovs=atovs + DTIM_latest_atovs=${DTIM_latest_atovs:-"+2.99"} +fi + +DTIM_latest_adpsfc=${DTIM_latest_adpsfc:-"+2.99"} +DTIM_latest_ascatt=${DTIM_latest_ascatt:-"+2.99"} +#----------------------------------------------- +# check for wndsat tank presence in past 10 days +wndsat="" +err_check_tanks=0 +##########sh $USHobsproc_dump/check_tanks.sh wndsat +##########err_check_tanks=$? +err_check_tanks=99 # comment out 2 lines above & add this line to ensure wndsat + # is not ever dumped +if [ $err_check_tanks -eq 0 ];then + wndsat=wndsat + DTIM_latest_wndsat=${DTIM_latest_wndsat:-"+2.99"} +fi +#----------------------------------------------- + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM2:-off}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 sfcshp $atovs adpsfc ascatt $wndsat +error2=$? +echo "$error2" > $DATA/error2 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_sfcshp $job \ + ${COMSP}sfcshp.tm00.bufr_d + [ -f ${COMSP}atovs.tm00.bufr_d ] && \ + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_atovs $job \ + ${COMSP}atovs.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_adpsfc $job \ + ${COMSP}adpsfc.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_ascatt $job \ + ${COMSP}ascatt.tm00.bufr_d + if [ "$NET" = 'gdas' ]; then + ####### ALERT TURNED ON for GDAS only ######################## + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_ascatw $job \ + ${COMSP}ascatw.tm00.bufr_d + fi + if [ "$wndsat" = wndsat ];then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_wndsat $job \ + ${COMSP}wndsat.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_wdsatr $job \ + ${COMSP}wdsatr.tm00.bufr_d + fi +fi + +set +x +echo "********************************************************************" +echo Script thread_2 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/2.out 2>&1 +EOF +set -x + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_3; chmod +x thread_3 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_3 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=3 + +#==================================================================== +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump #3: ADPUPA: 6 subtype(s) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 6 +# +#==================================================================== + +DTIM_latest_adpupa=${DTIM_latest_adpupa:-"+2.99"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM3:-off}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 adpupa +error3=$? +echo "$error3" > $DATA/error3 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_adpupa $job \ + ${COMSP}adpupa.tm00.bufr_d +fi + +set +x +echo "********************************************************************" +echo Script thread_3 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/3.out 2>&1 +EOF +set -x + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_4; chmod +x thread_4 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_4 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=4 + +#======================================================================= +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# EXCEPT: AIRCFT where it is +/- 3.25 hours +# AIRCAR where it is +/- 3.25 hours +# PROFLR where it is -4.00 to +3.99 hours +# GSPIPW where it is +/- 0.05 hours (+/- 3min) +# (2) TIME TRIMMING IS DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump # 4 : AIRCAR: 2 subtype(s) +# AIRCFT: 6 subtype(s) +# PROFLR: 4 subtype(s) +# VADWND: 1 subtype(s) +# RASSDA: 1 subtype(s) +# GPSIPW: 1 subtype(s) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 15 +# +#======================================================================= + +# Skip NeXRaD VAD WINDS FROM LEVEL 2 DECODER (not ready to be handled in GSI) + +export SKIP_002017=YES + +# Dump AIRCFT and AIRCAR with wide time window to improve PREPOBS_PREPACQC +# track-check performance +# (time window will be winnowed down to +/- 3.00 hours in output from +# PREPOBS_PREPACQC) + +# Dump PROFLR with wide time window to improve PREPOBS_PROFCQC performance +# (time window will be winnowed down in output from PREPOBS_PROFCQC, see +# parm cards for output time window) + +# Dump GPSIPW with narrow (+/- 3-min) time window since new Ground Based +# GPS-IPW/ZTD (from U.S.-ENI and foreign GNSS providers) is currently limited +# to obs only at cycle-time + +DTIM_earliest_aircft=${DTIM_earliest_aircft:-"-3.25"} +DTIM_latest_aircft=${DTIM_latest_aircft:-"+3.25"} + +DTIM_earliest_aircar=${DTIM_earliest_aircar:-"-3.25"} +DTIM_latest_aircar=${DTIM_latest_aircar:-"+3.25"} + +DTIM_earliest_proflr=${DTIM_earliest_proflr:-"-4.00"} +DTIM_latest_proflr=${DTIM_latest_proflr:-"+3.99"} + +DTIM_latest_vadwnd=${DTIM_latest_vadwnd:-"+2.99"} +DTIM_latest_rassda=${DTIM_latest_rassda:-"+2.99"} + +DTIM_earliest_gpsipw=${DTIM_latest_gpsipw:-"-0.05"} +DTIM_latest_gpsipw=${DTIM_latest_gpsipw:-"+0.05"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM4:-on}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 aircar aircft proflr vadwnd \ + rassda gpsipw +error4=$? +echo "$error4" > $DATA/error4 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_aircar $job \ + ${COMSP}aircar.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_aircft $job \ + ${COMSP}aircft.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_proflr $job \ + ${COMSP}proflr.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_vadwnd $job \ + ${COMSP}vadwnd.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_rassda $job \ + ${COMSP}rassda.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_gpsipw $job \ + ${COMSP}gpsipw.tm00.bufr_d +fi + +set +x +echo "********************************************************************" +echo Script thread_4 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/4.out 2>&1 +EOF +set -x + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_5; chmod +x thread_5 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_5 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=5 + +#=================================================================== +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Currently not executed in GDAS or GFS: +# Dump # 5 : MSONET: 30 subtype(s) +# --------------------- +# TOTAL NUMBER OF SUBTYPES = 30 +# +#=================================================================== + +DTIM_latest_msonet=${DTIM_latest_msonet:-"+2.99"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM5:-off}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 msonet +error5=$? +echo "$error5" > $DATA/error5 + +set +x +echo "********************************************************************" +echo Script thread_5 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/5.out 2>&1 +EOF +set -x + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_6; chmod +x thread_6 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_6 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=6 + +#=================================================================== +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Currently not executed in GDAS or GFS: +# Dump # 6 : NEXRAD: 8 subtype(s) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 8 +# +#=================================================================== + +DTIM_latest_nexrad=${DTIM_latest_nexrad:-"+2.99"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM6:-off}} + +# NEXRAD tanks are hourly +# Process only those hourly tanks w/i requested dump center cycle time window + +SKIP_006010=YES # radial wind 00Z +SKIP_006011=YES # radial wind 01Z +SKIP_006012=YES # radial wind 02Z +SKIP_006013=YES # radial wind 03Z +SKIP_006014=YES # radial wind 04Z +SKIP_006015=YES # radial wind 05Z +SKIP_006016=YES # radial wind 06Z +SKIP_006017=YES # radial wind 07Z +SKIP_006018=YES # radial wind 08Z +SKIP_006019=YES # radial wind 09Z +SKIP_006020=YES # radial wind 10Z +SKIP_006021=YES # radial wind 11Z +SKIP_006022=YES # radial wind 12Z +SKIP_006023=YES # radial wind 13Z +SKIP_006024=YES # radial wind 14Z +SKIP_006025=YES # radial wind 15Z +SKIP_006026=YES # radial wind 16Z +SKIP_006027=YES # radial wind 17Z +SKIP_006028=YES # radial wind 18Z +SKIP_006029=YES # radial wind 19Z +SKIP_006030=YES # radial wind 20Z +SKIP_006031=YES # radial wind 21Z +SKIP_006032=YES # radial wind 22Z +SKIP_006033=YES # radial wind 23Z + +SKIP_006040=YES # reflectivity 00Z +SKIP_006041=YES # reflectivity 01Z +SKIP_006042=YES # reflectivity 02Z +SKIP_006043=YES # reflectivity 03Z +SKIP_006044=YES # reflectivity 04Z +SKIP_006045=YES # reflectivity 05Z +SKIP_006046=YES # reflectivity 06Z +SKIP_006047=YES # reflectivity 07Z +SKIP_006048=YES # reflectivity 08Z +SKIP_006049=YES # reflectivity 09Z +SKIP_006050=YES # reflectivity 10Z +SKIP_006051=YES # reflectivity 11Z +SKIP_006052=YES # reflectivity 12Z +SKIP_006053=YES # reflectivity 13Z +SKIP_006054=YES # reflectivity 14Z +SKIP_006055=YES # reflectivity 15Z +SKIP_006056=YES # reflectivity 16Z +SKIP_006057=YES # reflectivity 17Z +SKIP_006058=YES # reflectivity 18Z +SKIP_006059=YES # reflectivity 19Z +SKIP_006060=YES # reflectivity 20Z +SKIP_006061=YES # reflectivity 21Z +SKIP_006062=YES # reflectivity 22Z +SKIP_006063=YES # reflectivity 23Z + +if [ $cycp -eq 00 ]; then # (22.5 - 01.5 Z) + unset SKIP_006032 # radial wind 22Z + unset SKIP_006033 # radial wind 23Z + unset SKIP_006010 # radial wind 00Z + unset SKIP_006011 # radial wind 01Z + unset SKIP_006062 # reflectivity 22Z + unset SKIP_006063 # reflectivity 23Z + unset SKIP_006040 # reflectivity 00Z + unset SKIP_006041 # reflectivity 01Z +elif [ $cycp -eq 06 ]; then # (04.5 - 07.5 Z) + unset SKIP_006014 # radial wind 04Z + unset SKIP_006015 # radial wind 05Z + unset SKIP_006016 # radial wind 06Z + unset SKIP_006017 # radial wind 07Z + unset SKIP_006044 # reflectivity 04Z + unset SKIP_006045 # reflectivity 05Z + unset SKIP_006046 # reflectivity 06Z + unset SKIP_006047 # reflectivity 07Z +elif [ $cycp -eq 12 ]; then # (10.5 - 13.5 Z) + unset SKIP_006020 # radial wind 10Z + unset SKIP_006021 # radial wind 11Z + unset SKIP_006022 # radial wind 12Z + unset SKIP_006023 # radial wind 13Z + unset SKIP_006050 # reflectivity 10Z + unset SKIP_006051 # reflectivity 11Z + unset SKIP_006052 # reflectivity 12Z + unset SKIP_006053 # reflectivity 13Z +elif [ $cycp -eq 18 ]; then # (16.5 - 19.5 Z) + unset SKIP_006026 # radial wind 16Z + unset SKIP_006027 # radial wind 17Z + unset SKIP_006028 # radial wind 18Z + unset SKIP_006029 # radial wind 19Z + unset SKIP_006056 # reflectivity 16Z + unset SKIP_006057 # reflectivity 17Z + unset SKIP_006058 # reflectivity 18Z + unset SKIP_006059 # reflectivity 19Z +fi + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 nexrad +error6=$? +echo "$error6" > $DATA/error6 + +set +x +echo "********************************************************************" +echo Script thread_6 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/6.out 2>&1 +EOF +set -x + +set +x +#------------------------------------------------------------------------------ +cat<<\EOF>thread_7; chmod +x thread_7 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_7 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=7 + +#========================================================================= +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump # 7 : AVCSPM: 1 subtype(s) +# ESMHS: 1 subtype(s) +# GOESFV: 1 subtype(s) +# 1BMHS: 1 subtype(s) +# AIRSEV: 1 subtype(s) +# ATMSDB: 1 subtype(s) +# GOME: 1 subtype(s) +# OMI: 1 subtype(s) +# TRKOB: 1 subtype(s) +# GPSRO: 1 subtype(s) +# ESCRIS: 1 subtype(s) (if present in past 10 days of tanks) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 11 +# +#========================================================================= + +DTIM_latest_avcspm=${DTIM_latest_avcspm:-"+2.99"} +DTIM_latest_esmhs=${DTIM_latest_esmhs:-"+2.99"} +DTIM_latest_goesfv=${DTIM_latest_goesfv:-"+2.99"} +DTIM_latest_1bmhs=${DTIM_latest_1bmhs:-"+2.99"} +DTIM_latest_airsev=${DTIM_latest_airsev:-"+2.99"} +DTIM_latest_atmsdb=${DTIM_latest_atmsdb:-"+2.99"} +DTIM_latest_gome=${DTIM_latest_gome:-"+2.99"} +DTIM_latest_omi=${DTIM_latest_omi:-"+2.99"} +DTIM_latest_trkob=${DTIM_latest_trkob:-"+2.99"} +DTIM_latest_gpsro=${DTIM_latest_gpsro:-"+2.99"} +#----------------------------------------------- +# check for escris tank presence in past 10 days +escris="" +err_check_tanks=0 +sh $USHobsproc_dump/check_tanks.sh escris +err_check_tanks=$? +if [ $err_check_tanks -eq 0 ];then + escris=escris + DTIM_latest_escris=${DTIM_latest_escris:-"+2.99"} +fi +#----------------------------------------------- + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM7:-off}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 avcspm esmhs goesfv 1bmhs \ + airsev atmsdb gome omi trkob gpsro $escris +error7=$? +echo "$error7" > $DATA/error7 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_avcspm $job \ + ${COMSP}avcspm.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esmhs $job \ + ${COMSP}esmhs.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_goesfv $job \ + ${COMSP}goesfv.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_1bmhs $job \ + ${COMSP}1bmhs.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_airsev $job \ + ${COMSP}airsev.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_atmsdb $job \ + ${COMSP}atmsdb.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_gome $job \ + ${COMSP}gome.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_omi $job \ + ${COMSP}omi.tm00.bufr_d +####### ALERTS TURNED OFF UNTIL REQUESTED BY USER ######################### +# $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_trkob $job \ +# ${COMSP}trkob.tm00.bufr_d +########################################################################### + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_gpsro $job \ + ${COMSP}gpsro.tm00.bufr_d + if [ "$escris" = escris ];then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_escris $job \ + ${COMSP}escris.tm00.bufr_d + fi +fi + +set +x +echo "********************************************************************" +echo Script thread_7 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/7.out 2>&1 +EOF +set -x + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_8; chmod +x thread_8 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_8 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=8 + +#======================================================================= +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is +/- 1.5 hrs for all SATWND types +# EXCEPT: SATWND subtypes 005/010, 005/011, 005/12, 005/019, 005/064, +# 005/065, 005/066, 005/070, 005/071, 005/080 and 005/090 where +# it is -3.00 to +2.99 hours. +# (2) TIME TRIMMING IS DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump # 8 : SATWND: 17 subtype(s) +# --------------------- +# TOTAL NUMBER OF SUBTYPES = 17 +# +#======================================================================= + +# satwnd types +# ------------ +DTIM_earliest_005010=${DTIM_earliest_005010:-"-3.00"} +DTIM_latest_005010=${DTIM_latest_005010:-"+2.99"} +DTIM_earliest_005011=${DTIM_earliest_005011:-"-3.00"} +DTIM_latest_005011=${DTIM_latest_005011:-"+2.99"} +DTIM_earliest_005012=${DTIM_earliest_005012:-"-3.00"} +DTIM_latest_005012=${DTIM_latest_005012:-"+2.99"} +DTIM_earliest_005019=${DTIM_earliest_005019:-"-3.00"} +DTIM_latest_005019=${DTIM_latest_005019:-"+2.99"} +DTIM_earliest_005064=${DTIM_earliest_005064:-"-3.00"} +DTIM_latest_005064=${DTIM_latest_005064:-"+2.99"} +DTIM_earliest_005065=${DTIM_earliest_005065:-"-3.00"} +DTIM_latest_005065=${DTIM_latest_005065:-"+2.99"} +DTIM_earliest_005066=${DTIM_earliest_005066:-"-3.00"} +DTIM_latest_005066=${DTIM_latest_005066:-"+2.99"} +DTIM_earliest_005070=${DTIM_earliest_005070:-"-3.00"} +DTIM_latest_005070=${DTIM_latest_005070:-"+2.99"} +DTIM_earliest_005071=${DTIM_earliest_005071:-"-3.00"} +DTIM_latest_005071=${DTIM_latest_005071:-"+2.99"} +DTIM_earliest_005080=${DTIM_earliest_005080:-"-3.00"} +DTIM_latest_005080=${DTIM_latest_005080:-"+2.99"} +DTIM_earliest_005090=${DTIM_earliest_005090:-"-3.00"} +DTIM_latest_005090=${DTIM_latest_005090:-"+2.99"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM8:-on}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 1.5 1 satwnd +error8=$? +echo "$error8" > $DATA/error8 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_satwnd $job \ + ${COMSP}satwnd.tm00.bufr_d +fi + +set +x +echo "********************************************************************" +echo Script thread_8 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/8.out 2>&1 +EOF +set -x + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_9; chmod +x thread_9 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_9 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=9 + +#======================================================================= +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# EXCEPT: GEOIMR where it is -0.50 to +0.50 hour +# (2) TIME TRIMMING IS DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump # 9 : GEOIMR: 1 subtype(s) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 1 +# +#======================================================================= + +DTIM_earliest_geoimr=${DTIM_earliest_geoimr:-"-0.50"} +DTIM_latest_geoimr=${DTIM_latest_geoimr:-"+0.50"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM9:-on}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 geoimr +error9=$? +echo "$error9" > $DATA/error9 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_geoimr $job \ + ${COMSP}geoimr.tm00.bufr_d +fi + +set +x +echo "********************************************************************" +echo Script thread_9 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/9.out 2>&1 +EOF +set -x + +set +x +#------------------------------------------------------------------------------ +cat<<\EOF>thread_10; chmod +x thread_10 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_10 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=10 + +#========================================================================= +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump #10 : ESIASI: 1 subtype(s) +# MTIASI: 1 subtype(s) +# ESAMUA: 1 subtype(s) +# CRISDB: 1 subtype(s) +# IASIDB: 1 subtype(s) +# SEVASR: 1 subtype(s) +# 1BAMUA: 1 subtype(s) +# BATHY: 1 subtype(s) +# OSBUV8: 1 subtype(s) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 9 +# +#========================================================================= + +DTIM_latest_esiasi=${DTIM_latest_esiasi:-"+2.99"} +DTIM_latest_mtiasi=${DTIM_latest_mtiasi:-"+2.99"} +DTIM_latest_esamua=${DTIM_latest_esamua:-"+2.99"} +DTIM_latest_crisdb=${DTIM_latest_crisdb:-"+2.99"} +DTIM_latest_iasidb=${DTIM_latest_iasidb:-"+2.99"} +DTIM_latest_sevasr=${DTIM_latest_sevasr:-"+2.99"} +DTIM_latest_1bamua=${DTIM_latest_1bamua:-"+2.99"} +DTIM_latest_bathy=${DTIM_latest_bathy:-"+2.99"} +DTIM_latest_osbuv8=${DTIM_latest_osbuv8:-"+2.99"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM10:-off}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 esiasi mtiasi esamua \ + crisdb iasidb sevasr 1bamua bathy osbuv8 +error10=$? +echo "$error10" > $DATA/error10 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esiasi $job \ + ${COMSP}esiasi.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_mtiasi $job \ + ${COMSP}mtiasi.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esamua $job \ + ${COMSP}esamua.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_crisdb $job \ + ${COMSP}crisdb.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_iasidb $job \ + ${COMSP}iasidb.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_sevasr $job \ + ${COMSP}sevasr.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_1bamua $job \ + ${COMSP}1bamua.tm00.bufr_d +####### ALERTS TURNED OFF UNTIL REQUESTED BY USER ######################### +# $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_bathy $job \ +# ${COMSP}bathy.tm00.bufr_d +########################################################################### + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_osbuv8 $job \ + ${COMSP}osbuv8.tm00.bufr_d +fi + +set +x +echo "********************************************************************" +echo Script thread_10 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/10.out 2>&1 +EOF +set -x + +set +x +#------------------------------------------------------------------------------ +cat<<\EOF>thread_11; chmod +x thread_11 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_11 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=11 + +#========================================================================= +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump #11 : AMSR2: 1 subtype(s) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 1 +# +#========================================================================= + +DTIM_latest_amsr2=${DTIM_latest_amsr2:-"+2.99"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM11:-off}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 amsr2 +error11=$? +echo "$error11" > $DATA/error11 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_amsr2 $job \ + ${COMSP}amsr2.tm00.bufr_d +fi + +set +x +echo "********************************************************************" +echo Script thread_11 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/11.out 2>&1 +EOF +set -x + + +#---------------------------------------------------------------- +# Now launch the threads + +# determine local system name and type if available +# ------------------------------------------------- +SITE=${SITE:-""} +sys_tp=${sys_tp:-$(getsystem.pl -tp)} +getsystp_err=$? +if [ $getsystp_err -ne 0 ]; then + msg="***WARNING: error using getsystem.pl to determine system type and phase" + set +u + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + set -u +fi +echo sys_tp is set to: $sys_tp + +if [ "$sys_tp" = 'Cray-XC40' -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + launcher=${launcher:-"aprun_cfp"} +else + launcher=${launcher:-"cfp"} +fi +if [ "$launcher" = aprun_cfp ]; then + # Get compute node count: Subtract one from the total number of unique + # hosts to account for the MAMU node that runs serial portion of job + typeset -i nodesall=$(echo -e "${LSB_HOSTS// /\\n}"|sort -u|wc -w) + typeset -i ncnodes=$(($nodesall-1)) # we want compute nodes only + if [ $ncnodes -lt 1 ]; then + set +x + echo + echo " ######################################################## " + echo " --> Could not get positive compute node count for aprun! " + echo " --> Check that BSUB directives included a reservation " + echo " request for one or more compute nodes. " + echo " --> @@ F A T A L E R R O R @@ -- ABNORMAL EXIT " + echo " ######################################################## " + echo + set -x + $DATA/err_exit "***FATAL: Check if compute nodes were allocated" + fi +elif [[ "$launcher" = cfp && -z "$LSB_HOSTS" ]]; then + set +x + echo + echo "You requested the cfp poe launcher but are not running under LSF!!" + echo "You must run under LSF to use cfp option on IBM. Exiting..." + echo + set -x + $DATA/err_exit +fi +if [ "$launcher" = cfp -o "$launcher" = aprun_cfp ]; then + > $DATA/poe.cmdfile + +# To better take advantage of cfp, execute the longer running commands first. +# Some reordering was done here based on recent sample runtimes. + [ $DUMP_group7 = YES ] && echo thread_7 >> $DATA/poe.cmdfile # moved up + [ $DUMP_group1 = YES ] && echo thread_1 >> $DATA/poe.cmdfile + [ $DUMP_group5 = YES ] && echo thread_5 >> $DATA/poe.cmdfile # moved up + [ $DUMP_group6 = YES ] && echo thread_6 >> $DATA/poe.cmdfile # moved up + [ $DUMP_group8 = YES ] && echo thread_8 >> $DATA/poe.cmdfile # moved up + [ $DUMP_group11 = YES ] && echo thread_11 >> $DATA/poe.cmdfile # moved up + [ $DUMP_group10 = YES ] && echo thread_10 >> $DATA/poe.cmdfile # moved up + [ $DUMP_group2 = YES ] && echo thread_2 >> $DATA/poe.cmdfile + [ $DUMP_group3 = YES -a $ADPUPA_wait != YES ] && echo thread_3 >> $DATA/poe.cmdfile + [ $DUMP_group4 = YES ] && echo thread_4 >> $DATA/poe.cmdfile + [ $DUMP_group9 = YES ] && echo thread_9 >> $DATA/poe.cmdfile + + if [ -s $DATA/poe.cmdfile ]; then + nthreads=$(cat $DATA/poe.cmdfile | wc -l) + if [ $nthreads -eq 1 ]; then # don't expect to need this, but just in case + echo "do not need cfp for 1 thread" + if [ "$launcher" = aprun_cfp ]; then + aprun -n 1 -N 1 -d 1 sh $DATA/poe.cmdfile + else + sh $DATA/poe.cmdfile + fi + elif [ "$launcher" = cfp ]; then # iDataPlex + module load cfp + export MP_CSS_INTERRUPT=yes + mpirun.lsf cfp $DATA/poe.cmdfile 2>&1 + elif [ "$launcher" = aprun_cfp ]; then + if [[ -z ${DUMPStpn:-""} ]]; then # pes per node + # cfp is faster with extra thread so add one if there is room. + # For now, going with 20 as default max rather than 24. + if [ $nthreads -lt 20 ]; then + DUMPStpn=$(($nthreads+1)) + else + DUMPStpn=20 + fi + fi + NPROCS=$(($ncnodes*$DUMPStpn)) # concurrent processes + aprun -j 1 -n${NPROCS} -N${DUMPStpn} -d 1 --cc depth cfp $DATA/poe.cmdfile + fi + errpoe=$? + if [ $errpoe -ne 0 ]; then + $DATA/err_exit "***FATAL: EXIT STATUS $errpoe RUNNING POE COMMAND FILE" + fi + else + echo + echo "==> There are no tasks in POE Command File - POE not run" + echo + fi +else + if [ "$sys_tp" = 'Cray-XC40' -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + set +x + echo + echo " ############################################################# " + echo " --> Option to use background threads is disabled on Cray-XC40." + echo " --> @@ F A T A L E R R O R @@ -- ABNORMAL EXIT " + echo " ############################################################# " + echo + set -x + $DATA/err_exit "***FATAL: Check if compute nodes were allocated" + else + echo "Spawning background threads" + [ $DUMP_group1 = YES ] && thread_1 & + [ $DUMP_group2 = YES ] && thread_2 & + [ $DUMP_group3 = YES -a $ADPUPA_wait != YES ] && thread_3 & + [ $DUMP_group4 = YES ] && thread_4 & + [ $DUMP_group5 = YES ] && thread_5 & + [ $DUMP_group6 = YES ] && thread_6 & + [ $DUMP_group7 = YES ] && thread_7 & + [ $DUMP_group8 = YES ] && thread_8 & + [ $DUMP_group9 = YES ] && thread_9 & + [ $DUMP_group10 = YES ] && thread_10 & + [ $DUMP_group11 = YES ] && thread_11 & + wait + fi +fi + +# if ADPUPA_wait is YES, adpupa is dumped AFTER all other dump threads have +# run (normally done in real-time GFS runs to dump as late as possible in +# order to maximize data availability in GFS network, particularly DROPs) +# -------------------------------------------------------------------------- + +[ $DUMP_group3 = YES -a $ADPUPA_wait = YES ] && thread_3 + +cat $DATA/1.out $DATA/2.out $DATA/3.out $DATA/4.out $DATA/5.out $DATA/6.out $DATA/7.out $DATA/8.out $DATA/9.out $DATA/10.out $DATA/11.out + +set +x +echo " " +echo " " +set -x + +[ -s $DATA/error1 ] && err1=`cat $DATA/error1` +[ -s $DATA/error2 ] && err2=`cat $DATA/error2` +[ -s $DATA/error3 ] && err3=`cat $DATA/error3` +[ -s $DATA/error4 ] && err4=`cat $DATA/error4` +[ -s $DATA/error5 ] && err5=`cat $DATA/error5` +[ -s $DATA/error6 ] && err6=`cat $DATA/error6` +[ -s $DATA/error7 ] && err7=`cat $DATA/error7` +[ -s $DATA/error8 ] && err8=`cat $DATA/error8` +[ -s $DATA/error9 ] && err9=`cat $DATA/error9` +[ -s $DATA/error10 ] && err10=`cat $DATA/error10` +[ -s $DATA/error11 ] && err11=`cat $DATA/error11` + + +#=============================================================================== + +export STATUS=YES +export DUMP_NUMBER=12 +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.00 1 null + +# endif loop $PROCESS_DUMP +fi + +echo " " >> $pgmout +echo "##################################################################\ +####################" >> $pgmout +echo " " >> $pgmout + +#================================================================ +#================================================================ + + +if [ "$PROCESS_DUMP" = 'YES' ]; then + + if [ "$err1" -gt '5' -o "$err2" -gt '5' -o "$err3" -gt '5' -o \ + "$err4" -gt '5' -o "$err5" -gt '5' -o "$err6" -gt '5' -o \ + "$err7" -gt '5' -o "$err8" -gt '5' -o "$err9" -gt '5' -o \ + "$err10" -gt '5' -o "$err11" -gt '5' ]; then + for n in $err1 $err2 $err3 $err4 $err5 $err6 $err7 $err8 $err9 $err10 $err11 + do + if [ "$n" -gt '5' ]; then + if [ "$n" -ne '11' -a "$n" -ne '22' ]; then + +## fatal error in dumping of BUFR obs. files + + set +x +echo +echo " ###################################################### " +echo " --> > 22 RETURN CODE FROM DATA DUMP, $err1, $err2, $err3, $err4, \ +$err5, $err6, $err7, $err8, $err9, $err10, $err11 " +echo " --> @@ F A T A L E R R O R @@ -- ABNORMAL EXIT " +echo " ###################################################### " +echo + set -x + $DATA/err_exit + exit 9 + fi + fi + done + +## a status code of 11 or 22 from dumping of BUFR obs. files +## is non-fatal but still worth noting + + set +x + echo + echo " ###################################################### " + echo " --> > 5 RETURN CODE FROM DATA DUMP, $err1, $err2, $err3, $err4, \ +$err5, $err6, $err7, $err8, $err9, $err10, $err11 " + echo " --> NOT ALL DATA DUMP FILES ARE COMPLETE - CONTINUE " + echo " ###################################################### " + echo + set -x + fi + +# endif loop $PROCESS_DUMP +fi + + +# GOOD RUN +set +x +echo " " +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " " +set -x + + +# save standard output +cat break $pgmout break > allout +cat allout +# rm allout + +sleep 10 + +msg='ENDED NORMALLY.' +$DATA/postmsg "$jlogfile" "$msg" + +################## END OF SCRIPT ####################### diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_makeprepbufr.sh.ecf b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_makeprepbufr.sh.ecf new file mode 100755 index 0000000..074c71b --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_makeprepbufr.sh.ecf @@ -0,0 +1,578 @@ +#!/bin/ksh +# Run under ksh + +############################################################################# +echo "----------------------------------------------------------------------" +echo "exglobal_makeprepbufr.sh.ecf - Global (GDAS, GFS) model prepbufr " +echo " processing " +echo "----------------------------------------------------------------------" +echo "History: Mar 1 2013 - Original script. " +echo " Dec 3 2014 - CDAS network, split off into its own script " +echo " excdas_makeprepbufr.sh.ecf. This script now " +echo " tailored exclusively to GDAS and GFS. " +echo " Mar 11 2017 - Update to handle nemsio filename patterns " +############################################################################# + +set -x + +# Make sure we are in the $DATA directory +cd $DATA + +msg="HAS BEGUN on `hostname`" +$DATA/postmsg "$jlogfile" "$msg" + +cat break > $pgmout + +CHGRP_RSTPROD=${CHGRP_RSTPROD:-YES} +MAKE_NSSTBUFR=${MAKE_NSSTBUFR:-YES} + +export COMSP=${COMSP:-$COMIN/${RUN}.${cycle}.} + + +if [ "$DO_QC" = 'YES' -a "$CQCBUFR" = 'YES' -a -n "$COM1" -a -n "$CQCC" ]; then + +# NOTE: The following logic currently does not apply to the GFS or GDAS +# network. (It applies only to the CDAS network.) It is maintained here +# in case it ever does. +# ----------------------------------------------------------------------------- +# If running PREPOBS_CQCBUFR, must check its data cards to see if +# namelist switch DOTMP is TRUE - if so, must get prepbufr_pre-qc files +# from t-24, t-12, t+12, t+24 to feed into PREPOBS_CQCBUFR + + DOTMP=`grep DOTMP $CQCC | awk -F, \ + '{print $1; print $2; print $3; print $4; print$5}' | grep DOTMP | \ + awk -F= '{print $2}'` + + if [[ $DOTMP = *T* ]]; then + [ -s ${COM1}${PDYm1}/${RUN}.${cycle}.prepbufr_pre-qc ] && \ + export PRPI_m24=${COM1}${PDYm1}/${RUN}.${cycle}.prepbufr_pre-qc + [ -s ${COM1}${PDYp1}/${RUN}.${cycle}.prepbufr_pre-qc ] && \ + export PRPI_p24=${COM1}${PDYp1}/${RUN}.${cycle}.prepbufr_pre-qc + tdate10=`$NDATE -12 $PDY$cyc` + cyc_m12=`echo $tdate10|cut -c9-10` + pdy_m12=`echo $tdate10|cut -c1-8` + [ -s ${COM1}${pdy_m12}/${RUN}.t${cyc_m12}z.prepbufr_pre-qc ] && \ + export PRPI_m12=${COM1}${pdy_m12}/${RUN}.t${cyc_m12}z.prepbufr_pre-qc + tdate10=`$NDATE +12 $PDY$cyc` + cyc_p12=`echo $tdate10|cut -c9-10` + pdy_p12=`echo $tdate10|cut -c1-8` + [ -s ${COM1}${pdy_p12}/${RUN}.t${cyc_p12}z.prepbufr_pre-qc ] && \ + export PRPI_p12=${COM1}${pdy_p12}/${RUN}.t${cyc_p12}z.prepbufr_pre-qc + fi +fi + +cdate10=`cut -c7-16 ncepdate` + +msg="CENTER TIME FOR PREPBUFR PROCESSING IS $cdate10" +$DATA/postmsg "$jlogfile" "$msg" + +ksh $ushscript_prep/prepobs_makeprepbufr.sh $cdate10 +errsc=$? + +[ "$errsc" -ne '0' ] && exit $errsc + +if [ "$CHGRP_RSTPROD" = 'YES' ]; then + msg="NOTE: These files (if present) are RESTRICTED to rstprod group: \ +prepbufr_pre-qc, prepbufr, prepbufr.acft_profiles*, acqc_???*, \ +acqc_merged*_sorted, tosslist, prepbufr.unblok" + $DATA/postmsg "$jlogfile" "$msg" +set +x + echo " " + echo "$msg" + echo " " +set -x +fi +warning=no + +if [ "$PREPDATA" = 'YES' ]; then + +# save snapshot of prepbufr file after PREPOBS_PREPDATA in COMOUT + cp prepda.prepdata $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc + chmod 664 $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc + + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc + else + cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc + warning=yes + fi + fi + +# save current prepbufr mnemonic table in COMOUT if either it isn't already +# there for a previous cycle or if it has changed from a previous cycle + if [ ! -s $COMOUT/*prep.bufrtable ]; then + cp prep.bufrtable $COMOUT/${RUN}.${cycle}.prep.bufrtable + else + diff `ls -t $COMOUT/*prep.bufrtable | head -n1` prep.bufrtable \ + > /dev/null 2>&1 + errdiff=$? + [ "$errdiff" -ne '0' ] && \ + cp prep.bufrtable $COMOUT/${RUN}.${cycle}.prep.bufrtable + fi +fi + +# save global guess file(s) in COMOUT if they haven't already been saved +# here by previous tropical cyclone relocation processing +# first block is for nemsio input, second is for sigio input +if [[ "$NEMSIO_IN" == .true. ]]; then + [ -s sgm3prep -a ! -s $COMOUT/${RUN}.${cycle}.atmgm3.nemsio ] && \ + cp sgm3prep $COMOUT/${RUN}.${cycle}.atmgm3.nemsio + [ -s sgp3prep -a ! -s $COMOUT/${RUN}.${cycle}.atmgp3.nemsio ] && \ + cp sgp3prep $COMOUT/${RUN}.${cycle}.atmgp3.nemsio + if [ -s sgesprep ]; then + if [ -s sgesprepA ]; then + cp sgesprep $COMOUT/${RUN}.${cycle}.atmges.nemsio_before + cp sgesprepA $COMOUT/${RUN}.${cycle}.atmges.nemsio_after + else + [ ! -s $COMOUT/${RUN}.${cycle}.atmges.nemsio ] && \ + cp sgesprep $COMOUT/${RUN}.${cycle}.atmges.nemsio + fi + fi +else + [ -s sgm3prep -a ! -s $COMOUT/${RUN}.${cycle}.sgm3prep ] && \ + cp sgm3prep $COMOUT/${RUN}.${cycle}.sgm3prep + [ -s sgp3prep -a ! -s $COMOUT/${RUN}.${cycle}.sgp3prep ] && \ + cp sgp3prep $COMOUT/${RUN}.${cycle}.sgp3prep + if [ -s sgesprep ]; then + if [ -s sgesprepA ]; then + cp sgesprep $COMOUT/${RUN}.${cycle}.sgesprep_before + cp sgesprepA $COMOUT/${RUN}.${cycle}.sgesprep_after + else + [ ! -s $COMOUT/${RUN}.${cycle}.sgesprep ] && \ + cp sgesprep $COMOUT/${RUN}.${cycle}.sgesprep + fi + fi +fi +# end nemsio vs sigio logic to copy guess files to COMOUT + +# save path name of global guess file valid at center PREPBUFR +# date/time (encoded into PREPBUFR file and used by q.c. programs) in COMOUT +# FOR NOW, staying with term "sgesprep" for these "pathname" filenames even for +# nemsio (as done in tropical cyclone relocation processing) - 03/2017 +if [ "$GETGUESS" = 'YES' ]; then + if [[ "$NEMSIO_IN" == .true. ]]; then + set +x; echo -e "\n\"sges_pathname\" files point to nemsio files\n";set -x + fi + if [ -s sgesprepA_pathname ]; then + cp sgesprep_pathname \ + $COMOUT/${RUN}.${cycle}.sgesprep_pathname_before.$tmmark + cp sgesprepA_pathname \ + $COMOUT/${RUN}.${cycle}.sgesprep_pathname_after.$tmmark + else + +# if the target file already exists, it was created in previous +# tropcy_relocate.sh script because either there was an error or no +# tcvitals were present - in this case the target file points to the orig. +# getges global sigma guess (since the guess was not modified by relocation) +# - otherwise sgesprep_pathname will either contain either the path to the +# getges guess (if tropical cyclone relocation did not run previously) or +# it will contain the path to the modified sgesprep guess (if tropical +# cyclone relocation did run previously and did modify the guess) +# --------------------------------------------------------------------------- + + [ ! -s $COMOUT/${RUN}.${cycle}.sgesprep_pathname.$tmmark ] && \ + cp sgesprep_pathname $COMOUT/${RUN}.${cycle}.sgesprep_pathname.$tmmark + fi +fi + +# save synthetic bogus files in COMOUT +[ -s bogrept ] && cp bogrept $COMOUT/${RUN}.${cycle}.syndata.bogrept +[ -s bogdata ] && cp bogdata $COMOUT/${RUN}.${cycle}.syndata.bogdata +[ -s dthistry ] && cp dthistry $COMOUT/${RUN}.${cycle}.syndata.dthistry + +if [[ "$SENDDBN" == "YES" ]]; then + if [[ "$RUN" == "gfs" || "$RUN" == "gdas" || "$RUN" == "gdas1" ]]; then + RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) + if [[ -s bogrept ]]; then + $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job $COMOUT/${RUN}.${cycle}.syndata.bogrept + fi + if [[ -s bogdata ]]; then + $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job $COMOUT/${RUN}.${cycle}.syndata.bogdata + fi + fi +fi + +if [ "$DO_QC" = 'YES' ]; then + +# save final form of prepbufr file in COMOUT + cp prepda.${cycle} $COMOUT/${RUN}.${cycle}.prepbufr + chmod 664 $COMOUT/${RUN}.${cycle}.prepbufr + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr + else + cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr + warning=yes + fi + fi + +# save prepacqc prepbufr.acft_profiles file in COMOUT + if [ -s prepbufr.acft_profiles ]; then + cp prepbufr.acft_profiles $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles + else + cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles + warning=yes + fi + fi + fi + +# save prepacqc prepbufr.acft_profiles_sfc file in COMOUT + if [ -s prepbufr.acft_profiles_sfc ]; then + cp prepbufr.acft_profiles_sfc \ + $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc + else + cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc + warning=yes + fi + fi + fi + +# save prepacqc output files in COMOUT + if [ -s acftqc_*.sus ]; then + mv acftqc_*.sus acftqc_sus + cp acftqc_sus $COMOUT/${RUN}.${cycle}.acqc_sus + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_sus + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_sus + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_sus + warning=yes + fi + fi + fi + + if [ -s acftqc_*.stk ]; then + mv acftqc_*.stk acftqc_stk + cp acftqc_stk $COMOUT/${RUN}.${cycle}.acqc_stk + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_stk + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_stk + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_stk + warning=yes + fi + fi + fi + + if [ -s acftqc_*.spk ]; then + mv acftqc_*.spk acftqc_spk + cp acftqc_spk $COMOUT/${RUN}.${cycle}.acqc_spk + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_spk + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_spk + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_spk + warning=yes + fi + fi + fi + + if [ -s acftqc_*.ord ]; then + mv acftqc_*.ord acftqc_ord + cp acftqc_ord $COMOUT/${RUN}.${cycle}.acqc_ord + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_ord + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_ord + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_ord + warning=yes + fi + fi + fi + + if [ -s acftqc_*.lst ]; then + mv acftqc_*.lst acftqc_lst + cp acftqc_lst $COMOUT/${RUN}.${cycle}.acqc_lst + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_lst + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_lst + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_lst + warning=yes + fi + fi + fi + + if [ -s acftqc_*.inv ]; then + mv acftqc_*.inv acftqc_inv + cp acftqc_inv $COMOUT/${RUN}.${cycle}.acqc_inv + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_inv + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_inv + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_inv + warning=yes + fi + fi + fi + + if [ -s acftqc_*.inc ]; then + mv acftqc_*.inc acftqc_inc + cp acftqc_inc $COMOUT/${RUN}.${cycle}.acqc_inc + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_inc + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_inc + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_inc + warning=yes + fi + fi + fi + + if [ -s acftqc_*.grc ]; then + mv acftqc_*.grc acftqc_grc + cp acftqc_grc $COMOUT/${RUN}.${cycle}.acqc_grc + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_grc + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_grc + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_grc + warning=yes + fi + fi + fi + + if [ -s acftqc_*.dup ]; then + mv acftqc_*.dup acftqc_dup + cp acftqc_dup $COMOUT/${RUN}.${cycle}.acqc_dup + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_dup + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_dup + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_dup + warning=yes + fi + fi + fi + + if [ -s acftqc_*.log ]; then + mv acftqc_*.log acftqc_log + cp acftqc_log $COMOUT/${RUN}.${cycle}.acqc_log + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_log + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_log + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_log + warning=yes + fi + fi + fi + + if [ -s merged.reports.post_acftobs_qc.sorted ]; then + cp merged.reports.post_acftobs_qc.sorted \ + $COMOUT/${RUN}.${cycle}.acqc_merged_sorted + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_merged_sorted + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_merged_sorted + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_merged_sorted + warning=yes + fi + fi + fi + + if [ -s merged.profile_reports.post_acftobs_qc.sorted ]; then + cp merged.profile_reports.post_acftobs_qc.sorted \ + $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted + warning=yes + fi + fi + fi + +# save cqcbufr output files in COMOUT + touch cqc_events + cp cqc_events $COMOUT/${RUN}.${cycle}.cqc_events + touch cqc_stncnt + cp cqc_stncnt $COMOUT/${RUN}.${cycle}.cqc_stncnt + touch cqc_stnlst + cp cqc_stnlst $COMOUT/${RUN}.${cycle}.cqc_stnlst + touch cqc_sdm + cp cqc_sdm $COMOUT/${RUN}.${cycle}.cqc_sdm + touch cqc_radcor + cp cqc_radcor $COMOUT/${RUN}.${cycle}.cqc_radcor + +# save oiqc tosslist in COMOUT (if it runs) + if [ -s tosslist ]; then + cp tosslist $COMOUT/${RUN}.${cycle}.tosslist + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.tosslist + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.tosslist + else + cp /dev/null $COMOUT/${RUN}.${cycle}.tosslist + warning=yes + fi + fi + fi + + # Remove the following logic to create unblocked prepbufr files once we know + # it is definitely no longer needed. + if [ "${PROCESS_UNBLKBUFR:-NO}" = 'YES' ]; then +# +# make unblocked prepbufr file +# ---> ON WCOSS prepbufr is already unblocked, so for now just copy it to the +# unblok file location used before on CCS - hopefully this can be removed +# someday! + cp -p prepda.${cycle} prepda.${cycle}.unblok + err_cp=$? + if [ $err_cp -eq 0 ]; then + cp prepda.${cycle}.unblok $COMOUT/${RUN}.${cycle}.prepbufr.unblok + chmod 664 $COMOUT/${RUN}.${cycle}.prepbufr.unblok + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr.unblok + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr.unblok + else + cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr.unblok + warning=yes + fi + fi + fi + fi ## end logic to potentially create unblok version of prepbufr file + + if [[ "$SENDDBN" == "YES" ]]; then + if [[ "$RUN" == "gdas" || "$RUN" == "gdas1" ]]; then + RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) + $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_BUFR_PREPda $job \ + $COMOUT/${RUN}.${cycle}.prepbufr + if [ "${PROCESS_UNBLKBUFR:-NO}" = 'YES' ]; then + $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_BUFR_PREPda_unblok $job \ + $COMOUT/${RUN}.${cycle}.prepbufr.unblok + fi + elif [[ "$RUN" == "gfs" ]]; then + $DBNROOT/bin/dbn_alert MODEL GFS_BUFR_PREPda $job \ + $COMOUT/${RUN}.${cycle}.prepbufr + if [ "${PROCESS_UNBLKBUFR:-NO}" = 'YES' ]; then + $DBNROOT/bin/dbn_alert MODEL GFS_BUFR_PREPda_unblok $job \ + $COMOUT/${RUN}.${cycle}.prepbufr.unblok + fi + if [[ "$NEMSIO_IN" == .true. ]]; then + $DBNROOT/bin/dbn_alert MODEL GFS_atmges_NEMSIO $job \ + $COMOUT/${RUN}.${cycle}.atmges.nemsio + $DBNROOT/bin/dbn_alert MODEL GFS_atmgm3_NEMSIO $job \ + $COMOUT/${RUN}.${cycle}.atmgm3.nemsio + $DBNROOT/bin/dbn_alert MODEL GFS_atmgp3_NEMSIO $job \ + $COMOUT/${RUN}.${cycle}.atmgp3.nemsio + else + $DBNROOT/bin/dbn_alert MODEL GFS_sges_PREP $job \ + $COMOUT/${RUN}.${cycle}.sgesprep + $DBNROOT/bin/dbn_alert MODEL GFS_sgm3_PREP $job \ + $COMOUT/${RUN}.${cycle}.sgm3prep + $DBNROOT/bin/dbn_alert MODEL GFS_sgp3_PREP $job \ + $COMOUT/${RUN}.${cycle}.sgp3prep + fi + fi + fi +fi +## create combined ocean data dump file expected by NSST +if [[ "$MAKE_NSSTBUFR" == 'YES' ]]; then + > nsstbufr + chgrp rstprod nsstbufr + errch=$? + if [ $errch -eq 0 ]; then + for type in sfcshp tesac bathy trkob; do + file=${COMSP}$type.$tmmark.bufr_d + if [ -s $file ]; then + cat $file >> nsstbufr + err=$? + if [ $err -ne 0 ]; then + msg="**WARNING: exit status $err from cat of $file to nsstbufr" + $DATA/postmsg "$jlogfile" "$msg" + fi + else + echo $file is empty or does not exist + fi + done + cp nsstbufr $COMOUT/${RUN}.${cycle}.nsstbufr + chgrp rstprod $COMOUT/${RUN}.${cycle}.nsstbufr + chmod 640 $COMOUT/${RUN}.${cycle}.nsstbufr + msg="NOTE: nsstbufr file contains RESTRICTED data, only users in \ +rstprod group have read permission" + $DATA/postmsg "$jlogfile" "$msg" + else + cp /dev/null $COMOUT/${RUN}.${cycle}.nsstbufr + warning=yes + fi +fi + +if [ "$warning" = 'yes' ]; then + msg="**WARNING: Since user $USER is not in rstprod group all RESTRICTED \ +files are replaced with a null file" + $DATA/postmsg "$jlogfile" "$msg" +set +x + echo " " + echo "$msg" + echo " " +set -x +fi + +######################################################## + +# GOOD RUN +set +x +echo " " +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " " +set -x + + +# save standard output +cat break $pgmout break > allout +cat allout +# rm allout + +sleep 10 + +msg='ENDED NORMALLY.' +$DATA/postmsg "$jlogfile" "$msg" + +################## END OF SCRIPT ####################### diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/getges.sh b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/getges.sh new file mode 100755 index 0000000..1672800 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/getges.sh @@ -0,0 +1,1385 @@ +#!/bin/ksh +################################################################################ +# +# Name: getges.sh Author: Mark Iredell +# +# Abstract: +# This script copies the valid global guess file to a given file. +# Alternatively, it writes the name of the guess file to standard output. +# Specify option "-n network" for the job network (default global). +# Other options are gdas, gfs, cdas, mrf, prx, etc. +# Specify option "-e environment" for the job environment (default prod). +# Another option is test. +# Specify option "-f fhour" for the specific forecast hour wanted (default any). +# Specify option "-q" for quiet mode to turn off script messages. +# Specify option "-r resolution" for the resolution wanted (default high). +# Other options are 25464 17042, 12628, low, 6228, namopl, any. +# Specify option "-t filetype" for the filetype wanted from among these choices: +# sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3, +# sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3, +# biascr, satang, satcnt, gesfil +# pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3, +# sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl, +# enggrb, enggri, icegrb, icegri, snogrb, snogrb_high, snogri, sstgrb, sstgri. +# natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur, +# nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur, +# nstcur, nflges, nflgp3 +# Specify option "-v valid" for the valid date wanted (default $CDATE). +# Currently, the valid hours specified must be a multiple of 3. +# Either 2-digit or 4-digit years are currently allowed. +# Specify positional argument to be the file to which to copy the guess. +# If missing, the NAME of the guess file is written to standard output. +# A nonzero return code from this script means either the arguments are invalid +# or the guess could not be found; a message is written to standard error in +# this case, but neither a file copy nor a standard output write will be done. +# The file returned is guaranteed to exist and be readable. +# The script uses the utility commands NDATE and NHOUR. +# +# Example 1. Copy the production sigma guess for 1998100100 to the file sges. +# getges.sh -e prod -t sigges -v 1998100100 sges +# +# Example 2. Assign the pressure grib guess for the date 1998100121. +# export CDATE=1998100121 +# export XLFUNIT_12="$(getges.sh -qt pgbges||echo /dev/null)" +# +# Example 3. Get the PRX pgb analysis or the best valid guess at 1998100112. +# getges -e prx -t pgbcur -v 1998100112 pgbfile +# +# Example 5. Get the 24-hour GFS forecast sigma file valid at 1998100112. +# getges -t sigcur -v 1998100112 -f 24 -e gfs sigfile +# +# History: 1996 December Iredell Initial implementation +# 1997 March Iredell Nine new filetypes +# 1997 April Iredell Two new filetypes and -f option +# 1997 December Iredell Four new filetypes +# 1998 April Iredell 4-digit year allowed; +# sigges internal date no longer checked +# 1998 May Iredell T170L42 defaulted; four new filetypes +# and two filetypes deleted +# 1998 June Rogers Nam types added +# 1998 September Iredell high is default resolution +# 2000 March Iredell Cdas and -n option +# 2000 June Iredell Eight new filetypes +# 2002 April Treadon T254L64 defaulted; add angle dependent +# bias correction file +# 2003 March Iredell GFS network out to 384 hours +# 2003 August Iredell Hourly global guesses +# 2005 September Treadon Add satellite data count file (satcnt) +# 2006 September Gayno Add high-res snow analysis +# 2009 January Rogers Added sfluxgrb file +# 2011 April Rogers Added GFS pg2ges file +# 2016 May Menlove Changed GETGES_COM variable to $COMINmodel +# 2016 November Iredell Adapted getges for NEMS GSM +# Also removed a lot of dead wood +# +################################################################################ +#------------------------------------------------------------------------------- +# Set some default parameters. +fhbeg=03 # hour to begin searching backward for guess +fhinc=03 # hour to increment backward in search +fhend=384 # hour to end searching backward for guess + +#------------------------------------------------------------------------------- +# Get options and arguments. +netwk=global # default network +envir=prod # default environment +fhour=any # default forecast hour +quiet=NO # default quiet mode +trace=NO # default execution trace mode +resol=high # default resolution +typef=sigges # default filetype +valid=${CDATE:-'?'} # default valid date +err=0 + +while getopts n:e:f:qxr:t:v: opt;do + case $opt in + n) netwk="$OPTARG";; + e) envir="$OPTARG";; + f) fhour="$OPTARG";; + q) quiet=YES;; + x) trace=YES;; + r) resol="$OPTARG";; + t) typef="$OPTARG";; + v) valid="$OPTARG";; + \?) err=1;; + esac +done +shift $(($OPTIND-1)) +gfile=$1 +if [[ -z $valid ]];then + echo "$0: either -v option or environment variable CDATE must be set" >&2 +elif [[ $# -gt 1 ]];then + echo "$0: too many positional arguments" >&2 +elif [[ $err -ne 0 ]];then + echo "$0: invalid option" >&2 +fi +if [[ $gfile = '?' || $# -gt 1 || $err -ne 0 || -z $valid ||\ + $netwk = '?' || $envir = '?' || $fhour = '?' || $resol = '?' ||\ + $typef = '?' || $valid = '?' ]];then + echo "Usage: getges.sh [-n network] [-e environment] [-f fhour] [-q] [-r resolution]" >&2 + echo " [-t filetype] [-v valid] [gfile]" >&2 + if [[ $netwk = '?' ]];then + echo " network choices:" >&2 + echo " global (default), namopl, gdas, gfs, cdas, etc." >&2 + elif [[ $envir = '?' ]];then + echo " environment choices:" >&2 + echo " prod (default), test, para, dump, prx" >&2 + echo " (some network values allowed for compatibility)" >&2 + elif [[ $fhour = '?' ]];then + echo " fhour is optional specific forecast hour" >&2 + elif [[ $resol = '?' ]];then + echo " resolution choices:" >&2 + echo " high (default), 25464, 17042, 12628, low, 6228, namopl, any" >&2 + elif [[ $typef = '?' ]];then + echo " filetype choices:" >&2 + echo " sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3," >&2 + echo " sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3," >&2 + echo " sfgges, sfggp3, biascr, satang, satcnt, gesfil" >&2 + echo " pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3," >&2 + echo " sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl," >&2 + echo " enggrb, enggri, icegrb, icegri, snogrb, snogri, sstgrb, sstgri," >&2 + echo " pg2cur, pg2ges, restrt," >&2 + echo " natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur," >&2 + echo " nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur," >&2 + echo " nstcur, nflges, nflgp3," >&2 + elif [[ $valid = '?' ]];then + echo " valid is the valid date in yyyymmddhh or yymmddhh form" >&2 + echo " (default is environmental variable CDATE)" >&2 + elif [[ $gfile = '?' ]];then + echo " gfile is the guess file to write" >&2 + echo " (default is to write the guess file name to stdout)" >&2 + else + echo " (Note: set a given option to '?' for more details)" >&2 + fi + exit 1 +fi +[[ $trace == YES ]]&&set -x +if [[ $envir != prod && $envir != test && $envir != para && $envir != dump && $envir != pr? && $envir != dev ]];then + netwk=$envir + envir=prod + echo '************************************************************' >&2 + echo '* WARNING: Using "-e" is deprecated in this case. *' >&2 + echo '* Please use "-n" instead. *' >&2 + echo '************************************************************' >&2 +fi +if [[ "$netwk" = "namopl" || "$resol" = "namopl" ]];then + netwk=namopl + typef=restrt + resol=namopl +fi +[[ $resol = 57464 || $resol = 38264 || $resol = 19064 || $resol = 25464 || $resol = 17042 || $resol = 12628 ]]&&resol=high +[[ $resol = 6228 ]]&&resol=low +resolsuf="" +[[ $resol == *deg ]]&&resolsuf=.$resol +fhbeg=$(${NHOUR:?} $valid) +[[ $fhbeg -le 0 ]]&&fhbeg=03 +((fhbeg=(10#$fhbeg-1)/3*3+3)) +[[ $fhbeg -lt 10 ]]&&fhbeg=0$fhbeg +if [[ $typef = enggrb ]];then + typef=icegrb + echo '************************************************************' >&2 + echo '* WARNING: Using "-t enggrb" is now deprecated. *' >&2 + echo '* Please use "-t icegrb". *' >&2 + echo '************************************************************' >&2 +elif [[ $typef = enggri ]];then + typef=icegri + echo '************************************************************' >&2 + echo '* WARNING: Using "-t enggri" is now deprecated. *' >&2 + echo '* Please use "-t icegri". *' >&2 + echo '************************************************************' >&2 +fi + +#------------------------------------------------------------------------------- +# Assemble guess list in descending order from the best guess. +geslist="" +getlist00="" + +# GDAS +if [[ "$netwk" = "gdas" ]];then + if [ -z "$COMINgdas" ]; then + echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 + exit 1 + fi + fhend=12 + case $typef in + biascr) geslist=' + $COMINgdas/gdas.t${cyc}z.abias' + ;; + biascr_pc) geslist=' + $COMINgdas/gdas.t${cyc}z.abias_pc' + ;; + biascr_air) geslist=' + $COMINgdas/gdas.t${cyc}z.abias_air' + ;; + radstat) geslist=' + $COMINgdas/gdas.t${cyc}z.radstat' + ;; + pgbges) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh' + ;; + pg2ges) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' + ;; + pgbgm6) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6' + ;; + pgbgm3) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3' + ;; + pgbgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3' + ;; + pgbcur) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINgdas/gdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINgdas/gdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINgdas/gdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576' + fhbeg=00 + fhinc=06 + ;; + snogrb_1534) geslist=' + $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINgdas/gdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + natges) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' + ;; + natgm3) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio' + ;; + natgm2) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio' + ;; + natgm1) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio' + ;; + natgp1) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio' + ;; + natgp2) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio' + ;; + natgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio' + ;; + natcur) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' + getlist00=' + $COMINgdas/gdas.t${cyc}z.atmanl.nemsio' + fhbeg=00 + ;; + nsfges) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' + ;; + nsfgm3) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio' + ;; + nsfgm2) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio' + ;; + nsfgm1) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio' + ;; + nsfgp1) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio' + ;; + nsfgp2) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio' + ;; + nsfgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio' + ;; + nsfcur) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' + getlist00=' + $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio' + fhbeg=00 + ;; + nstcur) geslist=' + $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio' + getlist00=' + $COMINgdas/gdas.t${cyc}z.nstanl.nemsio' + fhbeg=00 + ;; + nflges) geslist=' + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' + ;; + nflgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio' + ;; + nflcur) geslist=' + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' + fhbeg=00 + ;; + esac + +# CFS-CDAS +elif [[ "$netwk" = "cfs-cdas" ]];then + if [ -z "$COMINcfs_cdas" ]; then + echo "getges.sh ERROR: The \$COMINcfs_cdas variable must be defined." >&2 + exit 1 + fi + fhend=12 + case $typef in + sigges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fh}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm3}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm2}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm1}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp1}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp2}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp3}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.abias' + ;; + satang) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.satang' + ;; + satcnt) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fh' + ;; + sfggp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fhp3' + ;; + pgbges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm6 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm6 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhp3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhp3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' + getlist00=' + $COMINcfs_cdas/cdas1.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' + getlist00=' + $COMINcfs_cdas/cdas1.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574 + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# GFS +elif [[ "$netwk" = "gfs" ]];then + if [ -z "$COMINgfs" ]; then + echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 + exit 1 + fi + fhend=384 + case $typef in + natges) geslist=' + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + ;; + pgbcur) geslist=' + $COMINgfs/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINgfs/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvitl) geslist=' + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINgfs/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINgfs/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_1534) geslist=' + $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINgfs/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + natcur) geslist=' + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + getlist00=' + $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' + fhbeg=00 + ;; + nsfcur) geslist=' + $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' + getlist00=' + $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' + fhbeg=00 + ;; + nstcur) geslist=' + $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' + getlist00=' + $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' + fhbeg=00 + ;; + nflcur) geslist=' + $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' + fhbeg=00 + ;; + esac + +# CDAS +elif [[ "$netwk" = "cdas" ]];then + if [ -z "$COMINcdas" ]; then + echo "getges.sh ERROR: The \$COMINcdas variable must be defined." >&2 + exit 1 + fi + fhbeg=06 + fhend=06 + case $typef in + sigges) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $COMINcdas/cdas.t${cyc}z.abias' + ;; + satang) geslist=' + $COMINcdas/cdas.t${cyc}z.satang' + ;; + satcnt) geslist=' + $COMINcdas/cdas.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $COMINcdas/cdas.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fh' + getlist00=' + $COMINcdas/cdas.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fh' + getlist00=' + $COMINcdas/cdas.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINcdas/cdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINcdas/cdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $COMINcdas/cdas.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINcdas/cdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $COMINcdas/cdas.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINcdas/cdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $COMINcdas/cdas.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# CDC CDAS +elif [[ "$netwk" = "cdc" ]];then + if [ -z "$COMINcdc" ]; then + echo "getges.sh ERROR: The \$COMINcdc variable must be defined." >&2 + exit 1 + fi + fhbeg=06 + fhend=06 + case $typef in + sigges) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $COMINcdc/cdas.t${cyc}z.abias' + ;; + satang) geslist=' + $COMINcdc/cdas.t${cyc}z.satang' + ;; + satcnt) geslist=' + $COMINcdc/cdas.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $COMINcdc/cdas.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fh' + getlist00=' + $COMINcdc/cdas.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fh' + getlist00=' + $COMINcdc/cdas.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINcdc/cdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINcdc/cdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $COMINcdc/cdas.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINcdc/cdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $COMINcdc/cdas.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINcdc/cdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $COMINcdc/cdas.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# Any resolution production +elif [[ "$netwk" = "global" ]];then + if [ -z "$COMINgdas" ]; then + echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 + exit 1 + fi + if [ -z "$COMINgfs" ]; then + echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 + exit 1 + fi + GETGES_NWG=${GETGES_NWG:-${GESROOT:?}} + case $typef in + biascr) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.abias + $COMINgdas/gdas.t${cyc}z.abias + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias + $COMINgfs/gfs.t${cyc}z.abias' + fhbeg=06 + fhinc=06 + ;; + pgbges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $COMINgfs/gfs.t${cyc}z.pgrbf$fh' + ;; + pgbgm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm6 + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm6 + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 + $COMINgfs/gfs.t${cyc}z.pgrbf$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm3 + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm3 + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 + $COMINgfs/gfs.t${cyc}z.pgrbf$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhp3 + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhp3 + $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 + $COMINgfs/gfs.t${cyc}z.pgrbf$fhp3' + ;; + pg2ges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$gh + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$gh + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' + ;; + pg2gm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm6 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm6' + ;; + pg2gm5) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm5 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm5' + ;; + pg2gm4) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm4 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm4' + ;; + pg2gm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm3 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm3' + ;; + pg2gm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm2 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm2' + ;; + pg2gm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm1 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm1' + ;; + pg2gp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp1 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp1' + ;; + pg2gp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp2 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp2' + ;; + pg2gp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp3 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp3' + ;; + pgbcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $COMINgfs/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p50.f$gh + $COMINgdas/gdas.t${cyc}z.pgrb2.0p50.f$gh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f$gh + $COMINgfs/gfs.t${cyc}z.pgrb2.0p50.f$gh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.prepbufr + $COMINgdas/gdas.t${cyc}z.prepbufr + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr + $COMINgfs/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.engicegrb + $COMINgdas/gdas.t${cyc}z.engicegrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb + $COMINgfs/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb + $COMINgdas/gdas.t${cyc}z.snogrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb + $COMINgfs/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t574.1152.576 + $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574.1152.576 + $COMINgfs/gfs.t${cyc}z.snogrb_t574.1152.576' + fhbeg=00 + fhinc=06 + ;; + snogrb_1534) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t1534.3072.1536 + $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t1534.3072.1536 + $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sstgrb + $COMINgdas/gdas.t${cyc}z.sstgrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb + $COMINgfs/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + natges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + ((vhr=$valid%100)) + if [[ $(($vhr % 3)) -ne 0 ]]; then + fhinc=01 + fi + ;; + natgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm3.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm3.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghm3.nemsio' + ;; + natgm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm2.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm2.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghm2.nemsio' + ;; + natgm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm1.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm1.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghm1.nemsio' + ;; + natgp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp1.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp1.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghp1.nemsio' + ;; + natgp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp2.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp2.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghp2.nemsio' + ;; + natgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp3.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp3.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghp3.nemsio' + ;; + natcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmanl.nemsio + $COMINgdas/gdas.t${cyc}z.atmanl.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmanl.nemsio + $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' + fhbeg=00 + ;; + nsfges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' + ;; + nsfgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm3.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm3.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghm3.nemsio' + ;; + nsfgm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm2.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm2.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghm2.nemsio' + ;; + nsfgm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm1.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm1.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghm1.nemsio' + ;; + nsfgp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp1.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp1.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghp1.nemsio' + ;; + nsfgp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp2.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp2.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghp2.nemsio' + ;; + nsfgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp3.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp3.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghp3.nemsio' + ;; + nsfcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcanl.nemsio + $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl.nemsio + $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' + fhbeg=00 + ;; + nstcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstanl.nemsio + $COMINgdas/gdas.t${cyc}z.nstanl.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstanl.nemsio + $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' + fhbeg=00 + ;; + nflges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' + ;; + nflgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$ghp3.nemsio + $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$ghp3.nemsio + $COMINgfs/gfs.t${cyc}z.flxf$ghp3.nemsio' + ;; + nflcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' + fhbeg=00 + ;; + esac +fi + +# Check validity of options. +if [[ $fhour != any ]];then + fhbeg=$fhour + fhend=$fhour +fi +if [[ $valid -lt 20000000 ]];then + valid=20$valid + echo '************************************************************' >&2 + echo '* WARNING: A 2-digit year was converted to a 4-digit year. *' >&2 + echo '* Please use full a 4-digit year in this utility. *' >&2 + echo '************************************************************' >&2 +fi +if [[ $($NDATE 0 $valid 2>/dev/null) != $valid ]];then + echo getges.sh: invalid date $valid >&2 + exit 2 +fi +if [[ -z "$geslist" ]];then + echo getges.sh: filetype $typef or resolution $resol not recognized >&2 + exit 2 +fi + +#------------------------------------------------------------------------------- +# Loop until guess is found. +fh=$fhbeg +if [ -z "$PDY" ];then echo "getges.sh WARNING: \$PDY variable not set" >&2; fi +while [[ $fh -le $fhend ]];do + ((fhm6=10#$fh-6)) + [[ $fhm6 -lt 10 && $fhm6 -ge 0 ]]&&fhm6=0$fhm6 + ((fhm5=10#$fh-5)) + [[ $fhm5 -lt 10 && $fhm5 -ge 0 ]]&&fhm5=0$fhm5 + ((fhm4=10#$fh-4)) + [[ $fhm4 -lt 10 && $fhm4 -ge 0 ]]&&fhm4=0$fhm4 + ((fhm3=10#$fh-3)) + [[ $fhm3 -lt 10 && $fhm3 -ge 0 ]]&&fhm3=0$fhm3 + ((fhm2=10#$fh-2)) + [[ $fhm2 -lt 10 && $fhm2 -ge 0 ]]&&fhm2=0$fhm2 + ((fhm1=10#$fh-1)) + [[ $fhm1 -lt 10 && $fhm1 -ge 0 ]]&&fhm1=0$fhm1 + ((fhp1=10#$fh+1)) + [[ $fhp1 -lt 10 ]]&&fhp1=0$fhp1 + ((fhp2=10#$fh+2)) + [[ $fhp2 -lt 10 ]]&&fhp2=0$fhp2 + ((fhp3=10#$fh+3)) + [[ $fhp3 -lt 10 ]]&&fhp3=0$fhp3 + gh=$fh;[[ $gh -lt 100 ]]&&gh=0$gh + ghm6=$fhm6;[[ $ghm6 -lt 100 ]]&&ghm6=0$ghm6 + ghm5=$fhm5;[[ $ghm5 -lt 100 ]]&&ghm5=0$ghm5 + ghm4=$fhm4;[[ $ghm4 -lt 100 ]]&&ghm4=0$ghm4 + ghm3=$fhm3;[[ $ghm3 -lt 100 ]]&&ghm3=0$ghm3 + ghm2=$fhm2;[[ $ghm2 -lt 100 ]]&&ghm2=0$ghm2 + ghm1=$fhm1;[[ $ghm1 -lt 100 ]]&&ghm1=0$ghm1 + ghp1=$fhp1;[[ $ghp1 -lt 100 ]]&&ghp1=0$ghp1 + ghp2=$fhp2;[[ $ghp2 -lt 100 ]]&&ghp2=0$ghp2 + ghp3=$fhp3;[[ $ghp3 -lt 100 ]]&&ghp3=0$ghp3 + id=$($NDATE -$fh $valid) + typeset -L8 day=$id + typeset -R2 cyc=$id + eval list=\$getlist$fh + [[ -z "$list" ]]&&list=${geslist} + for ges_var in $list;do + # Replace variables in guess with their values + eval ges_val=$ges_var + # Replace the current PDY with the valid date + ges=${ges_val/$PDY\//$day/} + [[ $quiet = NO ]]&&echo Checking: $ges >&2 + [[ -r $ges ]]&&break 2 + done + fh=$((10#$fh+10#$fhinc)) + [[ $fh -lt 10 ]]&&fh=0$fh +done +if [[ $fh -gt $fhend ]];then + echo getges.sh: unable to find $netwk.$envir.$typef.$resol.$valid >&2 + exit 8 +fi + +#------------------------------------------------------------------------------- +# Either copy guess to a file or write guess name to standard output. +if [[ -z "$gfile" ]];then + echo $ges + exit $? +else + cp $ges $gfile + exit $? +fi diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/getges_sig.sh b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/getges_sig.sh new file mode 100755 index 0000000..ee050d4 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/getges_sig.sh @@ -0,0 +1,3000 @@ +#!/bin/ksh +################################################################################ +# +# Name: getges.sh Author: Mark Iredell +# +# Abstract: +# This script copies the valid global guess file to a given file. +# Alternatively, it writes the name of the guess file to standard output. +# Specify option "-n network" for the job network (default global). +# Other options are gdas, gfs, cdas, mrf, prx, etc. +# Specify option "-e environment" for the job environment (default prod). +# Another option is test. +# Specify option "-f fhour" for the specific forecast hour wanted (default any). +# Specify option "-q" for quiet mode to turn off script messages. +# Specify option "-r resolution" for the resolution wanted (default high). +# Other options are 25464 17042, 12628, low, 6228, namopl, any. +# Specify option "-t filetype" for the filetype wanted from among these choices: +# sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3, +# sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3, +# biascr, satang, satcnt, gesfil +# pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3, +# sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl, +# enggrb, enggri, icegrb, icegri, snogrb, snogrb_high, snogri, sstgrb, sstgri. +# Specify option "-v valid" for the valid date wanted (default $CDATE). +# Currently, the valid hours specified must be a multiple of 3. +# Either 2-digit or 4-digit years are currently allowed. +# Specify positional argument to be the file to which to copy the guess. +# If missing, the NAME of the guess file is written to standard output. +# A nonzero return code from this script means either the arguments are invalid +# or the guess could not be found; a message is written to standard error in +# this case, but neither a file copy nor a standard output write will be done. +# The file returned is guaranteed to exist and be readable. +# The script uses the utility commands NDATE and NHOUR. +# +# Example 1. Copy the production sigma guess for 1998100100 to the file sges. +# getges.sh -e prod -t sigges -v 1998100100 sges +# +# Example 2. Assign the pressure grib guess for the date 1998100121. +# export CDATE=1998100121 +# export XLFUNIT_12="$(getges.sh -qt pgbges||echo /dev/null)" +# +# Example 3. Get the PRX pgb analysis or the best valid guess at 1998100112. +# getges -e prx -t pgbcur -v 1998100112 pgbfile +# +# Example 5. Get the 24-hour GFS forecast sigma file valid at 1998100112. +# getges -t sigcur -v 1998100112 -f 24 -e gfs sigfile +# +# History: 1996 December Iredell Initial implementation +# 1997 March Iredell Nine new filetypes +# 1997 April Iredell Two new filetypes and -f option +# 1997 December Iredell Four new filetypes +# 1998 April Iredell 4-digit year allowed; +# sigges internal date no longer checked +# 1998 May Iredell T170L42 defaulted; four new filetypes +# and two filetypes deleted +# 1998 June Rogers Nam types added +# 1998 September Iredell high is default resolution +# 2000 March Iredell Cdas and -n option +# 2000 June Iredell Eight new filetypes +# 2002 April Treadon T254L64 defaulted; add angle dependent +# bias correction file +# 2003 March Iredell GFS network out to 384 hours +# 2003 August Iredell Hourly global guesses +# 2005 September Treadon Add satellite data count file (satcnt) +# 2006 September Gayno Add high-res snow analysis +# 2009 January Rogers Added sfluxgrb file +# 2011 April Rogers Added GFS pg2ges file +# +################################################################################ +#------------------------------------------------------------------------------- +# Set some default parameters. +fhbeg=03 # hour to begin searching backward for guess +fhinc=03 # hour to increment backward in search +fhend=384 # hour to end searching backward for guess + +#------------------------------------------------------------------------------- +# Get options and arguments. +netwk=global # default network +envir=prod # default environment +fhour=any # default forecast hour +quiet=NO # default quiet mode +resol=high # default resolution +typef=sigges # default filetype +valid=${CDATE:-'?'} # default valid date +valid=$CDATE # default valid date +err=0 +while getopts n:e:f:qr:t:v: opt;do + case $opt in + n) netwk="$OPTARG";; + e) envir="$OPTARG";; + f) fhour="$OPTARG";; + q) quiet=YES;; + r) resol="$OPTARG";; + t) typef="$OPTARG";; + v) valid="$OPTARG";; + \?) err=1;; + esac +done +shift $(($OPTIND-1)) +gfile=$1 +if [[ -z $valid ]];then + echo "$0: either -v option or environment variable CDATE must be set" >&2 +elif [[ $# -gt 1 ]];then + echo "$0: too many positional arguments" >&2 +elif [[ $err -ne 0 ]];then + echo "$0: invalid option" >&2 +fi +if [[ $gfile = '?' || $# -gt 1 || $err -ne 0 || -z $valid ||\ + $netwk = '?' || $envir = '?' || $fhour = '?' || $resol = '?' ||\ + $typef = '?' || $valid = '?' ]];then + echo "Usage: getges.sh [-n network] [-e environment] [-f fhour] [-q] [-r resolution]" >&2 + echo " [-t filetype] [-v valid] [gfile]" >&2 + if [[ $netwk = '?' ]];then + echo " network choices:" >&2 + echo " global (default), namopl, gdas, gfs, cdas, etc." >&2 + elif [[ $envir = '?' ]];then + echo " environment choices:" >&2 + echo " prod (default), test, para, dump, prx" >&2 + echo " (some network values allowed for compatibility)" >&2 + elif [[ $fhour = '?' ]];then + echo " fhour is optional specific forecast hour" >&2 + elif [[ $resol = '?' ]];then + echo " resolution choices:" >&2 + echo " high (default), 25464, 17042, 12628, low, 6228, namopl, any" >&2 + elif [[ $typef = '?' ]];then + echo " filetype choices:" >&2 + echo " sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3," >&2 + echo " sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3," >&2 + echo " sfgges, sfggp3, biascr, satang, satcnt, gesfil" >&2 + echo " pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3," >&2 + echo " sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl," >&2 + echo " enggrb, enggri, icegrb, icegri, snogrb, snogri, sstgrb, sstgri," >&2 + echo " pg2cur, pg2ges, restrt" >&2 + elif [[ $valid = '?' ]];then + echo " valid is the valid date in yyyymmddhh or yymmddhh form" >&2 + echo " (default is environmental variable CDATE)" >&2 + elif [[ $gfile = '?' ]];then + echo " gfile is the guess file to write" >&2 + echo " (default is to write the guess file name to stdout)" >&2 + else + echo " (Note: set a given option to '?' for more details)" >&2 + fi + exit 1 +fi +#[[ $quiet = NO ]]&&set -x +if [[ $envir != prod && $envir != test && $envir != para && $envir != dump && $envir != pr? && $envir != dev ]];then + netwk=$envir + envir=prod + echo '************************************************************' >&2 + echo '* CAUTION: Using "-e" is deprecated in this case. *' >&2 + echo '* Please use "-n" instead. *' >&2 + echo '************************************************************' >&2 +fi +if [[ $netwk = namopl || $resol = namopl ]];then + netwk=namopl + typef=restrt + resol=namopl +fi +[[ $resol = 57464 || $resol = 38264 || $resol = 19064 || $resol = 25464 || $resol = 17042 || $resol = 12628 ]]&&resol=high +[[ $resol = 6228 ]]&&resol=low +resolsuf="" +[[ $resol == *deg ]]&&resolsuf=.$resol +fhbeg=$($NHOUR $valid) +[[ $fhbeg -le 0 ]]&&fhbeg=03 +((fhbeg=(10#$fhbeg-1)/3*3+3)) +[[ $fhbeg -lt 10 ]]&&fhbeg=0$fhbeg +if [[ $typef = enggrb ]];then + typef=icegrb + echo '************************************************************' >&2 + echo '* CAUTION: Using "-t enggrb" is now deprecated. *' >&2 + echo '* Please use "-t icegrb". *' >&2 + echo '************************************************************' >&2 +elif [[ $typef = enggri ]];then + typef=icegri + echo '************************************************************' >&2 + echo '* CAUTION: Using "-t enggri" is now deprecated. *' >&2 + echo '* Please use "-t icegri". *' >&2 + echo '************************************************************' >&2 +fi + +#------------------------------------------------------------------------------- +# Default top level directories. +export GETGES_COM=${GETGES_COM:-${COMROOT}} +export GETGES_NWG=${GETGES_NWG:-${GESROOT}} +export GETGES_GLO=${GETGES_GLO:-/gloptmp} + +#------------------------------------------------------------------------------- +# Assemble guess list in descending order from the best guess. +geslist="" +geslist00="" + +# GDAS +if [[ $netwk = gdas ]];then + fhend=12 + case $typef in + sigges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias' + ;; + biascr_pc) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias_pc' + ;; + biascr_air) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias_air' + ;; + radstat) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.radstat' + ;; + satang) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh' + ;; + sfggp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fhp3' + ;; + pgbges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh' + ;; + pg2ges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2f$fh$resolsuf' + ;; + pgiges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2f$fh$resolsuf' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574.1152.576' + fhbeg=00 + fhinc=06 + ;; + snogrb_1534) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t1534.3072.1536' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# CFS-CDAS +elif [[ $netwk = cfs-cdas ]];then + fhend=12 + case $typef in + sigges) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fh}.LIS + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhm3}.LIS + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhm2}.LIS + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhm1}.LIS + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhp1}.LIS + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhp2}.LIS + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhp3}.LIS + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.abias' + ;; + satang) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sfluxgrbf$fh' + ;; + sfggp3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sfluxgrbf$fhp3' + ;; + pgbges) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fhm6 + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fhm6 + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fhm3 + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fhm3 + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fhp3 + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fhp3 + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# GFS +elif [[ $netwk = gfs ]];then + fhend=384 + case $typef in + sigges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' + ;; + satang) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' + ;; + sfggp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3' + ;; + pgbges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + ;; + pg2ges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f0$fh$resolsuf' + ;; + pgiges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f0$fh$resolsuf' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# GFS +elif [[ $netwk = gfs ]];then + fhend=126 + case $typef in + sigges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' + ;; + satang) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' + ;; + sfggp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3' + ;; + pgbges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + echo '************************************************************' >&2 + echo '* CAUTION: Using "-n gfs" is now deprecated. *' >&2 + echo '* Please use "-n gfs". *' >&2 + echo '************************************************************' >&2 + +# CDAS +elif [[ $netwk = cdas ]];then + fhbeg=06 + fhend=06 + case $typef in + sigges) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.abias' + ;; + satang) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# CDC CDAS +elif [[ $netwk = cdc ]];then + fhbeg=06 + fhend=06 + case $typef in + sigges) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.abias' + ;; + satang) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# MRF +elif [[ $netwk = mrf ]];then + fhend=384 + case $typef in + sigges) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias' + ;; + satang) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + echo '************************************************************' >&2 + echo '* CAUTION: Using "-n mrf" is now deprecated. *' >&2 + echo '* Please use "-n gfs". *' >&2 + echo '************************************************************' >&2 + +# PRZ +elif [[ $netwk = prz ]];then + fhend=384 + case $typef in + sigges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.abias' + ;; + satang) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm6 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm6 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + echo '************************************************************' >&2 + echo '* CAUTION: Using "-n prz" is now deprecated. *' >&2 + echo '* Please use "-n gfs". *' >&2 + echo '************************************************************' >&2 + +# High resolution production +elif [[ $netwk = global && $resol = high ]];then + case $typef in + sigges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.abias + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' + fhbeg=06 + fhinc=06 + ;; + satang) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satang + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satang + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' + fhbeg=06 + fhinc=06 + ;; + satcnt) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satcnt + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satcnt + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' + fhbeg=06 + fhinc=06 + ;; + gesfil) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.gesfile + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.gesfile + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' + ;; + sfggp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3' + ;; + pgbges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' + ;; + pg2ges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh' + ;; + pg2gm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6' + ;; + pg2gm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3' + ;; + pg2gp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3' + ;; + sigcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sanl + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sanl + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sanl + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# Low resolution production +elif [[ $netwk = global && $resol = low ]];then + case $typef in + sigges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm2 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm1 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp1 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp2 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm2 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm1 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp1 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp2 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.abias + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.abias + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.abias + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.abias' + fhbeg=06 + fhinc=06 + ;; + satang) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.satang + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satang + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.satang + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.satang' + fhbeg=06 + fhinc=06 + ;; + satcnt) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.satcnt + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satcnt + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.satcnt + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.satcnt' + fhbeg=06 + fhinc=06 + ;; + gesfil) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.gesfile + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.gesfile + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.gesfile + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' + ;; + pgbges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm6 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm6 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm6 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm6 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhp3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhp3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhp3' + ;; + pg2ges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh' + ;; + pg2gm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6' + ;; + pg2gm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3' + ;; + pg2gp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3' + ;; + sigcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sanl + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sanl + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sanl + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sfcanl + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sfcanl + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sfcanl + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + esac + +# Any resolution production +elif [[ $netwk = global && $resol = any ]];then + case $typef in + sigges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.abias + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' + fhbeg=06 + fhinc=06 + ;; + satang) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satang + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satang + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' + fhbeg=06 + fhinc=06 + ;; + satcnt) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satcnt + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satcnt + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' + fhbeg=06 + fhinc=06 + ;; + gesfil) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.gesfile + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.gesfile + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' + ;; + pgbges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhp3 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3' + ;; + pg2ges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh' + ;; + pg2gm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6' + ;; + pg2gm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3' + ;; + pg2gp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3' + ;; + sigcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sanl + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sanl + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sanl + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# Early nam-32 resolution +elif [[ $netwk = namopl && $resol = namopl ]];then + fhbeg=03 + fhinc=03 + fhend=12 + case $typef in + restrt) geslist=' + $GETGES_NWG/$envir/nam.$day/nam.t${cyc}z.restrt$fh.tm00' + ;; + esac +fi + +# Global parallel +if [[ $envir = dump || $envir = pr? ]];then + fhend=384 + if [[ $netwk = global ]];then + case $typef in + sigges) geslist=' + $GETGES_GLO/$envir/sigf$fh.gdas.$id + $GETGES_GLO/$envir/sigf$fh.gfs.$id' + ;; + siggm3) geslist=' + $GETGES_GLO/$envir/sigf$fhm3.gdas.$id + $GETGES_GLO/$envir/sigf$fhm3.gfs.$id' + ;; + siggm2) geslist=' + $GETGES_GLO/$envir/sigf$fhm2.gdas.$id + $GETGES_GLO/$envir/sigf$fhm2.gfs.$id' + ;; + siggm1) geslist=' + $GETGES_GLO/$envir/sigf$fhm1.gdas.$id + $GETGES_GLO/$envir/sigf$fhm1.gfs.$id' + ;; + siggp1) geslist=' + $GETGES_GLO/$envir/sigf$fhp1.gdas.$id + $GETGES_GLO/$envir/sigf$fhp1.gfs.$id' + ;; + siggp2) geslist=' + $GETGES_GLO/$envir/sigf$fhp2.gdas.$id + $GETGES_GLO/$envir/sigf$fhp2.gfs.$id' + ;; + siggp3) geslist=' + $GETGES_GLO/$envir/sigf$fhp3.gdas.$id + $GETGES_GLO/$envir/sigf$fhp3.gfs.$id' + ;; + sfcges) geslist=' + $GETGES_GLO/$envir/sfcf$fh.gdas.$id + $GETGES_GLO/$envir/sfcf$fh.gfs.$id' + ;; + sfcgm3) geslist=' + $GETGES_GLO/$envir/sfcf$fhm3.gdas.$id + $GETGES_GLO/$envir/sfcf$fhm3.gfs.$id' + ;; + sfcgm2) geslist=' + $GETGES_GLO/$envir/sfcf$fhm2.gdas.$id + $GETGES_GLO/$envir/sfcf$fhm2.gfs.$id' + ;; + sfcgm1) geslist=' + $GETGES_GLO/$envir/sfcf$fhm1.gdas.$id + $GETGES_GLO/$envir/sfcf$fhm1.gfs.$id' + ;; + sfcgp1) geslist=' + $GETGES_GLO/$envir/sfcf$fhp1.gdas.$id + $GETGES_GLO/$envir/sfcf$fhp1.gfs.$id' + ;; + sfcgp2) geslist=' + $GETGES_GLO/$envir/sfcf$fhp2.gdas.$id + $GETGES_GLO/$envir/sfcf$fhp2.gfs.$id' + ;; + sfcgp3) geslist=' + $GETGES_GLO/$envir/sfcf$fhp3.gdas.$id + $GETGES_GLO/$envir/sfcf$fhp3.gfs.$id' + ;; + biascr) geslist=' + $GETGES_GLO/$envir/biascr.gdas.$id + $GETGES_GLO/$envir/biascr.gfs.$id' + fhbeg=06 + fhinc=06 + ;; + satang) geslist=' + $GETGES_GLO/$envir/satang.gdas.$id + $GETGES_GLO/$envir/satang.gfs.$id' + fhbeg=06 + fhinc=06 + ;; + satcnt) geslist=' + $GETGES_GLO/$envir/satcnt.gdas.$id + $GETGES_GLO/$envir/satcnt.gfs.$id' + fhbeg=06 + fhinc=06 + ;; + gesfil) geslist=' + $GETGES_GLO/$envir/gesfile.gdas.$id + $GETGES_GLO/$envir/gesfile.gfs.$id' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $GETGES_GLO/$envir/pgbf$fh.gdas.$id + $GETGES_GLO/$envir/pgbf$fh.gfs.$id' + ;; + pgbgm6) geslist=' + $GETGES_GLO/$envir/pgbf$fhm6.gdas.$id + $GETGES_GLO/$envir/pgbf$fhm6.gfs.$id' + ;; + pgbgm3) geslist=' + $GETGES_GLO/$envir/pgbf$fhm3.gdas.$id + $GETGES_GLO/$envir/pgbf$fhm3.gfs.$id' + ;; + pgbgp3) geslist=' + $GETGES_GLO/$envir/pgbf$fhp3.gdas.$id + $GETGES_GLO/$envir/pgbf$fhp3.gfs.$id' + ;; + sigcur) geslist=' + $GETGES_GLO/$envir/sigf$fh.gdas.$id + $GETGES_GLO/$envir/sigf$fh.gfs.$id' + getlist00=' + $GETGES_GLO/$envir/siganl.gdas.$id + $GETGES_GLO/$envir/siganl.gfs.$id' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_GLO/$envir/sfcf$fh.gdas.$id + $GETGES_GLO/$envir/sfcf$fh.gfs.$id' + getlist00=' + $GETGES_GLO/$envir/sfcanl.gdas.$id + $GETGES_GLO/$envir/sfcanl.gfs.$id' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_GLO/$envir/pgbf$fh.gdas.$id + $GETGES_GLO/$envir/pgbf$fh.gfs.$id' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_GLO/$envir/prepqc.gdas.$id + $GETGES_GLO/$envir/prepqc.gfs.$id' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_GLO/$envir/tcvitl.gdas.$id + $GETGES_GLO/$envir/tcvitl.gfs.$id' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_GLO/$envir/tcvitl.gdas.$id + $GETGES_GLO/$envir/tcvitl.gfs.$id' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_GLO/$envir/tcvitl.gdas.$id + $GETGES_GLO/$envir/tcvitl.gfs.$id' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_GLO/$envir/icegrb.gdas.$id + $GETGES_GLO/$envir/icegrb.gfs.$id' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_GLO/$envir/snogrb.gdas.$id + $GETGES_GLO/$envir/snogrb.gfs.$id' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_GLO/$envir/sstgrb.gdas.$id + $GETGES_GLO/$envir/sstgrb.gfs.$id' + fhbeg=00 + fhinc=06 + ;; + esac + else + case $typef in + sigges) geslist=' + $GETGES_GLO/$envir/sigf$fh.$netwk.$id' + ;; + siggm3) geslist=' + $GETGES_GLO/$envir/sigf$fhm3.$netwk.$id' + ;; + siggm2) geslist=' + $GETGES_GLO/$envir/sigf$fhm2.$netwk.$id' + ;; + siggm1) geslist=' + $GETGES_GLO/$envir/sigf$fhm1.$netwk.$id' + ;; + siggp1) geslist=' + $GETGES_GLO/$envir/sigf$fhp1.$netwk.$id' + ;; + siggp2) geslist=' + $GETGES_GLO/$envir/sigf$fhp2.$netwk.$id' + ;; + siggp3) geslist=' + $GETGES_GLO/$envir/sigf$fhp3.$netwk.$id' + ;; + sfcges) geslist=' + $GETGES_GLO/$envir/sfcf$fh.$netwk.$id' + ;; + sfcgm3) geslist=' + $GETGES_GLO/$envir/sfcf$fhm3.$netwk.$id' + ;; + sfcgm2) geslist=' + $GETGES_GLO/$envir/sfcf$fhm2.$netwk.$id' + ;; + sfcgm1) geslist=' + $GETGES_GLO/$envir/sfcf$fhm1.$netwk.$id' + ;; + sfcgp1) geslist=' + $GETGES_GLO/$envir/sfcf$fhp1.$netwk.$id' + ;; + sfcgp2) geslist=' + $GETGES_GLO/$envir/sfcf$fhp2.$netwk.$id' + ;; + sfcgp3) geslist=' + $GETGES_GLO/$envir/sfcf$fhp3.$netwk.$id' + ;; + biascr) geslist=' + $GETGES_GLO/$envir/biascr.$netwk.$id' + fhbeg=06 + fhinc=06 + ;; + satang) geslist=' + $GETGES_GLO/$envir/satang.$netwk.$id' + fhbeg=06 + fhinc=06 + ;; + satcnt) geslist=' + $GETGES_GLO/$envir/satcnt.$netwk.$id' + fhbeg=06 + fhinc=06 + ;; + gesfil) geslist=' + $GETGES_GLO/$envir/gesfile.$netwk.$id' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $GETGES_GLO/$envir/pgbf$fh.$netwk.$id' + ;; + pgbgm6) geslist=' + $GETGES_GLO/$envir/pgbf$fhm6.$netwk.$id' + ;; + pgbgm3) geslist=' + $GETGES_GLO/$envir/pgbf$fhm3.$netwk.$id' + ;; + pgbgp3) geslist=' + $GETGES_GLO/$envir/pgbf$fhp3.$netwk.$id' + ;; + sigcur) geslist=' + $GETGES_GLO/$envir/sigf$fh.$netwk.$id' + getlist00=' + $GETGES_GLO/$envir/siganl.$netwk.$id' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_GLO/$envir/sfcf$fh.$netwk.$id' + getlist00=' + $GETGES_GLO/$envir/sfcanl.$netwk.$id' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_GLO/$envir/pgbf$fh.$netwk.$id' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_GLO/$envir/prepqc.$netwk.$id' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_GLO/$envir/tcvitl.$netwk.$id' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_GLO/$envir/tcvitl.$netwk.$id' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_GLO/$envir/tcvitl.$netwk.$id' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_GLO/$envir/icegrb.$netwk.$id' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_GLO/$envir/snogrb.$netwk.$id' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_GLO/$envir/sstgrb.$netwk.$id' + fhbeg=00 + fhinc=06 + ;; + esac + fi +fi + +#------------------------------------------------------------------------------- +# Check validity of options. +if [[ $fhour != any ]];then + fhbeg=$fhour + fhend=$fhour +fi +if [[ $valid -lt 20000000 ]];then + valid=20$valid + echo '************************************************************' >&2 + echo '* CAUTION: A 2-digit year was converted to a 4-digit year. *' >&2 + echo '* Please use full a 4-digit year in this utility. *' >&2 + echo '************************************************************' >&2 +elif [[ $valid -lt 100000000 ]];then + valid=19$valid + echo '************************************************************' >&2 + echo '* CAUTION: A 2-digit year was converted to a 4-digit year. *' >&2 + echo '* Please use full a 4-digit year in this utility. *' >&2 + echo '************************************************************' >&2 +fi +if [[ $($NDATE 0 $valid 2>/dev/null) != $valid ]];then + echo getges.sh: invalid date $valid >&2 + exit 2 +fi +if [[ -z $geslist ]];then + echo getges.sh: filetype $typef or resolution $resol not recognized >&2 + exit 2 +fi + +#------------------------------------------------------------------------------- +# Loop until guess is found. +fh=$fhbeg +while [[ $fh -le $fhend ]];do + ((fhm6=10#$fh-6)) + [[ $fhm6 -lt 10 && $fhm6 -ge 0 ]]&&fhm6=0$fhm6 + ((fhm3=10#$fh-3)) + [[ $fhm3 -lt 10 && $fhm3 -ge 0 ]]&&fhm3=0$fhm3 + ((fhm2=10#$fh-2)) + [[ $fhm2 -lt 10 && $fhm2 -ge 0 ]]&&fhm2=0$fhm2 + ((fhm1=10#$fh-1)) + [[ $fhm1 -lt 10 && $fhm1 -ge 0 ]]&&fhm1=0$fhm1 + ((fhp1=10#$fh+1)) + [[ $fhp1 -lt 10 ]]&&fhp1=0$fhp1 + ((fhp2=10#$fh+2)) + [[ $fhp2 -lt 10 ]]&&fhp2=0$fhp2 + ((fhp3=10#$fh+3)) + [[ $fhp3 -lt 10 ]]&&fhp3=0$fhp3 + id=$($NDATE -$fh $valid) + typeset -L8 day=$id + typeset -R2 cyc=$id + eval list=\$getlist$fh + [[ -z $list ]]&&list=${geslist} + for gestest in $list;do + eval ges=$gestest + [[ $quiet = NO ]]&&echo Checking: $ges >&2 + [[ -r $ges ]]&&break 2 + done + fh=$((10#$fh+10#$fhinc)) + [[ $fh -lt 10 ]]&&fh=0$fh +done +if [[ $fh -gt $fhend ]];then + echo getges.sh: unable to find $netwk.$envir.$typef.$resol.$valid >&2 + exit 8 +fi + +#------------------------------------------------------------------------------- +# Either copy guess to a file or write guess name to standard output. +if [[ -z "$gfile" ]];then + echo $ges + exit $? +else + cp $ges $gfile + exit $? +fi diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcbufr.sh b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcbufr.sh new file mode 100755 index 0000000..4448067 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcbufr.sh @@ -0,0 +1,127 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + + +# This script performs rawinsonde upper-air complex quality control checking +# +# It is normally executed by the script prepobs_makeprepbufr.sh +# but can also be executed from a checkout parent script +# -------------------------------------------------------------------------- + +set -aux + +qid=$$ + +# Positional parameters passed in: +# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr +# file upon successful completion of this script (note that input +# prepbufr file is NOT saved by this script) + +# Imported variables that must be passed in: +# DATA - path to working directory +# CQCS - path to PREPOBS_CQCBUFR program statbge file +# CQCX - path to PREPOBS_CQCBUFR program executable +# CQCC - path to PREPOBS_CQCBUFR program parm cards + +# Imported variables that can be passed in: +# pgmout - string indicating path to for standard output file +# (skipped over by this script if not passed in) +# PRPI_m24 - string indicating path to prepbufr file valid 24-hours previous +# (only needed if temporal checking is being done) +# (skipped over by this script if not passed in) +# PRPI_m12 - string indicating path to prepbufr file valid 12-hours previous +# (only needed if temporal checking is being done) +# (skipped over by this script if not passed in) +# PRPI_p12 - string indicating path to prepbufr file valid 12-hours ahead +# (only needed if temporal checking is being done) +# (skipped over by this script if not passed in) +# PRPI_p24 - string indicating path to prepbufr file valid 24-hours ahead +# (only needed if temporal checking is being done) +# (skipped over by this script if not passed in) + +cd $DATA +PRPI=$1 +if [ ! -s $PRPI ] ; then exit 1 ;fi + +cp /dev/null $DATA/prepbufr_m24 +cp /dev/null $DATA/prepbufr_m12 +cp /dev/null $DATA/prepbufr_p12 +cp /dev/null $DATA/prepbufr_p24 + +set +u +[ -n "$PRPI_m24" ] && cp $PRPI_m24 prepbufr_m24 +[ -n "$PRPI_m12" ] && cp $PRPI_m12 prepbufr_m12 +[ -n "$PRPI_p12" ] && cp $PRPI_p12 prepbufr_p12 +[ -n "$PRPI_p24" ] && cp $PRPI_p24 prepbufr_p24 +set -u + +rm $PRPI.cqcbufr +rm cqc_events cqc_stncnt cqc_stnlst + +pgm=`basename $CQCX` +if [ -s $DATA/prep_step ]; then + set +u + . $DATA/prep_step + set -u +else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +fi + +export FORT4=cqcbufr.unit04.wrk +export FORT12=cqc_events +export FORT14=$PRPI +export FORT15=cqc_stncnt +export FORT16=cqc_stnlst +export FORT17=prepbufr_m24 +export FORT18=prepbufr_m12 +export FORT19=prepbufr_p12 +export FORT20=prepbufr_p24 +export FORT22=cqc_wndpbm +export FORT23=$CQCS +export FORT51=$PRPI.cqcbufr +export FORT52=cqc_sdm +export FORT60=cqcbufr.unit60.wrk +export FORT61=cqcbufr.unit61.wrk +export FORT62=cqcbufr.unit62.wrk +export FORT64=cqcbufr.unit64.wrk +export FORT68=cqc_radcor +export FORT80=cqcbufr.unit80.wrk +TIMEIT=${TIMEIT:-""} +[ -s $DATA/time ] && TIMEIT="$DATA/time -p" +# The following improves performance on Cray-XC40 if $CQCX was +# linked to the IOBUF i/o buffering library +export IOBUF_PARAMS='*wrk:verbose,*cqc_*:verbose' +$TIMEIT $CQCX< $CQCC > outout 2> errfile +err=$? +unset IOBUF_PARAMS +###cat errfile +cat errfile >> outout +cat outout >> cqcbufr.out +set +u +[ -n "$pgmout" ] && cat outout >> $pgmout +set -u +rm outout +set +x +echo +echo 'The foreground exit status for PREPOBS_CQCBUFR is ' $err +echo +set -x +if [ -s $DATA/err_chk ]; then + $DATA/err_chk +else + if test "$err" -gt '0' + then +######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out + # in ops + exit 55 + fi +fi + +if [ "$err" -gt '0' ]; then + exit 9 +else + mv $PRPI.cqcbufr $PRPI +fi + +exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcvad.sh b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcvad.sh new file mode 100755 index 0000000..bb8f950 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcvad.sh @@ -0,0 +1,101 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + + +# This script performs VAD wind complex quality control checking +# +# It is normally executed by the script prepobs_makeprepbufr.sh +# but can also be executed from a checkout parent script +# -------------------------------------------------------------- + +set -aux + +qid=$$ + +# Positional parameters passed in: +# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr +# file upon successful completion of this script (note that input +# prepbufr file is NOT saved by this script) +# 2 - ncep production date (YYYYMMDDHH) + +# Imported variables that must be passed in: +# DATA - path to working directory +# VQCX - path to PREPOBS_CQCVAD program executable + +# Imported variables that can be passed in: +# pgmout - string indicating path to for standard output file (skipped +# over by this script if not passed in) + +cd $DATA +PRPI=$1 +if [ ! -s $PRPI ] ; then exit 1;fi +CDATE10=$2 + +set +x +cat <<\EOFc > cqcvad05 + &NAMLST + HONOR_FLAGS=TRUE, ! If TRUE then levels with bad q.m. flags are honored + PRINT_52=TRUE, ! If TRUE then writes bird quality control information + ! to unit 52 + PRINT_53=FALSE, ! If TRUE then writes a final report listing with q.c. + ! information to unit 53 + PRINT_60=FALSE, ! If TRUE then writes event information to unit 60 + TEST=FALSE ! If TRUE then writes diagnostic print to stdout (unit 06) + / +EOFc +set -x + +rm $PRPI.cqcvad + +pgm=`basename $VQCX` +if [ -s $DATA/prep_step ]; then + set +u + . $DATA/prep_step + set -u +else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +fi + +export FORT11=$PRPI +echo "$CDATE10" > cdate10.dat +export FORT14=cdate10.dat +export FORT51=$PRPI.cqcvad +export FORT52=cqcvad.birdqc +export FORT53=cqcvad.unit53.wrk +export FORT55=cqcvad.unit55.wrk +export FORT60=cqcvad.unit60.wrk +TIMEIT=${TIMEIT:-""} +[ -s $DATA/time ] && TIMEIT="$DATA/time -p" +$TIMEIT $VQCX < cqcvad05 > outout 2> errfile +err=$? +###cat errfile +cat errfile >> outout +cat outout >> cqcvad.out +set +u +[ -n "$pgmout" ] && cat outout >> $pgmout +set -u +rm outout +set +x +echo +echo 'The foreground exit status for PREPOBS_CQCVAD is ' $err +echo +set -x +if [ -s $DATA/err_chk ]; then + $DATA/err_chk +else + if test "$err" -gt '0' + then +######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out + # in ops + exit 55 + fi +fi + +if [ "$err" -gt '0' ]; then + exit 9 +else + mv $PRPI.cqcvad $PRPI +fi + +exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_makeprepbufr.sh b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_makeprepbufr.sh new file mode 100755 index 0000000..ff85639 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_makeprepbufr.sh @@ -0,0 +1,2466 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + +#### UNIX Script Documentation Block +# +# Script name: prepobs_makeprepbufr.sh +# Script description: Prepares & quality controls PREPBUFR file +# +# Author: Keyser Org: EMC Date: 2017-04-20 +# +# Abstract: This script creates the PREPBUFR file containing observational data +# assimilated by all versions of NCEP atmospheric analyses. It points to BUFR +# observational data dumps as input files. PREPOBS_PREPDATA combines them to +# generate an initial form of the PREPBUFR file which also contains the +# background guess as well as the observational error field. If tropical +# cyclone relocation processing has previously occurred, the background global +# guess read in by PREPOBS_PREPDATA (and later by SYNDAT_SYNDATA if it runs) +# is the relocated guess valid at the center date/time for the PREPBUFR +# processing. Otherwise it is the regular (unrelocated) global atmosperic +# guess obtained via the getges utility script. After PREPOBS_PREPDATA runs, +# this script can execute SYNDAT_SYNDATA to generate synthetic wind bogus +# data, as well as their background guess and observational error fields, +# which are appended to the PREPBUFR file. +# +# In the global networks the decision to append synthetic wind bogus data in +# the SYNDATA processing is determined by the outcome of the previous +# tropical cyclone relocation processing (if it was run). There are three +# possible outcomes: +# 1) If all storms in the original tcvitals file have vorticies of at +# least medium intensity such that a relocation was previously +# performed for each, then SYNDAT_SYNDATA will still run but will not +# append synthetic wind bogus data to the PREPBUFR file for any storm. +# It will input the original tcvitals file (output from qctropcy +# processing) and (if the option is set) it will flag dropwinsonde +# winds in the vicinity of each tropical storm in the file. +# 2) If all storms in the original tcvitals file (output from qctropcy +# processing) have weak vorticies such that a relocation was not +# previously performed for any, then SYNDAT_SYNDATA will run, inputting +# the original tcvitals file, and it will append synthetic wind bogus +# data to the PREPBUFR file for each storm in it. It will also +# possibly flag mass pressure and/or dropwinsonde wind reports in the +# vicinity of each storm (if requested). +# 3) If some storms in the original tcvitals file (output from qctropcy +# processing) have weak vorticies, such that a relocation was not +# previously performed for them, and others have vorticies of at least +# medium intensity, such that a relocation was previously performed for +# these, then SYNDAT_SYNDATA will run twice. The first time, it will +# input the relocation-generated tcvitals file, which contains all of +# the weak storms, and it will append synthetic wind bogus data to the +# PREPBUFR file for each storm in it. It will also possibly flag mass +# pressure and/or dropwinsonde wind reports in the vicinity of each of +# these storms (if requested). The second time SYNDAT_SYNDATA runs, it +# will input any storm records that were in the original tcvitals file +# but not in the relocation-generated tcvitals file (i.e., storms with +# vorticies of at least medium intensity). It will not append +# synthetic wind bogus data to the PREPBUFR file for any of these +# storms, but it will flag dropwinsonde winds in the vicinity of each +# storm in the original tcvitals file but not in the relocation- +# generated tcvitals file (if requested). +# If this is the nam network, the only reason relocation processing would +# have been previously run would be to update the first guess read in here by +# PREPOBS_PREPDATA and SYNDAT_SYNDATA. In this case, SYNDAT_SYNDATA inputs +# the original tcvitals file (output from qctropcy processing), appends +# synthetic wind bogus data to the PREPBUFR file for each storm in it, and +# possibly also flags mass pressure and/or dropwinsonde wind reports in the +# vicinity of each storm in the file (if requested). +# +# After all of this, the script then executes a series of quality control +# programs which can change the observation value and/or its quality marker. +# The PREPBUFR file is set up such that all changes to data are stacked on +# top of previous values. Such changes are considered to be "events", with +# the event containing an associated program code and reason code to describe +# it. This allows the PREPBUFR file to internally contain a record of all +# events preformed on the observations. This script has been designed to be +# executed by either an "operational J-job" script, a "test J-job" script, a +# "parallel J-job" script, or a stand-alone batch run initiated by a user. +# +# Script history log: +# 1999-07-20 Dennis A. Keyser -- Original version for implementation +# 2000-06-14 Dennis A. Keyser -- Added the tropical cyclone relocation +# processing +# 2001-03-20 Dennis A. Keyser -- Now gets tcvitals file for t-12 as well as +# t-06 in tropical cyclone relocation processing and passes both to ush +# relocate_relocate_ts.sh as new pos. parameters 3 and 4 +# 2004-01-15 Dennis A. Keyser -- Reads file *aircar_status_flag* in $COMSP +# path to see whether ARINC (primary) or AFWA (backup) reports in AIRCAR +# dump should be read and processed as ACARS data in PREPBUFR (flag file +# generated in upstream dump process and is based on a comparison of report +# counts), inserts proper switch in parm cards read by PREPOBS_PREPDATA +# program +# 2004-08-30 Dennis A. Keyser -- Connects new data dumps to PREPDATA +# processing, copies t-3 and t+3 sigma guess files for GFS and GDAS even if +# DO_RELOCATE = NO (unless GETGUESS = NO); Copies the "PRE-QC" snapshot of +# the PREPBUFR file AFTER SYNDAT_SYNDATA runs (if it does) rather than +# before it runs (ensures that the PRE-QC PREPBUFR file contains ALL of the +# observations); Variable PRVT (observational error table file path) is now +# read by NAM network and defaults to $FIXPREP/prepobs_errtable.nam if not +# imported (obs. errors are now read into PREPBUFR file in NAM network in +# preparation for the switch to the GSI analysis, the operational 3DVAR +# analysis ignores the obs errors in PREPBUFR and still reads them in from +# $PARMPREP/nam_errtable.r3dv) +# 2005-07-01 Dennis A. Keyser -- Logic changes to run SYNDAT_SYNDATA in all +# networks where requested regardless of outcome of relocation processing, +# but sets new script variable DO_BOGUS to NO if SYNDAT_SYNDATA is to NOT +# generate synthetic wind bogus reports and append them to PREPBUFR file +# (SYNDAT_SYNDATA program also modified to read in this variable) - change +# needed because (if requested) SYNDAT_SYNDATA will now flag all +# dropwinsonde wind reports in vicinity of each storm in original tcvitals +# file, regardless of whether or not bogus winds are generated +# 2006-03-22 Dennis A. Keyser -- Accounts for possibility of split dump status +# files (status1 and status2) at the time this runs {in the test for the +# presence of the dump status file(s)} +# 2006-06-13 Dennis A. Keyser -- Adds dump file "wdsatr" to default BUFRLIST +# value and assigns it to unit 38 read in to PREPOBS_PREPDATA; Removed +# tropical cyclone relocation processing, this is now done (if requested) +# in a new script called tropcy_relocate.sh which runs in the new +# TROPCY_QC_RELOC job prior to the PREP job that executes this script +# (TROPCY_QC_RELOC first performs qctropcy processing, this was moved from +# the DUMP job) - this was done to allow the TROPCY_QC_RELOC job to run at +# the same time as the DUMP job in order to speed up overall obs +# processing and remove variability in the PREP job executing this script +# (i.e., this job had run faster when no tropical storms were present) +# 2007-09-14 Dennis A. Keyser -- Replaced "export XLFUNIT_xx=" with +# "ln .sf fort.xx" in preparation for PREPOBS_PREPDATA +# interfacing with global spectral guess files using sigio routines (via +# W3LIB routine GBLEVENTS - sigio requires explicit open statements in the +# code and this conflicts with XLFUNIT statements; Removed test on +# existence of status2 file from NAM_DUMP2 and NDAS_DUMP2 jobs since dump +# files here (currently only "nexrad") are not processed into the PREPBUFR +# file - PREP job initiation may soon no longer be dependent upon +# completion of DUMP2 job in NAM and NDAS networks; in the case where an +# input (normally, pre-QC) PREPBUFR file is passed into the script via the +# variable PREPBUFR_IN, it had been assumed that this file had already +# been run through SYNDATA processing (but that was not the case prior to +# 12Z 25 Jan 2005) - this script changed to use the value of variable +# SYNDATA to determine if the file in PREPBUFR_IN should be run through +# SYNDATA processing (i.e., if SYNDATA=YES, run it through SYNDATA +# processing) (this change will allow reanalysis runs prior to 12Z 25 Jan +# 2005 to work properly) +# 2008-09-25 Dennis A. Keyser -- Added dump file "ascatw" to default BUFRLIST +# value and assigns it to unit 39 read in to PREPOBS_PREPDATA; in +# preparation for future NRL aircraft QC code NRLACQC, added new script +# variables NRLACQC (def=NO), USHNQC (def=${HOMEALL}/ush), NQCX +# (def=$EXECPREP/prepobs_nrlacqc) and NQCC +# (def=$PARMPREP/prepobs_nrlacqc.${NET}.parm"), if NRLACQC=YES will +# execute script USHNQC to perform NRL aircraft QC (not yet ready) +# 2011-10-14 D.A. Keyser -- Updated to handle new "rap" (Rapid Refresh) +# network and its model runs "rap", "rap_p" and "rap_e" +# 2012-05-09 S. Bender/D. Keyser -- Removed all prior references to "NRL" +# aircraft QC script variables (never actually used) since the NRL +# aircraft QC nomenclature is being dropped in place of the existing +# PREPACQC nomenclature and will use its existing script variables; +# removed all references to the ACARSQC processing since it is no longer +# executed (ACARS QC is now performed within the revamped PREPACQC +# processing); removed script variables no longer used by the new version +# of the PREPACQC processing; added new script variables which are +# associated with the new program PREPOBS_PREPACPF which now runs as a +# second program within the PREPACQC processing (after program +# PREPOBS_PREPACQC) in the ush script prepobs_prepacqc.sh {PROCESS_ACQC +# (def=YES), PROCESS_ACPF (def=YES), DICTPREP (def=$HOMEALL/dictionaries), +# DICT (def=$DICTPREP/metar.tbl), APFX (def=$EXECPREP/prepobs_prepacpf), +# and the new second argument "$DATA/adpsfc" passed to +# prepobs_prepacqc.sh} +# 2013-03-05 D.A. Keyser -- Modified to properly run on WCOSS system: replaced +# all usage of "timex" with "time -p."; replaced script variables +# XLFUNIT_n with FORTn (where n is the unit number connected to the +# filename defined by the variable FORTn) - needed because ifort uses +# FORTn; script is now set to run under ksh shell as the default; added +# script variable "BACK" which, when YES, threads the mp_prepdata herefile +# into background shells that run simultaneously (an alternative option +# to poe which is not ready on WCOSS); touches all dump files not included +# in BUFRLIST so that they will not cause a read error if PREPOBS_PREPDATA +# tries to read them +# 2014-01-15 S. Melchior -- Placed into new OBSPROC_PREP vertical directory +# structure/environmental equivalence paradigm. As a result: imports new +# environment variable $HOMEobsproc_prep which points to directory path for +# generic prep subdirectories under version control (in production this is +# normally /nwprod/obsproc_prep.vX.Y.Z where X.Y.Z is version number being +# used, usually the latest); and imports new environment variable +# $HOMEobsproc_network which points to directory path for network-specific +# prep subdirectories under version control (in production this is normally +# /nwprod//obsproc_NETWORK.vX.Y.Z where NETWORK is, e.g., global, namp, rap, +# rtma, urma, and X.Y.Z is version number being used, usually the latest) - +# these replace /nw${envir} in order to point to files moved from +# horizontal to vertical directory structure. +# 2014-02-25 D.A. Keyser -- Removed all references to RUC. Removed option +# to run on MACHINE=sgi - this is obsolete (as a result variables $MACHINE +# and $HOMEALL are no longer used in this script). Replaced variable +# $EXECUTIL with $utilexec for directory path to utility program ndate +# (both were exported from job scripts with same value, $EXECUTIL has now +# been removed from all job scripts). Removed all references to "cdc" +# network (this is obsolete). +# 2014-07-23 D.A. Keyser -- Imported script environment variable DICTPREP now +# defaults to new vertical structure directory path location for metar.tbl +# dictionary, /nw${envir}/decoders/decod_shared/dictionaries, rather than +# old horizontal structure location, /nw${envir}/dictionaries (the latter +# will be removed in September 2014). +# 2016-02-05 JWhiting -- Use NCO-established variables to point to root +# directories for main software components and input/output directories in +# order to run on WCOSS Phase 1 or Phase 2 (here, $COMROOT which replaces +# hardwire to "/com", $NWROOT which replaces hardwire to "/nwprod" in +# comments only). Use NCO-established variables (presumably obtained from +# modules) to point to prod utilities [here, $NDATE from module prod_util +# (default or specified version, loaded in each network which executes this +# script) which replaces executable ndate in non-versioned, horizontal +# structure utility directory path defined by imported variable $utilexec]. +# 2016-04-29 D.A. Keyser -- Updated logic such that when tropical cyclone +# relocation has not run, a first guess is required, the network is gfs or +# gdas, but the cycle time is not 00, 06, 12 or 18z, no attempt will be +# made to obtain a guess 3-hrs before and after cycle time (since it can +# fail). Instead this is treated the same as any 3- or 1-hrly cycle run +# (like rap, e.g.) meaning two guess files will be obtained at the +# spanning 3 hour interval around any cycle time not a multiple of 3 hrs. +# BENEFIT: Allows future hourly WAM model to run properly. +# 2016-07-12 D.C. Stokes -- Reinstated poe option to run multiple instances +# of the PREPDATA processing script in parallel. New variable $launcher +# defines the parallel scripting launch mechanism (description below). +# Added logic to create scaled down versions of err_chk and err_exit +# scripts if they don't exist in the working directory and eliminated +# similar blocks of logic that had been repeated throughout the script. +# Updated USHGETGES default to pick up more recent versions of getges.sh. +# 2017-02-07 D.C. Stokes -- Updated to run on Cray-XC40 as well as iDataPlex. +# If on Cray-XC40, default parallel scripting launching mechanism is cfp +# inovked by aprun. Variable name used for launching mechanism changed from +# "launcher" to "launcher_PREP". Variable COMDATEROOT is now the primary +# default for the root of the directory containing NCEP date files. The +# variable NWROOTp1 is now the default root for directory DICTPREP. Logic +# used to determine if $COMSP points to production "com" directory was +# updated to recognize full path name (as needed on luna/surge). +# 2017-03-19 D.C. Stokes/D.A. Keyser -- Updated to input nemsio atmopheric +# guess files -or- the older sigio atmospheric files. The nemsio option +# is triggered by flag NEMSIO_IN=.true. For nemsio runs, a single guess +# file valid at the prepbufr center time is picked up, even for runs with +# center time that is not a multiple of 3. Also the dbn_alert subtype is +# now dependent upon $RUN (for transition from "gdas1" to "gdas"). +# 2017-04-20 D.C. Stokes -- Relocated assignments of variable stype to ensure +# it always passes the proper value to the getges utility script. +# +# +# Usage: prepobs_makeprepbufr.sh yyyymmddhh +# +# Input script positional parameters: +# 1 String indicating the center date/time for the PREPBUFR +# processing - if missing, then this time +# is obtained from the ${COMDATEROOT}/date/$cycle file +# +# Imported Shell Variables: +# +# These must ALWAYS be exported to this script by the parent script -- +# +# COMROOT Root to input/output "com" directory (in production, +# normally "/com", "/com2", or "/gpfs/hps/nco/ops/com") +# NSPLIT Number of parts into which the PREPDATA processing shell +# script (herefile MP_PREPDATA) will be split in order to +# run in parallel for computational efficiency (either using +# multiple tasks when POE is not "NO" or in background threads +# when BACK is "YES") +# NOTE : This is required ONLY if the imported shell variable +# POE is not "NO" (see below) or the imported shell +# variable BACK is "YES" (see below) (i.e., a parallel +# environment), and the imported shell variable +# PREPDATA=YES (see below) +# NET String indicating system network {either "gfs", "gdas", +# "cdas", "nam", "rap", "rtma" or "urma"} +# NOTE : NET is changed to gdas in the parent Job script for +# RUN=gdas or RUN=gdas1 (was gfs) +# RUN String indicating model run {either "gfs", "gdas", "gdas1", +# "cdas", "nam", "ndas", "rap", "rap_p", "rap_e", +# "rtma", or "urma"} +# cycle String indicating the center cycle hour for PREPBUFR +# processing {"txxz", where xx is two-digit hour of the day +# (UTC)} +# NOTE : This is required ONLY if input script positional +# parameter 1 is missing (see above) +# DATA String indicating the working directory path (usually a +# temporary location) +# COMSP String indicating the directory/filename path to input BUFR +# observational data dumps, tropical cyclone location +# (tcvitals) files, global atmos guess files, and status +# files (e.g., "$COMROOT/gfs/prod/gfs.20060612/gfs.t12z.") +# DBNROOT String indicating directory path to bin/dbn_alert file +# location +# NOTE : This is required ONLY if the imported shell variable +# SENDDBN is "YES" (see below) +# job - String indicating job name (e.g., 'gdas_prep_12') +# NOTE : This is required ONLY if the imported shell variable +# SENDDBN is "YES" (see below) +# $HOMEobsproc_prep - string indicating directory path to generic prep +# subdirectories under version control +# (in production this is normally +# ${NWROOT}/obsproc_prep.vX.Y.Z where X.Y.Z is +# version number being used, usually the latest) +# $HOMEobsproc_network - string indicating directory path to network- +# specific prep subdirectories under version control +# (in production this is normally +# ${NWROOT}/obsproc_NETWORK.vX.Y.Z where NETWORK is, +# e.g., global, nam, rap, rtma, urma, and X.Y.Z is +# version number being used, usually the latest) +# +# These will be set to their default value in this script if not exported +# to this script by the parent script -- +# +# SITE Site name (may have been set by local shell startup script) +# Default is "" +# sys_tp System type and phase. If not imported, an attempt is made +# to set it using getsystem.pl (an NCO prod_util script). +# A failed attempt results in an empty string. +# NEMSIO_IN Flag that if ".true." indicates that nemsio atmospheric +# background fields will be input rather than sigio. +# Default is "" +# SENDDBN String indicating whether or not to alert an output file to +# the NWS/TOC (= "YES" - invoke alert; anything else - do not +# invoke alert) +# Default is "NO" +# NPROCS Number of "poe" tasks to use for mpmd (must be .GE. $NSPLIT) +# NOTE : This is applicable ONLY if the imported shell +# variable POE is not "NO" (see below) and variable +# launcher_PREP is not "cfp" or "aprun" (see below) and +# the imported shell variable PREPDATA=YES (see below) +# For LSF jobs, the count of hosts listed in string $LSB_HOSTS +# will be used to set NPROCS (overriding any imported value). +# Default is "$NSPLIT" +# envir String indicating environment under which job runs ('prod' +# or 'test') +# Default is "prod" +# envir_getges String indicating environment under which GETGES utility +# ush runs (see getges.sh docblock for more information) +# Default is "$envir" +# network_getges +# String indicating job network under which GETGES utility +# ush runs (see getges.sh docblock for more information) +# Default is "global" unless the center PREPBUFR processing +# date/time is not a multiple of 3-hrs and the global guess is +# sigio-based, then the default is "gfs" +# pgmout String indicating file containing standard output (output +# always contatenated onto this file) +# Default is "/dev/null" +# tstsp String indicating the directory/filename path to one or +# more BUFR observational data dumps and/or tropical cyclone +# location (tcvitals) files and/or global atmos guess files +# and/or status files that are to override the corresponding +# file in $COMSP (this should be imported with the same +# naming convention as $COMSP; e.g., +# "/gpfstmp/wx22dk/test_dump/ndas.20060612/ndas.t12z." - +# (if tstsp is not imported, the default is used and no +# overriding file would exist; if tstsp is imported then any +# file found would override the correspoding file in $COMSP) +# Default is "/tmp/null/" +# tmmark - string indicating hour for center PREPBUFR processing date/ +# time relative to the analysis time embedded in $tstsp or +# $COMSP (e.g., "tm12", "tm09", "tm06", "tm03", "tm00") +# Default is "tm00" +# BUFRLIST String indicating list of BUFR data dump file names to +# process +# Default is "adpupa proflr aircar aircft satwnd adpsfc \ +# sfcshp sfcbog vadwnd goesnd spssmi erscat qkswnd msonet \ +# gpsipw rassda wdsatr ascatw" +# POE String indicating whether or not to use a poe-like launcher +# to spread instances of the PREPBUFR processing herefile +# MP_PREPDATA over multiple pes in parallel. (= "NO" - +# do not invoke invoke "poe"; anything else - invoke "poe") +# Default is "YES" +# launcher_PREP Parallel scripting launch tool. Settings are in place for +# aprun, mpirun.lsf, and cfp but a different tool can be +# specified. +# NOTE : This is applicable ONLY if the imported shell +# variable POE is not "NO" and the imported shell +# variable PREPDATA=YES (see below) +# Default on Cray-XC40 is "aprun". Otherwise: "mpirun.lsf" +# BACK String indicating whether or not to run background shells +# (on the same task) for the PREPBUFR processing (= "YES" - +# run background shells; anything else - do not run +# background shells). IF BACK=YES on Cray-XC40, the shells +# are invoked by aprun. +# USHSYND String indicating directory path for SYNDATA ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHPREV String indicating directory path for PREVENTS ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHCQC String indicating directory path for CQCBUFR ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHPQC String indicating directory path for PROFCQC ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHVQC String indicating directory path for CQCVAD ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHAQC String indicating directory path for PREPACQC ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHOIQC String indicating directory path for OIQCBUFR ush file +# Default is "${HOMEobsproc_prep}/ush" +# EXECPREP String indicating directory path for PREPOBS executables +# Default is "${HOMEobsproc_prep}/exec" +# PARMPREP String indicating directory path for PREPOBS parm files +# Default is "${HOMEobsproc_network}/parm" +# FIXPREP String indicating directory path for PREPOBS fix-field +# files +# Default is "${HOMEobsproc_prep}/fix" +# DICTPREP String indicating directory path for PREPOBS dictionary +# files +# Default is "${NWROOTp1}/decoders/decod_shared/dictionaries" +# EXECSYND String indicating directory path for SYNTHETIC data +# executables +# Default is "${HOMEobsproc_prep}/exec" +# PARMSYND String indicating directory path for SYNTHETIC parm files +# Default is "${HOMEobsproc_network}/parm" +# FIXSYND String indicating directory path for SYNTHETIC data fix- +# field files +# Default is "${HOMEobsproc_prep}/fix" +# GETGUESS String: if = "YES" will encode first guess (background) +# values interpolated by the program PREPOBS_PREPDATA to +# observation locations in the PREPBUFR file for use by the +# q.c. programs. This guess is always from a global atmos +# guess file valid at the center PREPBUFR processing date/ +# time or from an interpolated guess obtained from global +# atmos guess files valid at times 3-hours apart which span +# the PREPBUFR processing date/time (the latter is performed +# by the program PREPOBS_PREPDATA and occurs when the guess +# files are sigio-based and the PREPBUFR date/time hour is not +# a multiple of 3, e.g. 02Z rap or tm04 nam catchup runs). The +# guess file (or files) may be obtained in one of two ways: +# 1) From pre-existing files in the working directory +# $DATA called sgesprep and sgesprepA (either copied +# there prior to the execution of this script, or +# copied there earlier in this script from either +# $tstsp, or if not found there, $COMSP which was +# populated by the previous running of tropical +# cyclone relocation processing +# NOTE 1: sgesprepA is needed only when the guess is +# sigio-based and the PREPBUFR processing +# date/time is not a multiple of 3-hrs. +# NOTE 2: if previous tropical cyclone relocation +# processing was run, then an sgesprepA file +# is NEVER generated, not a problem since +# previous tropical cyclone relocation +# processing is not run in rap, rap_p or +# rap_e runs +# 2) Via the execution of the GETGES utility ush to +# obtain sgesprep (if pre-existing file $DATA/sgesprep +# does not exist), and possibly via the execution of +# the GETGES utility ush to obtain sgesprepA (if +# PREPBUFR processing date/time is not a multiple of +# 3-hrs and the global guess is sigio-based, and the +# pre-existing file $DATA/sgesprepA does not exist) +# Default is "YES" +# NOTE: If GETGUESS=NO, then the program PREPOBS_PREPDATA +# will NOT call w3emc routine GBLEVENTS to perform +# "prevents" processing +# PREPDATA String: if = "YES" will perform PREPDATA processing +# (in either a parallel or serial environment depending upon +# the values for POE and BACK) +# Default is "YES" +# SYNDATA String: if = "YES" will attempt to perform synthetic bogus +# processing (generation of synthetic bogus winds to be +# appended to PREPBUFR file and, possibly, flagging of mass +# pressure data "near" storms; and, possibly, flagging of +# dropwinsonde wind data "near" storms) +# Default is "YES" +# DO_QC String: if = "YES" will perform quality control +# Default is "YES" +# PREVENTS String: if = "YES" will encode background and obs. errors +# into PREPBUFR file (usually this should be "NO" since the +# programs PREPOBS_PREPDATA and SYNDAT_SYNDATA normally are +# set to perform this function) +# NOTE: Only invoked if DO_QC=YES +# Default is "NO" +# CQCBUFR String: if = "YES" will complex quality control radiosonde +# data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# PROFCQC String: if = "YES" will quality control wind profiler data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# CQCVAD String: if = "YES" will quality control VAD wind data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# PREPACQC String: if = "YES" will quality control aircraft data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# PROCESS_ACQC String: if = "YES" will execute PREPOBS_PREPACQC program as +# part of PREPACQC processing +# NOTE: Only invoked if PREPACQC=YES +# Default is "YES" +# PROCESS_ACPF String: if = "YES" will execute PREPOBS_PREPACPF program as +# part of PREPACQC processing +# NOTE: Only invoked if PREPACQC=YES +# Default is "YES" +# OIQCBUFR String: if = "YES" will perform final oi-based quality +# control on all data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# MPCOPYX String indicating executable path for PREPOBS_MPCOPYBUFR +# program +# Default is "$EXECPREP/prepobs_mpcopybufr" +# PRPX String indicating executable path for PREPOBS_PREPDATA +# program +# Default is "$EXECPREP/prepobs_prepdata" +# errPREPDATA_limit +# String indicating the highest allowed foreground exit +# status for program PREPOBS_PREPDATA (any exit status higher +# than this is considered a failure) +# (Note: errPREPDATA_limit=1 is ALWAYS considered a FAILURE) +# Default is "0" +# PRPC String indicating data card path for PREPOBS_PREPDATA +# program +# Default is "$PARMPREP/prepobs_prepdata.${NET}.parm" +# PRPT String indicating bufrtable file path for PREPOBS_PREPDATA +# program +# Default is "$FIXPREP/prepobs_prep.bufrtable" +# LANDC String indicating land/sea mask file path for +# PREPOBS_PREPDATA program +# Default is "$FIXPREP/prepobs_landc" +# PRVT String indicating observational error table file path for +# PREPOBS_PREPDATA, SYNDAT_SYNDATA and PREPOBS_PREVENTS +# programs (used by GBLEVENTS subroutine) +# NOTE: Only read by gdas, gfs, cdas and nam networks +# If imported "NET=gdas" or "NET=gfs", default is +# "$HOMEobproc_network/fix/prepobs_errtable.global"; +# if imported "NET=cdas", default is +# "$HOMEobsproc_network/fix/prepobs_errtable.cdas"; +# if imported "NET=nam", default is +# "$HOMEobsproc_network/fix/prepobs_errtable.nam" +# otherwise, default is "$DATA/scratch.PRVT" a null file +# LISTHDX String indicating executable path for PREPOBS_LISTHEADERS +# program +# Default is "$EXECPREP/prepobs_listheaders" +# MONOBFRX String indicating executable path for PREPOBS_MONOPREPBUFR +# program +# Default is "$EXECPREP/prepobs_monoprepbufr" +# SYNDX String indicating executable path for SYNDAT_SYNDATA +# program +# Default is "$EXECSYND/syndat_syndata" +# SYNDC String indicating data card path for SYNDAT_SYNDATA program +# Default is "$PARMSYND/syndat_syndata.${NET}.parm" +# PREX String indicating executable path for PREPOBS_PREVENTS +# program +# Default is "$EXECPREP/prepobs_prevents" +# PREC String indicating data card path for PREPOBS_PREVENTS +# program +# Default is "$PARMPREP/prepobs_prevents.${NET}.parm" +# AQCX String indicating executable path for PREPOBS_PREPACQC +# program +# Default is "$EXECPREP/prepobs_prepacqc" +# AQCC String indicating data card path for PREPOBS_PREPACQC +# program +# Default is "$PARMPREP/prepobs_prepacqc.${NET}.parm" +# APFX String indicating executable path for PREPOBS_PREPACPF +# program +# Default is "$EXECPREP/prepobs_prepacpf" +# DICT String indicating METAR station dictionary path for +# PREPOBS_PREPACPF program +# Default is "$DICTPREP/metar.tbl" +# PQCX String indicating executable path for PREPOBS_PROFCQC +# program +# Default is "$EXECPREP/prepobs_profcqc" +# PQCC String indicating data card path for PREPOBS_PROFCQC +# program +# Default is "$PARMPREP/prepobs_profcqc.${NET}.parm" +# VQCX String indicating executable path for PREPOBS_CQCVAD +# program +# Default is "$EXECPREP/prepobs_cqcvad" +# CQCX String indicating executable path for PREPOBS_CQCBUFR +# program +# Default is "$EXECPREP/prepobs_cqcbufr" +# CQCC String indicating data card path for PREPOBS_CQCBUFR +# program +# Default is "$PARMPREP/prepobs_cqcbufr.${NET}.parm" +# CQCS String indicating statbge path for PREPOBS_CQCBUFR program +# Default is "$FIXPREP/prepobs_cqc_statbge" +# OIQCX String indicating executable path for PREPOBS_OIQCBUFR +# program +# Default is "$EXECPREP/prepobs_oiqcbufr" +# OIQCT String indicating observational error table file path for +# PREPOBS_OIQCBUFR program +# NOTE: If imported "NET=cdas", default is +# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas"; +# otherwise default is +# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs" +# +# These do not have to be exported to this script. If they are, they will +# be used by the script. If they are not, they will be skipped +# over by the script. +# +# PREPBUFR_APP String indicating path to output PREPBUFR file for +# PREPOBS_PREPDATA program. +# If present and POE is "NO" and BACK is not "YES" (i.e., a +# serial environment), PREPOBS_PREPDATA will append all +# output BUFR messages to a copy of this file (prepda) in +# the current working directory, using the internal BUFR +# mnemonic table in the first several BUFR messages at the +# top of the file +# NOTE 1: In this case, it is assumed the the switch APPEND +# is set to TRUE in the parm cards $PRPC (careful, +# if APPEND is FALSE, the original copy of +# $PREPBUFR_APP will be wiped out and the case below +# will occur) +# NOTE 2: When POE is not "NO" or BACK is "YES" (i.e., a +# parallel environment), appending makes no sense +# because the original output PREPBUFR file is +# monolithic +# If not present or POE is not "NO" or BACK is "YES" (i.e., a +# parallel environment), PREPOBS_PREPDATA will write all +# output BUFR messages to a new file (prepda) in the current +# working directory using the external BUFR mnemonic table +# in the file $PRPT +# NOTE 3: In this case, it is assumed the the switch APPEND +# is set to FALSE in the parm cards $PRPC (careful, +# if APPEND is TRUE, PREPOBS_PREPDATA will abort +# because the original empty PREPBUFR file has no +# internal BUFR mnemonic table) +# PREPBUFR_IN String indicating path to input PREPBUFR file +# If present, this file will be used by SYNDAT_SYNDATA (if +# SYNDATA=YES - see @ below) and by all applicable Q.C. +# programs (set to to be invoked here) rather than the +# PREPBUFR file generated in this script by PREPOBS_PREPDATA +# (normally this would be used when PREPDATA=NO) +# @ - if the PREPBUFR_IN target file is obtained from +# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, +# then for all runs on and after 12Z 25 Jan 2005, +# SYNDATA should be NO because the target files +# will already contain synthetic bogus data; +# if the PREPBUFR_IN target file is obtained from +# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, +# then for all runs prior to 12Z 25 Jan 2005, +# SYNDATA should be YES because the target files +# will not have contain synthetic bogus data. +# If not present, then the PREPBUFR file generated in this +# script by PREPOBS_PREPDATA and possibly appended to by +# SYNDAT_SYNDATA is passed on as input to all applicable +# Q.C. programs +# jlogfile String indicating path to joblog file +# +# These do not have be exported to this script. +# +# COMDATEROOT Primary default for the root of the directory containing +# produciton date files. +# +# NWROOTp1 Root directory for production software on WCOSS Phase 1. +# +# USHGETGES String indicating directory path for GETGES utility script. +# Default is $HOMEobsproc_prep/ush. +# +# GETGESprep GETGES utility script. If NEMSIO_IN=.true., defaults to: +# $USHGETGES/getges.sh +# otherwise, defaults to: +# $USHGETGES/getges_sig.sh +# +# PREPDATAtpn Tasks per node when invoking cfp on Cray-XC40. Will be +# computed if needed but was not imported. +# +# These do not have to be exported to this script. If they are, they will +# be passed on to the script $USHCQC/prepobs_cqcbufr.sh. They are not used +# by this script. +# +# PRPI_m24 See documentation in $USHCQC/prepobs_cqcbufr.sh +# PRPI_m12 See documentation in $USHCQC/prepobs_cqcbufr.sh +# PRPI_p12 See documentation in $USHCQC/prepobs_cqcbufr.sh +# PRPI_p24 See documentation in $USHCQC/prepobs_cqcbufr.sh +# +# Exported Shell Variables: +# CDATE10 String indicating the center date/time for the PREPBUFR +# processing +# SGES Either ... +# 1) String indicating the full path name for global +# sigio-based or nemsio-based guess file valid at the +# center PREPBUFR processing date/time (in which case the +# center PREPBUFR processing date/time is a multiple of +# 3-hrs, or for any PREPBUFR center hour if global guess +# is nemsio-based) - This guess file will be encoded +# into the PREPBUFR file for use by the q.c. programs. +# -- or -- +# 2) String indicating the full path name for the global +# atmosperic guess file valid at the nearest cycle time +# prior to the center PREPBUFR processing date/time which +# is a multiple of 3 (in which case the center PREPBUFR +# processing date/time is not a multiple of 3-hrs and the +# global guess is sigio-based) - A linear interpolation +# (of the spectal coefficients) between this file and the +# guess file indicated by SGESA case 2 below will be +# performed by program PREPOBS_PREPDATA and encoded into +# the PREPBUFR file for use by the q.c. programs. The +# SGES file is always from the GFS in this case. +# NOTE 1: Only case 1 above is valid when tropical cyclone +# relocation processing previously occurred. +# NOTE 2: Case 2 above is necessary because the w3emc lib +# routine gblevents called by PREPOBS_PREPDATA +# expects that sigio-based guess files will only +# have valid hours which are a multiple of 3 +# NOTE 3: Only case 1 above is valid when global guess is +# nemsio-based. +# SGESA Either ... +# 1) String set to "/dev/null" for case 1 of SGES above +# (default) +# -- or -- +# 2) String indicating the full path name for the global +# sigma guess file valid at the nearest cycle time after +# the center PREPBUFR processing/date time which is a +# multiple of 3 for case 2 of SGES above - A linear +# interpolation (of the spectal coefficients) between +# this guess file and the guess file indicated by SGES +# above (see case 2 for SGES) will be performed by the +# program PREPOBS_PREPDATA and encoded into the PREPBUFR +# file for use by the q.c. programs. The SGESA file is +# always from the GFS in this case and its forecast hour +# is 3-hrs later than the SGES file (thus both initiate +# at the same time). +# NOTE 1: Only case 1 above is valid when tropical cyclone +# relocation processing previously occurred. +# NOTE 2: Case 2 above is necessary because the w3emc lib +# routine gblevents called by PREPOBS_PREPDATA +# expects that sigio-based guess files will only +# have valid hours which are a multiple of 3 +# NOTE 3: Only case 1 above is valid when global guess is +# nemsio-based. +# +# +# Modules and files referenced: +# herefiles : $DATA/MP_PREPDATA +# $DATA/MERGE_MSGS +# scripts : $USHGETGES/getges.sh +# $USHGETGES/getges_sig.sh +# $USHSYND/prepobs_syndata.sh +# $USHPREV/prepobs_prevents.sh +# $USHCQC/prepobs_cqcbufr.sh +# $USHPQC/prepobs_profcqc.sh +# $USHVQC/prepobs_cqcvad.sh +# $USHAQC/prepobs_prepacqc.sh +# $USHOIQC/prepobs_oiqcbufr.sh +# $DATA/postmsg (required ONLY if "$jlogfile" is present) +# $DATA/prep_step {here and by referenced script(s)} +# $DATA/err_exit +# $DATA/err_chk {here and by referenced script(s)} +# (NOTE: The last three scripts above are NOT REQUIRED +# utilities. If $DATA/prep_step not found, a scaled down +# version of it is executed in-line. If $DATA/err_exit +# or $DATA/err_chk are not found, scaled down versions, +# created in-line, are executed. +# executables: $NDATE (from prod_util module) +# programs : +# PREPOBS_MPCOPYBUFR - executable: $MPCOPYX +# PREPOBS_PREPDATA - executable: $PRPX +# land/sea mask: $LANDC +# bufr mnemonic user table: $PRPT +# obs. error table: $PRVT +# data cards: $PRPC +# PREPOBS_LISTHEADERS - executable: $LISTHDX +# PREPOBS_MONOPREPBUFR - executable: $MONOBFRX +# SYNDAT_SYNDATA - executable: $SYNDX +# T126 gaussian land/sea mask: +# $FIXSYND/syndat_syndata.slmask.t126.gaussian +# weights: $FIXSYND/syndat_weight +# obs. error table: $PRVT +# data cards: $SYNDC +# PREPOBS_PREVENTS - executable: $PREX +# obs. error table: $PRVT +# data cards: $PREC +# PREPOBS_PREPACQC - executable: $AQCX +# data cards: $AQCC +# PREPOBS_PREPACPF - executable: $APFX +# dictionary: $DICT +# PREPOBS_PROFCQC - executable: $PQCX +# data cards: $PQCC +# PREPOBS_CQCVAD - executable: $VQCX +# PREPOBS_CQCBUFR - executable: $CQCX +# data cards: $CQCC +# PREPOBS_OIQCBUFR - executable: $OIQCX +# obs. error table: $OIQCT +# +# Remarks: +# +# Condition codes +# 0 - no problem encountered +# >0 - some problem encountered +# +# Attributes: +# Language: Korn shell under linux +# Machine: NCEP WCOSS +# +#### + +set -aux + +NEMSIO_IN=${NEMSIO_IN:=""} +jlogfile=${jlogfile:=""} +SENDDBN=${SENDDBN:-NO} + +if [ ! -d $DATA ] ; then mkdir -p $DATA ;fi + +cd $DATA + +qid=$$ + +##################################################### +##################################################### +# create error check and exit utilities if necessary. +# (as may be the case for some developer runs) +##################################################### + +if [ ! -x $DATA/err_exit ]; then +cat <<\EOFerrexit > $DATA/err_exit + set -x + if [ -n "$LSB_JOBID" ]; then + bkill $LSB_JOBID + sleep 60 + date + else + set -e + kill -n 9 $qid + fi + exit 7 # for extra measure +EOFerrexit +chmod 775 $DATA/err_exit +fi + +if [ ! -x $DATA/err_chk ]; then +cat <<\EOFerrchk > $DATA/err_chk + set -x + if [ "$err" != '0' ]; then + $DATA/err_exit + fi +EOFerrchk +chmod 775 $DATA/err_chk +fi + +##################################################### +##################################################### + + +# determine local system name and type if available +# ------------------------------------------------- +SITE=${SITE:-""} +sys_tp=${sys_tp:-$(getsystem.pl -tp)} +getsystp_err=$? +if [ $getsystp_err -ne 0 ]; then + msg="***WARNING: error using getsystem.pl to determine system type and phase" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" +fi +echo sys_tp is set to: $sys_tp + +#------------------------------------------------------------------------------- + +# obtain the center date/time for PREPBUFR processing +# --------------------------------------------------- + +if [ $# -ne 1 ] ; then + cp ${COMDATEROOT:-$COMROOT}/date/$cycle ncepdate + err0=$? + CDATE10=`cut -c7-16 ncepdate` +else + CDATE10=$1 + if [ "${#CDATE10}" -ne '10' ]; then + err0=1 + else + cycle=t`echo $CDATE10|cut -c9-10`z + err0=0 + fi +fi + +if test $err0 -ne 0 +then +# problem with obtaining date record so exit + set +x + echo + echo "problem with obtaining date record;" + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure +fi + +cyc=`echo $CDATE10|cut -c9-10` +modhr=`expr $cyc % 3` + +set +x +echo +echo "CENTER DATE/TIME FOR PREPBUFR PROCESSING IS $CDATE10" +echo +set -x + +#---------------------------------------------------------------------------- + +# Create variables needed for this script and its children +# -------------------------------------------------------- + +envir=${envir:-prod} + +envir_getges=${envir_getges:-$envir} +if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then + network_getges=${network_getges:-global} +else + network_getges=${network_getges:-gfs} +fi + +pgmout=${pgmout:-/dev/null} + +tstsp=${tstsp:-/tmp/null/} +tmmark=${tmmark:-tm00} + +BUFRLIST=${BUFRLIST:-"adpupa proflr aircar aircft satwnd adpsfc sfcshp \ + sfcbog vadwnd goesnd spssmi erscat qkswnd msonet gpsipw rassda wdsatr \ + ascatw"} + +PREPDATA=${PREPDATA:-YES} + +if [ "$PREPDATA" != 'YES' ] ; then + POE=NO + BACK=NO +else + set +u + [ -z "$POE" -a "$BACK" = 'YES' ] && POE=NO + POE=${POE:-YES} + if [ "$POE" != 'NO' -a "$BACK" = 'YES' ]; then + set -u + set +x +echo +echo "YOU have set both POE and BACK to YES - choose one or the other!!" +echo "Defaults are POE=YES and BACK=NO, as is preferable for WCOSS." +echo + set -x + exit 99 + fi + BACK=${BACK:-NO} + PARALLEL=NO + [ "$POE" != 'NO' -o "$BACK" = 'YES' ] && PARALLEL=YES + if [ "$POE" != 'NO' ] ; then + if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + launcher_PREP=${launcher_PREP:-aprun} + else + launcher_PREP=${launcher_PREP:-mpirun.lsf} + fi + if [ "$launcher_PREP" != 'cfp' -a "$launcher_PREP" != aprun ]; then + if [ -n ${LSB_HOSTS:-""} ]; then + NPROCS=$(echo $LSB_HOSTS|wc -w) + set +x; echo "Setting NPROCS based on LSB_HOSTS count"; set -x + else + NPROCS=${NPROCS:-$NSPLIT} + fi + if [ $NPROCS -lt $NSPLIT ]; then + set +x +echo "********************************************************************" +echo " P R O B L E M ! ! ! " +echo "********************************************************************" +echo " NPROCS=$NPROCS IS NOT SUFFICIENT FOR NSPLIT=$NSPLIT " +echo " NPROCS must be greater than NSPLIT when using a " +echo " parallel processing launcher other than cfp " +echo "********************************************************************" + set -x + msg="***FATAL ERROR: Insufficient NPROCS for NSPLIT=$NSPLIT" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + $DATA/err_exit + exit 55 # for extra measure + fi + fi + elif [ "$BACK" = 'YES' ] ; then + NPROCS=$NSPLIT + fi +# fi for PREPDATA != YES +fi + +USHSYND=${USHSYND:-${HOMEobsproc_prep}/ush} +USHPREV=${USHPREV:-${HOMEobsproc_prep}/ush} +USHCQC=${USHCQC:-${HOMEobsproc_prep}/ush} +USHPQC=${USHPQC:-${HOMEobsproc_prep}/ush} +USHVQC=${USHVQC:-${HOMEobsproc_prep}/ush} +USHAQC=${USHAQC:-${HOMEobsproc_prep}/ush} +USHOIQC=${USHOIQC:-${HOMEobsproc_prep}/ush} + +EXECPREP=${EXECPREP:-${HOMEobsproc_prep}/exec} +PARMPREP=${PARMPREP:-${HOMEobsproc_network}/parm} +FIXPREP=${FIXPREP:-${HOMEobsproc_prep}/fix} +DICTPREP=${DICTPREP:-${NWROOTp1}/decoders/decod_shared/dictionaries} + +EXECSYND=${EXECSYND:-${HOMEobsproc_prep}/exec} +PARMSYND=${PARMSYND:-${HOMEobsproc_network}/parm} +FIXSYND=${FIXSYND:-${HOMEobsproc_prep}/fix} + +GETGUESS=${GETGUESS:-YES} +if [ "$GETGUESS" = 'YES' ]; then + USHGETGES=${USHGETGES:-${HOMEobsproc_prep}/ush} + if [ "$NEMSIO_IN" = .true. ]; then + GETGESprep=${GETGESprep:-$USHGETGES/getges.sh} + else + GETGESprep=${GETGESprep:-$USHGETGES/getges_sig.sh} + fi +fi + +PREPDATA=${PREPDATA:-YES} + +SYNDATA=${SYNDATA:-YES} + +DO_QC=${DO_QC:-YES} + +PREVENTS=${PREVENTS:-NO} +CQCBUFR=${CQCBUFR:-YES} +PROFCQC=${PROFCQC:-YES} +CQCVAD=${CQCVAD:-YES} +PREPACQC=${PREPACQC:-YES} +PROCESS_ACQC=${PROCESS_ACQC:-YES} +PROCESS_ACPF=${PROCESS_ACPF:-YES} +OIQCBUFR=${OIQCBUFR:-YES} + +MPCOPYX=${MPCOPYX:-$EXECPREP/prepobs_mpcopybufr} +PRPX=${PRPX:-$EXECPREP/prepobs_prepdata} +errPREPDATA_limit=${errPREPDATA_limit:-0} +PRPC=${PRPC:-$PARMPREP/prepobs_prepdata.${NET}.parm} +PRPT=${PRPT:-$FIXPREP/prepobs_prep.bufrtable} +cp $PRPT prep.bufrtable +LANDC=${LANDC:-$FIXPREP/prepobs_landc} +if [ "$NET" = 'gdas' -o "$NET" = 'gfs' ]; then + PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.global} +elif [ "$NET" = 'cdas' ]; then + PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.cdas} +elif [ "$NET" = 'nam' ]; then + PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.nam} +else + cp /dev/null $DATA/scratch.PRVT + PRVT=${PRVT:-$DATA/scratch.PRVT} +fi +LISTHDX=${LISTHDX:-$EXECPREP/prepobs_listheaders} +MONOBFRX=${MONOBFRX:-$EXECPREP/prepobs_monoprepbufr} +SYNDX=${SYNDX:-$EXECSYND/syndat_syndata} +SYNDC=${SYNDC:-$PARMSYND/syndat_syndata.${NET}.parm} +PREX=${PREX:-$EXECPREP/prepobs_prevents} +PREC=${PREC:-$PARMPREP/prepobs_prevents.${NET}.parm} +AQCX=${AQCX:-$EXECPREP/prepobs_prepacqc} +AQCC=${AQCC:-$PARMPREP/prepobs_prepacqc.${NET}.parm} +APFX=${APFX:-$EXECPREP/prepobs_prepacpf} +DICT=${DICT:-$DICTPREP/metar.tbl} +PQCX=${PQCX:-$EXECPREP/prepobs_profcqc} +PQCC=${PQCC:-$PARMPREP/prepobs_profcqc.${NET}.parm} +VQCX=${VQCX:-$EXECPREP/prepobs_cqcvad} +CQCX=${CQCX:-$EXECPREP/prepobs_cqcbufr} +CQCC=${CQCC:-$PARMPREP/prepobs_cqcbufr.${NET}.parm} +CQCS=${CQCS:-$FIXPREP/prepobs_cqc_statbge} +OIQCX=${OIQCX:-$EXECPREP/prepobs_oiqcbufr} +if [ "$NET" = 'cdas' ]; then + OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas} +else + OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs} +fi +TIMEIT=${TIMEIT:-""} +[ -s $DATA/time ] && TIMEIT="$DATA/time -p" + + +# See if tropical cyclone relocation previously ran for this network and cycle +# by checking for status file in first in $tstsp, and if not found there, +# then in $COMSP +# ---------------------------------------------------------------------------- + +relo_rec=no # this will remain no even if relocation run, in the event it did + # not process an tropical cyclone records +if [ -s ${tstsp}tropcy_relocation_status.$tmmark ]; then + RELOCATION_HAS_RUN=YES + msg="Tropical cyclone RELOCATION RAN prior to this job - \ +`cat ${tstsp}tropcy_relocation_status.$tmmark`" + [ "`cat ${tstsp}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ + && relo_rec=yes +elif [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then + RELOCATION_HAS_RUN=YES + msg="Tropical cyclone RELOCATION RAN prior to this job - \ +`cat ${COMSP}tropcy_relocation_status.$tmmark`" + [ "`cat ${COMSP}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ + && relo_rec=yes +else + RELOCATION_HAS_RUN=NO + msg="Tropical cyclone RELOCATION did NOT run prior to this job" +fi +[ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + +if [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then + if [ "$SENDDBN" = "YES" ]; then + if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then + RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) + $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job \ + ${COMSP}tropcy_relocation_status.$tmmark + fi + fi +fi + +if [ "$RELOCATION_HAS_RUN" != 'YES' -a "$GETGUESS" != 'NO' ]; then + + if [ $cyc = 00 -o $cyc = 06 -o $cyc = 12 -o $cyc = 18 ]; then + +# The GFS and GDAS networks at 00, 06, 12 and 18z will get the t-3 and t+3 +# atmos guess files here since they are needed by the GSI even if tropical +# cyclone relocation was not previously performed (RELOCATION_HAS_RUN=NO) +# (NOTE 1: Normally RELOCATION_HAS_RUN=YES for these networks) +# (NOTE 2: If RELOCATION_HAS_RUN=YES, the t-3 and t+3 atmos guess files have +# already been obtained for all networks including the GFS and GDAS) +# (NOTE 3: This is not done if GETGUESS is NO) +# + + if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then + for fhr in -3 +3 ;do + if [ "$NEMSIO_IN" = .true. ]; then + if [ $fhr = "-3" ] ; then + sges=sgm3prep + stype=natgm3 + echo $sges + else + sges=sgp3prep + stype=natgp3 + echo $sges + fi + else + if [ $fhr = "-3" ] ; then + sges=sgm3prep + stype=siggm3 + echo $sges + else + sges=sgp3prep + stype=siggp3 + echo $sges + fi + fi + if [ ! -s $sges ]; then + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Tropical cylone relocation HAS NOT previously run" +echo " Get global atmospheric GUESS valid for $fhr hrs relative to center" +echo " PREPBUFR processing date/time" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + $GETGESprep -e $envir_getges -n $network_getges \ + -v $CDATE10 -t $stype $sges + errges=$? + if test $errges -ne 0; then +# problem obtaining global atmospheric first guess so exit + set +x + echo + echo "problem obtaining global atmos guess valid $fhr hrs \ +relative to center PREPBUFR date/time;" + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + set +x + echo +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + fi + done + fi + fi + +elif [ "$RELOCATION_HAS_RUN" = 'YES' ]; then + +# If Tropical cyclone relocation previously ran for this network and cycle +# copy the t-3, t+0 and t+3 atmos guess files and the tcvitals_relocate file +# from either $tstsp or, if not found there, $COMSP to working directory +# (Note: tcvitals_relocate file can be empty, but it must exist) +# -------------------------------------------------------------------------- + + qual_last=".$tmmark" # need this because gfs and gdas don't add $tmmark + # qualifier to end of output atmos guess files + [ $NET = gfs -o $NET = gdas ] && qual_last="" + for file in sgm3prep sgesprep sgp3prep tcvitals.relocate.$tmmark; do + case $file in + tcvitals.relocate.$tmmark) infile=$file; qual_last="";; # already has $tmmark at end + sgm3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgm3.nemsio;else infile=$file;fi;; + sgesprep) if [ "$NEMSIO_IN" = .true. ];then infile=atmges.nemsio;else infile=$file;fi;; + sgp3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgp3.nemsio;else infile=$file;fi;; + esac + if [ -s ${tstsp}${infile}${qual_last} ]; then + cp ${tstsp}${infile}${qual_last} $file + continue + elif [ -s ${COMSP}${infile}${qual_last} ]; then + cp ${COMSP}${infile}${qual_last} $file + continue + else + if [ $file = tcvitals.relocate.$tmmark ]; then + if [ -f ${tstsp}$file ]; then + > $file + continue + elif [ -f ${COMSP}$file ]; then + > $file + continue + fi + fi + fi +# either t-3,t+0 or t+3 atmos guess file or the tcvitals_relocate file not +# found in expected location so exit + set +x + echo + echo "$file file not found in expected location where it should have \ +populated by earlier tropical cyclone relocation processing" + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + done + cp tcvitals.relocate.$tmmark tcvitals + if [ $relo_rec = yes ]; then # come here if relocation ran and processed + # 1 or more records, means it updated + # sgesprep + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" +echo " Global atmospheric GUESS valid for 0 hrs relative to center" +echo " PREPBUFR processing date/time was generated by" +echo " previous tropical cyclone relocation processing" +echo " It will be encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + else # come here if relocation ran but did not + # process any records, means it did not update + # sgesprep (sgesprep obtained via getges used) + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" +echo " Global atmospheric GUESS valid for 0 hrs relative to center" +echo " PREPBUFR processing date/time was obtained via GETGES" +echo " It will be encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + fi + +fi + +############################################################################### +# POSSIBLY OBTAIN GBL ATMOS GUESS FILE(S) FOR LATER ENCODING INTO PREPBUFR FILE +############################################################################### + +if [ "$PREPDATA" = 'YES' -o "$SYNDATA" = 'YES' -o "$PREVENTS" = 'YES' ]; then + + SGES=/dev/null + SGESA=/dev/null + > sgesprep_pathname + > sgesprepA_pathname + + if [ "$GETGUESS" != 'NO' ]; then + +# Either ... +# If the global background guess will be nemsio-based -OR- if the global +# background guess will be sigio-based and the center PREPBUFR processing +# date/time is a multiple of 3-hrs, then get a global atmospheric guess valid +# at the center PREPBUFR processing date/time - this will be interpolated to +# observation locations by PREPDATA and encoded into the PREPBUFR file for +# use by the q.c. programs; if a non-zero length file sgesprep exists in the +# working directory, then this guess is used - otherwise: the GETGES utility +# is executed to obtain the global atmospheric guess file here +# +# (NOTE 1: a pre-existing sgesprep file in the working directory at this +# point was either: +# copied there prior to the execution of this script +# or +# copied there earlier in this script from either $tstsp, or if +# not found there, $COMSP which was populated by the previous +# running of tropical cyclone relocation processing +# (NOTE 2: If imported variable GETGUESS=NO, then bypass this step - a +# global atmos guess valid at center PREPBUFR time is not obtained) +# +# -- or -- +# +# (AND THIS APPLIES ONLY TO A GLOBAL SIGIO-BASED GUESS!!) +# +# If center PREPBUFR processing date/time is not a multiple of 3-hrs -AND- +# global guess is sigio-based, then get a global sigma guess valid at the +# nearest cycle time prior to the center PREPBUFR processing date/time which +# is a multiple of 3, then get a global sigma guess valid at the nearest +# cycle time after the center PREPBUFR processing date/time which is a +# multiple of 3 - the spectral coefficients will be linearly interpolated to +# the center PREPBUFR processing date/time by the program PREPOBS_PREPDATA +# and this guess will then be interpolated to observation locations (again by +# the program PREPOBS_PREPDATA) and encoded into the PREPBUFR file for use by +# the q.c. programs; if a non-zero length file sgesprep exists in the working +# directory, then this guess is used for time prior to the center PREPBUFR +# processing date/time - otherwise: the utility ush GETGES is executed to +# obtain the global atmos guess file here (will always be from GFS network); +# +# likewise if a non-zero length file sgesprepA exists in the working +# directory, then this guess is used for time after the center PREPBUFR +# processing date/time - otherwise: the utility ush GETGES is executed to +# obtain the global atmos guess file here (will always be from the GFS +# network and initiate at the same time as the guess file valid prior to the +# PREPBUFR processing date/time) +# +# (NOTE 1: a pre-existing sgesprep file in the working directory at this +# point was either: +# copied there prior to the execution of this script +# or +# copied there earlier in this script from either $tstsp, or if +# not found there, $COMSP which was populated by the previous +# running of tropical cyclone relocation processing +# (NOTE 2: a pre-existing sgesprepA file in the working directory at this +# point was copied there prior to the execution of this script - +# it could not have been copied from either $tstsp or $COMSP +# because previous tropical cyclone relocation processing can run +# only when the center tropical cyclone relocation (or PREPBUFR) +# processing date/time is a multiple of 3) +# (NOTE 3: this case is necessary because the gblevents subroutine used to +# add background forecast values to the prepbufr file expects sigio- +# based files to be valid only at hours that are a multiple of 3) +# (NOTE 4: if imported variable GETGUESS=NO, then bypass this step - a +# global atmos guess valid at center PREPBUFR time is not obtained) +# ---------------------------------------------------------------------- + + for sfx in "" A; do + if [ ! -s sgesprep${sfx} ]; then + fhr=any + if [ "$NEMSIO_IN" = .true. ]; then + dhr=0 + stype=natges + else + dhr=`expr 0 - $modhr` + stype=sigges + fi + if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then + [ "$sfx" = 'A' ] && break + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Either center PREPBUFR processing date/time is a multiple of 3-hrs" +echo " -OR-" +echo " global guess is nemsio-based" +echo " Use GETGES to get global sigio-based or nemsio-based GUESS valid for" +echo " 0 hrs relative to center PREPBUFR processing date/time" +echo " Will be encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + else + if [ "$sfx" = 'A' ]; then + typeset -Z2 fhr + fhr=`awk -F"sf" '{print$2}' sgesprep_pathname | cut -c1-2` + fhr=`expr $fhr + 03` + dhr=`expr 3 - $modhr` + fi + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Center PREPBUFR processing date/time is not a multiple of 3-hrs" + if [ "$sfx" != 'A' ]; then +echo " Get global atmos GUESS valid at the nearest cycle time prior to" + else +echo " Get global atmos GUESS valid at the nearest cycle time after" + fi +echo " center PREPBUFR processing date/time which is a multiple of 3" +echo " Will be used to generate an interpolated guess which will be" +echo " encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + fi + $GETGESprep -e $envir_getges -n $network_getges -t $stype\ + -f $fhr -v `${NDATE} $dhr $CDATE10` > sgesprep${sfx}_pathname + errges=$? + if test $errges -ne 0 + then +# problem obtaining global sigio-based or nemsio-based guess - exit if center +# PREPBUFR processing date/time is a multiple of 3-hrs or if global guess is +# nemsio-based, otherwise continue running but set GETGUESS=NO meaning a +# first guess will NOT be encoded in PREPBUFR file + if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then + if [ "$NEMSIO_IN" = .true. ]; then + set +x + echo +echo "problem obtaining global nemsio-based guess;" + else + set +x + echo +echo "problem obtaining global sigio-based guess valid 0 hrs relative to \ +center PREPBUFR date/time;" + fi +echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + else + set +x + echo +echo "problem obtaining global atmos guess valid at the nearest cycle time " + if [ "$sfx" != 'A' ]; then +echo "prior to center PREPBUFR processing date/time which is a multiple of 3" + else +echo "after center PREPBUFR processing date/time which is a multiple of 3" + fi +echo "will continue running but a GUESS will NOT be encoded in PREPBUFR file!!" + echo + set -x + msg="PROBLEM OBTAINING ONE OR BOTH SPANNING ATMOS GUESS \ +FILES, GUESS NOT ENCODED IN PREPBUFR FILE --> non-fatal" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + GETGUESS=NO + SGES=/dev/null + SGESA=/dev/null + > sgesprep + > sgesprepA + > sgesprep_pathname + > sgesprepA_pathname + break + fi + fi + cp `cat sgesprep${sfx}_pathname | awk '{ print $1 }'` sgesprep${sfx} + set +x + echo +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + else + if [ $RELOCATION_HAS_RUN = YES ]; then + +# If relocation ran, then ${sfx} is always "" (null) because relocation will +# only run on center times that are a multiple of 3-hrs - come here to +# store the pathname for the sgesprep file in sgesprep${sfx}_pathname - +# note that it will not be stored here if relocation did not process any +# records, i.e., it did not update the guess, because it was already stored +# in tropcy_relocate.sh (with the getges path) +# -------------------------------------------------------------------------- + + qual_last=".$tmmark" # need this because gfs and gdas don't add + # $tmmark qualifer to end of output atmos + # guess files + [ $NET = gfs -o $NET = gdas ] && qual_last="" + if [ "$NEMSIO_IN" = .true. ]; then + gesbase="atmges.nemsio" + else + gesbase="sgesprep" + fi + if [ -s ${tstsp}${gesbase}${qual_last} ]; then + echo "${tstsp}${gesbase}${qual_last}" > sgesprep${sfx}_pathname + elif [ -s ${COMSP}${gesbase}${qual_last} ]; then + echo "${COMSP}${gesbase}${qual_last}" > sgesprep${sfx}_pathname + fi + else + +# If relocation did not run, then the guess files in $DATA were copied there +# prior to the execution of this script by the user - just echo the path +# to this guess file in $DATA into sgesprep${sfx}_pathname +# -------------------------------------------------------------------------- + + echo "$DATA/sgesprep${sfx}" > sgesprep${sfx}_pathname + fi + fi + eval SGES${sfx}=$DATA/sgesprep${sfx} + done + fi +fi + +################################ +# EXECUTE PREPDATA PROCESSING +################################ + +if [ "$PREPDATA" = 'YES' ]; then + + cd $DATA + +set +u + if [ -z "$PREPBUFR_APP" -o "$PARALLEL" = 'YES' ]; then +set -u + if [ ! -s ${tstsp}status.${tmmark}.bufr_d -a \ + ! -s ${COMSP}status.${tmmark}.bufr_d ]; then + +#########if [ \( ! -s ${tstsp}status1.${tmmark}.bufr_d -o \ +######### ! -s ${tstsp}status2.${tmmark}.bufr_d \) -a \ +######### \( ! -s ${COMSP}status1.${tmmark}.bufr_d -o \ +######### ! -s ${COMSP}status2.${tmmark}.bufr_d \) ]; then + if [ ! -s ${tstsp}status1.${tmmark}.bufr_d -a \ + ! -s ${COMSP}status1.${tmmark}.bufr_d ]; then + +# problem: status file not found - indicates some or all data dumps were not +# found (produced) for requested time ... +# If highest level directory pointing to input BUFR observational +# data dumps is /com or /com2 then EXIT (assumes all data dumps are +# required) +# Otherwise, just echo a diagnostic (assumes only some data dumps are +# required) +# ---------------------------------------------------------------------------- + +echo +echo "Some or all BUFR data dumps were not found for requested time ... " +echo + set -x + + if [[ "$COMSP" =~ (^/com/|^/com2/|^/gpfs/.../nco/ops/com/) && \ + "$tstsp" =~ (^/tmp/null) ]]; then + set +x +echo +echo "ABNORMAL EXIT!!!!!!!!!!!" +echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + fi + fi + + echo $BUFRLIST | grep adpsfc + grp_adpsfc=$? + echo $BUFRLIST | grep adpupa + grp_adpupa=$? + if [ \( ! -f ${COMSP}adpsfc.${tmmark}.bufr_d -a \ + ! -f ${tstsp}adpsfc.${tmmark}.bufr_d -a $grp_adpsfc -eq 0 \) -o \ + \( ! -f ${COMSP}adpupa.${tmmark}.bufr_d -a \ + ! -f ${tstsp}adpupa.${tmmark}.bufr_d -a $grp_adpupa -eq 0 \) ] + then + +# problem: either adpsfc (surface land) or adpupa (raob/pibal/recco) file, or +# both, not found for requested time - this is unacceptable; EXIT +# (unless the culprit file was not included in the $BUFRLIST) +# --------------------------------------------------------------------------- + + set +x +echo +echo "ADPSFC and/or ADPUPA BUFR data dump was not produced for requested" +echo " time (but is in BUFRLIST); ABNORMAL EXIT!!!!!!!!!!!" +echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + + fi + + for name in ${BUFRLIST} ;do + > $name + if [ -f ${tstsp}${name}.${tmmark}.bufr_d ]; then + cp ${tstsp}${name}.${tmmark}.bufr_d $name + elif [ -s ${COMSP}${name}.${tmmark}.bufr_d ]; then + cp ${COMSP}${name}.${tmmark}.bufr_d $name + fi + done + + > prep_exec.cmd + + > prepda.${cycle} + + echo " $CDATE10" > cdate10.dat + +# If GETGUESS=YES, then either ... +# a global sigio-based guess file valid at the center PREPBUFR processing +# date/time which is a multiple of 3-hrs is valid at this point +# -- or -- +# global sigio-based guess files valid at times which are multiples of 3-hrs +# and span the center PREPBUFR processing date/time which is NOT a multiple of +# 3-hrs are available and valid at this point +# -- or -- +# a global nemsio-based guess file valid at the center PREPBUFR processing +# date/time for any hour is valid at this point + +# In any case, namelist "GBLEVN" with PREVEN=T is cat'ed to the beginning +# of the PREPOBS_PREPDATA program data cards file - this means +# PREPOBS_PREPDATA will call w3emc routine GBLEVENTS to do the "prevents" +# processing (otherwise PREVEN=F by default) + + > prepdata.stdin + [ "$GETGUESS" != 'NO' ] && echo " &gblevn preven=true /" >>prepdata.stdin + cat $PRPC >> prepdata.stdin + +# Check contents of *aircar_status_flag* file in $tstsp, or if not found there, +# $COMSP path - this was generated by previous bufr_dump_obs.sh script: if it +# exists and indicates that there were more AFWA (backup) ACARS reports than +# ARINC (primary) ACARS reports in the AIRCAR dump, then skip processing of +# ARINC ACARS messages in PREPOBS_PREPDATA (meaning process ONLY AFWA ACARS +# messages); otherwise, as is usually the case, skip processing of AFWA ACARS +# messages (meaning process only ARINC ACARS messages in PREPOBS_PREPDATA) + + echo " SUBSKP(004,007) = TRUE," > insert + if [ -s ${tstsp}aircar_status_flag.${tmmark}.bufr_d ]; then + grep -q -Fe "004.007" ${tstsp}aircar_status_flag.${tmmark}.bufr_d + err_grep=$? + if [ $err_grep -eq 0 ]; then + echo " SUBSKP(004,004) = TRUE," > insert + msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ +backup AFWA ACARS into PREPBUFR" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + fi + elif [ -s ${COMSP}aircar_status_flag.${tmmark}.bufr_d ]; then + grep -q -Fe "004.007" ${COMSP}aircar_status_flag.${tmmark}.bufr_d + err_grep=$? + if [ $err_grep -eq 0 ]; then + echo " SUBSKP(004,004) = TRUE," > insert + msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ +backup AFWA ACARS into PREPBUFR" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + fi + fi + + grep -q -Fe "`cat insert`" prepdata.stdin + err_grep=$? + if [ $err_grep -ne 0 ]; then + nlines=`cat < prepdata.stdin | wc -l` + line=`grep -n -Fe "&LDTA" prepdata.stdin | cut -f1 -d:` + head -n $line prepdata.stdin > top_part + mlines=`expr $nlines - $line` + tail -n $mlines prepdata.stdin > bottom_part + [ $mlines -gt 2 ] && cat top_part insert bottom_part > prepdata.stdin + rm top_part bottom_part + fi + rm insert + + +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## +## HEREFILE MP_PREPDATA ## +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## + +set +x +cat <<\EOFmpp > MP_PREPDATA + +{ echo + +# This herefile script performs the "prepdata" processing. It is designed to +# run in either a parallel (e.g., poe/mpi or background threads) or serial +# environment. In the parallel environment, it first splits the input BUFR +# data dump files into $NSPLIT equally-sized parts (analogous to dealing +# multiple sets of cards to $NSPLIT players, where each set of cards is a +# different BUFR data dump file). Next, in either the parallel or serial +# environment, it runs PREPOBS_PREPDATA to write out prepbufr files (either +# a single complete file in the serial environment or $NSPLIT partial +# PREPBUFR files in the parallel environment). Finally, it generates a list of +# PREPBUFR message headers which, in the parallel environment, is needed to +# later merge the partial PREPBUFR files together in the proper order. +# +# IMPORTANT: This script assumes that the BUFR data dump files it is to +# process have been copied into the $DATA directory and that each +# file name is the same as in $BUFRLIST. It also assumes that the +# NCEP production date file is present in the $DATA directory and +# that it is called cdate10.dat. Finally, it assumes that the +# PREPOBS_PREPDATA program data cards (parm) file is present in the +# $DATA directory and it is called prepdata.stdin +# ----------------------------------------------------------------------------- +# +# Positional parameters passed in: +# 1 - Stream index ($multi) (0 to $NSPLIT-1) +# +# Imported variables that must be passed in: +# DATA - path to working directory +# PARALLEL - indicates whether or not this script is running in a parallel +# (e.g., poe/mpi or background threads) or serial environment +# "YES" - running in a parallel environment; "NO" running in a +# serial environment) +# NSPLIT number of parts into which the input BUFR data dump files are to +# be evenly divided (applicable only when PARALLEL is "YES") +# BUFRLIST - list of BUFR data dump files to process +# MPCOPYX - path to PREPOBS_MPCOPYBUFR program executable +# PRPT - path to PREPOBS_PREPDATA bufrtable file +# LANDC - path to land/sea mask file +# SGES - path to COPY OF global sigio-based or nemsio-based first guess +# file valid at either center PREPBUFR processing date/time or, +# for global sigio-based guess only, nearest 3-hrly cycle time +# prior to center PREPBUFR processing date/time +# SGESA - path to COPY OF global sigio-based guess file valid at nearest +# 3-hrly cycle AFTER center PREPBUFR processing date/time (if +# needed, otherwise /dev/null). Only used if SGES is valid at +# 3-hrly cycle time PRIOR to center PREPBUFR processing date/time +# (and thus not used if NEMSIO_IN=.true.) +# PRVT - path to observation error table file +# PRPX - path to PREPOBS_PREPDATA program executable +# LISTHDX - path to PREPOBS_LISTHEADERS program executable + +set -aux +multi=$1 + +data=$DATA/multi$multi + +if [ ! -d $DATA/multi$multi ] ; then + mkdir -p $DATA/multi$multi +fi + +status=$data/mstatus ; > $status +mp_pgmout=$data/mp_pgmout ; > $mp_pgmout + + +{ echo +set +x +echo +echo "********************************************************************" +echo "This is stream (task/thread) $multi executing on node `hostname -s`" +echo "Starting time: `date`" +echo "********************************************************************" +echo +set -x +} >> $mp_pgmout + +cd $data + +if [ "$PARALLEL" = 'YES' ]; then + + n=0 + + pgm=`basename $MPCOPYX` +#-----mimics prep_step----- + set +x + echo $pgm > pgmname + set +u + [ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" + set -u + [ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout + rm pgmname + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` + [ -s $DATA/tracer ] && cat $DATA/tracer > errfile + set -x +#-------------------------- + + for name in ${BUFRLIST[*]} ;do + > $name + if [ -s $DATA/$name ] ; then + ((n+=1)) + export FORT$((10+n))=$DATA/$name + export FORT$((50+n))=$name + fi + done + + cat<> $mp_pgmout 2>&1 + &namin nfiles=$n / + &mp nprocs=$NSPLIT,mp_process=$multi / +EOF + err=$? + set +x + echo + echo "The foreground exit status for PREPOBS_MPCOPYBUFR is " $err + echo + set -x + + [ "$err" -gt '0' ] && exit + + dump_dir=$data + +else + + dump_dir=$DATA + +# fi for $PARALLEL = YES +fi + + +pgm=`basename $PRPX` +#-----mimics prep_step----- +set +x +echo $pgm > pgmname +set +u +[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" +set -u +[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout +rm pgmname +[ -f errfile ] && rm errfile +unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +[ -s $DATA/tracer ] && cat $DATA/tracer > errfile +set -x +#-------------------------- + +set +u +[ -n "$PREPBUFR_APP" -a "$PARALLEL" = 'NO' ] && \ + cp $PREPBUFR_APP prepda +set -u + +# Namelist "TASK" with mp_process set to the value of $multi - either the poe/ +# mpi task number (for POE not equal to "NO") or to the background thread +# number (for BACK equal to "YES") in the parallel environment, or hardwired +# to zero in the serial environment, is cat'ed to the beginning of the +# PREPOBS_PREPDATA program data cards (parm) file - this will allow +# PREPOBS_PREPDATA to identify this stream + +> prepdata.stdin +echo " &task mp_process=$multi /" >>prepdata.stdin +cat $DATA/prepdata.stdin >> prepdata.stdin + +BUFRLIST_all="adpupa aircar aircft satwnd proflr vadwnd rassda adpsfc sfcshp \ + sfcbog msonet spssmi erscat qkswnd wdsatr ascatw rtovs atovs goesnd gpsipw" +###BUFRLIST_all_array=($BUFRLIST_all) # this does not work on all platforms +set -A BUFRLIST_all_array `echo $BUFRLIST_all` # this works on all platforms + + +# Any dump file not included in BUFRLIST is "touched" so that it will not +# cause a read error in the event that PREPOBS_PREPDATA still tries to read it + +for name in $BUFRLIST_all;do +[ ! -f $dump_dir/$name ] && > $dump_dir/$name +done + +export FORT11=$DATA/cdate10.dat +export FORT12=$PRPT +export FORT15=$LANDC +## export FORT18=$SGES +## export FORT19=$SGESA + +# The PREPOBS_PREPDATA code opens GFS spectral coefficient guess files using +# sigio routines or GFS gaussian grid guess files using nemsio routines (via +# W3EMC routine GBLEVENTS) in a manner that may not recognize the FORTxx +# variables above. So, the above statements setting FORTxx vars for $SGES and +# $SGESA are replaced by the soft links below. + +ln -sf $SGES fort.18 +ln -sf $SGESA fort.19 +export FORT20=$PRVT +export FORT21=$dump_dir/${BUFRLIST_all_array[0]} +export FORT22=$dump_dir/${BUFRLIST_all_array[1]} +export FORT23=$dump_dir/${BUFRLIST_all_array[2]} +export FORT24=$dump_dir/${BUFRLIST_all_array[3]} +export FORT25=$dump_dir/${BUFRLIST_all_array[4]} +export FORT26=$dump_dir/${BUFRLIST_all_array[5]} +export FORT27=$dump_dir/${BUFRLIST_all_array[6]} +export FORT31=$dump_dir/${BUFRLIST_all_array[7]} +export FORT32=$dump_dir/${BUFRLIST_all_array[8]} +export FORT33=$dump_dir/${BUFRLIST_all_array[9]} +export FORT34=$dump_dir/${BUFRLIST_all_array[10]} +export FORT35=$dump_dir/${BUFRLIST_all_array[11]} +export FORT36=$dump_dir/${BUFRLIST_all_array[12]} +export FORT37=$dump_dir/${BUFRLIST_all_array[13]} +export FORT38=$dump_dir/${BUFRLIST_all_array[14]} +export FORT39=$dump_dir/${BUFRLIST_all_array[15]} +export FORT41=$dump_dir/${BUFRLIST_all_array[16]} +export FORT42=$dump_dir/${BUFRLIST_all_array[17]} +export FORT46=$dump_dir/${BUFRLIST_all_array[18]} +export FORT48=$dump_dir/${BUFRLIST_all_array[19]} +export FORT51=prepda +export FORT52=prevents.filtering.prepdata + +#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) +#If program ever fails, try changing 64000000 to 20000000 +#set +u +#[ -n "$LOADL_PROCESSOR_LIST" ] && XLSMPOPTS=parthds=2:stack=64000000 +#set -u + +# The following improves performance on Cray-XC40 if $PRPX was +# linked to the IOBUF i/o buffering library +export IOBUF_PARAMS='*prevents.filtering.prepdata:verbose' + +$TIMEIT $PRPX >$mp_pgmout 2>&1 +errPREPDATA=$? +unset IOBUF_PARAMS +cat prevents.filtering.prepdata >> $mp_pgmout +set +x +echo +echo "The foreground exit status for PREPOBS_PREPDATA is " $errPREPDATA +echo +set -x + +[ "$errPREPDATA" -gt '4' -o "$errPREPDATA" -eq '1' ] && exit + +# Will execute PREPOBS_LISTHEADERS even if PARALLEL is "NO", because it will +# reorder the monolithic PREPBUFR file to ensure that all messages of the same +# subtype will always be grouped together in sequential messages, arranged in +# the order found in $PRPT (Note: This is a necessity when PARALLEL is "YES" +# because the later program PREPOBS_MONOPREPBUFR must merge the $NSPLIT +# individual (partial) PREPBUFR files together in the proper order) + + +# Build listhdx.stdin from bufrtable entries of possible message headers first +# line is count, followed by list + +grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|wc -l|tee listhdx.stdin +grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|tee -a listhdx.stdin + +pgm=`basename $LISTHDX` +#-----mimics prep_step----- +set +x +echo $pgm > pgmname +set +u +[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" +set -u +[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout +rm pgmname +[ -f errfile ] && rm errfile +unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +[ -s $DATA/tracer ] && cat $DATA/tracer > errfile +set -x +#-------------------------- + +export FORT11=prepda +export FORT51=prepda.reorder +export FORT52=prepda.hdrs + +$TIMEIT $LISTHDX < listhdx.stdin >>$mp_pgmout 2>&1 +err=$? +cat prepda.hdrs +set +x +echo +echo "The foreground exit status for PREPOBS_LISTHEADERS is " $err +echo +set -x + +[ "$err" -gt '0' ] && exit + +mv prepda.reorder prepda +rm listhdx.stdin + +echo "$multi finished -- errPREPDATA = $errPREPDATA" > $status + +{ echo +set +x +echo +echo "********************************************************************" +echo "Finished executing on node `hostname -s`" +echo "Ending time : `date`" +echo "********************************************************************" +echo +set -x +} >> $mp_pgmout + +} 1> $DATA/mp_stream${1}.stdout 2> $DATA/mp_stream${1}.errfile + +exit 0 +EOFmpp +set -x + +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## +## end of HEREFILE MP_PREPDATA ## +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## + + chmod 775 MP_PREPDATA + + if [ "$PARALLEL" = 'YES' ]; then + +# In the parallel environment, either cat the multiple MP_PREPDATA tasks +# into a poe command file (for poe/mpi/cfp) - or - set up a script that will +# fire off each MP_PREPDATA thread as a background process +# ----------------------------------------------------------------------- + if [ "$POE" != 'NO' ]; then + multi=-1 + while [ $((multi+=1)) -lt $NSPLIT ] ; do + echo "ksh $DATA/MP_PREPDATA $multi "|tee -a $DATA/prep_exec.cmd + done + if [ "$launcher_PREP" != cfp -a "$launcher_PREP" != aprun ]; then + # fill in empty tasks + multi=$((multi-=1)) #need to go back one + while [ $((multi+=1)) -lt $NPROCS ] ; do + echo "echo do-nothing" >> $DATA/prep_exec.cmd + done + fi + elif [ $BACK = 'YES' ] ; then + multi=-1 + echo "#!/bin/ksh" > $DATA/prepthrds.sh + while [ $((multi+=1)) -lt $NSPLIT ] ; do + echo "$DATA/MP_PREPDATA $multi &" >> $DATA/prepthrds.sh + echo "echo $DATA/MP_PREPDATA $multi submitted in background" \ + >> $DATA/prepthrds.sh + done + echo "wait" >> $DATA/prepthrds.sh + chmod 775 $DATA/prepthrds.sh + fi + +# In the parallel environment, next either execute the poe wrapper (for poe/ +# mpi/cfp) (do not execute a time command with poe!) - or - run prepthrds.sh +# to kick off background processes and wait for them to complete +# -------------------------------------------------------------------------- + if [ "$POE" != 'NO' ]; then + if [ "$launcher_PREP" = mpirun.lsf ]; then + export MP_CMDFILE=$DATA/prep_exec.cmd + export MP_PGMMODEL=mpmd + export MP_PULSE=0 + export MP_DEBUG_NOTIMEOUT=yes + export MP_LABELIO=yes + export MP_STDOUTMODE=ordered + mpirun.lsf + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + elif [ "$launcher_PREP" = cfp ]; then + export MP_CSS_INTERRUPT=yes + export MP_LABELIO=yes + export MP_STDOUTMODE=ordered + mpirun.lsf cfp $DATA/prep_exec.cmd + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + elif [ "$launcher_PREP" = aprun ]; then + ## Determine tasks per node (PREPDATAtpn) and + ## max number of concurrent procs (PREPDATAprocs) for cfp + typeset -i nodesall=$(echo -e "${LSB_HOSTS// /\\n}"|sort -u|wc -w) + typeset -i ncnodes=$(($nodesall-1)) # we want compute nodes only + if [ $ncnodes -lt 1 ]; then + set +x + echo + echo " ** Could not get positive compute node count for aprun **" + echo " ** Are we using LSF queue with compute node access? **" + echo + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + if [[ -z ${PREPDATAtpn:-""} ]]; then + PREPDATAtpn=$((($NSPLIT+$ncnodes-1)/$ncnodes)) + # cfp is faster with extra thread so add one if there is room. + # (this logic needs an update to avoid hardwired 24) + [ $PREPDATAtpn -lt 24 ] && PREPDATAtpn=$(($PREPDATAtpn+1)) + fi + if [[ -z ${PREPDATAprocs:-""} ]]; then + PREPDATAprocs=$(($ncnodes*$PREPDATAtpn)) # max concurrent processes + fi + aprun -j 1 -n${PREPDATAprocs} -N${PREPDATAtpn} -d1 cfp $DATA/prep_exec.cmd + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + else # unknown launcher and options (eg, for use on R&D system) + $launcher_PREP + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + fi + elif [ $BACK = 'YES' ] ; then + if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + aprun -n 1 -d $NSPLIT $DATA/prepthrds.sh + else + $DATA/prepthrds.sh + fi + fi + totalt=$NSPLIT + else + +# In the serial environment, just fire off a single thread of MP_PREPDATA +# ----------------------------------------------------------------------- + multi=0 + if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + aprun -n 1 -N 1 ksh $DATA/MP_PREPDATA $multi + else + $DATA/MP_PREPDATA $multi + fi + totalt=1 + + # fi for $PARALLEL = YES + fi + + set +x + multi=0 + while [ $multi -lt $totalt ]; do +echo +echo "********************************************************************" +echo " ++ Script STDOUT from MP_PREPDATA for stream (task/thread) $multi ++" +echo "********************************************************************" +echo + cat $DATA/mp_stream${multi}.stdout +echo "********************************************************************" +echo " ++ End of Script STDOUT from MP_PREPDATA for stream $multi ++ " +echo "********************************************************************" + multi=`expr $multi + 1` + done + +echo +echo "********************************************************************" +echo " ++ Script trace from MP_PREPDATA for stream (task/thread) 0 ++ " + if [ "$PARALLEL" = 'YES' ]; then +echo +echo " In order to conserve space, the script trace from other " +echo " streams is not invoked unless the stream failed. " + fi +echo "********************************************************************" +echo + + cat mp_stream0.errfile + +echo +echo "********************************************************************" +echo " ++ End of Script trace from MP_PREPDATA for stream 0 ++ " +echo "********************************************************************" +echo + set -x + +# check status files +# ------------------ + + errSTATUS=0 + errPREPDATA=0 + four_check=yes + multi=0 + while [ $multi -lt $totalt ]; do + cat $DATA/multi$multi/mp_pgmout >> prepdata.out + cat $DATA/multi$multi/mp_pgmout >> $pgmout + status=$DATA/multi$multi/mstatus + if [ ! -s $status ]; then + set +x +echo +echo "********************************************************************" +echo " P R O B L E M ! ! ! " +echo "********************************************************************" +echo " ###> MP_PREPDATA stream (task/thread) $multi FAILED - Cycle date: \ +$CDATE10" +echo " Current working directory: $DATA " +echo +echo " Script trace from MP_PREPDATA for stream $multi follows ... " +echo "********************************************************************" +echo + cat $DATA/mp_stream${multi}.errfile +echo +echo "********************************************************************" +echo " ++ End of Script trace from MP_PREPDATA for stream $multi ++ " +echo "********************************************************************" +echo + set -x + errSTATUS=99 + else + err_this=`cut -f 2 -d = $status` + [ "$err_this" -gt "$errPREPDATA" ] && errPREPDATA=$err_this + [ "$err_this" -eq '0' ] && four_check=no + fi + multi=`expr $multi + 1` + done + + if [ "$errSTATUS" -gt '0' ]; then + $DATA/err_exit + exit 55 # for extra measure + fi + + [ "$errPREPDATA" -eq '4' -a "$four_check" = 'no' ] && errPREPDATA=0 + + set +x + echo + echo "For all MP_PREPDATA Streams, the largest foreground exit status \ + amongst all PREPOBS_PREPDATA runs is " $errPREPDATA + echo + set -x + + if [ "$errPREPDATA" -le "$errPREPDATA_limit" -a $errPREPDATA -ne 1 ]; then + err=0 + if [ "$errPREPDATA" -eq '4' ]; then + set +x + echo + echo "WARNING: PREPOBS_PREPDATA FOUND EITHER NO ADPUPA OR NO ADPSFC DATA" + echo "-------- THESE DATA WILL NOT BE AVAILABLE TO ANALYSES" + echo + set -x + fi + else + err=$errPREPDATA + fi + + pgm=`basename $PRPX` + touch errfile + $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + + if [ "$PARALLEL" = 'YES' ]; then + +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## +## HEREFILE MERGE_MSGS ## +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## + +set +x +cat <<\EOFmrg > MERGE_MSGS + +# This herefile script merges the individual partial PREPBUFR files present at +# this point into a complete, monolithic PREPBUFR file in the proper message +# type order. It is the last step in the PREPDATA processing. It runs only +# in the parallel environment. +# ---------------------------------------------------------------------------- + +# Positional parameters passed in: +# 1 - Number of input partial PREPBUFR files that are going to be merged +# ($nfiles) +# 2 - Working directory path ($DATA) (contains separate partial PREPBUFR +# files and text files containing headers for each, one directory down) +# 3 - Beginning string of sub-directories in $DATA ($subdir) (each sub- +# directory contains an input partial PREPBUFR file and a text file +# containing headers for all messages in that PREPBUFR file) +# 4 - File in each sub-directory containing headers for all messages in +# partial PREPBUFR file in same sub-directory (file name only - same name +# in all sub-directories) ($header_file_name) +# 5 - Partial PREPBUFR file in each sub-directory (file name only - same name +# in all sub-directories) ($prep_in) +# 6 - Output monolithic PREPBUFR file name (file name only) ($prep_out) +# +# Imported variables that must be passed in: +# MONOBFRX - path to PREPOBS_MONOPREPBUFR program executable +# +# Imported variables that can be passed in: +# pgmout - string indicating path to for standard output file (skipped over +# by this script if not passed in) + + +if [ $# -ne 6 ] ; then + echo "Usage: $0 nfiles DATA subdir header_file_name prep_in prep_out" + exit 1 +fi + +set -aux + +qid=$$ + +nfiles=$1;DATA=$2;subdir=$3;header_file_name=$4;prep_in=$5;prep_out=$6 + + +# From all the header files, extract the header counts and names build +# namelist input to drive $MONOBFRX program +# --------------------------------------------------------------------- + +nheaders=`cat $DATA/${subdir}*/$header_file_name|awk '{print $1}'|sort -u|wc -l` +((nheaders+=0)) + +>$DATA/input echo +echo " &namin nfiles=$nfiles, nheaders=$nheaders," >>$DATA/input + +cd $DATA + + +# Assign the fort units to the files +# ----------------------------------- + +pgm=`basename $MONOBFRX` +if [ -s $DATA/prep_step ]; then + . $DATA/prep_step +else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +fi + + +n=-1 +while [ $((n+=1)) -lt $nfiles ] ;do + [ ! -s $DATA/${subdir}$n/$prep_in ] && exit 1 + export FORT$((11+n))=$DATA/${subdir}$n/$prep_in +done +export FORT51=$prep_out +set +x + + +# Extract the total span of headers by searching through all the header files +# --------------------------------------------------------------------------- + +n=-1 +while [ $((n+=1)) -lt $nfiles ]; do + file=$DATA/${subdir}$n/$header_file_name + [ ! -s $file ] && exit 1 + if [ `cat $file|awk '{print $1}'| \ + sort -u|wc -l` -eq $nheaders ] ; then + headers="" + nlines=`cat $file|wc -l` + i=0 + while [ $((i+=1)) -le $nlines ]; do + line=`sed -n $i,${i}p $file` + header=`echo $line|awk '{print $1}'` + echo " cheaders($i)='$header',">>$DATA/input + headers="$headers $header" + done + break + fi +done + + +# Tranlate the hdrs file contents into namelist array +# --------------------------------------------------- + +n=-1 +while [ $((n+=1)) -lt $nfiles ]; do + file=$DATA/${subdir}$n/$header_file_name + line= + i=0 + for hdr in $headers; do + ((i+=1)) + count=`grep $hdr $file|awk '{print $2}'` + set +u + [ -z "$count" ] && count=0 + set -u + line="${line}msgs($i,$((n+1)))=$count," + done + echo " $line " >>$DATA/input +done + +echo " &end" >>$DATA/input +set -x +cat $DATA/input + +$TIMEIT $MONOBFRX <$DATA/input > outout 2> errfile +export err=$? +###cat errfile +cat errfile >> outout +cat outout >> monoprepbufr.out +set +u +[ -n "$pgmout" ] && cat outout >> $pgmout +set -u +rm outout +set +x +echo +echo "The foreground exit status for PREPOBS_MONOPREPBUFR is " $err +echo +set -x +$DATA/err_chk +[ $err != 0 ] && exit 55 # for extra measure + +exit 0 +EOFmrg +set -x + +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## +## end of HEREFILE MERGE_MSGS ## +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## + + chmod 775 MERGE_MSGS + +# In parallel environment, make monolithic PREPBUFR file by meriging the +# partial PREPBUFR files +# ---------------------------------------------------------------------- + $TIMEIT $DATA/MERGE_MSGS $NSPLIT $DATA multi prepda.hdrs prepda \ + prepda.${cycle} + errsc=$? + if test $errsc -ne 0 + then +# problem with merge script + $DATA/err_exit + exit 55 # for extra measure + fi + else + +# In serial environment, already have a monolithic PREPBUFR file - just +# copy it to expected local monolithic PREPBUFR file location +# --------------------------------------------------------------------- + cp $DATA/multi0/prepda prepda.${cycle} + + # fi for $PARALLEL = YES + fi + +# fi for $PREPDATA = YES +fi + +set +u +[ -n "$PREPBUFR_IN" ] && cp $PREPBUFR_IN $DATA/prepda.${cycle} +set -u + + +############################################ +# EXECUTE SYNTHETIC CYCLONE DATA PROCESSING +############################################ + +if [ "$SYNDATA" = 'YES' ]; then + +# Check condition code - SDM can shut-off synthetic cyclone bogusing +# ------------------------------------------------------------------ +# ==> this switch is NOT YET in place, so it will be hardwired to "YES" + +###cp ???????????? syndata_cond + echo "YES" > syndata_cond + SYN=`cat tcvitals_orig_sort + sort tcvitals > tcvitals_sort + comm -23 tcvitals_orig_sort tcvitals_sort > tcvitals_removed + [ -s tcvitals_removed ] && run_syndat_twice=yes + fi + fi + + $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ + $DATA/tcvitals $CDATE10 + + if [ $run_syndat_twice = yes ]; then + +# Run SYNDATA a second time when switch "run_syndat_twice" was set to "yes" in +# above logic (see %% above) + + DO_BOGUS=NO + $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ + $DATA/tcvitals_removed $CDATE10 + fi + fi +fi + +[ "$PREPDATA" = 'YES' ] && cp prepda.${cycle} prepda.prepdata + + +########################################### +# EXECUTE GSI QUALITY-CONTROL PROCESSING +########################################### + +if [ "$DO_QC" = 'YES' ]; then + if [ "$PREVENTS" = 'YES' ];then + $TIMEIT $USHPREV/prepobs_prevents.sh $DATA/prepda.${cycle} $CDATE10 + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$CQCBUFR" = 'YES' ];then + $TIMEIT $USHCQC/prepobs_cqcbufr.sh $DATA/prepda.${cycle} + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$PROFCQC" = 'YES' ];then + $TIMEIT $USHPQC/prepobs_profcqc.sh $DATA/prepda.${cycle} + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$CQCVAD" = 'YES' ];then + $TIMEIT $USHVQC/prepobs_cqcvad.sh $DATA/prepda.${cycle} $CDATE10 + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$PREPACQC" = 'YES' ];then + $TIMEIT $USHAQC/prepobs_prepacqc.sh $DATA/prepda.${cycle} $DATA/adpsfc + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$OIQCBUFR" = 'YES' ];then + $TIMEIT $USHOIQC/prepobs_oiqcbufr.sh $DATA/prepda.${cycle} $CDATE10 + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi +fi + + +# Look for "OVERLARGE" subsets in stdout (print out of bufrlib when subset +# discarded because it is too big to fit in a BUFR message) -- post to +# jlogfile if appropriate + +msg=`grep "OVERLARGE SUBSET DISCARDED" $pgmout` +err=$? +if [ "$err" -eq '0' ]; then + set +x + echo + echo "$msg" + echo + set -x + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" +fi + +exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_oiqcbufr.sh b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_oiqcbufr.sh new file mode 100755 index 0000000..dbb6630 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_oiqcbufr.sh @@ -0,0 +1,152 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + +# This script performs an oi-based quality control on all data +# +# It is normally executed by the script prepobs_makeprepbufr.sh +# but can also be executed from a checkout parent script +# -------------------------------------------------------------- + +set -aux + +qid=$$ + +# Positional parameters passed in: +# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr +# file upon successful completion of this script (note that input +# prepbufr file is NOT saved by this script) +# 2 - NCEP production date (YYYYMMDDHH) + +# Imported variables that must be passed in: +# DATA - path to working directory +# OIQCT - path to observation error table file +# OIQCX - path to PREPOBS_OIQCBUFR program executable + +# Imported variables that can be passed in: +# jlogfile - string indicating path to joblog file (skipped over by this +# script if not passed in) +# pgmout - string indicating path to for standard output file (skipped +# over by this script if not passed in) +# sys_tp - system type and phase. (if not passed in, an attempt is made to +# set this string using getsystem.pl, an NCO script in prod_util) +# SITE - site name (may have been set by local shell startup script) +# launcher_OIQCX - launcher for OIQCX executable (on Cray-XC40, defaults to +# aprun using 16 tasks) + +cd $DATA +PRPI=$1 +if [ ! -s $PRPI ] ; then exit 1;fi +CDATE10=$2 + +jlogfile=${jlogfile:=""} + +rm $PRPI.oiqcbufr +rm tosslist + +pgm=`basename $OIQCX` +if [ -s $DATA/prep_step ]; then + set +u + . $DATA/prep_step + set -u +else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +fi + +#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) +#set +u +#[ -n "$LOADL_PROCESSOR_LIST" ] && export XLSMPOPTS=parthds=2:usrthds=2:stack=64000000 +#set -u + +echo " $CDATE10" > cdate.dat +export FORT11=cdate.dat +export FORT14=$PRPI +export FORT17=$OIQCT +export FORT18=obprt_ipoint.wrk +export FORT20=tolls.wrk +export FORT61=toss.sfc_z +export FORT62=toss.temp_wind +export FORT63=toss.sat_temp +export FORT64=toss.ssmi_wind +export FORT65=tosslist +export FORT70=$PRPI.oiqcbufr +export FORT81=obogram.out +export FORT82=obogram.bin +TIMEIT=${TIMEIT:-""} +[ -s $DATA/time ] && TIMEIT="$DATA/time -p" +# $TIMEIT mpirun $OIQCX > outout 2> errfile +#$TIMEIT mpirun -genvall -n $LSB_DJOB_NUMPROC -machinefile $LSB_DJOB_HOSTFILE $OIQCX > outout 2> errfile + +SITE=${SITE:-""} +sys_tp=${sys_tp:-$(getsystem.pl -tp)} +getsystp_err=$? +if [ $getsystp_err -ne 0 ]; then + msg="***WARNING: error using getsystem.pl to determine system type and phase" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" +fi +echo sys_tp is set to: $sys_tp +if [ "$sys_tp" = "Cray-XC40" -o "$SITE" = "SURGE" -o "$SITE" = "LUNA" ]; then + launcher_OIQCX=${launcher_OIQCX:-"aprun -n 16 -N 16 -j 1"} # consistent with tide/gyre +# launcher_OIQCX=${launcher_OIQCX:-"aprun -n 24 -N 24 -j 1"} # slightly faster +else + launcher_OIQCX=${launcher_OIQCX:-"mpirun.lsf"} +#########################module load ibmpe ics lsf uncomment if not in profile +# seems to run ok w next 10 lines commented out (even though Jack had them in +# his version of this script) +###export LANG=en_US +###export MP_EAGER_LIMIT=65536 +###export MP_EUIDEVELOP=min +###export MP_EUIDEVICE=sn_all +###export MP_EUILIB=us +###export MP_MPILIB=mpich2 +###export MP_USE_BULK_XFER=yes +###export MPICH_ALLTOALL_THROTTLE=0 +###export MP_COLLECTIVE_OFFLOAD=yes +###export KMP_STACKSIZE=1024m +fi + +$TIMEIT $launcher_OIQCX $OIQCX > outout 2> errfile + +err=$? +###cat errfile +cat errfile >> outout +cat outout >> oiqcbufr.out +cp outout obcnt.out +set +u +[ -n "$pgmout" ] && cat outout >> $pgmout +set -u +rm outout +set +x +echo +echo 'The foreground exit status for PREPOBS_OIQCBUFR is ' $err +echo +set -x +if [ "$err" -eq '4' ]; then +msg="WRNG: SOME OBS NOT QC'd BY PGM PREPOBS_OIQCBUFR - # OF OBS > LIMIT \ +--> non-fatal" + set +x + echo + echo "$msg" + echo + set -x + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + err=0 +fi +if [ -s $DATA/err_chk ]; then + $DATA/err_chk +else + if test "$err" -gt '0' + then +######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out + # in ops + exit 55 + fi +fi + +if [ "$err" -gt '0' ]; then + exit 9 +else + mv $PRPI.oiqcbufr $PRPI +fi + +exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prepacqc.sh b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prepacqc.sh new file mode 100755 index 0000000..0fc1c6e --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prepacqc.sh @@ -0,0 +1,211 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + + +# This script performs two tasks: +# 1) Execute program PREPOBS_PREPACQC to perform aircraft quality control +# checking +# 2) Execute program PREPOBS_PREPACPF to append a surface level to profile +# reports in the PREPBUFR-format aircraft profiles file which is output +# from PREPOBS_PREPACQC +# Both tasks are optional in case the executing job wants to perform only one +# of these two tasks. The default to to perform both tasks. +# +# This script is normally executed by the script prepobs_makeprepbufr.sh +# but can also be executed from a checkout parent script +# -------------------------------------------------------------------------- + +set -aux + +qid=$$ + +# Positional parameters that must always be passed in: +# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr +# file upon successful completion of this script +# (note that input prepbufr file is NOT saved by this script) +# {this can be set to "null" if PROCESS_ACQC != YES (see below), since in +# this case it is not considered} + +# Positional parameters that must be passed in if PROCESS_ACPF = YES (see +# below): +# 2 - path to adpsfc dump file input to PREPOBS_PREPACPF {normally the same +# one that was read in to generate the prepbufr file in positional +# parameter 1 or, if PREPOBS_PREPACQC != YES (see below), the prepbufr +# file processed by program PREPOBS_PREPACQC which presumably ran some +# place outside of, and prior to, this script} + +# Imported variables that must always be passed in: +# DATA - path to working directory +# PROCESS_ACQC - switch controlling whether or not to execute +# PREPOBS_PREPACQC +# PROCESS_ACPF - switch controlling whether or not to execute +# PREPOBS_PREPACPF + +# Imported variables that must be passed in if PROCESS_ACQC = YES: +# AQCX - path to PREPOBS_PREPACQC program executable +# AQCC - path to PREPOBS_PREPACQC program parm cards + +# Imported variables that must be passed in if PROCESS_ACQC != YES: +# acft_profiles - path to prepbufr.acft_profiles file output by program +# PREPOBS_PREPACQC (which presumably ran some place outside +# of, and prior to, this script) + +# Imported variables that must be passed in if PROCESS_ACPF = YES: +# DICT - path to unsorted METAR station dictionary file +# APFX - path to PREPOBS_PREPACPF program executable + +# Imported variables that can be passed in: +# jlogfile - string indicating path to joblog file +# (skipped over by this script if not passed in) +# (only examined if PROCESS_ACPF = YES) +# pgmout - string indicating path to for standard output file +# (skipped over by this script if not passed in) + + +cd $DATA + +jlogfile=${jlogfile:=""} + +if [ $PROCESS_ACQC = YES ]; then + PRPI=$1 + if [ ! -s $PRPI ] ; then exit 1;fi + + rm $PRPI.prepacqc + rm prepbufr.acft_profiles + + pgm=`basename $AQCX` + if [ -s $DATA/prep_step ]; then + set +u + . $DATA/prep_step + set -u + else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` + fi + + export FORT11=$PRPI + export FORT41=vvel_info.acft_profiles.txt + export FORT61=$PRPI.prepacqc + export FORT62=prepbufr.acft_profiles + TIMEIT=${TIMEIT:-""} + [ -s $DATA/time ] && TIMEIT="$DATA/time -p" + # The following improves performance on Cray-XC40 if $AQCX was + # linked to the IOBUF i/o buffering library + export IOBUF_PARAMS='*.log:verbose,*.txt:verbose,*.sorted:verbose' + $TIMEIT $AQCX< $AQCC > outout 2> errfile + err=$? + err_actual=$err + unset IOBUF_PARAMS +######cat errfile + cat errfile >> outout + cat outout >> prepacqc.out + set +u + [ -n "$pgmout" ] && cat outout >> $pgmout + set -u + rm outout + set +x + echo + echo 'The foreground exit status for PREPOBS_PREPACQC is ' $err + echo + set -x + if [ $err -eq 4 ]; then + msg="PREPBUFR DATA SET CONTAINS NO "AIRCAR" OR "AIRCFT" TABLE A MESSAGES --> non-fatal" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + err=0 + fi + if [ -s $DATA/err_chk ]; then + $DATA/err_chk + else + if test "$err" -gt '0' + then +#########kill -9 ${qid} # need a WCOSS alternative to this even tho commented + # out in ops + exit 55 + fi + fi + + if [ "$err" -gt '0' ]; then + exit 9 + elif [ "$err_actual" -gt '0' ]; then + PROCESS_ACPF=NO + else + [ ! -f $PRPI.prepacqc ] && touch $PRPI.prepacqc + mv $PRPI.prepacqc $PRPI + fi + +else + cp -p $acft_profiles prepbufr.acft_profiles +fi + + +if [ $PROCESS_ACPF = YES ]; then + ADPSFC=$2 + + sort -n +0.61 -0.67 $DICT > metar.tbl.lon_sorted + + msg=good + if [ ! -s $ADPSFC ]; then + msg="WARNING: PREPOBS_PREPACPF COULD NOT RUN, adpsfc FILE NOT FOUND \ +--> non-fatal" + elif [ ! -s prepbufr.acft_profiles ]; then + msg="WARNING: PREPOBS_PREPACPF COULD NOT RUN, prepbufr.acft_profiles \ +FILE NOT FOUND --> non-fatal" + elif [ ! -s metar.tbl.lon_sorted ]; then + msg="WARNING: PREPOBS_PREPACPF COULD NOT RUN, metar.tbl FILE NOT FOUND \ +--> non-fatal" + fi + if [ "$msg" != 'good' ]; then + set +x + echo + echo "$msg" + echo + set -x + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + exit 0 + fi + + pgm=`basename $APFX` + if [ -s $DATA/prep_step ]; then + set +u + . $DATA/prep_step + set -u + else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` + fi + + export FORT11=metar.tbl.lon_sorted + export FORT12=$ADPSFC + export FORT13=prepbufr.acft_profiles + export FORT51=prepbufr.acft_profiles_sfc + TIMEIT=${TIMEIT:-""} + [ -s $DATA/time ] && TIMEIT="$DATA/time -p" + $TIMEIT $APFX > outout 2> errfile + err=$? +######cat errfile + cat errfile >> outout + cat outout >> prepacpf.out + set +u + [ -n "$pgmout" ] && cat outout >> $pgmout + set -u + rm outout + set +x + echo + echo 'The foreground exit status for PREPOBS_PREPACPF is ' $err + echo + set -x + if [ $err -gt 0 ]; then + msg="WARNING: PREPOBS_PREPACPF DID NOT COMPLETE NORMALLY --> non-fatal" + set +x + echo + echo "$msg" + echo + set -x + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + else + err=0 + [ -s $DATA/err_chk ] && $DATA/err_chk + fi +fi + +exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prevents.sh b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prevents.sh new file mode 100755 index 0000000..3d2b609 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prevents.sh @@ -0,0 +1,118 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + + +# This script encodes the background (first guess) and observational +# errors into the PREPBUFR reports (interpolated to obs. locations) +# +# It is normally executed by the script prepobs_makeprepbufr.sh +# but can also be executed from a checkout parent script +# ------------------------------------------------------------- + +set -aux + +qid=$$ + +# Positional parameters passed in: +# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr +# file upon successful completion of this script (note that input +# prepbufr file is NOT saved by this script) +# 2 - expected center date in PREPBUFR file (YYYYMMDDHH) + +# Imported variables that must be passed in: +# DATA - path to working directory +# NET - string indicating system network (either "gfs", "gdas", "cdas", +# "nam", "rap", "rtma" or "urma") +# NOTE1: NET is changed to gdas in the parent Job script for the +# RUN=gdas1 (was gfs - NET remains gfs for RUN=gfs). +# NOTE2: This is read from the program PREPOBS_PREVENTS via a call +# to system routine "GETENV". +# SGES - path to COPY OF global simga first guess file 1 (valid at +# either center date of PREPBUFR file or nearest cycle time prior +# to center date of PREPBUFR file which is a multiple of 3) +# SGESA - path to COPY OF global simga first guess file 2 (either +# null if SGES is valid at center date of PREPBUFR file or valid +# at nearest cycle time after center date of PREPBUFR file which +# is a multiple of 3 if SGES is valid at nearest cycle time +# prior to center date of PREPBUFR file which is a multiple of 3) +# PRVT - path to observation error table file +# PREX - path to PREPOBS_PREVENTS program executable +# PREC - path to PREPOBS_PREVENTS program parm cards + +# Imported variables that can be passed in: +# pgmout - string indicating path to for standard output file (skipped +# over by this script if not passed in) + +cd $DATA +PRPI=$1 +if [ ! -s $PRPI ] ; then exit 1 ;fi +CDATE10=$2 + +rm $PRPI.prevents +rm prevents.filtering + +pgm=`basename $PREX` +if [ -s $DATA/prep_step ]; then + set +u + . $DATA/prep_step + set -u +else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +fi + +echo " $CDATE10" > cdate10.dat +export FORT11=$PRPI +#####export FORT12=$SGES +#####export FORT13=$SGESA + +# The PREPOBS_PREVENTS code will soon, or may now, open GFS spectral +# coefficient guess files using sigio routines (via W3EMC routine GBLEVENTS) +# via explicit open(unit=number,file=filename) statements. This conflicts with +# the FORTxx statements above. One can either remove the explicit open +# statements in the code or replace the above FORTxx lines with soft links. +# The soft link approach is taken below. + +ln -sf $SGES fort.12 +ln -sf $SGESA fort.13 + +export FORT14=$PRVT +export FORT15=cdate10.dat +export FORT51=$PRPI.prevents +export FORT52=prevents.filtering + +TIMEIT=${TIMEIT:-""} +[ -s $DATA/time ] && TIMEIT="$DATA/time -p" +$TIMEIT $PREX < $PREC > outout 2> errfile +err=$? +###cat errfile +cat errfile >> outout +cat prevents.filtering >> outout +cat outout >> prevents.out +set +u +[ -n "$pgmout" ] && cat outout >> $pgmout +set -u +rm outout +set +x +echo +echo 'The foreground exit status for PREPOBS_PREVENTS is ' $err +echo +set -x +if [ -s $DATA/err_chk ]; then + $DATA/err_chk +else + if test "$err" -gt '0' + then +######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out + # in ops + exit 55 + fi +fi + +if [ "$err" -gt '0' ]; then + exit 9 +else + mv $PRPI.prevents $PRPI +fi + +exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_profcqc.sh b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_profcqc.sh new file mode 100755 index 0000000..1c1745b --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_profcqc.sh @@ -0,0 +1,97 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + + +# This script performs wind profiler quality control checking +# +# It is normally executed by the script prepobs_makeprepbufr.sh +# but can also be executed from a checkout parent script +# -------------------------------------------------------------------------- + +set -aux + +qid=$$ + +# Positional parameters passed in: +# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr +# file upon successful completion of this script (note that input +# prepbufr file is NOT saved by this script) + +# Imported variables that must be passed in: +# DATA - path to working directory +# PQCX - path to PREPOBS_PROFCQC program executable +# PQCC - path to PREPOBS_PROFCQC program parm cards + +# Imported variables that can be passed in: +# jlogfile - string indicating path to joblog file (skipped over by this +# script if not passed in) +# pgmout - string indicating path to standard output file (skipped +# over by this script if not passed in) + +cd $DATA +PRPI=$1 +if [ ! -s $PRPI ] ; then exit 1;fi + +jlogfile=${jlogfile:=""} + +rm $PRPI.profcqc +rm profcqc.monitor profcqc.events + +pgm=`basename $PQCX` +if [ -s $DATA/prep_step ]; then + set +u + . $DATA/prep_step + set -u +else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +fi + +export FORT14=$PRPI +export FORT51=$PRPI.profcqc +export FORT52=profcqc.monitor1 +export FORT53=profcqc.monitor2 +export FORT54=profcqc.events1 +export FORT55=profcqc.events2 +export FORT61=profcqc.stats1 +export FORT62=profcqc.stats2 +TIMEIT=${TIMEIT:-""} +[ -s $DATA/time ] && TIMEIT="$DATA/time -p" +$TIMEIT $PQCX< $PQCC > outout 2> errfile +err=$? +###cat errfile +cat errfile >> outout +cat profcqc.events2 >> outout +cat outout >> profcqc.out +set +u +[ -n "$pgmout" ] && cat outout >> $pgmout +set -u +rm outout +set +x +echo +echo 'The foreground exit status for PREPOBS_PROFCQC is ' $err +echo +set -x +if [ $err -eq 4 ]; then + msg="PREPBUFR DATA SET CONTAINS NO "PROFLR" TABLE A MESSAGES --> non-fatal" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + err=0 +fi +if [ -s $DATA/err_chk ]; then + $DATA/err_chk +else + if test "$err" -gt '0' + then +######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out + # in ops + exit 55 + fi +fi + +if [ "$err" -gt '0' ]; then + exit 9 +else + mv $PRPI.profcqc $PRPI +fi + +exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_syndata.sh b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_syndata.sh new file mode 100755 index 0000000..5fe1fab --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_syndata.sh @@ -0,0 +1,209 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + + +# This script has two functions: +# 1) Generates synthetic cyclone bogus near tropical storms and appends them +# to a PREPBUFR file (based on script variable DO_BOGUS). If may also, +# based on user-requested switch, flag mass pressure reports "near" +# tropical storms. +# 2) Flag dropwinsonde wind reports "near" tropical storms (based on user- +# requested switch). +# +# Note: It can do both 1 and 2 above or just one of them without the other. +# +# (NOTE: SYNDATA is currently restricted to run with T126 gaussian +# land-sea mask) +# +# It is normally executed by the script prepobs_makeprepbufr.sh +# but can also be executed from a checkout parent script +# ------------------------------------------------------------- + +set -aux + +# Positional parameters passed in: +# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr +# file upon successful completion of this script (note that input +# prepbufr file is NOT saved by this script) +# 2 - path to COPY OF input tcvitals file +# 3 - expected center date in PREPBUFR file (YYYYMMDDHH) + + +# Imported variables that must be passed in: +# DATA - path to working directory +# SGES - path to COPY OF global simga first guess file 1 (valid at +# either center date of PREPBUFR file or nearest cycle time prior +# to center date of PREPBUFR file which is a multiple of 3) +# SGESA - path to COPY OF global simga first guess file 2 (either +# null if SGES is valid at center date of PREPBUFR file or valid +# at nearest cycle time after center date of PREPBUFR file which +# is a multiple of 3 if SGES is valid at nearest cycle time +# prior to center date of PREPBUFR file which is a multiple of 3) +# PRVT - path to observation error table file +# FIXSYND - path to synthethic data fixed field files +# SYNDX - path to SYNDAT_SYNDATA program executable +# SYNDC - path to SYNDAT_SYNDATA program parm cards + +# Imported variables that can be passed in: +# DO_BOGUS - Generate synthetic cyclone bogus near tropical storms and +# append them to a PREPBUFR file (and also, based on user- +# requested switch, flag mass pressure reports "near" tropical +# storms)? (choices are "YES" or "NO", anything else defaults to +# "YES", including if this is not passed in) +# jlogfile - string indicating path to joblog file (skipped over by this +# script if not passed in) +# pgmout - string indicating path to for standard output file (skipped +# over by this script if not passed in) +# sys_tp - system type and phase. (if not passed in, an attempt is made to +# set this string using getsystem.pl, an NCO script in prod_util) +# SITE - site name (may have been set by local shell startup script) +# launcher_SYNDX - launcher for SYNDX executable (on Cray-XC40, defaults to +# aprun using single task) + + +cd $DATA +PRPI=$1 +if [ ! -s $PRPI ] ; then exit 1 ;fi +VITL=$2 +CDATE10=$3 + +jlogfile=${jlogfile:=""} + +if [ ! -s $VITL ] ; then + msg="TCVITALS EMPTY - NO PROCESSING PERFORMED BY SYNDAT_SYNDATA for \ +$CDATE10 --> non-fatal" + set +x + echo + echo "$msg" + echo + set -x + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + + exit +fi + +if [ $DO_BOGUS = 'YES' ]; then + suffix_char="" +else + suffix_char="_nobog" +fi + +rm -f $PRPI.syndata bogdomn.wrk${suffix_char} alldat${suffix_char} +rm -f stmtrk.wrk${suffix_char} rawdat.wrk${suffix_char} dumcoef${suffix_char} +rm -f matcoef${suffix_char} dthistry${suffix_char} bogrept${suffix_char} +rm -f bogdata${suffix_char} fenvdta.wrk${suffix_char} stkdatb.wrk${suffix_char} +rm -f gesvit${suffix_char} bghistry.diag${suffix_char} +rm -f prevents.filtering.syndata${suffix_char} + +pgm=`basename $SYNDX` +if [ -s $DATA/prep_step ]; then + set +u + . $DATA/prep_step + set -u +else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +fi + +export FORT11=$VITL +echo " $CDATE10" > cdate10.dat +export FORT13=cdate10.dat +export FORT14=$FIXSYND/syndat_syndata.slmask.t126.gaussian +export FORT15=bogdomn.wrk${suffix_char} +export FORT16=stmtrk.wrk${suffix_char} +export FORT17=rawdat.wrk${suffix_char} +export FORT19=bghistry.diag${suffix_char} +export FORT21=gesvit${suffix_char} +export FORT22=stkdatb.wrk${suffix_char} +export FORT23=fenvdta.wrk${suffix_char} +export FORT24=bogdata${suffix_char} +export FORT25=$PRPI +#####export FORT30=$SGES +#####export FORT31=$SGESA + +# The SYNDAT_SYNDATA code will soon, or may now, open GFS spectral coefficient +# guess files using sigio routines (via W3EMC routine GBLEVENTS) via explicit +# open(unit=number,file=filename) statements. This conflicts with the FORTxx +# statements above. One can either remove the explicit open statements in the +# code or replace the above FORTxx lines with soft links. The soft link +# approach is taken below. + +ln -sf $SGES fort.30 +ln -sf $SGESA fort.31 +export FORT32=$PRVT +export FORT40=$FIXSYND/syndat_weight +export FORT58=bogrept${suffix_char} +export FORT59=dthistry${suffix_char} +export FORT61=$PRPI.syndata +export FORT70=matcoef${suffix_char} +export FORT71=dumcoef${suffix_char} +export FORT72=rawdat.wrk${suffix_char} +export FORT73=stmtrk.wrk${suffix_char} +export FORT74=alldat${suffix_char} +export FORT80=prevents.filtering.syndata${suffix_char} +export FORT89=bogdomn.wrk${suffix_char} + +#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) +##The choice in the first line below MAY cause a failure +##The choice in the second line below works! +#set +u +#####[ -n "$LOADL_PROCESSOR_LIST" ] && export XLSMPOPTS=parthds=2:stack=64000000 +#[ -n "$LOADL_PROCESSOR_LIST" ] && export XLSMPOPTS=parthds=2:stack=20000000 +#set -u + +TIMEIT=${TIMEIT:-""} +[ -s $DATA/time ] && TIMEIT="$DATA/time -p" + +SITE=${SITE:-""} +sys_tp=${sys_tp:-$(getsystem.pl -tp)} +getsystp_err=$? +if [ $getsystp_err -ne 0 ]; then + msg="***WARNING: error using getsystem.pl to determine system type and phase" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" +fi +echo sys_tp is set to: $sys_tp +if [ "$sys_tp" = "Cray-XC40" -o "$SITE" = "SURGE" -o "$SITE" = "LUNA" ]; then + launcher_SYNDX=${launcher_SYNDX:-"aprun -n 1 -N 1 -d 1"} +else + launcher_SYNDX=${launcher_SYNDX:-""} +fi +$TIMEIT $launcher_SYNDX $SYNDX < $SYNDC > outout 2> errfile +err=$? +###cat errfile +cat errfile >> outout +[ $DO_BOGUS = 'YES' ] && cat prevents.filtering.syndata >> outout +cat outout >> syndata.out +set +u +[ -n "$pgmout" ] && cat outout >> $pgmout +set -u +rm outout +set +x +echo +echo 'The foreground exit status for SYNDAT_SYNDATA is ' $err +echo +set -x +if [ $err -eq 0 ]; then + + set +x + echo " --------------------------------------------- " + echo " ********** COMPLETED PROGRAM $pgm **********" + echo " --------------------------------------------- " + set -x + msg="$pgm completed normally for $CDATE10 - DO_BOGUS= $DO_BOGUS" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + mv $PRPI.syndata $PRPI + +else + +msg="SYNDAT_SYNDATA TERMINATED ABNORMALLY WITH CONDITION CODE $err \ +--> non-fatal" + set +x + echo + echo "$msg" + echo + set -x + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + +fi + +exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.sh b/systems/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.sh new file mode 100755 index 0000000..7d131ab --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.sh @@ -0,0 +1,501 @@ + +################################################################################ +#### UNIX Script Documentation Block +# . . +# Script name: global_nceppost.sh +# Script description: Posts the global pressure GRIB file +# +# Author: Mark Iredell Org: NP23 Date: 1999-05-01 +# +# Abstract: This script reads a single global GFS IO file and (optionally) +# a global flux file and creates a global pressure GRIB file. +# The resolution and generating code of the output GRIB file can also +# be set in the argument list. +# +# Script history log: +# 1999-05-01 Mark Iredell +# 2007-04-04 Huiya Chuang: Modify the script to run unified post +# 2012-06-04 Jun Wang: add grib2 option +# 2015-03-20 Lin Gan: add Perl for Post XML performance upgrade +# 2016-02-08 Lin Gan: Modify to use Vertical Structure +# +# Usage: global_postgp.sh SIGINP FLXINP FLXIOUT PGBOUT PGIOUT IGEN +# +# Input script positional parameters: +# 1 Input sigma file +# defaults to $SIGINP +# 2 Input flux file +# defaults to $FLXINP +# 3 Output flux index file +# defaults to $FLXIOUT +# 4 Output pressure GRIB file +# defaults to $PGBOUT +# 5 Output pressure GRIB index file +# defaults to $PGIOUT, then to none +# 8 Model generating code, +# defaults to $IGEN, then to input sigma generating code +# +# Imported Shell Variables: +# SIGINP Input sigma file +# overridden by $1 +# FLXINP Input flux file +# overridden by $2 +# FLXIOUT Output flux index file +# overridden by $3 +# PGBOUT Output pressure GRIB file +# overridden by $4. If not defined, +# post will use the filename specified in +# the control file +# PGIOUT Output pressure GRIB index file +# overridden by $5; defaults to none +# IGEN Model generating code +# overridden by $8; defaults to input sigma generating code +##### Moorthi: Add new imported shell variable for running chgres +# CHGRESSH optional: the script to run chgres +# default to to ${USHglobal}/global_chgres.sh +# SIGLEVEL optional: the coordinate text file +# default to to /nwprod/fix/global_hyblev.l${LEVS}.txt +##### Chuang: Add new imported Shell Variable for ncep post +# OUTTYP Output file type read in by post +# 1: if user has a sigma file and needs post to run chgres to convert to gfs io file +# 2: if user already has a gfs io file +# 3: if user uses post to read sigma file directly +# 0: if user wishes to generate both gfsio and sigma files +# 4: if user uses post to read nemsio file directly +# VDATE Verifying date 10 digits yyyymmddhh +# GFSOUT Optional, output file name from chgres which is input file name to nceppost +# if model already runs gfs io, make sure GFSOUT is linked to the gfsio file +# CTLFILE Optional, Your version of control file if not using operational one +# OVERPARMEXEC Optional, the executable for changing Grib KPDS ID +# default to to ${EXECglobal}/overparm_grib +# CHGRESTHREAD Optional, speed up chgres by using multiple threads +# default to 1 +# FILTER Optional, set to 1 to filter SLP and 500 mb height using copygb +# D3DINP Optional, Inout D3D file, if not defined, post will run +# without processing D3D file +# D3DOUT Optional, output D3D file, if not defined, post will +# use the file name specified in the control file +# IPVOUT Optional, output IPV file, if not defined, post will +# use the file name specified in the control file +# GENPSICHI Optional, set to YES will generate psi and chi and +# append it to the end of PGBOUT. Default to NO +# GENPSICHIEXE Optional, specify where executable is for generating +# psi and chi. +######################################################################## +# EXECUTIL Directory for utility executables +# defaults to /nwprod/util/exec +# USHUTIL Directory for utility scripts +# defaults to /nwprod/util/ush +# EXECglobal Directory for global executables +# defaults to /nwprod/exec +# USHglobal Directory for global scripts +# defaults to /nwprod/ush +# DATA working directory +# (if nonexistent will be made, used and deleted) +# defaults to current working directory +# MP Multi-processing type ("p" or "s") +# defaults to "p", or "s" if LOADL_STEP_TYPE is not PARALLEL +# XC Suffix to add to executables +# defaults to none +# POSTGPEXEC Global post executable +# defaults to ${EXECglobal}/ncep_post +# GRBINDEX GRIB index maker +# defaults to ${EXECUTIL}/grbindex$XC +# ANOMCATSH Global anomaly GRIB script +# defaults to ${USHglobal/global_anomcat.sh +# POSTGPLIST File containing further namelist inputs +# defaults to /dev/null +# INISCRIPT Preprocessing script +# defaults to none +# LOGSCRIPT Log posting script +# defaults to none +# ERRSCRIPT Error processing script +# defaults to 'eval [[ $err = 0 ]]' +# ENDSCRIPT Postprocessing script +# defaults to none +# POSTGPVARS Other namelist inputs to the global post executable +# such as IDRT,KO,PO,KTT,KT,PT,KZZ,ZZ, +# NCPUS,MXBIT,IDS,POB,POT,MOO,MOOA,MOW,MOWA, +# ICEN,ICEN2,IENST,IENSI +# defaults to none set +# NTHREADS Number of threads +# defaults to 1 +# NTHSTACK Size of stack per thread +# defaults to 64000000 +# VERBOSE Verbose flag (YES or NO) +# defaults to NO +# PGMOUT Executable standard output +# defaults to $pgmout, then to '&1' +# PGMERR Executable standard error +# defaults to $pgmerr, then to '&1' +# pgmout Executable standard output default +# pgmerr Executable standard error default +# REDOUT standard output redirect ('1>' or '1>>') +# defaults to '1>', or to '1>>' to append if $PGMOUT is a file +# REDERR standard error redirect ('2>' or '2>>') +# defaults to '2>', or to '2>>' to append if $PGMERR is a file +# +# Exported Shell Variables: +# PGM Current program name +# pgm +# ERR Last return code +# err +# +# Modules and files referenced: +# scripts : $INISCRIPT +# $LOGSCRIPT +# $ERRSCRIPT +# $ENDSCRIPT +# $ANOMCATSH +# +# programs : $POSTGPEXEC +# $GRBINDEX +# +# input data : $1 or $SIGINP +# $2 or $SFCINP +# $POSTGPLIST +# +# output data: $3 or $FLXIOUT +# $4 or $PGBOUT +# $5 or $PGIOUT +# $PGMOUT +# $PGMERR +# +# scratch : ${DATA}/postgp.inp.sig +# ${DATA}/postgp.inp.flx +# ${DATA}/postgp.out.pgb +# +# Remarks: +# +# Condition codes +# 0 - no problem encountered +# >0 - some problem encountered +# +# Control variable resolution priority +# 1 Command line argument. +# 2 Environment variable. +# 3 Inline default. +# +# Attributes: +# Language: POSIX shell +# Machine: IBM SP +# +#### +################################################################################ +# Set environment. +export VERBOSE=${VERBOSE:-"NO"} +if [[ "$VERBOSE" = "YES" ]] +then + echo $(date) EXECUTING $0 $* >&2 + set -x +fi +# Command line arguments. +export SIGINP=${1:-${SIGINP}} +export FLXINP=${2:-${FLXINP}} +export FLXIOUT=${3:-${FLXIOUT}} +export PGBOUT=${4:-${PGBOUT}} +#export PGIOUT=${5:-${PGIOUT}} +export PGIOUT=${PGIOUT:-pgb.idx} +export IO=${6:-${IO:-0}} +export JO=${7:-${JO:-0}} +export IGEN=${8:-${IGEN:-0}} +# Directories. +export NWPROD=${NWPROD:-/nwprod} +export EXECUTIL=${EXECUTIL:-$NWPROD/util/exec} +export USHUTIL=${USHUTIL:-$NWPROD/util/ush} +export EXECglobal=${EXECglobal:-$NWPROD/exec} +export USHglobal=${USHglobal:-$NWPROD/ush} +export DATA=${DATA:-$(pwd)} +# Filenames. +export MP=${MP:-$([[ $LOADL_STEP_TYPE = PARALLEL ]]&&echo "p"||echo "s")} +export XC=${XC} +export POSTGPEXEC=${POSTGPEXEC:-${EXECglobal}/ncep_post} +export OVERPARMEXEC=${OVERPARMEXEC:-${EXECglobal}/overparm_grib} +export ANOMCATSH=${ANOMCATSH:-${USHglobal}/global_anomcat.sh} +export CHGRESSH=${CHGRESSH:-${USHglobal}/global_chgres.sh} +export POSTGPLIST=${POSTGPLIST:-/dev/null} +export INISCRIPT=${INISCRIPT} +export ERRSCRIPT=${ERRSCRIPT:-'eval [[ $err = 0 ]]'} +export LOGSCRIPT=${LOGSCRIPT} +export ENDSCRIPT=${ENDSCRIPT} +export GFSOUT=${GFSOUT:-gfsout} +export CTLFILE=${CTLFILE:-$NWPROD/parm/gfs_cntrl.parm} +export MODEL_OUT_FORM=${MODEL_OUT_FORM:-binarynemsiompiio} +export GRIBVERSION=${GRIBVERSION:-'grib1'} +# Other variables. +export POSTGPVARS=${POSTGPVARS} +export NTHREADS=${NTHREADS:-1} +export NTHSTACK=${NTHSTACK:-64000000} +export PGMOUT=${PGMOUT:-${pgmout:-'&1'}} +export PGMERR=${PGMERR:-${pgmerr:-'&2'}} +export CHGRESTHREAD=${CHGRESTHREAD:-1} +export FILTER=${FILTER:-1} +export GENPSICHI=${GENPSICHI:-NO} +export GENPSICHIEXE=${GENPSICHIEXE:-${EXECglobal}/genpsiandchi} +export ens=${ens:-NO} +#export D3DINP=${D3DINP:-/dev/null} +typeset -L1 l=$PGMOUT +[[ $l = '&' ]]&&a=''||a='>' +export REDOUT=${REDOUT:-'1>'$a} +typeset -L1 l=$PGMERR +[[ $l = '&' ]]&&a=''||a='>' +export REDERR=${REDERR:-'2>'$a} +################################################################################ +# Preprocessing +$INISCRIPT + +# Chuang: Run chgres if OUTTYP=1 or 0 + +export APRUN=${APRUNP:-${APRUN:-""}} + +# exit if SIGINP does not exist +if [ ${OUTTYP} -le 3 ] ; then + if [ ! -s $SIGINP ] ; then + echo "sigma file not found, exitting" + exit 111 + fi +fi + +export SIGHDR=${SIGHDR:-$NWPROD/exec/global_sighdr} +export IDRT=${IDRT:-4} + +if [ ${OUTTYP} -le 1 ] ; then + export JCAP=${JCAP:-`echo jcap|$SIGHDR ${SIGINP}`} + export LEVS=${LEVS:-`echo levs|$SIGHDR ${SIGINP}`} + export IDVC=${IDVC:-$(echo idvc|$SIGHDR ${SIGINP})} + export IDVM=${IDVM:-$(echo idvm|$SIGHDR ${SIGINP})} + export NVCOORD=${NVCOORD:-$(echo nvcoord|$SIGHDR ${SIGINP})} + export IVSSIG=${IVSSIG:-$(echo ivs|$SIGHDR ${SIGINP})} + export LATCH=${LATCH:-8} + if [ ${OUTTYP} -eq 1 ] ; then + export CHGRESVARS="IDVC=$IDVC,IDVM=$IDVM,NVCOORD=$NVCOORD,IVSSIG=$IVSSIG,LATCH=$LATCH," + elif [ ${OUTTYP} -eq 0 ] ; then + export CHGRESVARS="LATCH=$LATCH,$CHGRESVARS" + fi + #export SIGLEVEL=${SIGLEVEL:-""} + export SIGLEVEL=${SIGLEVEL:-"$NWPROD/fix/global_hyblev.l${LEVS}.txt"} + # specify threads for running chgres + export OMP_NUM_THREADS=$CHGRESTHREAD + export NTHREADS=$OMP_NUM_THREADS + if [ ${JCAP} -eq 574 -a ${IDRT} -eq 4 ] + then + export NTHSTACK=1024000000 + fi + export XLSMPOPTS="parthds=$NTHREADS:stack=$NTHSTACK" + + $CHGRESSH + + export ERR=$? + export err=$ERR + $ERRSCRIPT||exit 1 + +# run post to read sigma file directly if OUTTYP=3 +elif [ ${OUTTYP} -eq 3 ] ; then + export LONB=${LONB:-`echo lonb|$SIGHDR ${SIGINP}`} + export LATB=${LATB:-`echo latb|$SIGHDR ${SIGINP}`} + export MODEL_OUT_FORM=sigio + export GFSOUT=${SIGINP} + +# run post to read nemsio file if OUTTYP=4 +elif [ ${OUTTYP} -eq 4 ] ; then + export nemsioget=${nemsioget:-$EXECglobal/nemsio_get} + export LONB=${LONB:-$($nemsioget $NEMSINP lonf |grep -i "lonf" |awk -F"= " '{print $2}' |awk -F" " '{print $1}')} + export LATB=${LATB:-$($nemsioget $NEMSINP latg |grep -i "latg" |awk -F"= " '{print $2}' |awk -F" " '{print $1}')} + export JCAP=${JCAP:-$($nemsioget $NEMSINP jcap |grep -i "jcap" |awk -F"= " '{print $2}' |awk -F" " '{print $1}')} + + export MODEL_OUT_FORM=${MODEL_OUT_FORM:-binarynemsiompiio} + export GFSOUT=${NEMSINP} + ln -sf $FIXglobal/fix_am/global_lonsperlat.t${JCAP}.${LONB}.${LATB}.txt ./lonsperlat.dat + ln -sf $FIXglobal/fix_am/global_hyblev.l${LEVS}.txt ./global_hyblev.txt +fi + +# allow threads to use threading in Jim's sp lib +# but set default to 1 +export OMP_NUM_THREADS=${OMP_NUM_THREADS:-1} + +pwd=$(pwd) +if [[ -d $DATA ]] +then + mkdata=NO +else + mkdir -p $DATA + mkdata=YES +fi +cd $DATA||exit 99 +################################################################################ +# Post GRIB +export PGM=$POSTGPEXEC +export pgm=$PGM +$LOGSCRIPT +cat <postgp.inp.nml$$ + &NAMPGB + $POSTGPVARS +EOF + +cat <>postgp.inp.nml$$ + / +EOF +if [[ "$VERBOSE" = "YES" ]] +then + cat postgp.inp.nml$$ +fi + +# making the time stamp format for ncep post +export YY=`echo $VDATE | cut -c1-4` +export MM=`echo $VDATE | cut -c5-6` +export DD=`echo $VDATE | cut -c7-8` +export HH=`echo $VDATE | cut -c9-10` + +cat > itag <> itag + +cat itag + +rm -f fort.* + +#ln -sf $SIGINP postgp.inp.sig$$ +#ln -sf $FLXINP postgp.inp.flx$$ +#ln -sf $PGBOUT postgp.out.pgb$$ + +# change model generating Grib number +if [ ${GRIBVERSION} = grib1 ]; then + + if [ ${IGEN} -le 9 ] ; then + cat ${CTLFILE}|sed s:00082:0000${IGEN}:>./gfs_cntrl.parm + elif [ ${IGEN} -le 99 ] ; then + cat ${CTLFILE}|sed s:00082:000${IGEN}:>./gfs_cntrl.parm + elif [ ${IGEN} -le 999 ] ; then + cat ${CTLFILE}|sed s:00082:00${IGEN}:>./gfs_cntrl.parm + else + ln -sf ${CTLFILE} ./gfs_cntrl.parm + fi + ln -sf ./gfs_cntrl.parm fort.14 + +elif [ ${GRIBVERSION} = grib2 ]; then + cp ${POSTGRB2TBL} . + cp ${PostFlatFile} ./postxconfig-NT.txt + if [ ${ens} = "YES" ] ; then + sed < ${PostFlatFile} -e "s#negatively_pert_fcst#${ens_pert_type}#" > ./postxconfig-NT.txt + fi +# cp ${CTLFILE} postcntrl.xml + +fi +export CTL=`basename $CTLFILE` + +ln -sf griddef.out fort.110 +cp ${PARMglobal}/nam_micro_lookup.dat ./eta_micro_lookup.dat + +${APRUN:-mpirun.lsf} $POSTGPEXEC < itag > outpost_gfs_${VDATE}_${CTL} + +export ERR=$? +export err=$ERR +$ERRSCRIPT||exit 2 + +if [ $FILTER = "1" ] ; then + +# Filter SLP and 500 mb height using copygb, change GRIB ID, and then +# cat the filtered fields to the pressure GRIB file, from Iredell + +if [ $GRIBVERSION = grib1 ]; then + $COPYGB -x -i'4,0,80' -k'4*-1,1,102' $PGBOUT tfile + ln -s -f tfile fort.11 + ln -s -f prmsl fort.51 + echo 0 2|$OVERPARMEXEC + $COPYGB -x -i'4,1,5' -k'4*-1,7,100,500' $PGBOUT tfile + ln -s -f tfile fort.11 + ln -s -f h5wav fort.51 + echo 0 222|$OVERPARMEXEC + +#cat $PGBOUT prmsl h5wav >> $PGBOUT + cat prmsl h5wav >> $PGBOUT + +elif [ $GRIBVERSION = grib2 ]; then + if [ ${ens} = YES ] ; then + $COPYGB2 -x -i'4,0,80' -k'1 3 0 7*-9999 101 0 0' $PGBOUT tfile + else + $COPYGB2 -x -i'4,0,80' -k'0 3 0 7*-9999 101 0 0' $PGBOUT tfile + fi + $WGRIB2 tfile -set_byte 4 11 1 -grib prmsl + if [ ${ens} = YES ] ; then + $COPYGB2 -x -i'4,1,5' -k'1 3 5 7*-9999 100 0 50000' $PGBOUT tfile + else + $COPYGB2 -x -i'4,1,5' -k'0 3 5 7*-9999 100 0 50000' $PGBOUT tfile + fi + $WGRIB2 tfile -set_byte 4 11 193 -grib h5wav + +#cat $PGBOUT prmsl h5wav >> $PGBOUT + cat prmsl h5wav >> $PGBOUT + +fi + +fi + +################################################################################ +# Anomaly concatenation +# for now just do anomaly concentration for grib1 +if [ $GRIBVERSION = grib1 ]; then + + if [[ -x $ANOMCATSH ]] + then + if [[ -n $PGIOUT ]] + then + $GRBINDEX $PGBOUT $PGIOUT + fi + export PGM=$ANOMCATSH + export pgm=$PGM + $LOGSCRIPT + + eval $ANOMCATSH $PGBOUT $PGIOUT + + export ERR=$? + export err=$ERR + $ERRSCRIPT||exit 3 + fi +fi +################################################################################ +# Make GRIB index file +if [[ -n $PGIOUT ]] +then + if [ $GRIBVERSION = grib2 ]; then + # JY $GRBINDEX2 $PGBOUT $PGIOUT + $GRB2INDEX $PGBOUT $PGIOUT + else + $GRBINDEX $PGBOUT $PGIOUT + fi +fi +if [[ -r $FLXINP && -n $FLXIOUT && $OUTTYP -le 3 ]] +then + $GRBINDEX $FLXINP $FLXIOUT +fi +################################################################################ +# generate psi and chi +echo "GENPSICHI= " $GENPSICHI +if [ $GENPSICHI = YES ] ; then +#echo "PGBOUT PGIOUT=" $PGBOUT $PGIOUT +#echo "YY MM=" $YY $MM + export psichifile=./psichi.grb + $GENPSICHIEXE < postgp.inp.nml$$ + rc=$? + if [[ $rc -ne 0 ]] ; then echo 'Nonzero return code rc= '$rc ; exit 3 ; fi + cat ./psichi.grb >> $PGBOUT +fi +################################################################################ +# Postprocessing +cd $pwd +[[ $mkdata = YES ]]&&rmdir $DATA +$ENDSCRIPT +set +x +if [[ "$VERBOSE" = "YES" ]] +then + echo $(date) EXITING $0 with return code $err >&2 +fi +exit $err diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.txt b/systems/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.txt new file mode 100644 index 0000000..b5e8c34 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.txt @@ -0,0 +1,2 @@ +STGPSH=/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2/ush/global_nceppost.sh + diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/prep/getges.sh b/systems/fv3gfs/outofcontrol_scripts/theia/prep/getges.sh new file mode 100755 index 0000000..1672800 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/theia/prep/getges.sh @@ -0,0 +1,1385 @@ +#!/bin/ksh +################################################################################ +# +# Name: getges.sh Author: Mark Iredell +# +# Abstract: +# This script copies the valid global guess file to a given file. +# Alternatively, it writes the name of the guess file to standard output. +# Specify option "-n network" for the job network (default global). +# Other options are gdas, gfs, cdas, mrf, prx, etc. +# Specify option "-e environment" for the job environment (default prod). +# Another option is test. +# Specify option "-f fhour" for the specific forecast hour wanted (default any). +# Specify option "-q" for quiet mode to turn off script messages. +# Specify option "-r resolution" for the resolution wanted (default high). +# Other options are 25464 17042, 12628, low, 6228, namopl, any. +# Specify option "-t filetype" for the filetype wanted from among these choices: +# sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3, +# sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3, +# biascr, satang, satcnt, gesfil +# pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3, +# sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl, +# enggrb, enggri, icegrb, icegri, snogrb, snogrb_high, snogri, sstgrb, sstgri. +# natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur, +# nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur, +# nstcur, nflges, nflgp3 +# Specify option "-v valid" for the valid date wanted (default $CDATE). +# Currently, the valid hours specified must be a multiple of 3. +# Either 2-digit or 4-digit years are currently allowed. +# Specify positional argument to be the file to which to copy the guess. +# If missing, the NAME of the guess file is written to standard output. +# A nonzero return code from this script means either the arguments are invalid +# or the guess could not be found; a message is written to standard error in +# this case, but neither a file copy nor a standard output write will be done. +# The file returned is guaranteed to exist and be readable. +# The script uses the utility commands NDATE and NHOUR. +# +# Example 1. Copy the production sigma guess for 1998100100 to the file sges. +# getges.sh -e prod -t sigges -v 1998100100 sges +# +# Example 2. Assign the pressure grib guess for the date 1998100121. +# export CDATE=1998100121 +# export XLFUNIT_12="$(getges.sh -qt pgbges||echo /dev/null)" +# +# Example 3. Get the PRX pgb analysis or the best valid guess at 1998100112. +# getges -e prx -t pgbcur -v 1998100112 pgbfile +# +# Example 5. Get the 24-hour GFS forecast sigma file valid at 1998100112. +# getges -t sigcur -v 1998100112 -f 24 -e gfs sigfile +# +# History: 1996 December Iredell Initial implementation +# 1997 March Iredell Nine new filetypes +# 1997 April Iredell Two new filetypes and -f option +# 1997 December Iredell Four new filetypes +# 1998 April Iredell 4-digit year allowed; +# sigges internal date no longer checked +# 1998 May Iredell T170L42 defaulted; four new filetypes +# and two filetypes deleted +# 1998 June Rogers Nam types added +# 1998 September Iredell high is default resolution +# 2000 March Iredell Cdas and -n option +# 2000 June Iredell Eight new filetypes +# 2002 April Treadon T254L64 defaulted; add angle dependent +# bias correction file +# 2003 March Iredell GFS network out to 384 hours +# 2003 August Iredell Hourly global guesses +# 2005 September Treadon Add satellite data count file (satcnt) +# 2006 September Gayno Add high-res snow analysis +# 2009 January Rogers Added sfluxgrb file +# 2011 April Rogers Added GFS pg2ges file +# 2016 May Menlove Changed GETGES_COM variable to $COMINmodel +# 2016 November Iredell Adapted getges for NEMS GSM +# Also removed a lot of dead wood +# +################################################################################ +#------------------------------------------------------------------------------- +# Set some default parameters. +fhbeg=03 # hour to begin searching backward for guess +fhinc=03 # hour to increment backward in search +fhend=384 # hour to end searching backward for guess + +#------------------------------------------------------------------------------- +# Get options and arguments. +netwk=global # default network +envir=prod # default environment +fhour=any # default forecast hour +quiet=NO # default quiet mode +trace=NO # default execution trace mode +resol=high # default resolution +typef=sigges # default filetype +valid=${CDATE:-'?'} # default valid date +err=0 + +while getopts n:e:f:qxr:t:v: opt;do + case $opt in + n) netwk="$OPTARG";; + e) envir="$OPTARG";; + f) fhour="$OPTARG";; + q) quiet=YES;; + x) trace=YES;; + r) resol="$OPTARG";; + t) typef="$OPTARG";; + v) valid="$OPTARG";; + \?) err=1;; + esac +done +shift $(($OPTIND-1)) +gfile=$1 +if [[ -z $valid ]];then + echo "$0: either -v option or environment variable CDATE must be set" >&2 +elif [[ $# -gt 1 ]];then + echo "$0: too many positional arguments" >&2 +elif [[ $err -ne 0 ]];then + echo "$0: invalid option" >&2 +fi +if [[ $gfile = '?' || $# -gt 1 || $err -ne 0 || -z $valid ||\ + $netwk = '?' || $envir = '?' || $fhour = '?' || $resol = '?' ||\ + $typef = '?' || $valid = '?' ]];then + echo "Usage: getges.sh [-n network] [-e environment] [-f fhour] [-q] [-r resolution]" >&2 + echo " [-t filetype] [-v valid] [gfile]" >&2 + if [[ $netwk = '?' ]];then + echo " network choices:" >&2 + echo " global (default), namopl, gdas, gfs, cdas, etc." >&2 + elif [[ $envir = '?' ]];then + echo " environment choices:" >&2 + echo " prod (default), test, para, dump, prx" >&2 + echo " (some network values allowed for compatibility)" >&2 + elif [[ $fhour = '?' ]];then + echo " fhour is optional specific forecast hour" >&2 + elif [[ $resol = '?' ]];then + echo " resolution choices:" >&2 + echo " high (default), 25464, 17042, 12628, low, 6228, namopl, any" >&2 + elif [[ $typef = '?' ]];then + echo " filetype choices:" >&2 + echo " sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3," >&2 + echo " sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3," >&2 + echo " sfgges, sfggp3, biascr, satang, satcnt, gesfil" >&2 + echo " pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3," >&2 + echo " sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl," >&2 + echo " enggrb, enggri, icegrb, icegri, snogrb, snogri, sstgrb, sstgri," >&2 + echo " pg2cur, pg2ges, restrt," >&2 + echo " natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur," >&2 + echo " nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur," >&2 + echo " nstcur, nflges, nflgp3," >&2 + elif [[ $valid = '?' ]];then + echo " valid is the valid date in yyyymmddhh or yymmddhh form" >&2 + echo " (default is environmental variable CDATE)" >&2 + elif [[ $gfile = '?' ]];then + echo " gfile is the guess file to write" >&2 + echo " (default is to write the guess file name to stdout)" >&2 + else + echo " (Note: set a given option to '?' for more details)" >&2 + fi + exit 1 +fi +[[ $trace == YES ]]&&set -x +if [[ $envir != prod && $envir != test && $envir != para && $envir != dump && $envir != pr? && $envir != dev ]];then + netwk=$envir + envir=prod + echo '************************************************************' >&2 + echo '* WARNING: Using "-e" is deprecated in this case. *' >&2 + echo '* Please use "-n" instead. *' >&2 + echo '************************************************************' >&2 +fi +if [[ "$netwk" = "namopl" || "$resol" = "namopl" ]];then + netwk=namopl + typef=restrt + resol=namopl +fi +[[ $resol = 57464 || $resol = 38264 || $resol = 19064 || $resol = 25464 || $resol = 17042 || $resol = 12628 ]]&&resol=high +[[ $resol = 6228 ]]&&resol=low +resolsuf="" +[[ $resol == *deg ]]&&resolsuf=.$resol +fhbeg=$(${NHOUR:?} $valid) +[[ $fhbeg -le 0 ]]&&fhbeg=03 +((fhbeg=(10#$fhbeg-1)/3*3+3)) +[[ $fhbeg -lt 10 ]]&&fhbeg=0$fhbeg +if [[ $typef = enggrb ]];then + typef=icegrb + echo '************************************************************' >&2 + echo '* WARNING: Using "-t enggrb" is now deprecated. *' >&2 + echo '* Please use "-t icegrb". *' >&2 + echo '************************************************************' >&2 +elif [[ $typef = enggri ]];then + typef=icegri + echo '************************************************************' >&2 + echo '* WARNING: Using "-t enggri" is now deprecated. *' >&2 + echo '* Please use "-t icegri". *' >&2 + echo '************************************************************' >&2 +fi + +#------------------------------------------------------------------------------- +# Assemble guess list in descending order from the best guess. +geslist="" +getlist00="" + +# GDAS +if [[ "$netwk" = "gdas" ]];then + if [ -z "$COMINgdas" ]; then + echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 + exit 1 + fi + fhend=12 + case $typef in + biascr) geslist=' + $COMINgdas/gdas.t${cyc}z.abias' + ;; + biascr_pc) geslist=' + $COMINgdas/gdas.t${cyc}z.abias_pc' + ;; + biascr_air) geslist=' + $COMINgdas/gdas.t${cyc}z.abias_air' + ;; + radstat) geslist=' + $COMINgdas/gdas.t${cyc}z.radstat' + ;; + pgbges) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh' + ;; + pg2ges) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' + ;; + pgbgm6) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6' + ;; + pgbgm3) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3' + ;; + pgbgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3' + ;; + pgbcur) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINgdas/gdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINgdas/gdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINgdas/gdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576' + fhbeg=00 + fhinc=06 + ;; + snogrb_1534) geslist=' + $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINgdas/gdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + natges) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' + ;; + natgm3) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio' + ;; + natgm2) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio' + ;; + natgm1) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio' + ;; + natgp1) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio' + ;; + natgp2) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio' + ;; + natgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio' + ;; + natcur) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' + getlist00=' + $COMINgdas/gdas.t${cyc}z.atmanl.nemsio' + fhbeg=00 + ;; + nsfges) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' + ;; + nsfgm3) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio' + ;; + nsfgm2) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio' + ;; + nsfgm1) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio' + ;; + nsfgp1) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio' + ;; + nsfgp2) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio' + ;; + nsfgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio' + ;; + nsfcur) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' + getlist00=' + $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio' + fhbeg=00 + ;; + nstcur) geslist=' + $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio' + getlist00=' + $COMINgdas/gdas.t${cyc}z.nstanl.nemsio' + fhbeg=00 + ;; + nflges) geslist=' + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' + ;; + nflgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio' + ;; + nflcur) geslist=' + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' + fhbeg=00 + ;; + esac + +# CFS-CDAS +elif [[ "$netwk" = "cfs-cdas" ]];then + if [ -z "$COMINcfs_cdas" ]; then + echo "getges.sh ERROR: The \$COMINcfs_cdas variable must be defined." >&2 + exit 1 + fi + fhend=12 + case $typef in + sigges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fh}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm3}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm2}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm1}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp1}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp2}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp3}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.abias' + ;; + satang) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.satang' + ;; + satcnt) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fh' + ;; + sfggp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fhp3' + ;; + pgbges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm6 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm6 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhp3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhp3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' + getlist00=' + $COMINcfs_cdas/cdas1.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' + getlist00=' + $COMINcfs_cdas/cdas1.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574 + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# GFS +elif [[ "$netwk" = "gfs" ]];then + if [ -z "$COMINgfs" ]; then + echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 + exit 1 + fi + fhend=384 + case $typef in + natges) geslist=' + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + ;; + pgbcur) geslist=' + $COMINgfs/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINgfs/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvitl) geslist=' + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINgfs/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINgfs/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_1534) geslist=' + $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINgfs/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + natcur) geslist=' + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + getlist00=' + $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' + fhbeg=00 + ;; + nsfcur) geslist=' + $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' + getlist00=' + $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' + fhbeg=00 + ;; + nstcur) geslist=' + $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' + getlist00=' + $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' + fhbeg=00 + ;; + nflcur) geslist=' + $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' + fhbeg=00 + ;; + esac + +# CDAS +elif [[ "$netwk" = "cdas" ]];then + if [ -z "$COMINcdas" ]; then + echo "getges.sh ERROR: The \$COMINcdas variable must be defined." >&2 + exit 1 + fi + fhbeg=06 + fhend=06 + case $typef in + sigges) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $COMINcdas/cdas.t${cyc}z.abias' + ;; + satang) geslist=' + $COMINcdas/cdas.t${cyc}z.satang' + ;; + satcnt) geslist=' + $COMINcdas/cdas.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $COMINcdas/cdas.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fh' + getlist00=' + $COMINcdas/cdas.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fh' + getlist00=' + $COMINcdas/cdas.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINcdas/cdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINcdas/cdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $COMINcdas/cdas.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINcdas/cdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $COMINcdas/cdas.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINcdas/cdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $COMINcdas/cdas.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# CDC CDAS +elif [[ "$netwk" = "cdc" ]];then + if [ -z "$COMINcdc" ]; then + echo "getges.sh ERROR: The \$COMINcdc variable must be defined." >&2 + exit 1 + fi + fhbeg=06 + fhend=06 + case $typef in + sigges) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $COMINcdc/cdas.t${cyc}z.abias' + ;; + satang) geslist=' + $COMINcdc/cdas.t${cyc}z.satang' + ;; + satcnt) geslist=' + $COMINcdc/cdas.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $COMINcdc/cdas.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fh' + getlist00=' + $COMINcdc/cdas.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fh' + getlist00=' + $COMINcdc/cdas.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINcdc/cdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINcdc/cdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $COMINcdc/cdas.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINcdc/cdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $COMINcdc/cdas.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINcdc/cdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $COMINcdc/cdas.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# Any resolution production +elif [[ "$netwk" = "global" ]];then + if [ -z "$COMINgdas" ]; then + echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 + exit 1 + fi + if [ -z "$COMINgfs" ]; then + echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 + exit 1 + fi + GETGES_NWG=${GETGES_NWG:-${GESROOT:?}} + case $typef in + biascr) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.abias + $COMINgdas/gdas.t${cyc}z.abias + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias + $COMINgfs/gfs.t${cyc}z.abias' + fhbeg=06 + fhinc=06 + ;; + pgbges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $COMINgfs/gfs.t${cyc}z.pgrbf$fh' + ;; + pgbgm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm6 + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm6 + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 + $COMINgfs/gfs.t${cyc}z.pgrbf$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm3 + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm3 + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 + $COMINgfs/gfs.t${cyc}z.pgrbf$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhp3 + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhp3 + $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 + $COMINgfs/gfs.t${cyc}z.pgrbf$fhp3' + ;; + pg2ges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$gh + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$gh + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' + ;; + pg2gm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm6 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm6' + ;; + pg2gm5) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm5 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm5' + ;; + pg2gm4) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm4 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm4' + ;; + pg2gm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm3 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm3' + ;; + pg2gm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm2 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm2' + ;; + pg2gm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm1 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm1' + ;; + pg2gp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp1 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp1' + ;; + pg2gp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp2 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp2' + ;; + pg2gp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp3 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp3' + ;; + pgbcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $COMINgfs/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p50.f$gh + $COMINgdas/gdas.t${cyc}z.pgrb2.0p50.f$gh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f$gh + $COMINgfs/gfs.t${cyc}z.pgrb2.0p50.f$gh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.prepbufr + $COMINgdas/gdas.t${cyc}z.prepbufr + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr + $COMINgfs/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.engicegrb + $COMINgdas/gdas.t${cyc}z.engicegrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb + $COMINgfs/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb + $COMINgdas/gdas.t${cyc}z.snogrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb + $COMINgfs/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t574.1152.576 + $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574.1152.576 + $COMINgfs/gfs.t${cyc}z.snogrb_t574.1152.576' + fhbeg=00 + fhinc=06 + ;; + snogrb_1534) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t1534.3072.1536 + $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t1534.3072.1536 + $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sstgrb + $COMINgdas/gdas.t${cyc}z.sstgrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb + $COMINgfs/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + natges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + ((vhr=$valid%100)) + if [[ $(($vhr % 3)) -ne 0 ]]; then + fhinc=01 + fi + ;; + natgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm3.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm3.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghm3.nemsio' + ;; + natgm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm2.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm2.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghm2.nemsio' + ;; + natgm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm1.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm1.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghm1.nemsio' + ;; + natgp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp1.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp1.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghp1.nemsio' + ;; + natgp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp2.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp2.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghp2.nemsio' + ;; + natgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp3.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp3.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghp3.nemsio' + ;; + natcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmanl.nemsio + $COMINgdas/gdas.t${cyc}z.atmanl.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmanl.nemsio + $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' + fhbeg=00 + ;; + nsfges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' + ;; + nsfgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm3.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm3.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghm3.nemsio' + ;; + nsfgm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm2.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm2.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghm2.nemsio' + ;; + nsfgm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm1.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm1.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghm1.nemsio' + ;; + nsfgp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp1.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp1.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghp1.nemsio' + ;; + nsfgp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp2.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp2.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghp2.nemsio' + ;; + nsfgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp3.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp3.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghp3.nemsio' + ;; + nsfcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcanl.nemsio + $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl.nemsio + $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' + fhbeg=00 + ;; + nstcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstanl.nemsio + $COMINgdas/gdas.t${cyc}z.nstanl.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstanl.nemsio + $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' + fhbeg=00 + ;; + nflges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' + ;; + nflgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$ghp3.nemsio + $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$ghp3.nemsio + $COMINgfs/gfs.t${cyc}z.flxf$ghp3.nemsio' + ;; + nflcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' + fhbeg=00 + ;; + esac +fi + +# Check validity of options. +if [[ $fhour != any ]];then + fhbeg=$fhour + fhend=$fhour +fi +if [[ $valid -lt 20000000 ]];then + valid=20$valid + echo '************************************************************' >&2 + echo '* WARNING: A 2-digit year was converted to a 4-digit year. *' >&2 + echo '* Please use full a 4-digit year in this utility. *' >&2 + echo '************************************************************' >&2 +fi +if [[ $($NDATE 0 $valid 2>/dev/null) != $valid ]];then + echo getges.sh: invalid date $valid >&2 + exit 2 +fi +if [[ -z "$geslist" ]];then + echo getges.sh: filetype $typef or resolution $resol not recognized >&2 + exit 2 +fi + +#------------------------------------------------------------------------------- +# Loop until guess is found. +fh=$fhbeg +if [ -z "$PDY" ];then echo "getges.sh WARNING: \$PDY variable not set" >&2; fi +while [[ $fh -le $fhend ]];do + ((fhm6=10#$fh-6)) + [[ $fhm6 -lt 10 && $fhm6 -ge 0 ]]&&fhm6=0$fhm6 + ((fhm5=10#$fh-5)) + [[ $fhm5 -lt 10 && $fhm5 -ge 0 ]]&&fhm5=0$fhm5 + ((fhm4=10#$fh-4)) + [[ $fhm4 -lt 10 && $fhm4 -ge 0 ]]&&fhm4=0$fhm4 + ((fhm3=10#$fh-3)) + [[ $fhm3 -lt 10 && $fhm3 -ge 0 ]]&&fhm3=0$fhm3 + ((fhm2=10#$fh-2)) + [[ $fhm2 -lt 10 && $fhm2 -ge 0 ]]&&fhm2=0$fhm2 + ((fhm1=10#$fh-1)) + [[ $fhm1 -lt 10 && $fhm1 -ge 0 ]]&&fhm1=0$fhm1 + ((fhp1=10#$fh+1)) + [[ $fhp1 -lt 10 ]]&&fhp1=0$fhp1 + ((fhp2=10#$fh+2)) + [[ $fhp2 -lt 10 ]]&&fhp2=0$fhp2 + ((fhp3=10#$fh+3)) + [[ $fhp3 -lt 10 ]]&&fhp3=0$fhp3 + gh=$fh;[[ $gh -lt 100 ]]&&gh=0$gh + ghm6=$fhm6;[[ $ghm6 -lt 100 ]]&&ghm6=0$ghm6 + ghm5=$fhm5;[[ $ghm5 -lt 100 ]]&&ghm5=0$ghm5 + ghm4=$fhm4;[[ $ghm4 -lt 100 ]]&&ghm4=0$ghm4 + ghm3=$fhm3;[[ $ghm3 -lt 100 ]]&&ghm3=0$ghm3 + ghm2=$fhm2;[[ $ghm2 -lt 100 ]]&&ghm2=0$ghm2 + ghm1=$fhm1;[[ $ghm1 -lt 100 ]]&&ghm1=0$ghm1 + ghp1=$fhp1;[[ $ghp1 -lt 100 ]]&&ghp1=0$ghp1 + ghp2=$fhp2;[[ $ghp2 -lt 100 ]]&&ghp2=0$ghp2 + ghp3=$fhp3;[[ $ghp3 -lt 100 ]]&&ghp3=0$ghp3 + id=$($NDATE -$fh $valid) + typeset -L8 day=$id + typeset -R2 cyc=$id + eval list=\$getlist$fh + [[ -z "$list" ]]&&list=${geslist} + for ges_var in $list;do + # Replace variables in guess with their values + eval ges_val=$ges_var + # Replace the current PDY with the valid date + ges=${ges_val/$PDY\//$day/} + [[ $quiet = NO ]]&&echo Checking: $ges >&2 + [[ -r $ges ]]&&break 2 + done + fh=$((10#$fh+10#$fhinc)) + [[ $fh -lt 10 ]]&&fh=0$fh +done +if [[ $fh -gt $fhend ]];then + echo getges.sh: unable to find $netwk.$envir.$typef.$resol.$valid >&2 + exit 8 +fi + +#------------------------------------------------------------------------------- +# Either copy guess to a file or write guess name to standard output. +if [[ -z "$gfile" ]];then + echo $ges + exit $? +else + cp $ges $gfile + exit $? +fi diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/prep/getges.txt b/systems/fv3gfs/outofcontrol_scripts/theia/prep/getges.txt new file mode 100644 index 0000000..92e2025 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/theia/prep/getges.txt @@ -0,0 +1 @@ +prep=/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/getges.sh diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.sh b/systems/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.sh new file mode 100755 index 0000000..ff85639 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.sh @@ -0,0 +1,2466 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + +#### UNIX Script Documentation Block +# +# Script name: prepobs_makeprepbufr.sh +# Script description: Prepares & quality controls PREPBUFR file +# +# Author: Keyser Org: EMC Date: 2017-04-20 +# +# Abstract: This script creates the PREPBUFR file containing observational data +# assimilated by all versions of NCEP atmospheric analyses. It points to BUFR +# observational data dumps as input files. PREPOBS_PREPDATA combines them to +# generate an initial form of the PREPBUFR file which also contains the +# background guess as well as the observational error field. If tropical +# cyclone relocation processing has previously occurred, the background global +# guess read in by PREPOBS_PREPDATA (and later by SYNDAT_SYNDATA if it runs) +# is the relocated guess valid at the center date/time for the PREPBUFR +# processing. Otherwise it is the regular (unrelocated) global atmosperic +# guess obtained via the getges utility script. After PREPOBS_PREPDATA runs, +# this script can execute SYNDAT_SYNDATA to generate synthetic wind bogus +# data, as well as their background guess and observational error fields, +# which are appended to the PREPBUFR file. +# +# In the global networks the decision to append synthetic wind bogus data in +# the SYNDATA processing is determined by the outcome of the previous +# tropical cyclone relocation processing (if it was run). There are three +# possible outcomes: +# 1) If all storms in the original tcvitals file have vorticies of at +# least medium intensity such that a relocation was previously +# performed for each, then SYNDAT_SYNDATA will still run but will not +# append synthetic wind bogus data to the PREPBUFR file for any storm. +# It will input the original tcvitals file (output from qctropcy +# processing) and (if the option is set) it will flag dropwinsonde +# winds in the vicinity of each tropical storm in the file. +# 2) If all storms in the original tcvitals file (output from qctropcy +# processing) have weak vorticies such that a relocation was not +# previously performed for any, then SYNDAT_SYNDATA will run, inputting +# the original tcvitals file, and it will append synthetic wind bogus +# data to the PREPBUFR file for each storm in it. It will also +# possibly flag mass pressure and/or dropwinsonde wind reports in the +# vicinity of each storm (if requested). +# 3) If some storms in the original tcvitals file (output from qctropcy +# processing) have weak vorticies, such that a relocation was not +# previously performed for them, and others have vorticies of at least +# medium intensity, such that a relocation was previously performed for +# these, then SYNDAT_SYNDATA will run twice. The first time, it will +# input the relocation-generated tcvitals file, which contains all of +# the weak storms, and it will append synthetic wind bogus data to the +# PREPBUFR file for each storm in it. It will also possibly flag mass +# pressure and/or dropwinsonde wind reports in the vicinity of each of +# these storms (if requested). The second time SYNDAT_SYNDATA runs, it +# will input any storm records that were in the original tcvitals file +# but not in the relocation-generated tcvitals file (i.e., storms with +# vorticies of at least medium intensity). It will not append +# synthetic wind bogus data to the PREPBUFR file for any of these +# storms, but it will flag dropwinsonde winds in the vicinity of each +# storm in the original tcvitals file but not in the relocation- +# generated tcvitals file (if requested). +# If this is the nam network, the only reason relocation processing would +# have been previously run would be to update the first guess read in here by +# PREPOBS_PREPDATA and SYNDAT_SYNDATA. In this case, SYNDAT_SYNDATA inputs +# the original tcvitals file (output from qctropcy processing), appends +# synthetic wind bogus data to the PREPBUFR file for each storm in it, and +# possibly also flags mass pressure and/or dropwinsonde wind reports in the +# vicinity of each storm in the file (if requested). +# +# After all of this, the script then executes a series of quality control +# programs which can change the observation value and/or its quality marker. +# The PREPBUFR file is set up such that all changes to data are stacked on +# top of previous values. Such changes are considered to be "events", with +# the event containing an associated program code and reason code to describe +# it. This allows the PREPBUFR file to internally contain a record of all +# events preformed on the observations. This script has been designed to be +# executed by either an "operational J-job" script, a "test J-job" script, a +# "parallel J-job" script, or a stand-alone batch run initiated by a user. +# +# Script history log: +# 1999-07-20 Dennis A. Keyser -- Original version for implementation +# 2000-06-14 Dennis A. Keyser -- Added the tropical cyclone relocation +# processing +# 2001-03-20 Dennis A. Keyser -- Now gets tcvitals file for t-12 as well as +# t-06 in tropical cyclone relocation processing and passes both to ush +# relocate_relocate_ts.sh as new pos. parameters 3 and 4 +# 2004-01-15 Dennis A. Keyser -- Reads file *aircar_status_flag* in $COMSP +# path to see whether ARINC (primary) or AFWA (backup) reports in AIRCAR +# dump should be read and processed as ACARS data in PREPBUFR (flag file +# generated in upstream dump process and is based on a comparison of report +# counts), inserts proper switch in parm cards read by PREPOBS_PREPDATA +# program +# 2004-08-30 Dennis A. Keyser -- Connects new data dumps to PREPDATA +# processing, copies t-3 and t+3 sigma guess files for GFS and GDAS even if +# DO_RELOCATE = NO (unless GETGUESS = NO); Copies the "PRE-QC" snapshot of +# the PREPBUFR file AFTER SYNDAT_SYNDATA runs (if it does) rather than +# before it runs (ensures that the PRE-QC PREPBUFR file contains ALL of the +# observations); Variable PRVT (observational error table file path) is now +# read by NAM network and defaults to $FIXPREP/prepobs_errtable.nam if not +# imported (obs. errors are now read into PREPBUFR file in NAM network in +# preparation for the switch to the GSI analysis, the operational 3DVAR +# analysis ignores the obs errors in PREPBUFR and still reads them in from +# $PARMPREP/nam_errtable.r3dv) +# 2005-07-01 Dennis A. Keyser -- Logic changes to run SYNDAT_SYNDATA in all +# networks where requested regardless of outcome of relocation processing, +# but sets new script variable DO_BOGUS to NO if SYNDAT_SYNDATA is to NOT +# generate synthetic wind bogus reports and append them to PREPBUFR file +# (SYNDAT_SYNDATA program also modified to read in this variable) - change +# needed because (if requested) SYNDAT_SYNDATA will now flag all +# dropwinsonde wind reports in vicinity of each storm in original tcvitals +# file, regardless of whether or not bogus winds are generated +# 2006-03-22 Dennis A. Keyser -- Accounts for possibility of split dump status +# files (status1 and status2) at the time this runs {in the test for the +# presence of the dump status file(s)} +# 2006-06-13 Dennis A. Keyser -- Adds dump file "wdsatr" to default BUFRLIST +# value and assigns it to unit 38 read in to PREPOBS_PREPDATA; Removed +# tropical cyclone relocation processing, this is now done (if requested) +# in a new script called tropcy_relocate.sh which runs in the new +# TROPCY_QC_RELOC job prior to the PREP job that executes this script +# (TROPCY_QC_RELOC first performs qctropcy processing, this was moved from +# the DUMP job) - this was done to allow the TROPCY_QC_RELOC job to run at +# the same time as the DUMP job in order to speed up overall obs +# processing and remove variability in the PREP job executing this script +# (i.e., this job had run faster when no tropical storms were present) +# 2007-09-14 Dennis A. Keyser -- Replaced "export XLFUNIT_xx=" with +# "ln .sf fort.xx" in preparation for PREPOBS_PREPDATA +# interfacing with global spectral guess files using sigio routines (via +# W3LIB routine GBLEVENTS - sigio requires explicit open statements in the +# code and this conflicts with XLFUNIT statements; Removed test on +# existence of status2 file from NAM_DUMP2 and NDAS_DUMP2 jobs since dump +# files here (currently only "nexrad") are not processed into the PREPBUFR +# file - PREP job initiation may soon no longer be dependent upon +# completion of DUMP2 job in NAM and NDAS networks; in the case where an +# input (normally, pre-QC) PREPBUFR file is passed into the script via the +# variable PREPBUFR_IN, it had been assumed that this file had already +# been run through SYNDATA processing (but that was not the case prior to +# 12Z 25 Jan 2005) - this script changed to use the value of variable +# SYNDATA to determine if the file in PREPBUFR_IN should be run through +# SYNDATA processing (i.e., if SYNDATA=YES, run it through SYNDATA +# processing) (this change will allow reanalysis runs prior to 12Z 25 Jan +# 2005 to work properly) +# 2008-09-25 Dennis A. Keyser -- Added dump file "ascatw" to default BUFRLIST +# value and assigns it to unit 39 read in to PREPOBS_PREPDATA; in +# preparation for future NRL aircraft QC code NRLACQC, added new script +# variables NRLACQC (def=NO), USHNQC (def=${HOMEALL}/ush), NQCX +# (def=$EXECPREP/prepobs_nrlacqc) and NQCC +# (def=$PARMPREP/prepobs_nrlacqc.${NET}.parm"), if NRLACQC=YES will +# execute script USHNQC to perform NRL aircraft QC (not yet ready) +# 2011-10-14 D.A. Keyser -- Updated to handle new "rap" (Rapid Refresh) +# network and its model runs "rap", "rap_p" and "rap_e" +# 2012-05-09 S. Bender/D. Keyser -- Removed all prior references to "NRL" +# aircraft QC script variables (never actually used) since the NRL +# aircraft QC nomenclature is being dropped in place of the existing +# PREPACQC nomenclature and will use its existing script variables; +# removed all references to the ACARSQC processing since it is no longer +# executed (ACARS QC is now performed within the revamped PREPACQC +# processing); removed script variables no longer used by the new version +# of the PREPACQC processing; added new script variables which are +# associated with the new program PREPOBS_PREPACPF which now runs as a +# second program within the PREPACQC processing (after program +# PREPOBS_PREPACQC) in the ush script prepobs_prepacqc.sh {PROCESS_ACQC +# (def=YES), PROCESS_ACPF (def=YES), DICTPREP (def=$HOMEALL/dictionaries), +# DICT (def=$DICTPREP/metar.tbl), APFX (def=$EXECPREP/prepobs_prepacpf), +# and the new second argument "$DATA/adpsfc" passed to +# prepobs_prepacqc.sh} +# 2013-03-05 D.A. Keyser -- Modified to properly run on WCOSS system: replaced +# all usage of "timex" with "time -p."; replaced script variables +# XLFUNIT_n with FORTn (where n is the unit number connected to the +# filename defined by the variable FORTn) - needed because ifort uses +# FORTn; script is now set to run under ksh shell as the default; added +# script variable "BACK" which, when YES, threads the mp_prepdata herefile +# into background shells that run simultaneously (an alternative option +# to poe which is not ready on WCOSS); touches all dump files not included +# in BUFRLIST so that they will not cause a read error if PREPOBS_PREPDATA +# tries to read them +# 2014-01-15 S. Melchior -- Placed into new OBSPROC_PREP vertical directory +# structure/environmental equivalence paradigm. As a result: imports new +# environment variable $HOMEobsproc_prep which points to directory path for +# generic prep subdirectories under version control (in production this is +# normally /nwprod/obsproc_prep.vX.Y.Z where X.Y.Z is version number being +# used, usually the latest); and imports new environment variable +# $HOMEobsproc_network which points to directory path for network-specific +# prep subdirectories under version control (in production this is normally +# /nwprod//obsproc_NETWORK.vX.Y.Z where NETWORK is, e.g., global, namp, rap, +# rtma, urma, and X.Y.Z is version number being used, usually the latest) - +# these replace /nw${envir} in order to point to files moved from +# horizontal to vertical directory structure. +# 2014-02-25 D.A. Keyser -- Removed all references to RUC. Removed option +# to run on MACHINE=sgi - this is obsolete (as a result variables $MACHINE +# and $HOMEALL are no longer used in this script). Replaced variable +# $EXECUTIL with $utilexec for directory path to utility program ndate +# (both were exported from job scripts with same value, $EXECUTIL has now +# been removed from all job scripts). Removed all references to "cdc" +# network (this is obsolete). +# 2014-07-23 D.A. Keyser -- Imported script environment variable DICTPREP now +# defaults to new vertical structure directory path location for metar.tbl +# dictionary, /nw${envir}/decoders/decod_shared/dictionaries, rather than +# old horizontal structure location, /nw${envir}/dictionaries (the latter +# will be removed in September 2014). +# 2016-02-05 JWhiting -- Use NCO-established variables to point to root +# directories for main software components and input/output directories in +# order to run on WCOSS Phase 1 or Phase 2 (here, $COMROOT which replaces +# hardwire to "/com", $NWROOT which replaces hardwire to "/nwprod" in +# comments only). Use NCO-established variables (presumably obtained from +# modules) to point to prod utilities [here, $NDATE from module prod_util +# (default or specified version, loaded in each network which executes this +# script) which replaces executable ndate in non-versioned, horizontal +# structure utility directory path defined by imported variable $utilexec]. +# 2016-04-29 D.A. Keyser -- Updated logic such that when tropical cyclone +# relocation has not run, a first guess is required, the network is gfs or +# gdas, but the cycle time is not 00, 06, 12 or 18z, no attempt will be +# made to obtain a guess 3-hrs before and after cycle time (since it can +# fail). Instead this is treated the same as any 3- or 1-hrly cycle run +# (like rap, e.g.) meaning two guess files will be obtained at the +# spanning 3 hour interval around any cycle time not a multiple of 3 hrs. +# BENEFIT: Allows future hourly WAM model to run properly. +# 2016-07-12 D.C. Stokes -- Reinstated poe option to run multiple instances +# of the PREPDATA processing script in parallel. New variable $launcher +# defines the parallel scripting launch mechanism (description below). +# Added logic to create scaled down versions of err_chk and err_exit +# scripts if they don't exist in the working directory and eliminated +# similar blocks of logic that had been repeated throughout the script. +# Updated USHGETGES default to pick up more recent versions of getges.sh. +# 2017-02-07 D.C. Stokes -- Updated to run on Cray-XC40 as well as iDataPlex. +# If on Cray-XC40, default parallel scripting launching mechanism is cfp +# inovked by aprun. Variable name used for launching mechanism changed from +# "launcher" to "launcher_PREP". Variable COMDATEROOT is now the primary +# default for the root of the directory containing NCEP date files. The +# variable NWROOTp1 is now the default root for directory DICTPREP. Logic +# used to determine if $COMSP points to production "com" directory was +# updated to recognize full path name (as needed on luna/surge). +# 2017-03-19 D.C. Stokes/D.A. Keyser -- Updated to input nemsio atmopheric +# guess files -or- the older sigio atmospheric files. The nemsio option +# is triggered by flag NEMSIO_IN=.true. For nemsio runs, a single guess +# file valid at the prepbufr center time is picked up, even for runs with +# center time that is not a multiple of 3. Also the dbn_alert subtype is +# now dependent upon $RUN (for transition from "gdas1" to "gdas"). +# 2017-04-20 D.C. Stokes -- Relocated assignments of variable stype to ensure +# it always passes the proper value to the getges utility script. +# +# +# Usage: prepobs_makeprepbufr.sh yyyymmddhh +# +# Input script positional parameters: +# 1 String indicating the center date/time for the PREPBUFR +# processing - if missing, then this time +# is obtained from the ${COMDATEROOT}/date/$cycle file +# +# Imported Shell Variables: +# +# These must ALWAYS be exported to this script by the parent script -- +# +# COMROOT Root to input/output "com" directory (in production, +# normally "/com", "/com2", or "/gpfs/hps/nco/ops/com") +# NSPLIT Number of parts into which the PREPDATA processing shell +# script (herefile MP_PREPDATA) will be split in order to +# run in parallel for computational efficiency (either using +# multiple tasks when POE is not "NO" or in background threads +# when BACK is "YES") +# NOTE : This is required ONLY if the imported shell variable +# POE is not "NO" (see below) or the imported shell +# variable BACK is "YES" (see below) (i.e., a parallel +# environment), and the imported shell variable +# PREPDATA=YES (see below) +# NET String indicating system network {either "gfs", "gdas", +# "cdas", "nam", "rap", "rtma" or "urma"} +# NOTE : NET is changed to gdas in the parent Job script for +# RUN=gdas or RUN=gdas1 (was gfs) +# RUN String indicating model run {either "gfs", "gdas", "gdas1", +# "cdas", "nam", "ndas", "rap", "rap_p", "rap_e", +# "rtma", or "urma"} +# cycle String indicating the center cycle hour for PREPBUFR +# processing {"txxz", where xx is two-digit hour of the day +# (UTC)} +# NOTE : This is required ONLY if input script positional +# parameter 1 is missing (see above) +# DATA String indicating the working directory path (usually a +# temporary location) +# COMSP String indicating the directory/filename path to input BUFR +# observational data dumps, tropical cyclone location +# (tcvitals) files, global atmos guess files, and status +# files (e.g., "$COMROOT/gfs/prod/gfs.20060612/gfs.t12z.") +# DBNROOT String indicating directory path to bin/dbn_alert file +# location +# NOTE : This is required ONLY if the imported shell variable +# SENDDBN is "YES" (see below) +# job - String indicating job name (e.g., 'gdas_prep_12') +# NOTE : This is required ONLY if the imported shell variable +# SENDDBN is "YES" (see below) +# $HOMEobsproc_prep - string indicating directory path to generic prep +# subdirectories under version control +# (in production this is normally +# ${NWROOT}/obsproc_prep.vX.Y.Z where X.Y.Z is +# version number being used, usually the latest) +# $HOMEobsproc_network - string indicating directory path to network- +# specific prep subdirectories under version control +# (in production this is normally +# ${NWROOT}/obsproc_NETWORK.vX.Y.Z where NETWORK is, +# e.g., global, nam, rap, rtma, urma, and X.Y.Z is +# version number being used, usually the latest) +# +# These will be set to their default value in this script if not exported +# to this script by the parent script -- +# +# SITE Site name (may have been set by local shell startup script) +# Default is "" +# sys_tp System type and phase. If not imported, an attempt is made +# to set it using getsystem.pl (an NCO prod_util script). +# A failed attempt results in an empty string. +# NEMSIO_IN Flag that if ".true." indicates that nemsio atmospheric +# background fields will be input rather than sigio. +# Default is "" +# SENDDBN String indicating whether or not to alert an output file to +# the NWS/TOC (= "YES" - invoke alert; anything else - do not +# invoke alert) +# Default is "NO" +# NPROCS Number of "poe" tasks to use for mpmd (must be .GE. $NSPLIT) +# NOTE : This is applicable ONLY if the imported shell +# variable POE is not "NO" (see below) and variable +# launcher_PREP is not "cfp" or "aprun" (see below) and +# the imported shell variable PREPDATA=YES (see below) +# For LSF jobs, the count of hosts listed in string $LSB_HOSTS +# will be used to set NPROCS (overriding any imported value). +# Default is "$NSPLIT" +# envir String indicating environment under which job runs ('prod' +# or 'test') +# Default is "prod" +# envir_getges String indicating environment under which GETGES utility +# ush runs (see getges.sh docblock for more information) +# Default is "$envir" +# network_getges +# String indicating job network under which GETGES utility +# ush runs (see getges.sh docblock for more information) +# Default is "global" unless the center PREPBUFR processing +# date/time is not a multiple of 3-hrs and the global guess is +# sigio-based, then the default is "gfs" +# pgmout String indicating file containing standard output (output +# always contatenated onto this file) +# Default is "/dev/null" +# tstsp String indicating the directory/filename path to one or +# more BUFR observational data dumps and/or tropical cyclone +# location (tcvitals) files and/or global atmos guess files +# and/or status files that are to override the corresponding +# file in $COMSP (this should be imported with the same +# naming convention as $COMSP; e.g., +# "/gpfstmp/wx22dk/test_dump/ndas.20060612/ndas.t12z." - +# (if tstsp is not imported, the default is used and no +# overriding file would exist; if tstsp is imported then any +# file found would override the correspoding file in $COMSP) +# Default is "/tmp/null/" +# tmmark - string indicating hour for center PREPBUFR processing date/ +# time relative to the analysis time embedded in $tstsp or +# $COMSP (e.g., "tm12", "tm09", "tm06", "tm03", "tm00") +# Default is "tm00" +# BUFRLIST String indicating list of BUFR data dump file names to +# process +# Default is "adpupa proflr aircar aircft satwnd adpsfc \ +# sfcshp sfcbog vadwnd goesnd spssmi erscat qkswnd msonet \ +# gpsipw rassda wdsatr ascatw" +# POE String indicating whether or not to use a poe-like launcher +# to spread instances of the PREPBUFR processing herefile +# MP_PREPDATA over multiple pes in parallel. (= "NO" - +# do not invoke invoke "poe"; anything else - invoke "poe") +# Default is "YES" +# launcher_PREP Parallel scripting launch tool. Settings are in place for +# aprun, mpirun.lsf, and cfp but a different tool can be +# specified. +# NOTE : This is applicable ONLY if the imported shell +# variable POE is not "NO" and the imported shell +# variable PREPDATA=YES (see below) +# Default on Cray-XC40 is "aprun". Otherwise: "mpirun.lsf" +# BACK String indicating whether or not to run background shells +# (on the same task) for the PREPBUFR processing (= "YES" - +# run background shells; anything else - do not run +# background shells). IF BACK=YES on Cray-XC40, the shells +# are invoked by aprun. +# USHSYND String indicating directory path for SYNDATA ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHPREV String indicating directory path for PREVENTS ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHCQC String indicating directory path for CQCBUFR ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHPQC String indicating directory path for PROFCQC ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHVQC String indicating directory path for CQCVAD ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHAQC String indicating directory path for PREPACQC ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHOIQC String indicating directory path for OIQCBUFR ush file +# Default is "${HOMEobsproc_prep}/ush" +# EXECPREP String indicating directory path for PREPOBS executables +# Default is "${HOMEobsproc_prep}/exec" +# PARMPREP String indicating directory path for PREPOBS parm files +# Default is "${HOMEobsproc_network}/parm" +# FIXPREP String indicating directory path for PREPOBS fix-field +# files +# Default is "${HOMEobsproc_prep}/fix" +# DICTPREP String indicating directory path for PREPOBS dictionary +# files +# Default is "${NWROOTp1}/decoders/decod_shared/dictionaries" +# EXECSYND String indicating directory path for SYNTHETIC data +# executables +# Default is "${HOMEobsproc_prep}/exec" +# PARMSYND String indicating directory path for SYNTHETIC parm files +# Default is "${HOMEobsproc_network}/parm" +# FIXSYND String indicating directory path for SYNTHETIC data fix- +# field files +# Default is "${HOMEobsproc_prep}/fix" +# GETGUESS String: if = "YES" will encode first guess (background) +# values interpolated by the program PREPOBS_PREPDATA to +# observation locations in the PREPBUFR file for use by the +# q.c. programs. This guess is always from a global atmos +# guess file valid at the center PREPBUFR processing date/ +# time or from an interpolated guess obtained from global +# atmos guess files valid at times 3-hours apart which span +# the PREPBUFR processing date/time (the latter is performed +# by the program PREPOBS_PREPDATA and occurs when the guess +# files are sigio-based and the PREPBUFR date/time hour is not +# a multiple of 3, e.g. 02Z rap or tm04 nam catchup runs). The +# guess file (or files) may be obtained in one of two ways: +# 1) From pre-existing files in the working directory +# $DATA called sgesprep and sgesprepA (either copied +# there prior to the execution of this script, or +# copied there earlier in this script from either +# $tstsp, or if not found there, $COMSP which was +# populated by the previous running of tropical +# cyclone relocation processing +# NOTE 1: sgesprepA is needed only when the guess is +# sigio-based and the PREPBUFR processing +# date/time is not a multiple of 3-hrs. +# NOTE 2: if previous tropical cyclone relocation +# processing was run, then an sgesprepA file +# is NEVER generated, not a problem since +# previous tropical cyclone relocation +# processing is not run in rap, rap_p or +# rap_e runs +# 2) Via the execution of the GETGES utility ush to +# obtain sgesprep (if pre-existing file $DATA/sgesprep +# does not exist), and possibly via the execution of +# the GETGES utility ush to obtain sgesprepA (if +# PREPBUFR processing date/time is not a multiple of +# 3-hrs and the global guess is sigio-based, and the +# pre-existing file $DATA/sgesprepA does not exist) +# Default is "YES" +# NOTE: If GETGUESS=NO, then the program PREPOBS_PREPDATA +# will NOT call w3emc routine GBLEVENTS to perform +# "prevents" processing +# PREPDATA String: if = "YES" will perform PREPDATA processing +# (in either a parallel or serial environment depending upon +# the values for POE and BACK) +# Default is "YES" +# SYNDATA String: if = "YES" will attempt to perform synthetic bogus +# processing (generation of synthetic bogus winds to be +# appended to PREPBUFR file and, possibly, flagging of mass +# pressure data "near" storms; and, possibly, flagging of +# dropwinsonde wind data "near" storms) +# Default is "YES" +# DO_QC String: if = "YES" will perform quality control +# Default is "YES" +# PREVENTS String: if = "YES" will encode background and obs. errors +# into PREPBUFR file (usually this should be "NO" since the +# programs PREPOBS_PREPDATA and SYNDAT_SYNDATA normally are +# set to perform this function) +# NOTE: Only invoked if DO_QC=YES +# Default is "NO" +# CQCBUFR String: if = "YES" will complex quality control radiosonde +# data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# PROFCQC String: if = "YES" will quality control wind profiler data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# CQCVAD String: if = "YES" will quality control VAD wind data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# PREPACQC String: if = "YES" will quality control aircraft data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# PROCESS_ACQC String: if = "YES" will execute PREPOBS_PREPACQC program as +# part of PREPACQC processing +# NOTE: Only invoked if PREPACQC=YES +# Default is "YES" +# PROCESS_ACPF String: if = "YES" will execute PREPOBS_PREPACPF program as +# part of PREPACQC processing +# NOTE: Only invoked if PREPACQC=YES +# Default is "YES" +# OIQCBUFR String: if = "YES" will perform final oi-based quality +# control on all data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# MPCOPYX String indicating executable path for PREPOBS_MPCOPYBUFR +# program +# Default is "$EXECPREP/prepobs_mpcopybufr" +# PRPX String indicating executable path for PREPOBS_PREPDATA +# program +# Default is "$EXECPREP/prepobs_prepdata" +# errPREPDATA_limit +# String indicating the highest allowed foreground exit +# status for program PREPOBS_PREPDATA (any exit status higher +# than this is considered a failure) +# (Note: errPREPDATA_limit=1 is ALWAYS considered a FAILURE) +# Default is "0" +# PRPC String indicating data card path for PREPOBS_PREPDATA +# program +# Default is "$PARMPREP/prepobs_prepdata.${NET}.parm" +# PRPT String indicating bufrtable file path for PREPOBS_PREPDATA +# program +# Default is "$FIXPREP/prepobs_prep.bufrtable" +# LANDC String indicating land/sea mask file path for +# PREPOBS_PREPDATA program +# Default is "$FIXPREP/prepobs_landc" +# PRVT String indicating observational error table file path for +# PREPOBS_PREPDATA, SYNDAT_SYNDATA and PREPOBS_PREVENTS +# programs (used by GBLEVENTS subroutine) +# NOTE: Only read by gdas, gfs, cdas and nam networks +# If imported "NET=gdas" or "NET=gfs", default is +# "$HOMEobproc_network/fix/prepobs_errtable.global"; +# if imported "NET=cdas", default is +# "$HOMEobsproc_network/fix/prepobs_errtable.cdas"; +# if imported "NET=nam", default is +# "$HOMEobsproc_network/fix/prepobs_errtable.nam" +# otherwise, default is "$DATA/scratch.PRVT" a null file +# LISTHDX String indicating executable path for PREPOBS_LISTHEADERS +# program +# Default is "$EXECPREP/prepobs_listheaders" +# MONOBFRX String indicating executable path for PREPOBS_MONOPREPBUFR +# program +# Default is "$EXECPREP/prepobs_monoprepbufr" +# SYNDX String indicating executable path for SYNDAT_SYNDATA +# program +# Default is "$EXECSYND/syndat_syndata" +# SYNDC String indicating data card path for SYNDAT_SYNDATA program +# Default is "$PARMSYND/syndat_syndata.${NET}.parm" +# PREX String indicating executable path for PREPOBS_PREVENTS +# program +# Default is "$EXECPREP/prepobs_prevents" +# PREC String indicating data card path for PREPOBS_PREVENTS +# program +# Default is "$PARMPREP/prepobs_prevents.${NET}.parm" +# AQCX String indicating executable path for PREPOBS_PREPACQC +# program +# Default is "$EXECPREP/prepobs_prepacqc" +# AQCC String indicating data card path for PREPOBS_PREPACQC +# program +# Default is "$PARMPREP/prepobs_prepacqc.${NET}.parm" +# APFX String indicating executable path for PREPOBS_PREPACPF +# program +# Default is "$EXECPREP/prepobs_prepacpf" +# DICT String indicating METAR station dictionary path for +# PREPOBS_PREPACPF program +# Default is "$DICTPREP/metar.tbl" +# PQCX String indicating executable path for PREPOBS_PROFCQC +# program +# Default is "$EXECPREP/prepobs_profcqc" +# PQCC String indicating data card path for PREPOBS_PROFCQC +# program +# Default is "$PARMPREP/prepobs_profcqc.${NET}.parm" +# VQCX String indicating executable path for PREPOBS_CQCVAD +# program +# Default is "$EXECPREP/prepobs_cqcvad" +# CQCX String indicating executable path for PREPOBS_CQCBUFR +# program +# Default is "$EXECPREP/prepobs_cqcbufr" +# CQCC String indicating data card path for PREPOBS_CQCBUFR +# program +# Default is "$PARMPREP/prepobs_cqcbufr.${NET}.parm" +# CQCS String indicating statbge path for PREPOBS_CQCBUFR program +# Default is "$FIXPREP/prepobs_cqc_statbge" +# OIQCX String indicating executable path for PREPOBS_OIQCBUFR +# program +# Default is "$EXECPREP/prepobs_oiqcbufr" +# OIQCT String indicating observational error table file path for +# PREPOBS_OIQCBUFR program +# NOTE: If imported "NET=cdas", default is +# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas"; +# otherwise default is +# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs" +# +# These do not have to be exported to this script. If they are, they will +# be used by the script. If they are not, they will be skipped +# over by the script. +# +# PREPBUFR_APP String indicating path to output PREPBUFR file for +# PREPOBS_PREPDATA program. +# If present and POE is "NO" and BACK is not "YES" (i.e., a +# serial environment), PREPOBS_PREPDATA will append all +# output BUFR messages to a copy of this file (prepda) in +# the current working directory, using the internal BUFR +# mnemonic table in the first several BUFR messages at the +# top of the file +# NOTE 1: In this case, it is assumed the the switch APPEND +# is set to TRUE in the parm cards $PRPC (careful, +# if APPEND is FALSE, the original copy of +# $PREPBUFR_APP will be wiped out and the case below +# will occur) +# NOTE 2: When POE is not "NO" or BACK is "YES" (i.e., a +# parallel environment), appending makes no sense +# because the original output PREPBUFR file is +# monolithic +# If not present or POE is not "NO" or BACK is "YES" (i.e., a +# parallel environment), PREPOBS_PREPDATA will write all +# output BUFR messages to a new file (prepda) in the current +# working directory using the external BUFR mnemonic table +# in the file $PRPT +# NOTE 3: In this case, it is assumed the the switch APPEND +# is set to FALSE in the parm cards $PRPC (careful, +# if APPEND is TRUE, PREPOBS_PREPDATA will abort +# because the original empty PREPBUFR file has no +# internal BUFR mnemonic table) +# PREPBUFR_IN String indicating path to input PREPBUFR file +# If present, this file will be used by SYNDAT_SYNDATA (if +# SYNDATA=YES - see @ below) and by all applicable Q.C. +# programs (set to to be invoked here) rather than the +# PREPBUFR file generated in this script by PREPOBS_PREPDATA +# (normally this would be used when PREPDATA=NO) +# @ - if the PREPBUFR_IN target file is obtained from +# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, +# then for all runs on and after 12Z 25 Jan 2005, +# SYNDATA should be NO because the target files +# will already contain synthetic bogus data; +# if the PREPBUFR_IN target file is obtained from +# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, +# then for all runs prior to 12Z 25 Jan 2005, +# SYNDATA should be YES because the target files +# will not have contain synthetic bogus data. +# If not present, then the PREPBUFR file generated in this +# script by PREPOBS_PREPDATA and possibly appended to by +# SYNDAT_SYNDATA is passed on as input to all applicable +# Q.C. programs +# jlogfile String indicating path to joblog file +# +# These do not have be exported to this script. +# +# COMDATEROOT Primary default for the root of the directory containing +# produciton date files. +# +# NWROOTp1 Root directory for production software on WCOSS Phase 1. +# +# USHGETGES String indicating directory path for GETGES utility script. +# Default is $HOMEobsproc_prep/ush. +# +# GETGESprep GETGES utility script. If NEMSIO_IN=.true., defaults to: +# $USHGETGES/getges.sh +# otherwise, defaults to: +# $USHGETGES/getges_sig.sh +# +# PREPDATAtpn Tasks per node when invoking cfp on Cray-XC40. Will be +# computed if needed but was not imported. +# +# These do not have to be exported to this script. If they are, they will +# be passed on to the script $USHCQC/prepobs_cqcbufr.sh. They are not used +# by this script. +# +# PRPI_m24 See documentation in $USHCQC/prepobs_cqcbufr.sh +# PRPI_m12 See documentation in $USHCQC/prepobs_cqcbufr.sh +# PRPI_p12 See documentation in $USHCQC/prepobs_cqcbufr.sh +# PRPI_p24 See documentation in $USHCQC/prepobs_cqcbufr.sh +# +# Exported Shell Variables: +# CDATE10 String indicating the center date/time for the PREPBUFR +# processing +# SGES Either ... +# 1) String indicating the full path name for global +# sigio-based or nemsio-based guess file valid at the +# center PREPBUFR processing date/time (in which case the +# center PREPBUFR processing date/time is a multiple of +# 3-hrs, or for any PREPBUFR center hour if global guess +# is nemsio-based) - This guess file will be encoded +# into the PREPBUFR file for use by the q.c. programs. +# -- or -- +# 2) String indicating the full path name for the global +# atmosperic guess file valid at the nearest cycle time +# prior to the center PREPBUFR processing date/time which +# is a multiple of 3 (in which case the center PREPBUFR +# processing date/time is not a multiple of 3-hrs and the +# global guess is sigio-based) - A linear interpolation +# (of the spectal coefficients) between this file and the +# guess file indicated by SGESA case 2 below will be +# performed by program PREPOBS_PREPDATA and encoded into +# the PREPBUFR file for use by the q.c. programs. The +# SGES file is always from the GFS in this case. +# NOTE 1: Only case 1 above is valid when tropical cyclone +# relocation processing previously occurred. +# NOTE 2: Case 2 above is necessary because the w3emc lib +# routine gblevents called by PREPOBS_PREPDATA +# expects that sigio-based guess files will only +# have valid hours which are a multiple of 3 +# NOTE 3: Only case 1 above is valid when global guess is +# nemsio-based. +# SGESA Either ... +# 1) String set to "/dev/null" for case 1 of SGES above +# (default) +# -- or -- +# 2) String indicating the full path name for the global +# sigma guess file valid at the nearest cycle time after +# the center PREPBUFR processing/date time which is a +# multiple of 3 for case 2 of SGES above - A linear +# interpolation (of the spectal coefficients) between +# this guess file and the guess file indicated by SGES +# above (see case 2 for SGES) will be performed by the +# program PREPOBS_PREPDATA and encoded into the PREPBUFR +# file for use by the q.c. programs. The SGESA file is +# always from the GFS in this case and its forecast hour +# is 3-hrs later than the SGES file (thus both initiate +# at the same time). +# NOTE 1: Only case 1 above is valid when tropical cyclone +# relocation processing previously occurred. +# NOTE 2: Case 2 above is necessary because the w3emc lib +# routine gblevents called by PREPOBS_PREPDATA +# expects that sigio-based guess files will only +# have valid hours which are a multiple of 3 +# NOTE 3: Only case 1 above is valid when global guess is +# nemsio-based. +# +# +# Modules and files referenced: +# herefiles : $DATA/MP_PREPDATA +# $DATA/MERGE_MSGS +# scripts : $USHGETGES/getges.sh +# $USHGETGES/getges_sig.sh +# $USHSYND/prepobs_syndata.sh +# $USHPREV/prepobs_prevents.sh +# $USHCQC/prepobs_cqcbufr.sh +# $USHPQC/prepobs_profcqc.sh +# $USHVQC/prepobs_cqcvad.sh +# $USHAQC/prepobs_prepacqc.sh +# $USHOIQC/prepobs_oiqcbufr.sh +# $DATA/postmsg (required ONLY if "$jlogfile" is present) +# $DATA/prep_step {here and by referenced script(s)} +# $DATA/err_exit +# $DATA/err_chk {here and by referenced script(s)} +# (NOTE: The last three scripts above are NOT REQUIRED +# utilities. If $DATA/prep_step not found, a scaled down +# version of it is executed in-line. If $DATA/err_exit +# or $DATA/err_chk are not found, scaled down versions, +# created in-line, are executed. +# executables: $NDATE (from prod_util module) +# programs : +# PREPOBS_MPCOPYBUFR - executable: $MPCOPYX +# PREPOBS_PREPDATA - executable: $PRPX +# land/sea mask: $LANDC +# bufr mnemonic user table: $PRPT +# obs. error table: $PRVT +# data cards: $PRPC +# PREPOBS_LISTHEADERS - executable: $LISTHDX +# PREPOBS_MONOPREPBUFR - executable: $MONOBFRX +# SYNDAT_SYNDATA - executable: $SYNDX +# T126 gaussian land/sea mask: +# $FIXSYND/syndat_syndata.slmask.t126.gaussian +# weights: $FIXSYND/syndat_weight +# obs. error table: $PRVT +# data cards: $SYNDC +# PREPOBS_PREVENTS - executable: $PREX +# obs. error table: $PRVT +# data cards: $PREC +# PREPOBS_PREPACQC - executable: $AQCX +# data cards: $AQCC +# PREPOBS_PREPACPF - executable: $APFX +# dictionary: $DICT +# PREPOBS_PROFCQC - executable: $PQCX +# data cards: $PQCC +# PREPOBS_CQCVAD - executable: $VQCX +# PREPOBS_CQCBUFR - executable: $CQCX +# data cards: $CQCC +# PREPOBS_OIQCBUFR - executable: $OIQCX +# obs. error table: $OIQCT +# +# Remarks: +# +# Condition codes +# 0 - no problem encountered +# >0 - some problem encountered +# +# Attributes: +# Language: Korn shell under linux +# Machine: NCEP WCOSS +# +#### + +set -aux + +NEMSIO_IN=${NEMSIO_IN:=""} +jlogfile=${jlogfile:=""} +SENDDBN=${SENDDBN:-NO} + +if [ ! -d $DATA ] ; then mkdir -p $DATA ;fi + +cd $DATA + +qid=$$ + +##################################################### +##################################################### +# create error check and exit utilities if necessary. +# (as may be the case for some developer runs) +##################################################### + +if [ ! -x $DATA/err_exit ]; then +cat <<\EOFerrexit > $DATA/err_exit + set -x + if [ -n "$LSB_JOBID" ]; then + bkill $LSB_JOBID + sleep 60 + date + else + set -e + kill -n 9 $qid + fi + exit 7 # for extra measure +EOFerrexit +chmod 775 $DATA/err_exit +fi + +if [ ! -x $DATA/err_chk ]; then +cat <<\EOFerrchk > $DATA/err_chk + set -x + if [ "$err" != '0' ]; then + $DATA/err_exit + fi +EOFerrchk +chmod 775 $DATA/err_chk +fi + +##################################################### +##################################################### + + +# determine local system name and type if available +# ------------------------------------------------- +SITE=${SITE:-""} +sys_tp=${sys_tp:-$(getsystem.pl -tp)} +getsystp_err=$? +if [ $getsystp_err -ne 0 ]; then + msg="***WARNING: error using getsystem.pl to determine system type and phase" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" +fi +echo sys_tp is set to: $sys_tp + +#------------------------------------------------------------------------------- + +# obtain the center date/time for PREPBUFR processing +# --------------------------------------------------- + +if [ $# -ne 1 ] ; then + cp ${COMDATEROOT:-$COMROOT}/date/$cycle ncepdate + err0=$? + CDATE10=`cut -c7-16 ncepdate` +else + CDATE10=$1 + if [ "${#CDATE10}" -ne '10' ]; then + err0=1 + else + cycle=t`echo $CDATE10|cut -c9-10`z + err0=0 + fi +fi + +if test $err0 -ne 0 +then +# problem with obtaining date record so exit + set +x + echo + echo "problem with obtaining date record;" + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure +fi + +cyc=`echo $CDATE10|cut -c9-10` +modhr=`expr $cyc % 3` + +set +x +echo +echo "CENTER DATE/TIME FOR PREPBUFR PROCESSING IS $CDATE10" +echo +set -x + +#---------------------------------------------------------------------------- + +# Create variables needed for this script and its children +# -------------------------------------------------------- + +envir=${envir:-prod} + +envir_getges=${envir_getges:-$envir} +if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then + network_getges=${network_getges:-global} +else + network_getges=${network_getges:-gfs} +fi + +pgmout=${pgmout:-/dev/null} + +tstsp=${tstsp:-/tmp/null/} +tmmark=${tmmark:-tm00} + +BUFRLIST=${BUFRLIST:-"adpupa proflr aircar aircft satwnd adpsfc sfcshp \ + sfcbog vadwnd goesnd spssmi erscat qkswnd msonet gpsipw rassda wdsatr \ + ascatw"} + +PREPDATA=${PREPDATA:-YES} + +if [ "$PREPDATA" != 'YES' ] ; then + POE=NO + BACK=NO +else + set +u + [ -z "$POE" -a "$BACK" = 'YES' ] && POE=NO + POE=${POE:-YES} + if [ "$POE" != 'NO' -a "$BACK" = 'YES' ]; then + set -u + set +x +echo +echo "YOU have set both POE and BACK to YES - choose one or the other!!" +echo "Defaults are POE=YES and BACK=NO, as is preferable for WCOSS." +echo + set -x + exit 99 + fi + BACK=${BACK:-NO} + PARALLEL=NO + [ "$POE" != 'NO' -o "$BACK" = 'YES' ] && PARALLEL=YES + if [ "$POE" != 'NO' ] ; then + if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + launcher_PREP=${launcher_PREP:-aprun} + else + launcher_PREP=${launcher_PREP:-mpirun.lsf} + fi + if [ "$launcher_PREP" != 'cfp' -a "$launcher_PREP" != aprun ]; then + if [ -n ${LSB_HOSTS:-""} ]; then + NPROCS=$(echo $LSB_HOSTS|wc -w) + set +x; echo "Setting NPROCS based on LSB_HOSTS count"; set -x + else + NPROCS=${NPROCS:-$NSPLIT} + fi + if [ $NPROCS -lt $NSPLIT ]; then + set +x +echo "********************************************************************" +echo " P R O B L E M ! ! ! " +echo "********************************************************************" +echo " NPROCS=$NPROCS IS NOT SUFFICIENT FOR NSPLIT=$NSPLIT " +echo " NPROCS must be greater than NSPLIT when using a " +echo " parallel processing launcher other than cfp " +echo "********************************************************************" + set -x + msg="***FATAL ERROR: Insufficient NPROCS for NSPLIT=$NSPLIT" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + $DATA/err_exit + exit 55 # for extra measure + fi + fi + elif [ "$BACK" = 'YES' ] ; then + NPROCS=$NSPLIT + fi +# fi for PREPDATA != YES +fi + +USHSYND=${USHSYND:-${HOMEobsproc_prep}/ush} +USHPREV=${USHPREV:-${HOMEobsproc_prep}/ush} +USHCQC=${USHCQC:-${HOMEobsproc_prep}/ush} +USHPQC=${USHPQC:-${HOMEobsproc_prep}/ush} +USHVQC=${USHVQC:-${HOMEobsproc_prep}/ush} +USHAQC=${USHAQC:-${HOMEobsproc_prep}/ush} +USHOIQC=${USHOIQC:-${HOMEobsproc_prep}/ush} + +EXECPREP=${EXECPREP:-${HOMEobsproc_prep}/exec} +PARMPREP=${PARMPREP:-${HOMEobsproc_network}/parm} +FIXPREP=${FIXPREP:-${HOMEobsproc_prep}/fix} +DICTPREP=${DICTPREP:-${NWROOTp1}/decoders/decod_shared/dictionaries} + +EXECSYND=${EXECSYND:-${HOMEobsproc_prep}/exec} +PARMSYND=${PARMSYND:-${HOMEobsproc_network}/parm} +FIXSYND=${FIXSYND:-${HOMEobsproc_prep}/fix} + +GETGUESS=${GETGUESS:-YES} +if [ "$GETGUESS" = 'YES' ]; then + USHGETGES=${USHGETGES:-${HOMEobsproc_prep}/ush} + if [ "$NEMSIO_IN" = .true. ]; then + GETGESprep=${GETGESprep:-$USHGETGES/getges.sh} + else + GETGESprep=${GETGESprep:-$USHGETGES/getges_sig.sh} + fi +fi + +PREPDATA=${PREPDATA:-YES} + +SYNDATA=${SYNDATA:-YES} + +DO_QC=${DO_QC:-YES} + +PREVENTS=${PREVENTS:-NO} +CQCBUFR=${CQCBUFR:-YES} +PROFCQC=${PROFCQC:-YES} +CQCVAD=${CQCVAD:-YES} +PREPACQC=${PREPACQC:-YES} +PROCESS_ACQC=${PROCESS_ACQC:-YES} +PROCESS_ACPF=${PROCESS_ACPF:-YES} +OIQCBUFR=${OIQCBUFR:-YES} + +MPCOPYX=${MPCOPYX:-$EXECPREP/prepobs_mpcopybufr} +PRPX=${PRPX:-$EXECPREP/prepobs_prepdata} +errPREPDATA_limit=${errPREPDATA_limit:-0} +PRPC=${PRPC:-$PARMPREP/prepobs_prepdata.${NET}.parm} +PRPT=${PRPT:-$FIXPREP/prepobs_prep.bufrtable} +cp $PRPT prep.bufrtable +LANDC=${LANDC:-$FIXPREP/prepobs_landc} +if [ "$NET" = 'gdas' -o "$NET" = 'gfs' ]; then + PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.global} +elif [ "$NET" = 'cdas' ]; then + PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.cdas} +elif [ "$NET" = 'nam' ]; then + PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.nam} +else + cp /dev/null $DATA/scratch.PRVT + PRVT=${PRVT:-$DATA/scratch.PRVT} +fi +LISTHDX=${LISTHDX:-$EXECPREP/prepobs_listheaders} +MONOBFRX=${MONOBFRX:-$EXECPREP/prepobs_monoprepbufr} +SYNDX=${SYNDX:-$EXECSYND/syndat_syndata} +SYNDC=${SYNDC:-$PARMSYND/syndat_syndata.${NET}.parm} +PREX=${PREX:-$EXECPREP/prepobs_prevents} +PREC=${PREC:-$PARMPREP/prepobs_prevents.${NET}.parm} +AQCX=${AQCX:-$EXECPREP/prepobs_prepacqc} +AQCC=${AQCC:-$PARMPREP/prepobs_prepacqc.${NET}.parm} +APFX=${APFX:-$EXECPREP/prepobs_prepacpf} +DICT=${DICT:-$DICTPREP/metar.tbl} +PQCX=${PQCX:-$EXECPREP/prepobs_profcqc} +PQCC=${PQCC:-$PARMPREP/prepobs_profcqc.${NET}.parm} +VQCX=${VQCX:-$EXECPREP/prepobs_cqcvad} +CQCX=${CQCX:-$EXECPREP/prepobs_cqcbufr} +CQCC=${CQCC:-$PARMPREP/prepobs_cqcbufr.${NET}.parm} +CQCS=${CQCS:-$FIXPREP/prepobs_cqc_statbge} +OIQCX=${OIQCX:-$EXECPREP/prepobs_oiqcbufr} +if [ "$NET" = 'cdas' ]; then + OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas} +else + OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs} +fi +TIMEIT=${TIMEIT:-""} +[ -s $DATA/time ] && TIMEIT="$DATA/time -p" + + +# See if tropical cyclone relocation previously ran for this network and cycle +# by checking for status file in first in $tstsp, and if not found there, +# then in $COMSP +# ---------------------------------------------------------------------------- + +relo_rec=no # this will remain no even if relocation run, in the event it did + # not process an tropical cyclone records +if [ -s ${tstsp}tropcy_relocation_status.$tmmark ]; then + RELOCATION_HAS_RUN=YES + msg="Tropical cyclone RELOCATION RAN prior to this job - \ +`cat ${tstsp}tropcy_relocation_status.$tmmark`" + [ "`cat ${tstsp}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ + && relo_rec=yes +elif [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then + RELOCATION_HAS_RUN=YES + msg="Tropical cyclone RELOCATION RAN prior to this job - \ +`cat ${COMSP}tropcy_relocation_status.$tmmark`" + [ "`cat ${COMSP}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ + && relo_rec=yes +else + RELOCATION_HAS_RUN=NO + msg="Tropical cyclone RELOCATION did NOT run prior to this job" +fi +[ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + +if [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then + if [ "$SENDDBN" = "YES" ]; then + if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then + RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) + $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job \ + ${COMSP}tropcy_relocation_status.$tmmark + fi + fi +fi + +if [ "$RELOCATION_HAS_RUN" != 'YES' -a "$GETGUESS" != 'NO' ]; then + + if [ $cyc = 00 -o $cyc = 06 -o $cyc = 12 -o $cyc = 18 ]; then + +# The GFS and GDAS networks at 00, 06, 12 and 18z will get the t-3 and t+3 +# atmos guess files here since they are needed by the GSI even if tropical +# cyclone relocation was not previously performed (RELOCATION_HAS_RUN=NO) +# (NOTE 1: Normally RELOCATION_HAS_RUN=YES for these networks) +# (NOTE 2: If RELOCATION_HAS_RUN=YES, the t-3 and t+3 atmos guess files have +# already been obtained for all networks including the GFS and GDAS) +# (NOTE 3: This is not done if GETGUESS is NO) +# + + if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then + for fhr in -3 +3 ;do + if [ "$NEMSIO_IN" = .true. ]; then + if [ $fhr = "-3" ] ; then + sges=sgm3prep + stype=natgm3 + echo $sges + else + sges=sgp3prep + stype=natgp3 + echo $sges + fi + else + if [ $fhr = "-3" ] ; then + sges=sgm3prep + stype=siggm3 + echo $sges + else + sges=sgp3prep + stype=siggp3 + echo $sges + fi + fi + if [ ! -s $sges ]; then + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Tropical cylone relocation HAS NOT previously run" +echo " Get global atmospheric GUESS valid for $fhr hrs relative to center" +echo " PREPBUFR processing date/time" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + $GETGESprep -e $envir_getges -n $network_getges \ + -v $CDATE10 -t $stype $sges + errges=$? + if test $errges -ne 0; then +# problem obtaining global atmospheric first guess so exit + set +x + echo + echo "problem obtaining global atmos guess valid $fhr hrs \ +relative to center PREPBUFR date/time;" + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + set +x + echo +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + fi + done + fi + fi + +elif [ "$RELOCATION_HAS_RUN" = 'YES' ]; then + +# If Tropical cyclone relocation previously ran for this network and cycle +# copy the t-3, t+0 and t+3 atmos guess files and the tcvitals_relocate file +# from either $tstsp or, if not found there, $COMSP to working directory +# (Note: tcvitals_relocate file can be empty, but it must exist) +# -------------------------------------------------------------------------- + + qual_last=".$tmmark" # need this because gfs and gdas don't add $tmmark + # qualifier to end of output atmos guess files + [ $NET = gfs -o $NET = gdas ] && qual_last="" + for file in sgm3prep sgesprep sgp3prep tcvitals.relocate.$tmmark; do + case $file in + tcvitals.relocate.$tmmark) infile=$file; qual_last="";; # already has $tmmark at end + sgm3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgm3.nemsio;else infile=$file;fi;; + sgesprep) if [ "$NEMSIO_IN" = .true. ];then infile=atmges.nemsio;else infile=$file;fi;; + sgp3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgp3.nemsio;else infile=$file;fi;; + esac + if [ -s ${tstsp}${infile}${qual_last} ]; then + cp ${tstsp}${infile}${qual_last} $file + continue + elif [ -s ${COMSP}${infile}${qual_last} ]; then + cp ${COMSP}${infile}${qual_last} $file + continue + else + if [ $file = tcvitals.relocate.$tmmark ]; then + if [ -f ${tstsp}$file ]; then + > $file + continue + elif [ -f ${COMSP}$file ]; then + > $file + continue + fi + fi + fi +# either t-3,t+0 or t+3 atmos guess file or the tcvitals_relocate file not +# found in expected location so exit + set +x + echo + echo "$file file not found in expected location where it should have \ +populated by earlier tropical cyclone relocation processing" + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + done + cp tcvitals.relocate.$tmmark tcvitals + if [ $relo_rec = yes ]; then # come here if relocation ran and processed + # 1 or more records, means it updated + # sgesprep + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" +echo " Global atmospheric GUESS valid for 0 hrs relative to center" +echo " PREPBUFR processing date/time was generated by" +echo " previous tropical cyclone relocation processing" +echo " It will be encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + else # come here if relocation ran but did not + # process any records, means it did not update + # sgesprep (sgesprep obtained via getges used) + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" +echo " Global atmospheric GUESS valid for 0 hrs relative to center" +echo " PREPBUFR processing date/time was obtained via GETGES" +echo " It will be encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + fi + +fi + +############################################################################### +# POSSIBLY OBTAIN GBL ATMOS GUESS FILE(S) FOR LATER ENCODING INTO PREPBUFR FILE +############################################################################### + +if [ "$PREPDATA" = 'YES' -o "$SYNDATA" = 'YES' -o "$PREVENTS" = 'YES' ]; then + + SGES=/dev/null + SGESA=/dev/null + > sgesprep_pathname + > sgesprepA_pathname + + if [ "$GETGUESS" != 'NO' ]; then + +# Either ... +# If the global background guess will be nemsio-based -OR- if the global +# background guess will be sigio-based and the center PREPBUFR processing +# date/time is a multiple of 3-hrs, then get a global atmospheric guess valid +# at the center PREPBUFR processing date/time - this will be interpolated to +# observation locations by PREPDATA and encoded into the PREPBUFR file for +# use by the q.c. programs; if a non-zero length file sgesprep exists in the +# working directory, then this guess is used - otherwise: the GETGES utility +# is executed to obtain the global atmospheric guess file here +# +# (NOTE 1: a pre-existing sgesprep file in the working directory at this +# point was either: +# copied there prior to the execution of this script +# or +# copied there earlier in this script from either $tstsp, or if +# not found there, $COMSP which was populated by the previous +# running of tropical cyclone relocation processing +# (NOTE 2: If imported variable GETGUESS=NO, then bypass this step - a +# global atmos guess valid at center PREPBUFR time is not obtained) +# +# -- or -- +# +# (AND THIS APPLIES ONLY TO A GLOBAL SIGIO-BASED GUESS!!) +# +# If center PREPBUFR processing date/time is not a multiple of 3-hrs -AND- +# global guess is sigio-based, then get a global sigma guess valid at the +# nearest cycle time prior to the center PREPBUFR processing date/time which +# is a multiple of 3, then get a global sigma guess valid at the nearest +# cycle time after the center PREPBUFR processing date/time which is a +# multiple of 3 - the spectral coefficients will be linearly interpolated to +# the center PREPBUFR processing date/time by the program PREPOBS_PREPDATA +# and this guess will then be interpolated to observation locations (again by +# the program PREPOBS_PREPDATA) and encoded into the PREPBUFR file for use by +# the q.c. programs; if a non-zero length file sgesprep exists in the working +# directory, then this guess is used for time prior to the center PREPBUFR +# processing date/time - otherwise: the utility ush GETGES is executed to +# obtain the global atmos guess file here (will always be from GFS network); +# +# likewise if a non-zero length file sgesprepA exists in the working +# directory, then this guess is used for time after the center PREPBUFR +# processing date/time - otherwise: the utility ush GETGES is executed to +# obtain the global atmos guess file here (will always be from the GFS +# network and initiate at the same time as the guess file valid prior to the +# PREPBUFR processing date/time) +# +# (NOTE 1: a pre-existing sgesprep file in the working directory at this +# point was either: +# copied there prior to the execution of this script +# or +# copied there earlier in this script from either $tstsp, or if +# not found there, $COMSP which was populated by the previous +# running of tropical cyclone relocation processing +# (NOTE 2: a pre-existing sgesprepA file in the working directory at this +# point was copied there prior to the execution of this script - +# it could not have been copied from either $tstsp or $COMSP +# because previous tropical cyclone relocation processing can run +# only when the center tropical cyclone relocation (or PREPBUFR) +# processing date/time is a multiple of 3) +# (NOTE 3: this case is necessary because the gblevents subroutine used to +# add background forecast values to the prepbufr file expects sigio- +# based files to be valid only at hours that are a multiple of 3) +# (NOTE 4: if imported variable GETGUESS=NO, then bypass this step - a +# global atmos guess valid at center PREPBUFR time is not obtained) +# ---------------------------------------------------------------------- + + for sfx in "" A; do + if [ ! -s sgesprep${sfx} ]; then + fhr=any + if [ "$NEMSIO_IN" = .true. ]; then + dhr=0 + stype=natges + else + dhr=`expr 0 - $modhr` + stype=sigges + fi + if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then + [ "$sfx" = 'A' ] && break + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Either center PREPBUFR processing date/time is a multiple of 3-hrs" +echo " -OR-" +echo " global guess is nemsio-based" +echo " Use GETGES to get global sigio-based or nemsio-based GUESS valid for" +echo " 0 hrs relative to center PREPBUFR processing date/time" +echo " Will be encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + else + if [ "$sfx" = 'A' ]; then + typeset -Z2 fhr + fhr=`awk -F"sf" '{print$2}' sgesprep_pathname | cut -c1-2` + fhr=`expr $fhr + 03` + dhr=`expr 3 - $modhr` + fi + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Center PREPBUFR processing date/time is not a multiple of 3-hrs" + if [ "$sfx" != 'A' ]; then +echo " Get global atmos GUESS valid at the nearest cycle time prior to" + else +echo " Get global atmos GUESS valid at the nearest cycle time after" + fi +echo " center PREPBUFR processing date/time which is a multiple of 3" +echo " Will be used to generate an interpolated guess which will be" +echo " encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + fi + $GETGESprep -e $envir_getges -n $network_getges -t $stype\ + -f $fhr -v `${NDATE} $dhr $CDATE10` > sgesprep${sfx}_pathname + errges=$? + if test $errges -ne 0 + then +# problem obtaining global sigio-based or nemsio-based guess - exit if center +# PREPBUFR processing date/time is a multiple of 3-hrs or if global guess is +# nemsio-based, otherwise continue running but set GETGUESS=NO meaning a +# first guess will NOT be encoded in PREPBUFR file + if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then + if [ "$NEMSIO_IN" = .true. ]; then + set +x + echo +echo "problem obtaining global nemsio-based guess;" + else + set +x + echo +echo "problem obtaining global sigio-based guess valid 0 hrs relative to \ +center PREPBUFR date/time;" + fi +echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + else + set +x + echo +echo "problem obtaining global atmos guess valid at the nearest cycle time " + if [ "$sfx" != 'A' ]; then +echo "prior to center PREPBUFR processing date/time which is a multiple of 3" + else +echo "after center PREPBUFR processing date/time which is a multiple of 3" + fi +echo "will continue running but a GUESS will NOT be encoded in PREPBUFR file!!" + echo + set -x + msg="PROBLEM OBTAINING ONE OR BOTH SPANNING ATMOS GUESS \ +FILES, GUESS NOT ENCODED IN PREPBUFR FILE --> non-fatal" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + GETGUESS=NO + SGES=/dev/null + SGESA=/dev/null + > sgesprep + > sgesprepA + > sgesprep_pathname + > sgesprepA_pathname + break + fi + fi + cp `cat sgesprep${sfx}_pathname | awk '{ print $1 }'` sgesprep${sfx} + set +x + echo +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + else + if [ $RELOCATION_HAS_RUN = YES ]; then + +# If relocation ran, then ${sfx} is always "" (null) because relocation will +# only run on center times that are a multiple of 3-hrs - come here to +# store the pathname for the sgesprep file in sgesprep${sfx}_pathname - +# note that it will not be stored here if relocation did not process any +# records, i.e., it did not update the guess, because it was already stored +# in tropcy_relocate.sh (with the getges path) +# -------------------------------------------------------------------------- + + qual_last=".$tmmark" # need this because gfs and gdas don't add + # $tmmark qualifer to end of output atmos + # guess files + [ $NET = gfs -o $NET = gdas ] && qual_last="" + if [ "$NEMSIO_IN" = .true. ]; then + gesbase="atmges.nemsio" + else + gesbase="sgesprep" + fi + if [ -s ${tstsp}${gesbase}${qual_last} ]; then + echo "${tstsp}${gesbase}${qual_last}" > sgesprep${sfx}_pathname + elif [ -s ${COMSP}${gesbase}${qual_last} ]; then + echo "${COMSP}${gesbase}${qual_last}" > sgesprep${sfx}_pathname + fi + else + +# If relocation did not run, then the guess files in $DATA were copied there +# prior to the execution of this script by the user - just echo the path +# to this guess file in $DATA into sgesprep${sfx}_pathname +# -------------------------------------------------------------------------- + + echo "$DATA/sgesprep${sfx}" > sgesprep${sfx}_pathname + fi + fi + eval SGES${sfx}=$DATA/sgesprep${sfx} + done + fi +fi + +################################ +# EXECUTE PREPDATA PROCESSING +################################ + +if [ "$PREPDATA" = 'YES' ]; then + + cd $DATA + +set +u + if [ -z "$PREPBUFR_APP" -o "$PARALLEL" = 'YES' ]; then +set -u + if [ ! -s ${tstsp}status.${tmmark}.bufr_d -a \ + ! -s ${COMSP}status.${tmmark}.bufr_d ]; then + +#########if [ \( ! -s ${tstsp}status1.${tmmark}.bufr_d -o \ +######### ! -s ${tstsp}status2.${tmmark}.bufr_d \) -a \ +######### \( ! -s ${COMSP}status1.${tmmark}.bufr_d -o \ +######### ! -s ${COMSP}status2.${tmmark}.bufr_d \) ]; then + if [ ! -s ${tstsp}status1.${tmmark}.bufr_d -a \ + ! -s ${COMSP}status1.${tmmark}.bufr_d ]; then + +# problem: status file not found - indicates some or all data dumps were not +# found (produced) for requested time ... +# If highest level directory pointing to input BUFR observational +# data dumps is /com or /com2 then EXIT (assumes all data dumps are +# required) +# Otherwise, just echo a diagnostic (assumes only some data dumps are +# required) +# ---------------------------------------------------------------------------- + +echo +echo "Some or all BUFR data dumps were not found for requested time ... " +echo + set -x + + if [[ "$COMSP" =~ (^/com/|^/com2/|^/gpfs/.../nco/ops/com/) && \ + "$tstsp" =~ (^/tmp/null) ]]; then + set +x +echo +echo "ABNORMAL EXIT!!!!!!!!!!!" +echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + fi + fi + + echo $BUFRLIST | grep adpsfc + grp_adpsfc=$? + echo $BUFRLIST | grep adpupa + grp_adpupa=$? + if [ \( ! -f ${COMSP}adpsfc.${tmmark}.bufr_d -a \ + ! -f ${tstsp}adpsfc.${tmmark}.bufr_d -a $grp_adpsfc -eq 0 \) -o \ + \( ! -f ${COMSP}adpupa.${tmmark}.bufr_d -a \ + ! -f ${tstsp}adpupa.${tmmark}.bufr_d -a $grp_adpupa -eq 0 \) ] + then + +# problem: either adpsfc (surface land) or adpupa (raob/pibal/recco) file, or +# both, not found for requested time - this is unacceptable; EXIT +# (unless the culprit file was not included in the $BUFRLIST) +# --------------------------------------------------------------------------- + + set +x +echo +echo "ADPSFC and/or ADPUPA BUFR data dump was not produced for requested" +echo " time (but is in BUFRLIST); ABNORMAL EXIT!!!!!!!!!!!" +echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + + fi + + for name in ${BUFRLIST} ;do + > $name + if [ -f ${tstsp}${name}.${tmmark}.bufr_d ]; then + cp ${tstsp}${name}.${tmmark}.bufr_d $name + elif [ -s ${COMSP}${name}.${tmmark}.bufr_d ]; then + cp ${COMSP}${name}.${tmmark}.bufr_d $name + fi + done + + > prep_exec.cmd + + > prepda.${cycle} + + echo " $CDATE10" > cdate10.dat + +# If GETGUESS=YES, then either ... +# a global sigio-based guess file valid at the center PREPBUFR processing +# date/time which is a multiple of 3-hrs is valid at this point +# -- or -- +# global sigio-based guess files valid at times which are multiples of 3-hrs +# and span the center PREPBUFR processing date/time which is NOT a multiple of +# 3-hrs are available and valid at this point +# -- or -- +# a global nemsio-based guess file valid at the center PREPBUFR processing +# date/time for any hour is valid at this point + +# In any case, namelist "GBLEVN" with PREVEN=T is cat'ed to the beginning +# of the PREPOBS_PREPDATA program data cards file - this means +# PREPOBS_PREPDATA will call w3emc routine GBLEVENTS to do the "prevents" +# processing (otherwise PREVEN=F by default) + + > prepdata.stdin + [ "$GETGUESS" != 'NO' ] && echo " &gblevn preven=true /" >>prepdata.stdin + cat $PRPC >> prepdata.stdin + +# Check contents of *aircar_status_flag* file in $tstsp, or if not found there, +# $COMSP path - this was generated by previous bufr_dump_obs.sh script: if it +# exists and indicates that there were more AFWA (backup) ACARS reports than +# ARINC (primary) ACARS reports in the AIRCAR dump, then skip processing of +# ARINC ACARS messages in PREPOBS_PREPDATA (meaning process ONLY AFWA ACARS +# messages); otherwise, as is usually the case, skip processing of AFWA ACARS +# messages (meaning process only ARINC ACARS messages in PREPOBS_PREPDATA) + + echo " SUBSKP(004,007) = TRUE," > insert + if [ -s ${tstsp}aircar_status_flag.${tmmark}.bufr_d ]; then + grep -q -Fe "004.007" ${tstsp}aircar_status_flag.${tmmark}.bufr_d + err_grep=$? + if [ $err_grep -eq 0 ]; then + echo " SUBSKP(004,004) = TRUE," > insert + msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ +backup AFWA ACARS into PREPBUFR" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + fi + elif [ -s ${COMSP}aircar_status_flag.${tmmark}.bufr_d ]; then + grep -q -Fe "004.007" ${COMSP}aircar_status_flag.${tmmark}.bufr_d + err_grep=$? + if [ $err_grep -eq 0 ]; then + echo " SUBSKP(004,004) = TRUE," > insert + msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ +backup AFWA ACARS into PREPBUFR" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + fi + fi + + grep -q -Fe "`cat insert`" prepdata.stdin + err_grep=$? + if [ $err_grep -ne 0 ]; then + nlines=`cat < prepdata.stdin | wc -l` + line=`grep -n -Fe "&LDTA" prepdata.stdin | cut -f1 -d:` + head -n $line prepdata.stdin > top_part + mlines=`expr $nlines - $line` + tail -n $mlines prepdata.stdin > bottom_part + [ $mlines -gt 2 ] && cat top_part insert bottom_part > prepdata.stdin + rm top_part bottom_part + fi + rm insert + + +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## +## HEREFILE MP_PREPDATA ## +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## + +set +x +cat <<\EOFmpp > MP_PREPDATA + +{ echo + +# This herefile script performs the "prepdata" processing. It is designed to +# run in either a parallel (e.g., poe/mpi or background threads) or serial +# environment. In the parallel environment, it first splits the input BUFR +# data dump files into $NSPLIT equally-sized parts (analogous to dealing +# multiple sets of cards to $NSPLIT players, where each set of cards is a +# different BUFR data dump file). Next, in either the parallel or serial +# environment, it runs PREPOBS_PREPDATA to write out prepbufr files (either +# a single complete file in the serial environment or $NSPLIT partial +# PREPBUFR files in the parallel environment). Finally, it generates a list of +# PREPBUFR message headers which, in the parallel environment, is needed to +# later merge the partial PREPBUFR files together in the proper order. +# +# IMPORTANT: This script assumes that the BUFR data dump files it is to +# process have been copied into the $DATA directory and that each +# file name is the same as in $BUFRLIST. It also assumes that the +# NCEP production date file is present in the $DATA directory and +# that it is called cdate10.dat. Finally, it assumes that the +# PREPOBS_PREPDATA program data cards (parm) file is present in the +# $DATA directory and it is called prepdata.stdin +# ----------------------------------------------------------------------------- +# +# Positional parameters passed in: +# 1 - Stream index ($multi) (0 to $NSPLIT-1) +# +# Imported variables that must be passed in: +# DATA - path to working directory +# PARALLEL - indicates whether or not this script is running in a parallel +# (e.g., poe/mpi or background threads) or serial environment +# "YES" - running in a parallel environment; "NO" running in a +# serial environment) +# NSPLIT number of parts into which the input BUFR data dump files are to +# be evenly divided (applicable only when PARALLEL is "YES") +# BUFRLIST - list of BUFR data dump files to process +# MPCOPYX - path to PREPOBS_MPCOPYBUFR program executable +# PRPT - path to PREPOBS_PREPDATA bufrtable file +# LANDC - path to land/sea mask file +# SGES - path to COPY OF global sigio-based or nemsio-based first guess +# file valid at either center PREPBUFR processing date/time or, +# for global sigio-based guess only, nearest 3-hrly cycle time +# prior to center PREPBUFR processing date/time +# SGESA - path to COPY OF global sigio-based guess file valid at nearest +# 3-hrly cycle AFTER center PREPBUFR processing date/time (if +# needed, otherwise /dev/null). Only used if SGES is valid at +# 3-hrly cycle time PRIOR to center PREPBUFR processing date/time +# (and thus not used if NEMSIO_IN=.true.) +# PRVT - path to observation error table file +# PRPX - path to PREPOBS_PREPDATA program executable +# LISTHDX - path to PREPOBS_LISTHEADERS program executable + +set -aux +multi=$1 + +data=$DATA/multi$multi + +if [ ! -d $DATA/multi$multi ] ; then + mkdir -p $DATA/multi$multi +fi + +status=$data/mstatus ; > $status +mp_pgmout=$data/mp_pgmout ; > $mp_pgmout + + +{ echo +set +x +echo +echo "********************************************************************" +echo "This is stream (task/thread) $multi executing on node `hostname -s`" +echo "Starting time: `date`" +echo "********************************************************************" +echo +set -x +} >> $mp_pgmout + +cd $data + +if [ "$PARALLEL" = 'YES' ]; then + + n=0 + + pgm=`basename $MPCOPYX` +#-----mimics prep_step----- + set +x + echo $pgm > pgmname + set +u + [ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" + set -u + [ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout + rm pgmname + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` + [ -s $DATA/tracer ] && cat $DATA/tracer > errfile + set -x +#-------------------------- + + for name in ${BUFRLIST[*]} ;do + > $name + if [ -s $DATA/$name ] ; then + ((n+=1)) + export FORT$((10+n))=$DATA/$name + export FORT$((50+n))=$name + fi + done + + cat<> $mp_pgmout 2>&1 + &namin nfiles=$n / + &mp nprocs=$NSPLIT,mp_process=$multi / +EOF + err=$? + set +x + echo + echo "The foreground exit status for PREPOBS_MPCOPYBUFR is " $err + echo + set -x + + [ "$err" -gt '0' ] && exit + + dump_dir=$data + +else + + dump_dir=$DATA + +# fi for $PARALLEL = YES +fi + + +pgm=`basename $PRPX` +#-----mimics prep_step----- +set +x +echo $pgm > pgmname +set +u +[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" +set -u +[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout +rm pgmname +[ -f errfile ] && rm errfile +unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +[ -s $DATA/tracer ] && cat $DATA/tracer > errfile +set -x +#-------------------------- + +set +u +[ -n "$PREPBUFR_APP" -a "$PARALLEL" = 'NO' ] && \ + cp $PREPBUFR_APP prepda +set -u + +# Namelist "TASK" with mp_process set to the value of $multi - either the poe/ +# mpi task number (for POE not equal to "NO") or to the background thread +# number (for BACK equal to "YES") in the parallel environment, or hardwired +# to zero in the serial environment, is cat'ed to the beginning of the +# PREPOBS_PREPDATA program data cards (parm) file - this will allow +# PREPOBS_PREPDATA to identify this stream + +> prepdata.stdin +echo " &task mp_process=$multi /" >>prepdata.stdin +cat $DATA/prepdata.stdin >> prepdata.stdin + +BUFRLIST_all="adpupa aircar aircft satwnd proflr vadwnd rassda adpsfc sfcshp \ + sfcbog msonet spssmi erscat qkswnd wdsatr ascatw rtovs atovs goesnd gpsipw" +###BUFRLIST_all_array=($BUFRLIST_all) # this does not work on all platforms +set -A BUFRLIST_all_array `echo $BUFRLIST_all` # this works on all platforms + + +# Any dump file not included in BUFRLIST is "touched" so that it will not +# cause a read error in the event that PREPOBS_PREPDATA still tries to read it + +for name in $BUFRLIST_all;do +[ ! -f $dump_dir/$name ] && > $dump_dir/$name +done + +export FORT11=$DATA/cdate10.dat +export FORT12=$PRPT +export FORT15=$LANDC +## export FORT18=$SGES +## export FORT19=$SGESA + +# The PREPOBS_PREPDATA code opens GFS spectral coefficient guess files using +# sigio routines or GFS gaussian grid guess files using nemsio routines (via +# W3EMC routine GBLEVENTS) in a manner that may not recognize the FORTxx +# variables above. So, the above statements setting FORTxx vars for $SGES and +# $SGESA are replaced by the soft links below. + +ln -sf $SGES fort.18 +ln -sf $SGESA fort.19 +export FORT20=$PRVT +export FORT21=$dump_dir/${BUFRLIST_all_array[0]} +export FORT22=$dump_dir/${BUFRLIST_all_array[1]} +export FORT23=$dump_dir/${BUFRLIST_all_array[2]} +export FORT24=$dump_dir/${BUFRLIST_all_array[3]} +export FORT25=$dump_dir/${BUFRLIST_all_array[4]} +export FORT26=$dump_dir/${BUFRLIST_all_array[5]} +export FORT27=$dump_dir/${BUFRLIST_all_array[6]} +export FORT31=$dump_dir/${BUFRLIST_all_array[7]} +export FORT32=$dump_dir/${BUFRLIST_all_array[8]} +export FORT33=$dump_dir/${BUFRLIST_all_array[9]} +export FORT34=$dump_dir/${BUFRLIST_all_array[10]} +export FORT35=$dump_dir/${BUFRLIST_all_array[11]} +export FORT36=$dump_dir/${BUFRLIST_all_array[12]} +export FORT37=$dump_dir/${BUFRLIST_all_array[13]} +export FORT38=$dump_dir/${BUFRLIST_all_array[14]} +export FORT39=$dump_dir/${BUFRLIST_all_array[15]} +export FORT41=$dump_dir/${BUFRLIST_all_array[16]} +export FORT42=$dump_dir/${BUFRLIST_all_array[17]} +export FORT46=$dump_dir/${BUFRLIST_all_array[18]} +export FORT48=$dump_dir/${BUFRLIST_all_array[19]} +export FORT51=prepda +export FORT52=prevents.filtering.prepdata + +#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) +#If program ever fails, try changing 64000000 to 20000000 +#set +u +#[ -n "$LOADL_PROCESSOR_LIST" ] && XLSMPOPTS=parthds=2:stack=64000000 +#set -u + +# The following improves performance on Cray-XC40 if $PRPX was +# linked to the IOBUF i/o buffering library +export IOBUF_PARAMS='*prevents.filtering.prepdata:verbose' + +$TIMEIT $PRPX >$mp_pgmout 2>&1 +errPREPDATA=$? +unset IOBUF_PARAMS +cat prevents.filtering.prepdata >> $mp_pgmout +set +x +echo +echo "The foreground exit status for PREPOBS_PREPDATA is " $errPREPDATA +echo +set -x + +[ "$errPREPDATA" -gt '4' -o "$errPREPDATA" -eq '1' ] && exit + +# Will execute PREPOBS_LISTHEADERS even if PARALLEL is "NO", because it will +# reorder the monolithic PREPBUFR file to ensure that all messages of the same +# subtype will always be grouped together in sequential messages, arranged in +# the order found in $PRPT (Note: This is a necessity when PARALLEL is "YES" +# because the later program PREPOBS_MONOPREPBUFR must merge the $NSPLIT +# individual (partial) PREPBUFR files together in the proper order) + + +# Build listhdx.stdin from bufrtable entries of possible message headers first +# line is count, followed by list + +grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|wc -l|tee listhdx.stdin +grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|tee -a listhdx.stdin + +pgm=`basename $LISTHDX` +#-----mimics prep_step----- +set +x +echo $pgm > pgmname +set +u +[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" +set -u +[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout +rm pgmname +[ -f errfile ] && rm errfile +unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +[ -s $DATA/tracer ] && cat $DATA/tracer > errfile +set -x +#-------------------------- + +export FORT11=prepda +export FORT51=prepda.reorder +export FORT52=prepda.hdrs + +$TIMEIT $LISTHDX < listhdx.stdin >>$mp_pgmout 2>&1 +err=$? +cat prepda.hdrs +set +x +echo +echo "The foreground exit status for PREPOBS_LISTHEADERS is " $err +echo +set -x + +[ "$err" -gt '0' ] && exit + +mv prepda.reorder prepda +rm listhdx.stdin + +echo "$multi finished -- errPREPDATA = $errPREPDATA" > $status + +{ echo +set +x +echo +echo "********************************************************************" +echo "Finished executing on node `hostname -s`" +echo "Ending time : `date`" +echo "********************************************************************" +echo +set -x +} >> $mp_pgmout + +} 1> $DATA/mp_stream${1}.stdout 2> $DATA/mp_stream${1}.errfile + +exit 0 +EOFmpp +set -x + +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## +## end of HEREFILE MP_PREPDATA ## +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## + + chmod 775 MP_PREPDATA + + if [ "$PARALLEL" = 'YES' ]; then + +# In the parallel environment, either cat the multiple MP_PREPDATA tasks +# into a poe command file (for poe/mpi/cfp) - or - set up a script that will +# fire off each MP_PREPDATA thread as a background process +# ----------------------------------------------------------------------- + if [ "$POE" != 'NO' ]; then + multi=-1 + while [ $((multi+=1)) -lt $NSPLIT ] ; do + echo "ksh $DATA/MP_PREPDATA $multi "|tee -a $DATA/prep_exec.cmd + done + if [ "$launcher_PREP" != cfp -a "$launcher_PREP" != aprun ]; then + # fill in empty tasks + multi=$((multi-=1)) #need to go back one + while [ $((multi+=1)) -lt $NPROCS ] ; do + echo "echo do-nothing" >> $DATA/prep_exec.cmd + done + fi + elif [ $BACK = 'YES' ] ; then + multi=-1 + echo "#!/bin/ksh" > $DATA/prepthrds.sh + while [ $((multi+=1)) -lt $NSPLIT ] ; do + echo "$DATA/MP_PREPDATA $multi &" >> $DATA/prepthrds.sh + echo "echo $DATA/MP_PREPDATA $multi submitted in background" \ + >> $DATA/prepthrds.sh + done + echo "wait" >> $DATA/prepthrds.sh + chmod 775 $DATA/prepthrds.sh + fi + +# In the parallel environment, next either execute the poe wrapper (for poe/ +# mpi/cfp) (do not execute a time command with poe!) - or - run prepthrds.sh +# to kick off background processes and wait for them to complete +# -------------------------------------------------------------------------- + if [ "$POE" != 'NO' ]; then + if [ "$launcher_PREP" = mpirun.lsf ]; then + export MP_CMDFILE=$DATA/prep_exec.cmd + export MP_PGMMODEL=mpmd + export MP_PULSE=0 + export MP_DEBUG_NOTIMEOUT=yes + export MP_LABELIO=yes + export MP_STDOUTMODE=ordered + mpirun.lsf + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + elif [ "$launcher_PREP" = cfp ]; then + export MP_CSS_INTERRUPT=yes + export MP_LABELIO=yes + export MP_STDOUTMODE=ordered + mpirun.lsf cfp $DATA/prep_exec.cmd + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + elif [ "$launcher_PREP" = aprun ]; then + ## Determine tasks per node (PREPDATAtpn) and + ## max number of concurrent procs (PREPDATAprocs) for cfp + typeset -i nodesall=$(echo -e "${LSB_HOSTS// /\\n}"|sort -u|wc -w) + typeset -i ncnodes=$(($nodesall-1)) # we want compute nodes only + if [ $ncnodes -lt 1 ]; then + set +x + echo + echo " ** Could not get positive compute node count for aprun **" + echo " ** Are we using LSF queue with compute node access? **" + echo + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + if [[ -z ${PREPDATAtpn:-""} ]]; then + PREPDATAtpn=$((($NSPLIT+$ncnodes-1)/$ncnodes)) + # cfp is faster with extra thread so add one if there is room. + # (this logic needs an update to avoid hardwired 24) + [ $PREPDATAtpn -lt 24 ] && PREPDATAtpn=$(($PREPDATAtpn+1)) + fi + if [[ -z ${PREPDATAprocs:-""} ]]; then + PREPDATAprocs=$(($ncnodes*$PREPDATAtpn)) # max concurrent processes + fi + aprun -j 1 -n${PREPDATAprocs} -N${PREPDATAtpn} -d1 cfp $DATA/prep_exec.cmd + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + else # unknown launcher and options (eg, for use on R&D system) + $launcher_PREP + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + fi + elif [ $BACK = 'YES' ] ; then + if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + aprun -n 1 -d $NSPLIT $DATA/prepthrds.sh + else + $DATA/prepthrds.sh + fi + fi + totalt=$NSPLIT + else + +# In the serial environment, just fire off a single thread of MP_PREPDATA +# ----------------------------------------------------------------------- + multi=0 + if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + aprun -n 1 -N 1 ksh $DATA/MP_PREPDATA $multi + else + $DATA/MP_PREPDATA $multi + fi + totalt=1 + + # fi for $PARALLEL = YES + fi + + set +x + multi=0 + while [ $multi -lt $totalt ]; do +echo +echo "********************************************************************" +echo " ++ Script STDOUT from MP_PREPDATA for stream (task/thread) $multi ++" +echo "********************************************************************" +echo + cat $DATA/mp_stream${multi}.stdout +echo "********************************************************************" +echo " ++ End of Script STDOUT from MP_PREPDATA for stream $multi ++ " +echo "********************************************************************" + multi=`expr $multi + 1` + done + +echo +echo "********************************************************************" +echo " ++ Script trace from MP_PREPDATA for stream (task/thread) 0 ++ " + if [ "$PARALLEL" = 'YES' ]; then +echo +echo " In order to conserve space, the script trace from other " +echo " streams is not invoked unless the stream failed. " + fi +echo "********************************************************************" +echo + + cat mp_stream0.errfile + +echo +echo "********************************************************************" +echo " ++ End of Script trace from MP_PREPDATA for stream 0 ++ " +echo "********************************************************************" +echo + set -x + +# check status files +# ------------------ + + errSTATUS=0 + errPREPDATA=0 + four_check=yes + multi=0 + while [ $multi -lt $totalt ]; do + cat $DATA/multi$multi/mp_pgmout >> prepdata.out + cat $DATA/multi$multi/mp_pgmout >> $pgmout + status=$DATA/multi$multi/mstatus + if [ ! -s $status ]; then + set +x +echo +echo "********************************************************************" +echo " P R O B L E M ! ! ! " +echo "********************************************************************" +echo " ###> MP_PREPDATA stream (task/thread) $multi FAILED - Cycle date: \ +$CDATE10" +echo " Current working directory: $DATA " +echo +echo " Script trace from MP_PREPDATA for stream $multi follows ... " +echo "********************************************************************" +echo + cat $DATA/mp_stream${multi}.errfile +echo +echo "********************************************************************" +echo " ++ End of Script trace from MP_PREPDATA for stream $multi ++ " +echo "********************************************************************" +echo + set -x + errSTATUS=99 + else + err_this=`cut -f 2 -d = $status` + [ "$err_this" -gt "$errPREPDATA" ] && errPREPDATA=$err_this + [ "$err_this" -eq '0' ] && four_check=no + fi + multi=`expr $multi + 1` + done + + if [ "$errSTATUS" -gt '0' ]; then + $DATA/err_exit + exit 55 # for extra measure + fi + + [ "$errPREPDATA" -eq '4' -a "$four_check" = 'no' ] && errPREPDATA=0 + + set +x + echo + echo "For all MP_PREPDATA Streams, the largest foreground exit status \ + amongst all PREPOBS_PREPDATA runs is " $errPREPDATA + echo + set -x + + if [ "$errPREPDATA" -le "$errPREPDATA_limit" -a $errPREPDATA -ne 1 ]; then + err=0 + if [ "$errPREPDATA" -eq '4' ]; then + set +x + echo + echo "WARNING: PREPOBS_PREPDATA FOUND EITHER NO ADPUPA OR NO ADPSFC DATA" + echo "-------- THESE DATA WILL NOT BE AVAILABLE TO ANALYSES" + echo + set -x + fi + else + err=$errPREPDATA + fi + + pgm=`basename $PRPX` + touch errfile + $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + + if [ "$PARALLEL" = 'YES' ]; then + +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## +## HEREFILE MERGE_MSGS ## +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## + +set +x +cat <<\EOFmrg > MERGE_MSGS + +# This herefile script merges the individual partial PREPBUFR files present at +# this point into a complete, monolithic PREPBUFR file in the proper message +# type order. It is the last step in the PREPDATA processing. It runs only +# in the parallel environment. +# ---------------------------------------------------------------------------- + +# Positional parameters passed in: +# 1 - Number of input partial PREPBUFR files that are going to be merged +# ($nfiles) +# 2 - Working directory path ($DATA) (contains separate partial PREPBUFR +# files and text files containing headers for each, one directory down) +# 3 - Beginning string of sub-directories in $DATA ($subdir) (each sub- +# directory contains an input partial PREPBUFR file and a text file +# containing headers for all messages in that PREPBUFR file) +# 4 - File in each sub-directory containing headers for all messages in +# partial PREPBUFR file in same sub-directory (file name only - same name +# in all sub-directories) ($header_file_name) +# 5 - Partial PREPBUFR file in each sub-directory (file name only - same name +# in all sub-directories) ($prep_in) +# 6 - Output monolithic PREPBUFR file name (file name only) ($prep_out) +# +# Imported variables that must be passed in: +# MONOBFRX - path to PREPOBS_MONOPREPBUFR program executable +# +# Imported variables that can be passed in: +# pgmout - string indicating path to for standard output file (skipped over +# by this script if not passed in) + + +if [ $# -ne 6 ] ; then + echo "Usage: $0 nfiles DATA subdir header_file_name prep_in prep_out" + exit 1 +fi + +set -aux + +qid=$$ + +nfiles=$1;DATA=$2;subdir=$3;header_file_name=$4;prep_in=$5;prep_out=$6 + + +# From all the header files, extract the header counts and names build +# namelist input to drive $MONOBFRX program +# --------------------------------------------------------------------- + +nheaders=`cat $DATA/${subdir}*/$header_file_name|awk '{print $1}'|sort -u|wc -l` +((nheaders+=0)) + +>$DATA/input echo +echo " &namin nfiles=$nfiles, nheaders=$nheaders," >>$DATA/input + +cd $DATA + + +# Assign the fort units to the files +# ----------------------------------- + +pgm=`basename $MONOBFRX` +if [ -s $DATA/prep_step ]; then + . $DATA/prep_step +else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +fi + + +n=-1 +while [ $((n+=1)) -lt $nfiles ] ;do + [ ! -s $DATA/${subdir}$n/$prep_in ] && exit 1 + export FORT$((11+n))=$DATA/${subdir}$n/$prep_in +done +export FORT51=$prep_out +set +x + + +# Extract the total span of headers by searching through all the header files +# --------------------------------------------------------------------------- + +n=-1 +while [ $((n+=1)) -lt $nfiles ]; do + file=$DATA/${subdir}$n/$header_file_name + [ ! -s $file ] && exit 1 + if [ `cat $file|awk '{print $1}'| \ + sort -u|wc -l` -eq $nheaders ] ; then + headers="" + nlines=`cat $file|wc -l` + i=0 + while [ $((i+=1)) -le $nlines ]; do + line=`sed -n $i,${i}p $file` + header=`echo $line|awk '{print $1}'` + echo " cheaders($i)='$header',">>$DATA/input + headers="$headers $header" + done + break + fi +done + + +# Tranlate the hdrs file contents into namelist array +# --------------------------------------------------- + +n=-1 +while [ $((n+=1)) -lt $nfiles ]; do + file=$DATA/${subdir}$n/$header_file_name + line= + i=0 + for hdr in $headers; do + ((i+=1)) + count=`grep $hdr $file|awk '{print $2}'` + set +u + [ -z "$count" ] && count=0 + set -u + line="${line}msgs($i,$((n+1)))=$count," + done + echo " $line " >>$DATA/input +done + +echo " &end" >>$DATA/input +set -x +cat $DATA/input + +$TIMEIT $MONOBFRX <$DATA/input > outout 2> errfile +export err=$? +###cat errfile +cat errfile >> outout +cat outout >> monoprepbufr.out +set +u +[ -n "$pgmout" ] && cat outout >> $pgmout +set -u +rm outout +set +x +echo +echo "The foreground exit status for PREPOBS_MONOPREPBUFR is " $err +echo +set -x +$DATA/err_chk +[ $err != 0 ] && exit 55 # for extra measure + +exit 0 +EOFmrg +set -x + +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## +## end of HEREFILE MERGE_MSGS ## +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## + + chmod 775 MERGE_MSGS + +# In parallel environment, make monolithic PREPBUFR file by meriging the +# partial PREPBUFR files +# ---------------------------------------------------------------------- + $TIMEIT $DATA/MERGE_MSGS $NSPLIT $DATA multi prepda.hdrs prepda \ + prepda.${cycle} + errsc=$? + if test $errsc -ne 0 + then +# problem with merge script + $DATA/err_exit + exit 55 # for extra measure + fi + else + +# In serial environment, already have a monolithic PREPBUFR file - just +# copy it to expected local monolithic PREPBUFR file location +# --------------------------------------------------------------------- + cp $DATA/multi0/prepda prepda.${cycle} + + # fi for $PARALLEL = YES + fi + +# fi for $PREPDATA = YES +fi + +set +u +[ -n "$PREPBUFR_IN" ] && cp $PREPBUFR_IN $DATA/prepda.${cycle} +set -u + + +############################################ +# EXECUTE SYNTHETIC CYCLONE DATA PROCESSING +############################################ + +if [ "$SYNDATA" = 'YES' ]; then + +# Check condition code - SDM can shut-off synthetic cyclone bogusing +# ------------------------------------------------------------------ +# ==> this switch is NOT YET in place, so it will be hardwired to "YES" + +###cp ???????????? syndata_cond + echo "YES" > syndata_cond + SYN=`cat tcvitals_orig_sort + sort tcvitals > tcvitals_sort + comm -23 tcvitals_orig_sort tcvitals_sort > tcvitals_removed + [ -s tcvitals_removed ] && run_syndat_twice=yes + fi + fi + + $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ + $DATA/tcvitals $CDATE10 + + if [ $run_syndat_twice = yes ]; then + +# Run SYNDATA a second time when switch "run_syndat_twice" was set to "yes" in +# above logic (see %% above) + + DO_BOGUS=NO + $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ + $DATA/tcvitals_removed $CDATE10 + fi + fi +fi + +[ "$PREPDATA" = 'YES' ] && cp prepda.${cycle} prepda.prepdata + + +########################################### +# EXECUTE GSI QUALITY-CONTROL PROCESSING +########################################### + +if [ "$DO_QC" = 'YES' ]; then + if [ "$PREVENTS" = 'YES' ];then + $TIMEIT $USHPREV/prepobs_prevents.sh $DATA/prepda.${cycle} $CDATE10 + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$CQCBUFR" = 'YES' ];then + $TIMEIT $USHCQC/prepobs_cqcbufr.sh $DATA/prepda.${cycle} + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$PROFCQC" = 'YES' ];then + $TIMEIT $USHPQC/prepobs_profcqc.sh $DATA/prepda.${cycle} + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$CQCVAD" = 'YES' ];then + $TIMEIT $USHVQC/prepobs_cqcvad.sh $DATA/prepda.${cycle} $CDATE10 + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$PREPACQC" = 'YES' ];then + $TIMEIT $USHAQC/prepobs_prepacqc.sh $DATA/prepda.${cycle} $DATA/adpsfc + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$OIQCBUFR" = 'YES' ];then + $TIMEIT $USHOIQC/prepobs_oiqcbufr.sh $DATA/prepda.${cycle} $CDATE10 + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi +fi + + +# Look for "OVERLARGE" subsets in stdout (print out of bufrlib when subset +# discarded because it is too big to fit in a BUFR message) -- post to +# jlogfile if appropriate + +msg=`grep "OVERLARGE SUBSET DISCARDED" $pgmout` +err=$? +if [ "$err" -eq '0' ]; then + set +x + echo + echo "$msg" + echo + set -x + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" +fi + +exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.txt b/systems/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.txt new file mode 100644 index 0000000..546ed9e --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.txt @@ -0,0 +1 @@ +MAKEPREPBUFRSH=/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/prepobs_makeprepbufr.sh diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf new file mode 100755 index 0000000..085b631 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf @@ -0,0 +1,1732 @@ +#!/bin/ksh +############################################################################# +echo "----------------------------------------------------------------------" +echo "exglobal_dump.sh.ecf - Global (GDAS, GFS) network data dump processing" +echo "----------------------------------------------------------------------" +echo "History: Jan 18 2000 - Original script. " +echo " May 16 2007 - Added DBNet alerts for GFS products. " +echo " Apr 2014 - Pick up grib files for planned GFS upgrade. " +echo " Oct 2014 - Remove attempts to dump obsolete sources. " +echo " Nov 2014 - Use parallel scripting to process dump groups. " +echo " Widen hourly satwnd dump window for GFS/GDAS. " +echo " Add new satwnd subtypes for GFS & GDAS. " +echo " GFS/GDAS continue if surface file unavailable. " +echo " Remove DBNet alerts for old surface files. " +echo " Dec 3 2014 - CDAS network, split off into its own script " +echo " excdas_dump.sh.ecf. This script now tailored " +echo " exclusively to GDAS and GFS. " +echo " Feb 2 2015 - Dump window for new satwnd type NC005090 set " +echo " to 3.00 to +2.99 hours about center dump time. " +echo " Removed ADD_satwnd=\"005019 005080\" since " +echo " types are now part of "satwnd" dump group " +echo " mnemonic in bufr_dumplist. " +echo " Aug 22 2016 - GSPIPW dump window reset for new data stream " +echo " (moved to dump group #4 where TIME_TRIM=on) " +echo " Jan 5 2017 - Dump new satellite data types. Reordered to " +echo " improve run time with all the new data. " +echo " Feb 8 2017 - Update to run on Cray-XC40 or IBM iDataPlex " +############################################################################# + +# NOTE: NET is changed to gdas in the parent Job script for the gdas RUN +# (was gfs - NET remains gfs for gfs RUN) +# ----------------------------------------------------------------------- + +set -xau + +# function to highlight an echoed msg with surrounding hashed separator lines. + echo_hashed_msg () { + set +x + msg=$* + echo -e "\n ${msg//?/#}" + echo " ${msg}" + echo -e " ${msg//?/#}\n" + set -x + } +# end of function setup +# +# set some variables if they have not already been set + +set +u + +# JOB_NUMBER = 1 indicates the prepbufr dump job. +# JOB_NUMBER = 2 indicates the non-prepbufr dump job. +# JOB_NUMBER not present indicates dump BOTH prepbufr and non-prepbufr data. +# ----------------------------------------------------------------------------- +# Dump group #1 (non-pb, TIME_TRIM defaults to OFF) = +# avcsam eshrs3 ssmisu cris saphir atms 1bhrs4 sevcsr tesac mls +# esatms +# +# Dump group #2 (pb, TIME_TRIM defaults to OFF) = +# sfcshp atovs* adpsfc ascatt +# * - for GDAS only +# +# Dump group #3 (pb, TIME_TRIM defaults to OFF) = +# adpupa +# +# Dump group #4 (pb, TIME_TRIM defaults to ON) = +# aircar aircft proflr vadwnd rassda gpsipw +# +# Dump group #5 (pb, TIME_TRIM defaults to OFF) = +# msonet +# +# Dump group #6 (non-pb, TIME_TRIM defaults to OFF) = +# nexrad +# +# Dump group #7 (non-pb, TIME_TRIM defaults to OFF) = +# avcspm esmhs goesfv 1bmhs airsev atmsdb gome omi trkob gpsro +# escris +# +# Dump group #8 (pb, TIME_TRIM defaults to ON) = +# satwnd +# +# Dump group #9 (non-pb, TIME_TRIM defaults to ON) = +# geoimr +# +# Dump group #10 (non-pb, TIME_TRIM defaults to OFF) = +# esiasi mtiasi esamua crisdb iasidb sevasr 1bamua bathy osbuv8 +# +# Dump group #11 (non-pb, TIME_TRIM defaults to OFF) = +# amsr2 +# +# Dump group #12 STATUS FILE +# ----------------------------------------------------------------------------- + +#VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV +# The settings below are based on a future change when the DUMP job will dump +# only types that go into PREPBUFR and the DUMP2 job will dump only types that +# do not go into PREPBUFR. This will speed up the DUMP + PREP processing. +# Although the logic is in place to now do this (see below), for now we will +# continue to run only a DUMP job which will dump ALL types (no DUMP2 job) - +# since JOB_NUMBER is not imported to this script, the logic below will dump +# all types ... +# ----------------------------------------------------------------------------- +#^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ + +if [ -n "$JOB_NUMBER" ]; then +set -u + if [ $JOB_NUMBER = 2 ]; then + dump_ind=DUMP2 + DUMP_group1=${DUMP_group1:-"YES"} + DUMP_group2=${DUMP_group2:-"NO"} + DUMP_group3=${DUMP_group3:-"NO"} + DUMP_group4=${DUMP_group4:-"NO"} + DUMP_group5=${DUMP_group5:-"NO"} + DUMP_group6=${DUMP_group6:-"NO"} + DUMP_group7=${DUMP_group7:-"YES"} + DUMP_group8=${DUMP_group8:-"NO"} + DUMP_group9=${DUMP_group9:-"YES"} + DUMP_group10=${DUMP_group10:-"YES"} + DUMP_group11=${DUMP_group11:-"YES"} + else + dump_ind=DUMP + DUMP_group1=${DUMP_group1:-"NO"} + DUMP_group2=${DUMP_group2:-"YES"} + DUMP_group3=${DUMP_group3:-"YES"} + DUMP_group4=${DUMP_group4:-"YES"} + DUMP_group5=${DUMP_group5:-"NO"} + DUMP_group6=${DUMP_group6:-"NO"} + DUMP_group7=${DUMP_group7:-"NO"} + DUMP_group8=${DUMP_group8:-"YES"} + DUMP_group9=${DUMP_group9:-"NO"} + DUMP_group10=${DUMP_group10:-"NO"} + DUMP_group11=${DUMP_group11:-"NO"} + fi +else + dump_ind=DUMP + DUMP_group1=${DUMP_group1:-"YES"} + DUMP_group2=${DUMP_group2:-"YES"} + DUMP_group3=${DUMP_group3:-"YES"} + DUMP_group4=${DUMP_group4:-"YES"} + DUMP_group5=${DUMP_group5:-"NO"} + DUMP_group6=${DUMP_group6:-"NO"} + DUMP_group7=${DUMP_group7:-"YES"} + DUMP_group8=${DUMP_group8:-"YES"} + DUMP_group9=${DUMP_group9:-"YES"} + DUMP_group10=${DUMP_group10:-"YES"} + DUMP_group11=${DUMP_group11:-"YES"} +fi + +if [ "$NET" = 'gfs' ]; then + ADPUPA_wait=${ADPUPA_wait:-"YES"} +########ADPUPA_wait=${ADPUPA_wait:-"NO"} # saves time if ADPUPA_wait=NO +else + ADPUPA_wait=${ADPUPA_wait:-"NO"} +fi + + +# send extra output of DUMP2 for monitoring purposes. +set +u +if [ -n "$JOB_NUMBER" ]; then + [ $JOB_NUMBER = 2 ] && export PS4='$SECONDS + ' +fi +set -u + +# Make sure we are in the $DATA directory +cd $DATA + +msg="HAS BEGUN on `hostname`" +$DATA/postmsg "$jlogfile" "$msg" + +cat break > $pgmout + +export dumptime=`cut -c7-16 ncepdate` +export cycp=`echo $dumptime|cut -c9-10` + +export NET_uc=$(echo $NET | tr [a-z] [A-Z]) +export tmmark_uc=$(echo $tmmark | tr [a-z] [A-Z]) + +msg="$NET_uc ANALYSIS TIME IS $PDY$cyc" +$DATA/postmsg "$jlogfile" "$msg" + +set +x +echo +echo "CENTER DATA DUMP DATE-TIME FOR $tmmark_uc $NET_uc IS $dumptime" +echo +set -x + +export COMSP=$COMOUT/$RUN.${cycle}. + +if [ "$PROCESS_GRIBFLDS" = 'YES' ]; then + +######################################################## +######################################################## +## The following files are not *required* but will still +# be processed here for the near term (missing files +# will not cause job to fail) +# +# copy snogrb (0.5 deg) from $TANK_GRIBFLDS +# copy snogrb_t574 from $TANK_GRIBFLDS +# copy engicegrb from $COM_ENGICE +# copy sstgrb from $COM_SSTOI +# generate sstgrb index file +######################################################## +######################################################## + + snogrb=$TANK_GRIBFLDS/$PDY/wgrbbul/snowdepth.global.grb + snoold=$TANK_GRIBFLDS/$PDYm1/wgrbbul/snowdepth.global.grb + + if [ -s $snogrb ]; then + cp $snogrb ${COMSP}snogrb + msg="todays 0.5 degree snow grib file located and copied to ${COMSP}snogrb" + $DATA/postmsg "$jlogfile" "$msg" + elif [ -s $snoold ]; then + cp $snoold ${COMSP}snogrb + msg="**todays 0.5 degree snow grib file not located - copy 1-day old file" + $DATA/postmsg "$jlogfile" "$msg" + else + set +x + echo " " + echo " #####################################################" + echo " cannot locate 0.5 degree snow grib file" + echo " #####################################################" + echo " " + set -x + msg="***WARNING: CANNOT LOCATE 0.5 DEGREE SNOW GRIB FILE. Not critical." + $DATA/postmsg "$jlogfile" "$msg" + fi + + snogrb_t574=$TANK_GRIBFLDS/$PDY/wgrbbul/snowdepth.t574.grb + snoold_t574=$TANK_GRIBFLDS/$PDYm1/wgrbbul/snowdepth.t574.grb + + if [ -s $snogrb_t574 ]; then + cp $snogrb_t574 ${COMSP}snogrb_t574 + msg="todays T574 snow grib file located and copied to ${COMSP}snogrb_t574" + $DATA/postmsg "$jlogfile" "$msg" + elif [ -s $snoold_t574 ]; then + cp $snoold_t574 ${COMSP}snogrb_t574 + msg="**todays T574 snow grib file not located - copy 1-day old file" + $DATA/postmsg "$jlogfile" "$msg" + else + set +x + echo " " + echo " ###############################################" + echo " cannot locate T574 snow grib file" + echo " ###############################################" + echo " " + set -x + msg="***WARNING: CANNOT LOCATE T574 SNOW GRIB FILE. Not critical." + $DATA/postmsg "$jlogfile" "$msg" + fi + + engicegrb=${COM_ENGICE}.$PDY/engice.t00z.grb + engiceold=${COM_ENGICE}.$PDYm1/engice.t00z.grb + + if [ -s $engicegrb ]; then + cp $engicegrb ${COMSP}engicegrb + msg="todays engice grib file located and copied to ${COMSP}engicegrb" + $DATA/postmsg "$jlogfile" "$msg" + elif [ -s $engiceold ]; then + cp $engiceold ${COMSP}engicegrb + msg="**todays engice grib file not located - copy 1-day old file" + $DATA/postmsg "$jlogfile" "$msg" + else + set +x + echo " " + echo " ############################################" + echo " cannot locate engice grib file" + echo " ############################################" + echo " " + set -x + msg="***WARNING: CANNOT LOCATE LOW RES ENGICE GRIB FILE. Not critical." + $DATA/postmsg "$jlogfile" "$msg" + fi + + sstgrb=${COM_SSTOI}.$PDY/sstoi_grb + sstold=${COM_SSTOI}.$PDYm1/sstoi_grb + + if [ -s $sstgrb ]; then + cp $sstgrb ${COMSP}sstgrb + msg="todays lowres sst grib file located and copied to ${COMSP}sstgrb" + $DATA/postmsg "$jlogfile" "$msg" + elif [ -s $sstold ]; then + cp $sstold ${COMSP}sstgrb + msg="**todays lowres sst grib file not located - copy 1-day old file" + $DATA/postmsg "$jlogfile" "$msg" + else + set +x + echo " " + echo " #########################################" + echo " cannot locate lowres sst grib file" + echo " #########################################" + echo " " + set -x + msg="***WARNING: CANNOT LOCATE LOW RES SST GRIB FILE. Not critical." + $DATA/postmsg "$jlogfile" "$msg" + fi + + if [ -s ${COMSP}sstgrb ]; then + rm errfile + $GRBINDEX ${COMSP}sstgrb ${COMSP}sstgrb.index 2> errfile + errindx=$? + [ "$errindx" -ne '0' ] && cat errfile + rm errfile + else + echo_hashed_msg "cannot create grib index since sst file does not exist" + fi + +# The following may no longer be needed, but leave them in place for now. +# Print msg in the rare case the grib2 files cannot be created. + if [ "$NET" = 'gdas' ]; then + if [ -s ${COMSP}engicegrb ]; then + $CNVGRIB -g12 -p40 ${COMSP}engicegrb ${COMSP}engicegrb.grib2 + else + echo_hashed_msg "Skip engicegrb.grib2 since grib1 file does not exist" + fi + if [ -s ${COMSP}sstgrb ]; then + $CNVGRIB -g12 -p40 ${COMSP}sstgrb ${COMSP}sstgrb.grib2 + else + echo_hashed_msg "Skip sstgrb.grib2 since grib1 file does not exist" + fi + if [ -s ${COMSP}snogrb ]; then + $CNVGRIB -g12 -p40 ${COMSP}snogrb ${COMSP}snogrb.grib2 + else + echo_hashed_msg "Skip snogrb.grib2 since grib1 file does not exist" + fi + fi + + +###################################################################### +###################################################################### +# For the following, try as far as $ndaysback to find recent file. # +# Post warning if no file found for $ndaysback_warn or beyond. # +# The job will continue if no suitable file is available. # +# ---------------------------------------------------------------- # +# copy NPR.SNWN.SP.S1200.MESH16 from $TANK_GRIBFLDS # +# copy NPR.SNWS.SP.S1200.MESH16 from $TANK_GRIBFLDS # +# copy imssnow96.grb.grib2 from $TANK_GRIBFLDS # +# copy seaice.t00z.5min.grb from $COM_ICE5MIN # +# copy seaice.t00z.5min.grb.grib2 from $COM_ICE5MIN # +# copy rtgssthr_grb_0.083 from $COM_SSTRTG # +# copy rtgssthr_grb_0.083.grib2 from $COM_SSTRTG # +###################################################################### +###################################################################### + for gribfile in \ + NPR.SNWN.SP.S1200.MESH16 \ + NPR.SNWS.SP.S1200.MESH16 \ + imssnow96.grb.grib2 \ + seaice.t00z.5min.grb \ + seaice.t00z.5min.grb.grib2 \ + rtgssthr_grb_0.083 \ + rtgssthr_grb_0.083.grib2 + do +# set the values specific to each file + case $gribfile in + NPR.SNWN.SP.S1200.MESH16 | NPR.SNWS.SP.S1200.MESH16 ) # AFWA snow + grib_source='$TANK_GRIBFLDS/$DDATE/wgrbbul'; + target_filename=$gribfile.grb + ndaysback=1; + ndaysback_warn=1;; + imssnow96.grb.grib2 ) # IMS snow + grib_source='$TANK_GRIBFLDS/$DDATE/wgrbbul'; + target_filename=imssnow96.grib2 + ndaysback=1; + ndaysback_warn=1;; + seaice.t00z.5min.grb ) + grib_source='${COM_ICE5MIN}.$DDATE'; + target_filename=seaice.5min.grb + ndaysback=7; + ndaysback_warn=1;; + seaice.t00z.5min.grb.grib2 ) + grib_source='${COM_ICE5MIN}.$DDATE'; + target_filename=seaice.5min.grib2 + ndaysback=7; + ndaysback_warn=1;; + rtgssthr_grb_0.083 ) + grib_source='${COM_SSTRTG}.$DDATE'; + target_filename=rtgssthr.grb + ndaysback=10; + ndaysback_warn=1;; + rtgssthr_grb_0.083.grib2 ) + grib_source='${COM_SSTRTG}.$DDATE'; + target_filename=rtgssthr.grib2 + ndaysback=10; + ndaysback_warn=1;; + *) + msg="***FATAL ERROR: unexpected grib field file $gribfile"; + echo_hashed_msg "$msg" + $DATA/postmsg "$jlogfile" "$msg" + $DATA/err_exit;; + esac +# set up string of dates to check + if [ $ndaysback -gt 0 ];then +set +x; echo -e "\n---> path to finddate.sh below is: `which finddate.sh`"; set -x + CHECK_DATES="$PDY $(finddate.sh $PDY s-$ndaysback)" + else + CHECK_DATES=$PDY + fi + set +x; + echo -e "\nWill check as far back as ${CHECK_DATES##* } for $gribfile" + set -x + ndtry=0 + found=false +# loop through dates to check for this file type + for DDATE in $CHECK_DATES;do + ndtry=`expr $ndtry + 1` + eval tryfile=$grib_source/$gribfile + if [ -s $tryfile ];then + set +x; echo -e "\nPicking up file $tryfile\n"; set -x + cp $tryfile ${COMSP}$target_filename + found=true + break + fi + if [ $DDATE -ne ${CHECK_DATES##* } ]; then + set +x;echo -e "\n$tryfile not available. Try previous day.\n" + set -x + else + set +x;echo -e "\n$tryfile not available.\n";set -x + fi + if [ $ndtry -gt $ndaysback_warn ];then + msg="***WARNING: INVESTIGATE UNEXPECTED ABSENCE OF $tryfile" + echo_hashed_msg "$msg" + $DATA/postmsg "$jlogfile" "$msg" + fi + done + if [ $found != true ]; then + msg="***WARNING: NO USEFUL RECENT FILES FOUND FOR $gribfile!!!" + echo_hashed_msg "$msg" + $DATA/postmsg "$jlogfile" "$msg" + fi + done + if [ "$SENDECF" = "YES" ]; then + ecflow_client --event=release_sfcprep + fi + +# endif loop $PROCESS_GRIBFLDS +fi + + +echo "=======> Dump group 1 (thread_1) not executed." > $DATA/1.out +echo "=======> Dump group 2 (thread_2) not executed." > $DATA/2.out +echo "=======> Dump group 3 (thread_3) not executed." > $DATA/3.out +echo "=======> Dump group 4 (thread_4) not executed." > $DATA/4.out +echo "=======> Dump group 5 (thread_5) not executed." > $DATA/5.out +echo "=======> Dump group 6 (thread_6) not executed." > $DATA/6.out +echo "=======> Dump group 7 (thread_7) not executed." > $DATA/7.out +echo "=======> Dump group 8 (thread_8) not executed." > $DATA/8.out +echo "=======> Dump group 9 (thread_9) not executed." > $DATA/9.out +echo "=======> Dump group 10 (thread_10) not executed." > $DATA/10.out +echo "=======> Dump group 11 (thread_11) not executed." > $DATA/11.out + +err1=0 +err2=0 +err3=0 +err4=0 +err5=0 +err6=0 +err7=0 +err8=0 +err9=0 +err10=0 +err11=0 +if [ "$PROCESS_DUMP" = 'YES' ]; then + +#################################### +#################################### +# The data "dump" script for tm00 +#################################### +#################################### + +msg="START THE $tmmark_uc $NET_uc DATA $dump_ind CENTERED ON $dumptime" +$DATA/postmsg "$jlogfile" "$msg" + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_1; chmod +x thread_1 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_1 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=1 + +#========================================================================= +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump # 1 : AVCSAM: 1 subtype(s) +# ESHRS3: 1 subtype(s) +# SSMISU: 1 subtype(s) +# CRIS: 1 subtype(s) (if present in past 10 days of tanks) +# SAPHIR: 1 subtype(s) +# ATMS: 1 subtype(s) (if present in past 10 days of tanks) +# 1BHRS4: 1 subtype(s) +# SEVCSR: 1 subtype(s) +# TESAC: 1 subtype(s) +# MLS: 1 subtype(s) (if present in past 10 days of tanks) +# ESATMS: 1 subtype(s) (if present in past 10 days of tanks) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 11 +# +#========================================================================= + +DTIM_latest_avcsam=${DTIM_latest_avcsam:-"+2.99"} +DTIM_latest_eshrs3=${DTIM_latest_eshrs3:-"+2.99"} +DTIM_latest_ssmisu=${DTIM_latest_ssmisu:-"+2.99"} +#----------------------------------------------- +# check for cris tank presence in past 10 days +cris="" +err_check_tanks=0 +sh $USHobsproc_dump/check_tanks.sh cris +err_check_tanks=$? +if [ $err_check_tanks -eq 0 ];then + cris=cris + DTIM_latest_cris=${DTIM_latest_cris:-"+2.99"} +fi +#----------------------------------------------- +DTIM_latest_saphir=${DTIM_latest_saphir:-"+2.99"} +#----------------------------------------------- +# check for atms tank presence in past 10 days +atms="" +err_check_tanks=0 +sh $USHobsproc_dump/check_tanks.sh atms +err_check_tanks=$? +if [ $err_check_tanks -eq 0 ];then + atms=atms + DTIM_latest_atms=${DTIM_latest_atms:-"+2.99"} +fi +#----------------------------------------------- +DTIM_latest_1bhrs4=${DTIM_latest_1bhrs4:-"+2.99"} +DTIM_latest_sevcsr=${DTIM_latest_sevcsr:-"+2.99"} +DTIM_latest_tesac=${DTIM_latest_tesac:-"+2.99"} +#----------------------------------------------- +# check for mls tank presence in past 10 days +mls="" +err_check_tanks=0 +sh $USHobsproc_dump/check_tanks.sh mls +err_check_tanks=$? +if [ $err_check_tanks -eq 0 ];then + mls=mls + DTIM_latest_mls=${DTIM_latest_mls:-"+2.99"} +fi +#----------------------------------------------- +#----------------------------------------------- +# check for esatms tank presence in past 10 days +esatms="" +err_check_tanks=0 +sh $USHobsproc_dump/check_tanks.sh esatms +err_check_tanks=$? +if [ $err_check_tanks -eq 0 ];then + esatms=esatms + DTIM_latest_esatms=${DTIM_latest_esatms:-"+2.99"} +fi +#----------------------------------------------- + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM1:-off}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 avcsam eshrs3 ssmisu $cris \ + saphir $atms 1bhrs4 sevcsr tesac $mls $esatms +error1=$? +echo "$error1" > $DATA/error1 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_avcsam $job \ + ${COMSP}avcsam.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_eshrs3 $job \ + ${COMSP}eshrs3.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_ssmisu $job \ + ${COMSP}ssmisu.tm00.bufr_d + if [ "$cris" = cris ];then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_cris $job \ + ${COMSP}cris.tm00.bufr_d + fi +### restricted $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_saphir $job \ +### restricted ${COMSP}saphir.tm00.bufr_d + if [ "$atms" = atms ];then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_atms $job \ + ${COMSP}atms.tm00.bufr_d + fi + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_1bhrs4 $job \ + ${COMSP}1bhrs4.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_sevcsr $job \ + ${COMSP}sevcsr.tm00.bufr_d +####### ALERTS TURNED OFF UNTIL REQUESTED BY USER ######################### +# $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_tesac $job \ +# ${COMSP}tesac.tm00.bufr_d +########################################################################### + if [ "$mls" = mls ];then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_mls $job \ + ${COMSP}mls.tm00.bufr_d + fi + if [ "$esatms" = esatms ];then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esatms $job \ + ${COMSP}esatms.tm00.bufr_d + fi +fi + +set +x +echo "********************************************************************" +echo Script thread_1 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/1.out 2>&1 +EOF +set -x + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_2; chmod +x thread_2 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_2 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=2 + +#========================================================================== +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# (3) GDAS GSI doesn't use ATOVS, but NASA/GSFC is pulling them off our +# server, also CDAS may be running special tests using data from GDAS +# cutoff time (ATOVS is not dumped in GFS) +# +#-------------------------------------------------------------------------- +# GDAS: +# Dump # 2 : SFCSHP: 5 subtype(s) +# ATOVS: 1 subtype(s) +# ADPSFC: 4 subtype(s) +# ASCATT: 1 subtype(s) +# xxxxxxxxx WNDSAT: 1 subtype(s) (if present in past 10 days of tanks) +# ===> Dumping of WNDSAT removed from here until new ingest feed is established +# (had been dumped with a time window radius of -3.00 to +2.99 hours) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 11 +# +#-------------------------------------------------------------------------- +# GFS: +# Dump # 2 : SFCSHP: 5 subtype(s) +# ADPSFC: 4 subtype(s) +# ASCATT: 1 subtype(s) +# xxxxxxxxx WNDSAT: 1 subtype(s) (if present in past 10 days of tanks) +# ===> Dumping of WNDSAT removed from here until new ingest feed is established +# (had been dumped with a time window radius of -3.00 to +2.99 hours) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 10 +# +#========================================================================== + +DTIM_latest_sfcshp=${DTIM_latest_sfcshp:-"+2.99"} + +atovs="" +if [ "$NET" = 'gdas' ]; then + atovs=atovs + DTIM_latest_atovs=${DTIM_latest_atovs:-"+2.99"} +fi + +DTIM_latest_adpsfc=${DTIM_latest_adpsfc:-"+2.99"} +DTIM_latest_ascatt=${DTIM_latest_ascatt:-"+2.99"} +#----------------------------------------------- +# check for wndsat tank presence in past 10 days +wndsat="" +err_check_tanks=0 +##########sh $USHobsproc_dump/check_tanks.sh wndsat +##########err_check_tanks=$? +err_check_tanks=99 # comment out 2 lines above & add this line to ensure wndsat + # is not ever dumped +if [ $err_check_tanks -eq 0 ];then + wndsat=wndsat + DTIM_latest_wndsat=${DTIM_latest_wndsat:-"+2.99"} +fi +#----------------------------------------------- + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM2:-off}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 sfcshp $atovs adpsfc ascatt $wndsat +error2=$? +echo "$error2" > $DATA/error2 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_sfcshp $job \ + ${COMSP}sfcshp.tm00.bufr_d + [ -f ${COMSP}atovs.tm00.bufr_d ] && \ + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_atovs $job \ + ${COMSP}atovs.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_adpsfc $job \ + ${COMSP}adpsfc.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_ascatt $job \ + ${COMSP}ascatt.tm00.bufr_d + if [ "$NET" = 'gdas' ]; then + ####### ALERT TURNED ON for GDAS only ######################## + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_ascatw $job \ + ${COMSP}ascatw.tm00.bufr_d + fi + if [ "$wndsat" = wndsat ];then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_wndsat $job \ + ${COMSP}wndsat.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_wdsatr $job \ + ${COMSP}wdsatr.tm00.bufr_d + fi +fi + +set +x +echo "********************************************************************" +echo Script thread_2 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/2.out 2>&1 +EOF +set -x + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_3; chmod +x thread_3 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_3 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=3 + +#==================================================================== +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump #3: ADPUPA: 6 subtype(s) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 6 +# +#==================================================================== + +DTIM_latest_adpupa=${DTIM_latest_adpupa:-"+2.99"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM3:-off}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 adpupa +error3=$? +echo "$error3" > $DATA/error3 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_adpupa $job \ + ${COMSP}adpupa.tm00.bufr_d +fi + +set +x +echo "********************************************************************" +echo Script thread_3 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/3.out 2>&1 +EOF +set -x + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_4; chmod +x thread_4 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_4 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=4 + +#======================================================================= +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# EXCEPT: AIRCFT where it is +/- 3.25 hours +# AIRCAR where it is +/- 3.25 hours +# PROFLR where it is -4.00 to +3.99 hours +# GSPIPW where it is +/- 0.05 hours (+/- 3min) +# (2) TIME TRIMMING IS DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump # 4 : AIRCAR: 2 subtype(s) +# AIRCFT: 6 subtype(s) +# PROFLR: 4 subtype(s) +# VADWND: 1 subtype(s) +# RASSDA: 1 subtype(s) +# GPSIPW: 1 subtype(s) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 15 +# +#======================================================================= + +# Skip NeXRaD VAD WINDS FROM LEVEL 2 DECODER (not ready to be handled in GSI) + +export SKIP_002017=YES + +# Dump AIRCFT and AIRCAR with wide time window to improve PREPOBS_PREPACQC +# track-check performance +# (time window will be winnowed down to +/- 3.00 hours in output from +# PREPOBS_PREPACQC) + +# Dump PROFLR with wide time window to improve PREPOBS_PROFCQC performance +# (time window will be winnowed down in output from PREPOBS_PROFCQC, see +# parm cards for output time window) + +# Dump GPSIPW with narrow (+/- 3-min) time window since new Ground Based +# GPS-IPW/ZTD (from U.S.-ENI and foreign GNSS providers) is currently limited +# to obs only at cycle-time + +DTIM_earliest_aircft=${DTIM_earliest_aircft:-"-3.25"} +DTIM_latest_aircft=${DTIM_latest_aircft:-"+3.25"} + +DTIM_earliest_aircar=${DTIM_earliest_aircar:-"-3.25"} +DTIM_latest_aircar=${DTIM_latest_aircar:-"+3.25"} + +DTIM_earliest_proflr=${DTIM_earliest_proflr:-"-4.00"} +DTIM_latest_proflr=${DTIM_latest_proflr:-"+3.99"} + +DTIM_latest_vadwnd=${DTIM_latest_vadwnd:-"+2.99"} +DTIM_latest_rassda=${DTIM_latest_rassda:-"+2.99"} + +DTIM_earliest_gpsipw=${DTIM_latest_gpsipw:-"-0.05"} +DTIM_latest_gpsipw=${DTIM_latest_gpsipw:-"+0.05"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM4:-on}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 aircar aircft proflr vadwnd \ + rassda gpsipw +error4=$? +echo "$error4" > $DATA/error4 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_aircar $job \ + ${COMSP}aircar.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_aircft $job \ + ${COMSP}aircft.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_proflr $job \ + ${COMSP}proflr.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_vadwnd $job \ + ${COMSP}vadwnd.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_rassda $job \ + ${COMSP}rassda.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_gpsipw $job \ + ${COMSP}gpsipw.tm00.bufr_d +fi + +set +x +echo "********************************************************************" +echo Script thread_4 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/4.out 2>&1 +EOF +set -x + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_5; chmod +x thread_5 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_5 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=5 + +#=================================================================== +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Currently not executed in GDAS or GFS: +# Dump # 5 : MSONET: 30 subtype(s) +# --------------------- +# TOTAL NUMBER OF SUBTYPES = 30 +# +#=================================================================== + +DTIM_latest_msonet=${DTIM_latest_msonet:-"+2.99"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM5:-off}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 msonet +error5=$? +echo "$error5" > $DATA/error5 + +set +x +echo "********************************************************************" +echo Script thread_5 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/5.out 2>&1 +EOF +set -x + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_6; chmod +x thread_6 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_6 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=6 + +#=================================================================== +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Currently not executed in GDAS or GFS: +# Dump # 6 : NEXRAD: 8 subtype(s) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 8 +# +#=================================================================== + +DTIM_latest_nexrad=${DTIM_latest_nexrad:-"+2.99"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM6:-off}} + +# NEXRAD tanks are hourly +# Process only those hourly tanks w/i requested dump center cycle time window + +SKIP_006010=YES # radial wind 00Z +SKIP_006011=YES # radial wind 01Z +SKIP_006012=YES # radial wind 02Z +SKIP_006013=YES # radial wind 03Z +SKIP_006014=YES # radial wind 04Z +SKIP_006015=YES # radial wind 05Z +SKIP_006016=YES # radial wind 06Z +SKIP_006017=YES # radial wind 07Z +SKIP_006018=YES # radial wind 08Z +SKIP_006019=YES # radial wind 09Z +SKIP_006020=YES # radial wind 10Z +SKIP_006021=YES # radial wind 11Z +SKIP_006022=YES # radial wind 12Z +SKIP_006023=YES # radial wind 13Z +SKIP_006024=YES # radial wind 14Z +SKIP_006025=YES # radial wind 15Z +SKIP_006026=YES # radial wind 16Z +SKIP_006027=YES # radial wind 17Z +SKIP_006028=YES # radial wind 18Z +SKIP_006029=YES # radial wind 19Z +SKIP_006030=YES # radial wind 20Z +SKIP_006031=YES # radial wind 21Z +SKIP_006032=YES # radial wind 22Z +SKIP_006033=YES # radial wind 23Z + +SKIP_006040=YES # reflectivity 00Z +SKIP_006041=YES # reflectivity 01Z +SKIP_006042=YES # reflectivity 02Z +SKIP_006043=YES # reflectivity 03Z +SKIP_006044=YES # reflectivity 04Z +SKIP_006045=YES # reflectivity 05Z +SKIP_006046=YES # reflectivity 06Z +SKIP_006047=YES # reflectivity 07Z +SKIP_006048=YES # reflectivity 08Z +SKIP_006049=YES # reflectivity 09Z +SKIP_006050=YES # reflectivity 10Z +SKIP_006051=YES # reflectivity 11Z +SKIP_006052=YES # reflectivity 12Z +SKIP_006053=YES # reflectivity 13Z +SKIP_006054=YES # reflectivity 14Z +SKIP_006055=YES # reflectivity 15Z +SKIP_006056=YES # reflectivity 16Z +SKIP_006057=YES # reflectivity 17Z +SKIP_006058=YES # reflectivity 18Z +SKIP_006059=YES # reflectivity 19Z +SKIP_006060=YES # reflectivity 20Z +SKIP_006061=YES # reflectivity 21Z +SKIP_006062=YES # reflectivity 22Z +SKIP_006063=YES # reflectivity 23Z + +if [ $cycp -eq 00 ]; then # (22.5 - 01.5 Z) + unset SKIP_006032 # radial wind 22Z + unset SKIP_006033 # radial wind 23Z + unset SKIP_006010 # radial wind 00Z + unset SKIP_006011 # radial wind 01Z + unset SKIP_006062 # reflectivity 22Z + unset SKIP_006063 # reflectivity 23Z + unset SKIP_006040 # reflectivity 00Z + unset SKIP_006041 # reflectivity 01Z +elif [ $cycp -eq 06 ]; then # (04.5 - 07.5 Z) + unset SKIP_006014 # radial wind 04Z + unset SKIP_006015 # radial wind 05Z + unset SKIP_006016 # radial wind 06Z + unset SKIP_006017 # radial wind 07Z + unset SKIP_006044 # reflectivity 04Z + unset SKIP_006045 # reflectivity 05Z + unset SKIP_006046 # reflectivity 06Z + unset SKIP_006047 # reflectivity 07Z +elif [ $cycp -eq 12 ]; then # (10.5 - 13.5 Z) + unset SKIP_006020 # radial wind 10Z + unset SKIP_006021 # radial wind 11Z + unset SKIP_006022 # radial wind 12Z + unset SKIP_006023 # radial wind 13Z + unset SKIP_006050 # reflectivity 10Z + unset SKIP_006051 # reflectivity 11Z + unset SKIP_006052 # reflectivity 12Z + unset SKIP_006053 # reflectivity 13Z +elif [ $cycp -eq 18 ]; then # (16.5 - 19.5 Z) + unset SKIP_006026 # radial wind 16Z + unset SKIP_006027 # radial wind 17Z + unset SKIP_006028 # radial wind 18Z + unset SKIP_006029 # radial wind 19Z + unset SKIP_006056 # reflectivity 16Z + unset SKIP_006057 # reflectivity 17Z + unset SKIP_006058 # reflectivity 18Z + unset SKIP_006059 # reflectivity 19Z +fi + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 nexrad +error6=$? +echo "$error6" > $DATA/error6 + +set +x +echo "********************************************************************" +echo Script thread_6 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/6.out 2>&1 +EOF +set -x + +set +x +#------------------------------------------------------------------------------ +cat<<\EOF>thread_7; chmod +x thread_7 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_7 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=7 + +#========================================================================= +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump # 7 : AVCSPM: 1 subtype(s) +# ESMHS: 1 subtype(s) +# GOESFV: 1 subtype(s) +# 1BMHS: 1 subtype(s) +# AIRSEV: 1 subtype(s) +# ATMSDB: 1 subtype(s) +# GOME: 1 subtype(s) +# OMI: 1 subtype(s) +# TRKOB: 1 subtype(s) +# GPSRO: 1 subtype(s) +# ESCRIS: 1 subtype(s) (if present in past 10 days of tanks) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 11 +# +#========================================================================= + +DTIM_latest_avcspm=${DTIM_latest_avcspm:-"+2.99"} +DTIM_latest_esmhs=${DTIM_latest_esmhs:-"+2.99"} +DTIM_latest_goesfv=${DTIM_latest_goesfv:-"+2.99"} +DTIM_latest_1bmhs=${DTIM_latest_1bmhs:-"+2.99"} +DTIM_latest_airsev=${DTIM_latest_airsev:-"+2.99"} +DTIM_latest_atmsdb=${DTIM_latest_atmsdb:-"+2.99"} +DTIM_latest_gome=${DTIM_latest_gome:-"+2.99"} +DTIM_latest_omi=${DTIM_latest_omi:-"+2.99"} +DTIM_latest_trkob=${DTIM_latest_trkob:-"+2.99"} +DTIM_latest_gpsro=${DTIM_latest_gpsro:-"+2.99"} +#----------------------------------------------- +# check for escris tank presence in past 10 days +escris="" +err_check_tanks=0 +sh $USHobsproc_dump/check_tanks.sh escris +err_check_tanks=$? +if [ $err_check_tanks -eq 0 ];then + escris=escris + DTIM_latest_escris=${DTIM_latest_escris:-"+2.99"} +fi +#----------------------------------------------- + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM7:-off}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 avcspm esmhs goesfv 1bmhs \ + airsev atmsdb gome omi trkob gpsro $escris +error7=$? +echo "$error7" > $DATA/error7 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_avcspm $job \ + ${COMSP}avcspm.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esmhs $job \ + ${COMSP}esmhs.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_goesfv $job \ + ${COMSP}goesfv.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_1bmhs $job \ + ${COMSP}1bmhs.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_airsev $job \ + ${COMSP}airsev.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_atmsdb $job \ + ${COMSP}atmsdb.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_gome $job \ + ${COMSP}gome.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_omi $job \ + ${COMSP}omi.tm00.bufr_d +####### ALERTS TURNED OFF UNTIL REQUESTED BY USER ######################### +# $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_trkob $job \ +# ${COMSP}trkob.tm00.bufr_d +########################################################################### + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_gpsro $job \ + ${COMSP}gpsro.tm00.bufr_d + if [ "$escris" = escris ];then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_escris $job \ + ${COMSP}escris.tm00.bufr_d + fi +fi + +set +x +echo "********************************************************************" +echo Script thread_7 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/7.out 2>&1 +EOF +set -x + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_8; chmod +x thread_8 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_8 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=8 + +#======================================================================= +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is +/- 1.5 hrs for all SATWND types +# EXCEPT: SATWND subtypes 005/010, 005/011, 005/12, 005/019, 005/064, +# 005/065, 005/066, 005/070, 005/071, 005/080 and 005/090 where +# it is -3.00 to +2.99 hours. +# (2) TIME TRIMMING IS DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump # 8 : SATWND: 17 subtype(s) +# --------------------- +# TOTAL NUMBER OF SUBTYPES = 17 +# +#======================================================================= + +# satwnd types +# ------------ +DTIM_earliest_005010=${DTIM_earliest_005010:-"-3.00"} +DTIM_latest_005010=${DTIM_latest_005010:-"+2.99"} +DTIM_earliest_005011=${DTIM_earliest_005011:-"-3.00"} +DTIM_latest_005011=${DTIM_latest_005011:-"+2.99"} +DTIM_earliest_005012=${DTIM_earliest_005012:-"-3.00"} +DTIM_latest_005012=${DTIM_latest_005012:-"+2.99"} +DTIM_earliest_005019=${DTIM_earliest_005019:-"-3.00"} +DTIM_latest_005019=${DTIM_latest_005019:-"+2.99"} +DTIM_earliest_005064=${DTIM_earliest_005064:-"-3.00"} +DTIM_latest_005064=${DTIM_latest_005064:-"+2.99"} +DTIM_earliest_005065=${DTIM_earliest_005065:-"-3.00"} +DTIM_latest_005065=${DTIM_latest_005065:-"+2.99"} +DTIM_earliest_005066=${DTIM_earliest_005066:-"-3.00"} +DTIM_latest_005066=${DTIM_latest_005066:-"+2.99"} +DTIM_earliest_005070=${DTIM_earliest_005070:-"-3.00"} +DTIM_latest_005070=${DTIM_latest_005070:-"+2.99"} +DTIM_earliest_005071=${DTIM_earliest_005071:-"-3.00"} +DTIM_latest_005071=${DTIM_latest_005071:-"+2.99"} +DTIM_earliest_005080=${DTIM_earliest_005080:-"-3.00"} +DTIM_latest_005080=${DTIM_latest_005080:-"+2.99"} +DTIM_earliest_005090=${DTIM_earliest_005090:-"-3.00"} +DTIM_latest_005090=${DTIM_latest_005090:-"+2.99"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM8:-on}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 1.5 1 satwnd +error8=$? +echo "$error8" > $DATA/error8 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_satwnd $job \ + ${COMSP}satwnd.tm00.bufr_d +fi + +set +x +echo "********************************************************************" +echo Script thread_8 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/8.out 2>&1 +EOF +set -x + +set +x +#---------------------------------------------------------------- +cat<<\EOF>thread_9; chmod +x thread_9 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_9 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=9 + +#======================================================================= +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# EXCEPT: GEOIMR where it is -0.50 to +0.50 hour +# (2) TIME TRIMMING IS DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump # 9 : GEOIMR: 1 subtype(s) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 1 +# +#======================================================================= + +DTIM_earliest_geoimr=${DTIM_earliest_geoimr:-"-0.50"} +DTIM_latest_geoimr=${DTIM_latest_geoimr:-"+0.50"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM9:-on}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 geoimr +error9=$? +echo "$error9" > $DATA/error9 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_geoimr $job \ + ${COMSP}geoimr.tm00.bufr_d +fi + +set +x +echo "********************************************************************" +echo Script thread_9 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/9.out 2>&1 +EOF +set -x + +set +x +#------------------------------------------------------------------------------ +cat<<\EOF>thread_10; chmod +x thread_10 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_10 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=10 + +#========================================================================= +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump #10 : ESIASI: 1 subtype(s) +# MTIASI: 1 subtype(s) +# ESAMUA: 1 subtype(s) +# CRISDB: 1 subtype(s) +# IASIDB: 1 subtype(s) +# SEVASR: 1 subtype(s) +# 1BAMUA: 1 subtype(s) +# BATHY: 1 subtype(s) +# OSBUV8: 1 subtype(s) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 9 +# +#========================================================================= + +DTIM_latest_esiasi=${DTIM_latest_esiasi:-"+2.99"} +DTIM_latest_mtiasi=${DTIM_latest_mtiasi:-"+2.99"} +DTIM_latest_esamua=${DTIM_latest_esamua:-"+2.99"} +DTIM_latest_crisdb=${DTIM_latest_crisdb:-"+2.99"} +DTIM_latest_iasidb=${DTIM_latest_iasidb:-"+2.99"} +DTIM_latest_sevasr=${DTIM_latest_sevasr:-"+2.99"} +DTIM_latest_1bamua=${DTIM_latest_1bamua:-"+2.99"} +DTIM_latest_bathy=${DTIM_latest_bathy:-"+2.99"} +DTIM_latest_osbuv8=${DTIM_latest_osbuv8:-"+2.99"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM10:-off}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 esiasi mtiasi esamua \ + crisdb iasidb sevasr 1bamua bathy osbuv8 +error10=$? +echo "$error10" > $DATA/error10 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esiasi $job \ + ${COMSP}esiasi.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_mtiasi $job \ + ${COMSP}mtiasi.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esamua $job \ + ${COMSP}esamua.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_crisdb $job \ + ${COMSP}crisdb.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_iasidb $job \ + ${COMSP}iasidb.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_sevasr $job \ + ${COMSP}sevasr.tm00.bufr_d + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_1bamua $job \ + ${COMSP}1bamua.tm00.bufr_d +####### ALERTS TURNED OFF UNTIL REQUESTED BY USER ######################### +# $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_bathy $job \ +# ${COMSP}bathy.tm00.bufr_d +########################################################################### + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_osbuv8 $job \ + ${COMSP}osbuv8.tm00.bufr_d +fi + +set +x +echo "********************************************************************" +echo Script thread_10 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/10.out 2>&1 +EOF +set -x + +set +x +#------------------------------------------------------------------------------ +cat<<\EOF>thread_11; chmod +x thread_11 +set -uax + +cd $DATA + +{ echo +set +x +echo "********************************************************************" +echo Script thread_11 +echo Executing on node `hostname` +echo Starting time: `date -u` +echo "********************************************************************" +echo +set -x + +export STATUS=NO +export DUMP_NUMBER=11 + +#========================================================================= +# NOTES ABOUT THIS DUMP GROUP: +# (1) time window radius is -3.00 to +2.99 hours on all types +# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) +# +#-------------------------------------------------------------------------- +# Dump #11 : AMSR2: 1 subtype(s) +# -------------------- +# TOTAL NUMBER OF SUBTYPES = 1 +# +#========================================================================= + +DTIM_latest_amsr2=${DTIM_latest_amsr2:-"+2.99"} + +TIME_TRIM=${TIME_TRIM:-${TIME_TRIM11:-off}} + +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 amsr2 +error11=$? +echo "$error11" > $DATA/error11 + +if [ "$SENDDBN" = "YES" ]; then + $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_amsr2 $job \ + ${COMSP}amsr2.tm00.bufr_d +fi + +set +x +echo "********************************************************************" +echo Script thread_11 +echo Finished executing on node `hostname` +echo Ending time : `date -u` +echo "********************************************************************" +set -x +} > $DATA/11.out 2>&1 +EOF +set -x + + +#---------------------------------------------------------------- +# Now launch the threads + +# determine local system name and type if available +# ------------------------------------------------- +SITE=${SITE:-""} +sys_tp=${sys_tp:-$(getsystem.pl -tp)} +getsystp_err=$? +if [ $getsystp_err -ne 0 ]; then + msg="***WARNING: error using getsystem.pl to determine system type and phase" + set +u + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + set -u +fi +echo sys_tp is set to: $sys_tp + +if [ "$sys_tp" = 'Cray-XC40' -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + launcher=${launcher:-"aprun_cfp"} +else + launcher=${launcher:-"cfp"} +fi +if [ "$launcher" = aprun_cfp ]; then + # Get compute node count: Subtract one from the total number of unique + # hosts to account for the MAMU node that runs serial portion of job + typeset -i nodesall=$(echo -e "${LSB_HOSTS// /\\n}"|sort -u|wc -w) + typeset -i ncnodes=$(($nodesall-1)) # we want compute nodes only + if [ $ncnodes -lt 1 ]; then + set +x + echo + echo " ######################################################## " + echo " --> Could not get positive compute node count for aprun! " + echo " --> Check that BSUB directives included a reservation " + echo " request for one or more compute nodes. " + echo " --> @@ F A T A L E R R O R @@ -- ABNORMAL EXIT " + echo " ######################################################## " + echo + set -x + $DATA/err_exit "***FATAL: Check if compute nodes were allocated" + fi +elif [[ "$launcher" = cfp && -z "$LSB_HOSTS" ]]; then + set +x + echo + echo "You requested the cfp poe launcher but are not running under LSF!!" + echo "You must run under LSF to use cfp option on IBM. Exiting..." + echo + set -x + $DATA/err_exit +fi +if [ "$launcher" = cfp -o "$launcher" = aprun_cfp ]; then + > $DATA/poe.cmdfile + +# To better take advantage of cfp, execute the longer running commands first. +# Some reordering was done here based on recent sample runtimes. + [ $DUMP_group7 = YES ] && echo thread_7 >> $DATA/poe.cmdfile # moved up + [ $DUMP_group1 = YES ] && echo thread_1 >> $DATA/poe.cmdfile + [ $DUMP_group5 = YES ] && echo thread_5 >> $DATA/poe.cmdfile # moved up + [ $DUMP_group6 = YES ] && echo thread_6 >> $DATA/poe.cmdfile # moved up + [ $DUMP_group8 = YES ] && echo thread_8 >> $DATA/poe.cmdfile # moved up + [ $DUMP_group11 = YES ] && echo thread_11 >> $DATA/poe.cmdfile # moved up + [ $DUMP_group10 = YES ] && echo thread_10 >> $DATA/poe.cmdfile # moved up + [ $DUMP_group2 = YES ] && echo thread_2 >> $DATA/poe.cmdfile + [ $DUMP_group3 = YES -a $ADPUPA_wait != YES ] && echo thread_3 >> $DATA/poe.cmdfile + [ $DUMP_group4 = YES ] && echo thread_4 >> $DATA/poe.cmdfile + [ $DUMP_group9 = YES ] && echo thread_9 >> $DATA/poe.cmdfile + + if [ -s $DATA/poe.cmdfile ]; then + nthreads=$(cat $DATA/poe.cmdfile | wc -l) + if [ $nthreads -eq 1 ]; then # don't expect to need this, but just in case + echo "do not need cfp for 1 thread" + if [ "$launcher" = aprun_cfp ]; then + aprun -n 1 -N 1 -d 1 sh $DATA/poe.cmdfile + else + sh $DATA/poe.cmdfile + fi + elif [ "$launcher" = cfp ]; then # iDataPlex + module load cfp + export MP_CSS_INTERRUPT=yes + mpirun.lsf cfp $DATA/poe.cmdfile 2>&1 + elif [ "$launcher" = aprun_cfp ]; then + if [[ -z ${DUMPStpn:-""} ]]; then # pes per node + # cfp is faster with extra thread so add one if there is room. + # For now, going with 20 as default max rather than 24. + if [ $nthreads -lt 20 ]; then + DUMPStpn=$(($nthreads+1)) + else + DUMPStpn=20 + fi + fi + NPROCS=$(($ncnodes*$DUMPStpn)) # concurrent processes + aprun -j 1 -n${NPROCS} -N${DUMPStpn} -d 1 --cc depth cfp $DATA/poe.cmdfile + fi + errpoe=$? + if [ $errpoe -ne 0 ]; then + $DATA/err_exit "***FATAL: EXIT STATUS $errpoe RUNNING POE COMMAND FILE" + fi + else + echo + echo "==> There are no tasks in POE Command File - POE not run" + echo + fi +else + if [ "$sys_tp" = 'Cray-XC40' -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + set +x + echo + echo " ############################################################# " + echo " --> Option to use background threads is disabled on Cray-XC40." + echo " --> @@ F A T A L E R R O R @@ -- ABNORMAL EXIT " + echo " ############################################################# " + echo + set -x + $DATA/err_exit "***FATAL: Check if compute nodes were allocated" + else + echo "Spawning background threads" + [ $DUMP_group1 = YES ] && thread_1 & + [ $DUMP_group2 = YES ] && thread_2 & + [ $DUMP_group3 = YES -a $ADPUPA_wait != YES ] && thread_3 & + [ $DUMP_group4 = YES ] && thread_4 & + [ $DUMP_group5 = YES ] && thread_5 & + [ $DUMP_group6 = YES ] && thread_6 & + [ $DUMP_group7 = YES ] && thread_7 & + [ $DUMP_group8 = YES ] && thread_8 & + [ $DUMP_group9 = YES ] && thread_9 & + [ $DUMP_group10 = YES ] && thread_10 & + [ $DUMP_group11 = YES ] && thread_11 & + wait + fi +fi + +# if ADPUPA_wait is YES, adpupa is dumped AFTER all other dump threads have +# run (normally done in real-time GFS runs to dump as late as possible in +# order to maximize data availability in GFS network, particularly DROPs) +# -------------------------------------------------------------------------- + +[ $DUMP_group3 = YES -a $ADPUPA_wait = YES ] && thread_3 + +cat $DATA/1.out $DATA/2.out $DATA/3.out $DATA/4.out $DATA/5.out $DATA/6.out $DATA/7.out $DATA/8.out $DATA/9.out $DATA/10.out $DATA/11.out + +set +x +echo " " +echo " " +set -x + +[ -s $DATA/error1 ] && err1=`cat $DATA/error1` +[ -s $DATA/error2 ] && err2=`cat $DATA/error2` +[ -s $DATA/error3 ] && err3=`cat $DATA/error3` +[ -s $DATA/error4 ] && err4=`cat $DATA/error4` +[ -s $DATA/error5 ] && err5=`cat $DATA/error5` +[ -s $DATA/error6 ] && err6=`cat $DATA/error6` +[ -s $DATA/error7 ] && err7=`cat $DATA/error7` +[ -s $DATA/error8 ] && err8=`cat $DATA/error8` +[ -s $DATA/error9 ] && err9=`cat $DATA/error9` +[ -s $DATA/error10 ] && err10=`cat $DATA/error10` +[ -s $DATA/error11 ] && err11=`cat $DATA/error11` + + +#=============================================================================== + +export STATUS=YES +export DUMP_NUMBER=12 +$ushscript_dump/bufr_dump_obs.sh $dumptime 3.00 1 null + +# endif loop $PROCESS_DUMP +fi + +echo " " >> $pgmout +echo "##################################################################\ +####################" >> $pgmout +echo " " >> $pgmout + +#================================================================ +#================================================================ + + +if [ "$PROCESS_DUMP" = 'YES' ]; then + + if [ "$err1" -gt '5' -o "$err2" -gt '5' -o "$err3" -gt '5' -o \ + "$err4" -gt '5' -o "$err5" -gt '5' -o "$err6" -gt '5' -o \ + "$err7" -gt '5' -o "$err8" -gt '5' -o "$err9" -gt '5' -o \ + "$err10" -gt '5' -o "$err11" -gt '5' ]; then + for n in $err1 $err2 $err3 $err4 $err5 $err6 $err7 $err8 $err9 $err10 $err11 + do + if [ "$n" -gt '5' ]; then + if [ "$n" -ne '11' -a "$n" -ne '22' ]; then + +## fatal error in dumping of BUFR obs. files + + set +x +echo +echo " ###################################################### " +echo " --> > 22 RETURN CODE FROM DATA DUMP, $err1, $err2, $err3, $err4, \ +$err5, $err6, $err7, $err8, $err9, $err10, $err11 " +echo " --> @@ F A T A L E R R O R @@ -- ABNORMAL EXIT " +echo " ###################################################### " +echo + set -x + $DATA/err_exit + exit 9 + fi + fi + done + +## a status code of 11 or 22 from dumping of BUFR obs. files +## is non-fatal but still worth noting + + set +x + echo + echo " ###################################################### " + echo " --> > 5 RETURN CODE FROM DATA DUMP, $err1, $err2, $err3, $err4, \ +$err5, $err6, $err7, $err8, $err9, $err10, $err11 " + echo " --> NOT ALL DATA DUMP FILES ARE COMPLETE - CONTINUE " + echo " ###################################################### " + echo + set -x + fi + +# endif loop $PROCESS_DUMP +fi + + +# GOOD RUN +set +x +echo " " +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " " +set -x + + +# save standard output +cat break $pgmout break > allout +cat allout +# rm allout + +sleep 10 + +msg='ENDED NORMALLY.' +$DATA/postmsg "$jlogfile" "$msg" + +################## END OF SCRIPT ####################### diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf.txt new file mode 100644 index 0000000..211909b --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf.txt @@ -0,0 +1 @@ +NO_SCUCH_VARIABLE_NAME=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0/scripts/exglobal_dump.sh.ecf diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf new file mode 100755 index 0000000..074c71b --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf @@ -0,0 +1,578 @@ +#!/bin/ksh +# Run under ksh + +############################################################################# +echo "----------------------------------------------------------------------" +echo "exglobal_makeprepbufr.sh.ecf - Global (GDAS, GFS) model prepbufr " +echo " processing " +echo "----------------------------------------------------------------------" +echo "History: Mar 1 2013 - Original script. " +echo " Dec 3 2014 - CDAS network, split off into its own script " +echo " excdas_makeprepbufr.sh.ecf. This script now " +echo " tailored exclusively to GDAS and GFS. " +echo " Mar 11 2017 - Update to handle nemsio filename patterns " +############################################################################# + +set -x + +# Make sure we are in the $DATA directory +cd $DATA + +msg="HAS BEGUN on `hostname`" +$DATA/postmsg "$jlogfile" "$msg" + +cat break > $pgmout + +CHGRP_RSTPROD=${CHGRP_RSTPROD:-YES} +MAKE_NSSTBUFR=${MAKE_NSSTBUFR:-YES} + +export COMSP=${COMSP:-$COMIN/${RUN}.${cycle}.} + + +if [ "$DO_QC" = 'YES' -a "$CQCBUFR" = 'YES' -a -n "$COM1" -a -n "$CQCC" ]; then + +# NOTE: The following logic currently does not apply to the GFS or GDAS +# network. (It applies only to the CDAS network.) It is maintained here +# in case it ever does. +# ----------------------------------------------------------------------------- +# If running PREPOBS_CQCBUFR, must check its data cards to see if +# namelist switch DOTMP is TRUE - if so, must get prepbufr_pre-qc files +# from t-24, t-12, t+12, t+24 to feed into PREPOBS_CQCBUFR + + DOTMP=`grep DOTMP $CQCC | awk -F, \ + '{print $1; print $2; print $3; print $4; print$5}' | grep DOTMP | \ + awk -F= '{print $2}'` + + if [[ $DOTMP = *T* ]]; then + [ -s ${COM1}${PDYm1}/${RUN}.${cycle}.prepbufr_pre-qc ] && \ + export PRPI_m24=${COM1}${PDYm1}/${RUN}.${cycle}.prepbufr_pre-qc + [ -s ${COM1}${PDYp1}/${RUN}.${cycle}.prepbufr_pre-qc ] && \ + export PRPI_p24=${COM1}${PDYp1}/${RUN}.${cycle}.prepbufr_pre-qc + tdate10=`$NDATE -12 $PDY$cyc` + cyc_m12=`echo $tdate10|cut -c9-10` + pdy_m12=`echo $tdate10|cut -c1-8` + [ -s ${COM1}${pdy_m12}/${RUN}.t${cyc_m12}z.prepbufr_pre-qc ] && \ + export PRPI_m12=${COM1}${pdy_m12}/${RUN}.t${cyc_m12}z.prepbufr_pre-qc + tdate10=`$NDATE +12 $PDY$cyc` + cyc_p12=`echo $tdate10|cut -c9-10` + pdy_p12=`echo $tdate10|cut -c1-8` + [ -s ${COM1}${pdy_p12}/${RUN}.t${cyc_p12}z.prepbufr_pre-qc ] && \ + export PRPI_p12=${COM1}${pdy_p12}/${RUN}.t${cyc_p12}z.prepbufr_pre-qc + fi +fi + +cdate10=`cut -c7-16 ncepdate` + +msg="CENTER TIME FOR PREPBUFR PROCESSING IS $cdate10" +$DATA/postmsg "$jlogfile" "$msg" + +ksh $ushscript_prep/prepobs_makeprepbufr.sh $cdate10 +errsc=$? + +[ "$errsc" -ne '0' ] && exit $errsc + +if [ "$CHGRP_RSTPROD" = 'YES' ]; then + msg="NOTE: These files (if present) are RESTRICTED to rstprod group: \ +prepbufr_pre-qc, prepbufr, prepbufr.acft_profiles*, acqc_???*, \ +acqc_merged*_sorted, tosslist, prepbufr.unblok" + $DATA/postmsg "$jlogfile" "$msg" +set +x + echo " " + echo "$msg" + echo " " +set -x +fi +warning=no + +if [ "$PREPDATA" = 'YES' ]; then + +# save snapshot of prepbufr file after PREPOBS_PREPDATA in COMOUT + cp prepda.prepdata $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc + chmod 664 $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc + + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc + else + cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc + warning=yes + fi + fi + +# save current prepbufr mnemonic table in COMOUT if either it isn't already +# there for a previous cycle or if it has changed from a previous cycle + if [ ! -s $COMOUT/*prep.bufrtable ]; then + cp prep.bufrtable $COMOUT/${RUN}.${cycle}.prep.bufrtable + else + diff `ls -t $COMOUT/*prep.bufrtable | head -n1` prep.bufrtable \ + > /dev/null 2>&1 + errdiff=$? + [ "$errdiff" -ne '0' ] && \ + cp prep.bufrtable $COMOUT/${RUN}.${cycle}.prep.bufrtable + fi +fi + +# save global guess file(s) in COMOUT if they haven't already been saved +# here by previous tropical cyclone relocation processing +# first block is for nemsio input, second is for sigio input +if [[ "$NEMSIO_IN" == .true. ]]; then + [ -s sgm3prep -a ! -s $COMOUT/${RUN}.${cycle}.atmgm3.nemsio ] && \ + cp sgm3prep $COMOUT/${RUN}.${cycle}.atmgm3.nemsio + [ -s sgp3prep -a ! -s $COMOUT/${RUN}.${cycle}.atmgp3.nemsio ] && \ + cp sgp3prep $COMOUT/${RUN}.${cycle}.atmgp3.nemsio + if [ -s sgesprep ]; then + if [ -s sgesprepA ]; then + cp sgesprep $COMOUT/${RUN}.${cycle}.atmges.nemsio_before + cp sgesprepA $COMOUT/${RUN}.${cycle}.atmges.nemsio_after + else + [ ! -s $COMOUT/${RUN}.${cycle}.atmges.nemsio ] && \ + cp sgesprep $COMOUT/${RUN}.${cycle}.atmges.nemsio + fi + fi +else + [ -s sgm3prep -a ! -s $COMOUT/${RUN}.${cycle}.sgm3prep ] && \ + cp sgm3prep $COMOUT/${RUN}.${cycle}.sgm3prep + [ -s sgp3prep -a ! -s $COMOUT/${RUN}.${cycle}.sgp3prep ] && \ + cp sgp3prep $COMOUT/${RUN}.${cycle}.sgp3prep + if [ -s sgesprep ]; then + if [ -s sgesprepA ]; then + cp sgesprep $COMOUT/${RUN}.${cycle}.sgesprep_before + cp sgesprepA $COMOUT/${RUN}.${cycle}.sgesprep_after + else + [ ! -s $COMOUT/${RUN}.${cycle}.sgesprep ] && \ + cp sgesprep $COMOUT/${RUN}.${cycle}.sgesprep + fi + fi +fi +# end nemsio vs sigio logic to copy guess files to COMOUT + +# save path name of global guess file valid at center PREPBUFR +# date/time (encoded into PREPBUFR file and used by q.c. programs) in COMOUT +# FOR NOW, staying with term "sgesprep" for these "pathname" filenames even for +# nemsio (as done in tropical cyclone relocation processing) - 03/2017 +if [ "$GETGUESS" = 'YES' ]; then + if [[ "$NEMSIO_IN" == .true. ]]; then + set +x; echo -e "\n\"sges_pathname\" files point to nemsio files\n";set -x + fi + if [ -s sgesprepA_pathname ]; then + cp sgesprep_pathname \ + $COMOUT/${RUN}.${cycle}.sgesprep_pathname_before.$tmmark + cp sgesprepA_pathname \ + $COMOUT/${RUN}.${cycle}.sgesprep_pathname_after.$tmmark + else + +# if the target file already exists, it was created in previous +# tropcy_relocate.sh script because either there was an error or no +# tcvitals were present - in this case the target file points to the orig. +# getges global sigma guess (since the guess was not modified by relocation) +# - otherwise sgesprep_pathname will either contain either the path to the +# getges guess (if tropical cyclone relocation did not run previously) or +# it will contain the path to the modified sgesprep guess (if tropical +# cyclone relocation did run previously and did modify the guess) +# --------------------------------------------------------------------------- + + [ ! -s $COMOUT/${RUN}.${cycle}.sgesprep_pathname.$tmmark ] && \ + cp sgesprep_pathname $COMOUT/${RUN}.${cycle}.sgesprep_pathname.$tmmark + fi +fi + +# save synthetic bogus files in COMOUT +[ -s bogrept ] && cp bogrept $COMOUT/${RUN}.${cycle}.syndata.bogrept +[ -s bogdata ] && cp bogdata $COMOUT/${RUN}.${cycle}.syndata.bogdata +[ -s dthistry ] && cp dthistry $COMOUT/${RUN}.${cycle}.syndata.dthistry + +if [[ "$SENDDBN" == "YES" ]]; then + if [[ "$RUN" == "gfs" || "$RUN" == "gdas" || "$RUN" == "gdas1" ]]; then + RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) + if [[ -s bogrept ]]; then + $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job $COMOUT/${RUN}.${cycle}.syndata.bogrept + fi + if [[ -s bogdata ]]; then + $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job $COMOUT/${RUN}.${cycle}.syndata.bogdata + fi + fi +fi + +if [ "$DO_QC" = 'YES' ]; then + +# save final form of prepbufr file in COMOUT + cp prepda.${cycle} $COMOUT/${RUN}.${cycle}.prepbufr + chmod 664 $COMOUT/${RUN}.${cycle}.prepbufr + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr + else + cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr + warning=yes + fi + fi + +# save prepacqc prepbufr.acft_profiles file in COMOUT + if [ -s prepbufr.acft_profiles ]; then + cp prepbufr.acft_profiles $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles + else + cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles + warning=yes + fi + fi + fi + +# save prepacqc prepbufr.acft_profiles_sfc file in COMOUT + if [ -s prepbufr.acft_profiles_sfc ]; then + cp prepbufr.acft_profiles_sfc \ + $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc + else + cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc + warning=yes + fi + fi + fi + +# save prepacqc output files in COMOUT + if [ -s acftqc_*.sus ]; then + mv acftqc_*.sus acftqc_sus + cp acftqc_sus $COMOUT/${RUN}.${cycle}.acqc_sus + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_sus + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_sus + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_sus + warning=yes + fi + fi + fi + + if [ -s acftqc_*.stk ]; then + mv acftqc_*.stk acftqc_stk + cp acftqc_stk $COMOUT/${RUN}.${cycle}.acqc_stk + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_stk + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_stk + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_stk + warning=yes + fi + fi + fi + + if [ -s acftqc_*.spk ]; then + mv acftqc_*.spk acftqc_spk + cp acftqc_spk $COMOUT/${RUN}.${cycle}.acqc_spk + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_spk + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_spk + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_spk + warning=yes + fi + fi + fi + + if [ -s acftqc_*.ord ]; then + mv acftqc_*.ord acftqc_ord + cp acftqc_ord $COMOUT/${RUN}.${cycle}.acqc_ord + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_ord + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_ord + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_ord + warning=yes + fi + fi + fi + + if [ -s acftqc_*.lst ]; then + mv acftqc_*.lst acftqc_lst + cp acftqc_lst $COMOUT/${RUN}.${cycle}.acqc_lst + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_lst + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_lst + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_lst + warning=yes + fi + fi + fi + + if [ -s acftqc_*.inv ]; then + mv acftqc_*.inv acftqc_inv + cp acftqc_inv $COMOUT/${RUN}.${cycle}.acqc_inv + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_inv + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_inv + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_inv + warning=yes + fi + fi + fi + + if [ -s acftqc_*.inc ]; then + mv acftqc_*.inc acftqc_inc + cp acftqc_inc $COMOUT/${RUN}.${cycle}.acqc_inc + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_inc + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_inc + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_inc + warning=yes + fi + fi + fi + + if [ -s acftqc_*.grc ]; then + mv acftqc_*.grc acftqc_grc + cp acftqc_grc $COMOUT/${RUN}.${cycle}.acqc_grc + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_grc + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_grc + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_grc + warning=yes + fi + fi + fi + + if [ -s acftqc_*.dup ]; then + mv acftqc_*.dup acftqc_dup + cp acftqc_dup $COMOUT/${RUN}.${cycle}.acqc_dup + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_dup + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_dup + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_dup + warning=yes + fi + fi + fi + + if [ -s acftqc_*.log ]; then + mv acftqc_*.log acftqc_log + cp acftqc_log $COMOUT/${RUN}.${cycle}.acqc_log + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_log + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_log + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_log + warning=yes + fi + fi + fi + + if [ -s merged.reports.post_acftobs_qc.sorted ]; then + cp merged.reports.post_acftobs_qc.sorted \ + $COMOUT/${RUN}.${cycle}.acqc_merged_sorted + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_merged_sorted + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_merged_sorted + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_merged_sorted + warning=yes + fi + fi + fi + + if [ -s merged.profile_reports.post_acftobs_qc.sorted ]; then + cp merged.profile_reports.post_acftobs_qc.sorted \ + $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted + else + cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted + warning=yes + fi + fi + fi + +# save cqcbufr output files in COMOUT + touch cqc_events + cp cqc_events $COMOUT/${RUN}.${cycle}.cqc_events + touch cqc_stncnt + cp cqc_stncnt $COMOUT/${RUN}.${cycle}.cqc_stncnt + touch cqc_stnlst + cp cqc_stnlst $COMOUT/${RUN}.${cycle}.cqc_stnlst + touch cqc_sdm + cp cqc_sdm $COMOUT/${RUN}.${cycle}.cqc_sdm + touch cqc_radcor + cp cqc_radcor $COMOUT/${RUN}.${cycle}.cqc_radcor + +# save oiqc tosslist in COMOUT (if it runs) + if [ -s tosslist ]; then + cp tosslist $COMOUT/${RUN}.${cycle}.tosslist + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.tosslist + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.tosslist + else + cp /dev/null $COMOUT/${RUN}.${cycle}.tosslist + warning=yes + fi + fi + fi + + # Remove the following logic to create unblocked prepbufr files once we know + # it is definitely no longer needed. + if [ "${PROCESS_UNBLKBUFR:-NO}" = 'YES' ]; then +# +# make unblocked prepbufr file +# ---> ON WCOSS prepbufr is already unblocked, so for now just copy it to the +# unblok file location used before on CCS - hopefully this can be removed +# someday! + cp -p prepda.${cycle} prepda.${cycle}.unblok + err_cp=$? + if [ $err_cp -eq 0 ]; then + cp prepda.${cycle}.unblok $COMOUT/${RUN}.${cycle}.prepbufr.unblok + chmod 664 $COMOUT/${RUN}.${cycle}.prepbufr.unblok + if [ "$CHGRP_RSTPROD" = 'YES' ]; then + chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr.unblok + errch=$? + if [ $errch -eq 0 ]; then + chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr.unblok + else + cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr.unblok + warning=yes + fi + fi + fi + fi ## end logic to potentially create unblok version of prepbufr file + + if [[ "$SENDDBN" == "YES" ]]; then + if [[ "$RUN" == "gdas" || "$RUN" == "gdas1" ]]; then + RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) + $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_BUFR_PREPda $job \ + $COMOUT/${RUN}.${cycle}.prepbufr + if [ "${PROCESS_UNBLKBUFR:-NO}" = 'YES' ]; then + $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_BUFR_PREPda_unblok $job \ + $COMOUT/${RUN}.${cycle}.prepbufr.unblok + fi + elif [[ "$RUN" == "gfs" ]]; then + $DBNROOT/bin/dbn_alert MODEL GFS_BUFR_PREPda $job \ + $COMOUT/${RUN}.${cycle}.prepbufr + if [ "${PROCESS_UNBLKBUFR:-NO}" = 'YES' ]; then + $DBNROOT/bin/dbn_alert MODEL GFS_BUFR_PREPda_unblok $job \ + $COMOUT/${RUN}.${cycle}.prepbufr.unblok + fi + if [[ "$NEMSIO_IN" == .true. ]]; then + $DBNROOT/bin/dbn_alert MODEL GFS_atmges_NEMSIO $job \ + $COMOUT/${RUN}.${cycle}.atmges.nemsio + $DBNROOT/bin/dbn_alert MODEL GFS_atmgm3_NEMSIO $job \ + $COMOUT/${RUN}.${cycle}.atmgm3.nemsio + $DBNROOT/bin/dbn_alert MODEL GFS_atmgp3_NEMSIO $job \ + $COMOUT/${RUN}.${cycle}.atmgp3.nemsio + else + $DBNROOT/bin/dbn_alert MODEL GFS_sges_PREP $job \ + $COMOUT/${RUN}.${cycle}.sgesprep + $DBNROOT/bin/dbn_alert MODEL GFS_sgm3_PREP $job \ + $COMOUT/${RUN}.${cycle}.sgm3prep + $DBNROOT/bin/dbn_alert MODEL GFS_sgp3_PREP $job \ + $COMOUT/${RUN}.${cycle}.sgp3prep + fi + fi + fi +fi +## create combined ocean data dump file expected by NSST +if [[ "$MAKE_NSSTBUFR" == 'YES' ]]; then + > nsstbufr + chgrp rstprod nsstbufr + errch=$? + if [ $errch -eq 0 ]; then + for type in sfcshp tesac bathy trkob; do + file=${COMSP}$type.$tmmark.bufr_d + if [ -s $file ]; then + cat $file >> nsstbufr + err=$? + if [ $err -ne 0 ]; then + msg="**WARNING: exit status $err from cat of $file to nsstbufr" + $DATA/postmsg "$jlogfile" "$msg" + fi + else + echo $file is empty or does not exist + fi + done + cp nsstbufr $COMOUT/${RUN}.${cycle}.nsstbufr + chgrp rstprod $COMOUT/${RUN}.${cycle}.nsstbufr + chmod 640 $COMOUT/${RUN}.${cycle}.nsstbufr + msg="NOTE: nsstbufr file contains RESTRICTED data, only users in \ +rstprod group have read permission" + $DATA/postmsg "$jlogfile" "$msg" + else + cp /dev/null $COMOUT/${RUN}.${cycle}.nsstbufr + warning=yes + fi +fi + +if [ "$warning" = 'yes' ]; then + msg="**WARNING: Since user $USER is not in rstprod group all RESTRICTED \ +files are replaced with a null file" + $DATA/postmsg "$jlogfile" "$msg" +set +x + echo " " + echo "$msg" + echo " " +set -x +fi + +######################################################## + +# GOOD RUN +set +x +echo " " +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " ****** PROCESSING COMPLETED NORMALLY" +echo " " +set -x + + +# save standard output +cat break $pgmout break > allout +cat allout +# rm allout + +sleep 10 + +msg='ENDED NORMALLY.' +$DATA/postmsg "$jlogfile" "$msg" + +################## END OF SCRIPT ####################### diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf.txt new file mode 100644 index 0000000..5452628 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf.txt @@ -0,0 +1 @@ +NO_SUCH_VARIABLe_NAME=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0/scripts/exglobal_makeprepbufr.sh.ecf diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.sh new file mode 100755 index 0000000..1672800 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.sh @@ -0,0 +1,1385 @@ +#!/bin/ksh +################################################################################ +# +# Name: getges.sh Author: Mark Iredell +# +# Abstract: +# This script copies the valid global guess file to a given file. +# Alternatively, it writes the name of the guess file to standard output. +# Specify option "-n network" for the job network (default global). +# Other options are gdas, gfs, cdas, mrf, prx, etc. +# Specify option "-e environment" for the job environment (default prod). +# Another option is test. +# Specify option "-f fhour" for the specific forecast hour wanted (default any). +# Specify option "-q" for quiet mode to turn off script messages. +# Specify option "-r resolution" for the resolution wanted (default high). +# Other options are 25464 17042, 12628, low, 6228, namopl, any. +# Specify option "-t filetype" for the filetype wanted from among these choices: +# sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3, +# sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3, +# biascr, satang, satcnt, gesfil +# pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3, +# sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl, +# enggrb, enggri, icegrb, icegri, snogrb, snogrb_high, snogri, sstgrb, sstgri. +# natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur, +# nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur, +# nstcur, nflges, nflgp3 +# Specify option "-v valid" for the valid date wanted (default $CDATE). +# Currently, the valid hours specified must be a multiple of 3. +# Either 2-digit or 4-digit years are currently allowed. +# Specify positional argument to be the file to which to copy the guess. +# If missing, the NAME of the guess file is written to standard output. +# A nonzero return code from this script means either the arguments are invalid +# or the guess could not be found; a message is written to standard error in +# this case, but neither a file copy nor a standard output write will be done. +# The file returned is guaranteed to exist and be readable. +# The script uses the utility commands NDATE and NHOUR. +# +# Example 1. Copy the production sigma guess for 1998100100 to the file sges. +# getges.sh -e prod -t sigges -v 1998100100 sges +# +# Example 2. Assign the pressure grib guess for the date 1998100121. +# export CDATE=1998100121 +# export XLFUNIT_12="$(getges.sh -qt pgbges||echo /dev/null)" +# +# Example 3. Get the PRX pgb analysis or the best valid guess at 1998100112. +# getges -e prx -t pgbcur -v 1998100112 pgbfile +# +# Example 5. Get the 24-hour GFS forecast sigma file valid at 1998100112. +# getges -t sigcur -v 1998100112 -f 24 -e gfs sigfile +# +# History: 1996 December Iredell Initial implementation +# 1997 March Iredell Nine new filetypes +# 1997 April Iredell Two new filetypes and -f option +# 1997 December Iredell Four new filetypes +# 1998 April Iredell 4-digit year allowed; +# sigges internal date no longer checked +# 1998 May Iredell T170L42 defaulted; four new filetypes +# and two filetypes deleted +# 1998 June Rogers Nam types added +# 1998 September Iredell high is default resolution +# 2000 March Iredell Cdas and -n option +# 2000 June Iredell Eight new filetypes +# 2002 April Treadon T254L64 defaulted; add angle dependent +# bias correction file +# 2003 March Iredell GFS network out to 384 hours +# 2003 August Iredell Hourly global guesses +# 2005 September Treadon Add satellite data count file (satcnt) +# 2006 September Gayno Add high-res snow analysis +# 2009 January Rogers Added sfluxgrb file +# 2011 April Rogers Added GFS pg2ges file +# 2016 May Menlove Changed GETGES_COM variable to $COMINmodel +# 2016 November Iredell Adapted getges for NEMS GSM +# Also removed a lot of dead wood +# +################################################################################ +#------------------------------------------------------------------------------- +# Set some default parameters. +fhbeg=03 # hour to begin searching backward for guess +fhinc=03 # hour to increment backward in search +fhend=384 # hour to end searching backward for guess + +#------------------------------------------------------------------------------- +# Get options and arguments. +netwk=global # default network +envir=prod # default environment +fhour=any # default forecast hour +quiet=NO # default quiet mode +trace=NO # default execution trace mode +resol=high # default resolution +typef=sigges # default filetype +valid=${CDATE:-'?'} # default valid date +err=0 + +while getopts n:e:f:qxr:t:v: opt;do + case $opt in + n) netwk="$OPTARG";; + e) envir="$OPTARG";; + f) fhour="$OPTARG";; + q) quiet=YES;; + x) trace=YES;; + r) resol="$OPTARG";; + t) typef="$OPTARG";; + v) valid="$OPTARG";; + \?) err=1;; + esac +done +shift $(($OPTIND-1)) +gfile=$1 +if [[ -z $valid ]];then + echo "$0: either -v option or environment variable CDATE must be set" >&2 +elif [[ $# -gt 1 ]];then + echo "$0: too many positional arguments" >&2 +elif [[ $err -ne 0 ]];then + echo "$0: invalid option" >&2 +fi +if [[ $gfile = '?' || $# -gt 1 || $err -ne 0 || -z $valid ||\ + $netwk = '?' || $envir = '?' || $fhour = '?' || $resol = '?' ||\ + $typef = '?' || $valid = '?' ]];then + echo "Usage: getges.sh [-n network] [-e environment] [-f fhour] [-q] [-r resolution]" >&2 + echo " [-t filetype] [-v valid] [gfile]" >&2 + if [[ $netwk = '?' ]];then + echo " network choices:" >&2 + echo " global (default), namopl, gdas, gfs, cdas, etc." >&2 + elif [[ $envir = '?' ]];then + echo " environment choices:" >&2 + echo " prod (default), test, para, dump, prx" >&2 + echo " (some network values allowed for compatibility)" >&2 + elif [[ $fhour = '?' ]];then + echo " fhour is optional specific forecast hour" >&2 + elif [[ $resol = '?' ]];then + echo " resolution choices:" >&2 + echo " high (default), 25464, 17042, 12628, low, 6228, namopl, any" >&2 + elif [[ $typef = '?' ]];then + echo " filetype choices:" >&2 + echo " sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3," >&2 + echo " sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3," >&2 + echo " sfgges, sfggp3, biascr, satang, satcnt, gesfil" >&2 + echo " pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3," >&2 + echo " sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl," >&2 + echo " enggrb, enggri, icegrb, icegri, snogrb, snogri, sstgrb, sstgri," >&2 + echo " pg2cur, pg2ges, restrt," >&2 + echo " natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur," >&2 + echo " nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur," >&2 + echo " nstcur, nflges, nflgp3," >&2 + elif [[ $valid = '?' ]];then + echo " valid is the valid date in yyyymmddhh or yymmddhh form" >&2 + echo " (default is environmental variable CDATE)" >&2 + elif [[ $gfile = '?' ]];then + echo " gfile is the guess file to write" >&2 + echo " (default is to write the guess file name to stdout)" >&2 + else + echo " (Note: set a given option to '?' for more details)" >&2 + fi + exit 1 +fi +[[ $trace == YES ]]&&set -x +if [[ $envir != prod && $envir != test && $envir != para && $envir != dump && $envir != pr? && $envir != dev ]];then + netwk=$envir + envir=prod + echo '************************************************************' >&2 + echo '* WARNING: Using "-e" is deprecated in this case. *' >&2 + echo '* Please use "-n" instead. *' >&2 + echo '************************************************************' >&2 +fi +if [[ "$netwk" = "namopl" || "$resol" = "namopl" ]];then + netwk=namopl + typef=restrt + resol=namopl +fi +[[ $resol = 57464 || $resol = 38264 || $resol = 19064 || $resol = 25464 || $resol = 17042 || $resol = 12628 ]]&&resol=high +[[ $resol = 6228 ]]&&resol=low +resolsuf="" +[[ $resol == *deg ]]&&resolsuf=.$resol +fhbeg=$(${NHOUR:?} $valid) +[[ $fhbeg -le 0 ]]&&fhbeg=03 +((fhbeg=(10#$fhbeg-1)/3*3+3)) +[[ $fhbeg -lt 10 ]]&&fhbeg=0$fhbeg +if [[ $typef = enggrb ]];then + typef=icegrb + echo '************************************************************' >&2 + echo '* WARNING: Using "-t enggrb" is now deprecated. *' >&2 + echo '* Please use "-t icegrb". *' >&2 + echo '************************************************************' >&2 +elif [[ $typef = enggri ]];then + typef=icegri + echo '************************************************************' >&2 + echo '* WARNING: Using "-t enggri" is now deprecated. *' >&2 + echo '* Please use "-t icegri". *' >&2 + echo '************************************************************' >&2 +fi + +#------------------------------------------------------------------------------- +# Assemble guess list in descending order from the best guess. +geslist="" +getlist00="" + +# GDAS +if [[ "$netwk" = "gdas" ]];then + if [ -z "$COMINgdas" ]; then + echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 + exit 1 + fi + fhend=12 + case $typef in + biascr) geslist=' + $COMINgdas/gdas.t${cyc}z.abias' + ;; + biascr_pc) geslist=' + $COMINgdas/gdas.t${cyc}z.abias_pc' + ;; + biascr_air) geslist=' + $COMINgdas/gdas.t${cyc}z.abias_air' + ;; + radstat) geslist=' + $COMINgdas/gdas.t${cyc}z.radstat' + ;; + pgbges) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh' + ;; + pg2ges) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' + ;; + pgbgm6) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6' + ;; + pgbgm3) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3' + ;; + pgbgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3' + ;; + pgbcur) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINgdas/gdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINgdas/gdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINgdas/gdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576' + fhbeg=00 + fhinc=06 + ;; + snogrb_1534) geslist=' + $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINgdas/gdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + natges) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' + ;; + natgm3) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio' + ;; + natgm2) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio' + ;; + natgm1) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio' + ;; + natgp1) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio' + ;; + natgp2) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio' + ;; + natgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio' + ;; + natcur) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' + getlist00=' + $COMINgdas/gdas.t${cyc}z.atmanl.nemsio' + fhbeg=00 + ;; + nsfges) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' + ;; + nsfgm3) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio' + ;; + nsfgm2) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio' + ;; + nsfgm1) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio' + ;; + nsfgp1) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio' + ;; + nsfgp2) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio' + ;; + nsfgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio' + ;; + nsfcur) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' + getlist00=' + $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio' + fhbeg=00 + ;; + nstcur) geslist=' + $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio' + getlist00=' + $COMINgdas/gdas.t${cyc}z.nstanl.nemsio' + fhbeg=00 + ;; + nflges) geslist=' + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' + ;; + nflgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio' + ;; + nflcur) geslist=' + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' + fhbeg=00 + ;; + esac + +# CFS-CDAS +elif [[ "$netwk" = "cfs-cdas" ]];then + if [ -z "$COMINcfs_cdas" ]; then + echo "getges.sh ERROR: The \$COMINcfs_cdas variable must be defined." >&2 + exit 1 + fi + fhend=12 + case $typef in + sigges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fh}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm3}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm2}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm1}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp1}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp2}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp3}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.abias' + ;; + satang) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.satang' + ;; + satcnt) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fh' + ;; + sfggp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fhp3' + ;; + pgbges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm6 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm6 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhp3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhp3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' + getlist00=' + $COMINcfs_cdas/cdas1.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' + getlist00=' + $COMINcfs_cdas/cdas1.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574 + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# GFS +elif [[ "$netwk" = "gfs" ]];then + if [ -z "$COMINgfs" ]; then + echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 + exit 1 + fi + fhend=384 + case $typef in + natges) geslist=' + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + ;; + pgbcur) geslist=' + $COMINgfs/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINgfs/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvitl) geslist=' + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINgfs/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINgfs/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_1534) geslist=' + $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINgfs/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + natcur) geslist=' + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + getlist00=' + $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' + fhbeg=00 + ;; + nsfcur) geslist=' + $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' + getlist00=' + $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' + fhbeg=00 + ;; + nstcur) geslist=' + $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' + getlist00=' + $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' + fhbeg=00 + ;; + nflcur) geslist=' + $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' + fhbeg=00 + ;; + esac + +# CDAS +elif [[ "$netwk" = "cdas" ]];then + if [ -z "$COMINcdas" ]; then + echo "getges.sh ERROR: The \$COMINcdas variable must be defined." >&2 + exit 1 + fi + fhbeg=06 + fhend=06 + case $typef in + sigges) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $COMINcdas/cdas.t${cyc}z.abias' + ;; + satang) geslist=' + $COMINcdas/cdas.t${cyc}z.satang' + ;; + satcnt) geslist=' + $COMINcdas/cdas.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $COMINcdas/cdas.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fh' + getlist00=' + $COMINcdas/cdas.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fh' + getlist00=' + $COMINcdas/cdas.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINcdas/cdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINcdas/cdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $COMINcdas/cdas.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINcdas/cdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $COMINcdas/cdas.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINcdas/cdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $COMINcdas/cdas.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# CDC CDAS +elif [[ "$netwk" = "cdc" ]];then + if [ -z "$COMINcdc" ]; then + echo "getges.sh ERROR: The \$COMINcdc variable must be defined." >&2 + exit 1 + fi + fhbeg=06 + fhend=06 + case $typef in + sigges) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $COMINcdc/cdas.t${cyc}z.abias' + ;; + satang) geslist=' + $COMINcdc/cdas.t${cyc}z.satang' + ;; + satcnt) geslist=' + $COMINcdc/cdas.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $COMINcdc/cdas.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fh' + getlist00=' + $COMINcdc/cdas.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fh' + getlist00=' + $COMINcdc/cdas.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINcdc/cdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINcdc/cdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $COMINcdc/cdas.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINcdc/cdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $COMINcdc/cdas.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINcdc/cdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $COMINcdc/cdas.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# Any resolution production +elif [[ "$netwk" = "global" ]];then + if [ -z "$COMINgdas" ]; then + echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 + exit 1 + fi + if [ -z "$COMINgfs" ]; then + echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 + exit 1 + fi + GETGES_NWG=${GETGES_NWG:-${GESROOT:?}} + case $typef in + biascr) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.abias + $COMINgdas/gdas.t${cyc}z.abias + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias + $COMINgfs/gfs.t${cyc}z.abias' + fhbeg=06 + fhinc=06 + ;; + pgbges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $COMINgfs/gfs.t${cyc}z.pgrbf$fh' + ;; + pgbgm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm6 + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm6 + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 + $COMINgfs/gfs.t${cyc}z.pgrbf$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm3 + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm3 + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 + $COMINgfs/gfs.t${cyc}z.pgrbf$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhp3 + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhp3 + $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 + $COMINgfs/gfs.t${cyc}z.pgrbf$fhp3' + ;; + pg2ges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$gh + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$gh + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' + ;; + pg2gm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm6 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm6' + ;; + pg2gm5) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm5 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm5' + ;; + pg2gm4) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm4 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm4' + ;; + pg2gm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm3 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm3' + ;; + pg2gm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm2 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm2' + ;; + pg2gm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm1 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm1' + ;; + pg2gp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp1 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp1' + ;; + pg2gp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp2 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp2' + ;; + pg2gp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp3 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp3' + ;; + pgbcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $COMINgfs/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p50.f$gh + $COMINgdas/gdas.t${cyc}z.pgrb2.0p50.f$gh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f$gh + $COMINgfs/gfs.t${cyc}z.pgrb2.0p50.f$gh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.prepbufr + $COMINgdas/gdas.t${cyc}z.prepbufr + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr + $COMINgfs/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.engicegrb + $COMINgdas/gdas.t${cyc}z.engicegrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb + $COMINgfs/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb + $COMINgdas/gdas.t${cyc}z.snogrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb + $COMINgfs/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t574.1152.576 + $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574.1152.576 + $COMINgfs/gfs.t${cyc}z.snogrb_t574.1152.576' + fhbeg=00 + fhinc=06 + ;; + snogrb_1534) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t1534.3072.1536 + $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t1534.3072.1536 + $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sstgrb + $COMINgdas/gdas.t${cyc}z.sstgrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb + $COMINgfs/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + natges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + ((vhr=$valid%100)) + if [[ $(($vhr % 3)) -ne 0 ]]; then + fhinc=01 + fi + ;; + natgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm3.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm3.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghm3.nemsio' + ;; + natgm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm2.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm2.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghm2.nemsio' + ;; + natgm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm1.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm1.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghm1.nemsio' + ;; + natgp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp1.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp1.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghp1.nemsio' + ;; + natgp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp2.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp2.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghp2.nemsio' + ;; + natgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp3.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp3.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghp3.nemsio' + ;; + natcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmanl.nemsio + $COMINgdas/gdas.t${cyc}z.atmanl.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmanl.nemsio + $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' + fhbeg=00 + ;; + nsfges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' + ;; + nsfgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm3.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm3.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghm3.nemsio' + ;; + nsfgm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm2.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm2.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghm2.nemsio' + ;; + nsfgm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm1.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm1.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghm1.nemsio' + ;; + nsfgp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp1.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp1.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghp1.nemsio' + ;; + nsfgp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp2.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp2.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghp2.nemsio' + ;; + nsfgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp3.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp3.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghp3.nemsio' + ;; + nsfcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcanl.nemsio + $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl.nemsio + $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' + fhbeg=00 + ;; + nstcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstanl.nemsio + $COMINgdas/gdas.t${cyc}z.nstanl.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstanl.nemsio + $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' + fhbeg=00 + ;; + nflges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' + ;; + nflgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$ghp3.nemsio + $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$ghp3.nemsio + $COMINgfs/gfs.t${cyc}z.flxf$ghp3.nemsio' + ;; + nflcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' + fhbeg=00 + ;; + esac +fi + +# Check validity of options. +if [[ $fhour != any ]];then + fhbeg=$fhour + fhend=$fhour +fi +if [[ $valid -lt 20000000 ]];then + valid=20$valid + echo '************************************************************' >&2 + echo '* WARNING: A 2-digit year was converted to a 4-digit year. *' >&2 + echo '* Please use full a 4-digit year in this utility. *' >&2 + echo '************************************************************' >&2 +fi +if [[ $($NDATE 0 $valid 2>/dev/null) != $valid ]];then + echo getges.sh: invalid date $valid >&2 + exit 2 +fi +if [[ -z "$geslist" ]];then + echo getges.sh: filetype $typef or resolution $resol not recognized >&2 + exit 2 +fi + +#------------------------------------------------------------------------------- +# Loop until guess is found. +fh=$fhbeg +if [ -z "$PDY" ];then echo "getges.sh WARNING: \$PDY variable not set" >&2; fi +while [[ $fh -le $fhend ]];do + ((fhm6=10#$fh-6)) + [[ $fhm6 -lt 10 && $fhm6 -ge 0 ]]&&fhm6=0$fhm6 + ((fhm5=10#$fh-5)) + [[ $fhm5 -lt 10 && $fhm5 -ge 0 ]]&&fhm5=0$fhm5 + ((fhm4=10#$fh-4)) + [[ $fhm4 -lt 10 && $fhm4 -ge 0 ]]&&fhm4=0$fhm4 + ((fhm3=10#$fh-3)) + [[ $fhm3 -lt 10 && $fhm3 -ge 0 ]]&&fhm3=0$fhm3 + ((fhm2=10#$fh-2)) + [[ $fhm2 -lt 10 && $fhm2 -ge 0 ]]&&fhm2=0$fhm2 + ((fhm1=10#$fh-1)) + [[ $fhm1 -lt 10 && $fhm1 -ge 0 ]]&&fhm1=0$fhm1 + ((fhp1=10#$fh+1)) + [[ $fhp1 -lt 10 ]]&&fhp1=0$fhp1 + ((fhp2=10#$fh+2)) + [[ $fhp2 -lt 10 ]]&&fhp2=0$fhp2 + ((fhp3=10#$fh+3)) + [[ $fhp3 -lt 10 ]]&&fhp3=0$fhp3 + gh=$fh;[[ $gh -lt 100 ]]&&gh=0$gh + ghm6=$fhm6;[[ $ghm6 -lt 100 ]]&&ghm6=0$ghm6 + ghm5=$fhm5;[[ $ghm5 -lt 100 ]]&&ghm5=0$ghm5 + ghm4=$fhm4;[[ $ghm4 -lt 100 ]]&&ghm4=0$ghm4 + ghm3=$fhm3;[[ $ghm3 -lt 100 ]]&&ghm3=0$ghm3 + ghm2=$fhm2;[[ $ghm2 -lt 100 ]]&&ghm2=0$ghm2 + ghm1=$fhm1;[[ $ghm1 -lt 100 ]]&&ghm1=0$ghm1 + ghp1=$fhp1;[[ $ghp1 -lt 100 ]]&&ghp1=0$ghp1 + ghp2=$fhp2;[[ $ghp2 -lt 100 ]]&&ghp2=0$ghp2 + ghp3=$fhp3;[[ $ghp3 -lt 100 ]]&&ghp3=0$ghp3 + id=$($NDATE -$fh $valid) + typeset -L8 day=$id + typeset -R2 cyc=$id + eval list=\$getlist$fh + [[ -z "$list" ]]&&list=${geslist} + for ges_var in $list;do + # Replace variables in guess with their values + eval ges_val=$ges_var + # Replace the current PDY with the valid date + ges=${ges_val/$PDY\//$day/} + [[ $quiet = NO ]]&&echo Checking: $ges >&2 + [[ -r $ges ]]&&break 2 + done + fh=$((10#$fh+10#$fhinc)) + [[ $fh -lt 10 ]]&&fh=0$fh +done +if [[ $fh -gt $fhend ]];then + echo getges.sh: unable to find $netwk.$envir.$typef.$resol.$valid >&2 + exit 8 +fi + +#------------------------------------------------------------------------------- +# Either copy guess to a file or write guess name to standard output. +if [[ -z "$gfile" ]];then + echo $ges + exit $? +else + cp $ges $gfile + exit $? +fi diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.txt new file mode 100644 index 0000000..c31dc35 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.txt @@ -0,0 +1 @@ +GETGESprep=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/getges.sh diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges_sig.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges_sig.sh new file mode 100755 index 0000000..ee050d4 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges_sig.sh @@ -0,0 +1,3000 @@ +#!/bin/ksh +################################################################################ +# +# Name: getges.sh Author: Mark Iredell +# +# Abstract: +# This script copies the valid global guess file to a given file. +# Alternatively, it writes the name of the guess file to standard output. +# Specify option "-n network" for the job network (default global). +# Other options are gdas, gfs, cdas, mrf, prx, etc. +# Specify option "-e environment" for the job environment (default prod). +# Another option is test. +# Specify option "-f fhour" for the specific forecast hour wanted (default any). +# Specify option "-q" for quiet mode to turn off script messages. +# Specify option "-r resolution" for the resolution wanted (default high). +# Other options are 25464 17042, 12628, low, 6228, namopl, any. +# Specify option "-t filetype" for the filetype wanted from among these choices: +# sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3, +# sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3, +# biascr, satang, satcnt, gesfil +# pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3, +# sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl, +# enggrb, enggri, icegrb, icegri, snogrb, snogrb_high, snogri, sstgrb, sstgri. +# Specify option "-v valid" for the valid date wanted (default $CDATE). +# Currently, the valid hours specified must be a multiple of 3. +# Either 2-digit or 4-digit years are currently allowed. +# Specify positional argument to be the file to which to copy the guess. +# If missing, the NAME of the guess file is written to standard output. +# A nonzero return code from this script means either the arguments are invalid +# or the guess could not be found; a message is written to standard error in +# this case, but neither a file copy nor a standard output write will be done. +# The file returned is guaranteed to exist and be readable. +# The script uses the utility commands NDATE and NHOUR. +# +# Example 1. Copy the production sigma guess for 1998100100 to the file sges. +# getges.sh -e prod -t sigges -v 1998100100 sges +# +# Example 2. Assign the pressure grib guess for the date 1998100121. +# export CDATE=1998100121 +# export XLFUNIT_12="$(getges.sh -qt pgbges||echo /dev/null)" +# +# Example 3. Get the PRX pgb analysis or the best valid guess at 1998100112. +# getges -e prx -t pgbcur -v 1998100112 pgbfile +# +# Example 5. Get the 24-hour GFS forecast sigma file valid at 1998100112. +# getges -t sigcur -v 1998100112 -f 24 -e gfs sigfile +# +# History: 1996 December Iredell Initial implementation +# 1997 March Iredell Nine new filetypes +# 1997 April Iredell Two new filetypes and -f option +# 1997 December Iredell Four new filetypes +# 1998 April Iredell 4-digit year allowed; +# sigges internal date no longer checked +# 1998 May Iredell T170L42 defaulted; four new filetypes +# and two filetypes deleted +# 1998 June Rogers Nam types added +# 1998 September Iredell high is default resolution +# 2000 March Iredell Cdas and -n option +# 2000 June Iredell Eight new filetypes +# 2002 April Treadon T254L64 defaulted; add angle dependent +# bias correction file +# 2003 March Iredell GFS network out to 384 hours +# 2003 August Iredell Hourly global guesses +# 2005 September Treadon Add satellite data count file (satcnt) +# 2006 September Gayno Add high-res snow analysis +# 2009 January Rogers Added sfluxgrb file +# 2011 April Rogers Added GFS pg2ges file +# +################################################################################ +#------------------------------------------------------------------------------- +# Set some default parameters. +fhbeg=03 # hour to begin searching backward for guess +fhinc=03 # hour to increment backward in search +fhend=384 # hour to end searching backward for guess + +#------------------------------------------------------------------------------- +# Get options and arguments. +netwk=global # default network +envir=prod # default environment +fhour=any # default forecast hour +quiet=NO # default quiet mode +resol=high # default resolution +typef=sigges # default filetype +valid=${CDATE:-'?'} # default valid date +valid=$CDATE # default valid date +err=0 +while getopts n:e:f:qr:t:v: opt;do + case $opt in + n) netwk="$OPTARG";; + e) envir="$OPTARG";; + f) fhour="$OPTARG";; + q) quiet=YES;; + r) resol="$OPTARG";; + t) typef="$OPTARG";; + v) valid="$OPTARG";; + \?) err=1;; + esac +done +shift $(($OPTIND-1)) +gfile=$1 +if [[ -z $valid ]];then + echo "$0: either -v option or environment variable CDATE must be set" >&2 +elif [[ $# -gt 1 ]];then + echo "$0: too many positional arguments" >&2 +elif [[ $err -ne 0 ]];then + echo "$0: invalid option" >&2 +fi +if [[ $gfile = '?' || $# -gt 1 || $err -ne 0 || -z $valid ||\ + $netwk = '?' || $envir = '?' || $fhour = '?' || $resol = '?' ||\ + $typef = '?' || $valid = '?' ]];then + echo "Usage: getges.sh [-n network] [-e environment] [-f fhour] [-q] [-r resolution]" >&2 + echo " [-t filetype] [-v valid] [gfile]" >&2 + if [[ $netwk = '?' ]];then + echo " network choices:" >&2 + echo " global (default), namopl, gdas, gfs, cdas, etc." >&2 + elif [[ $envir = '?' ]];then + echo " environment choices:" >&2 + echo " prod (default), test, para, dump, prx" >&2 + echo " (some network values allowed for compatibility)" >&2 + elif [[ $fhour = '?' ]];then + echo " fhour is optional specific forecast hour" >&2 + elif [[ $resol = '?' ]];then + echo " resolution choices:" >&2 + echo " high (default), 25464, 17042, 12628, low, 6228, namopl, any" >&2 + elif [[ $typef = '?' ]];then + echo " filetype choices:" >&2 + echo " sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3," >&2 + echo " sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3," >&2 + echo " sfgges, sfggp3, biascr, satang, satcnt, gesfil" >&2 + echo " pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3," >&2 + echo " sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl," >&2 + echo " enggrb, enggri, icegrb, icegri, snogrb, snogri, sstgrb, sstgri," >&2 + echo " pg2cur, pg2ges, restrt" >&2 + elif [[ $valid = '?' ]];then + echo " valid is the valid date in yyyymmddhh or yymmddhh form" >&2 + echo " (default is environmental variable CDATE)" >&2 + elif [[ $gfile = '?' ]];then + echo " gfile is the guess file to write" >&2 + echo " (default is to write the guess file name to stdout)" >&2 + else + echo " (Note: set a given option to '?' for more details)" >&2 + fi + exit 1 +fi +#[[ $quiet = NO ]]&&set -x +if [[ $envir != prod && $envir != test && $envir != para && $envir != dump && $envir != pr? && $envir != dev ]];then + netwk=$envir + envir=prod + echo '************************************************************' >&2 + echo '* CAUTION: Using "-e" is deprecated in this case. *' >&2 + echo '* Please use "-n" instead. *' >&2 + echo '************************************************************' >&2 +fi +if [[ $netwk = namopl || $resol = namopl ]];then + netwk=namopl + typef=restrt + resol=namopl +fi +[[ $resol = 57464 || $resol = 38264 || $resol = 19064 || $resol = 25464 || $resol = 17042 || $resol = 12628 ]]&&resol=high +[[ $resol = 6228 ]]&&resol=low +resolsuf="" +[[ $resol == *deg ]]&&resolsuf=.$resol +fhbeg=$($NHOUR $valid) +[[ $fhbeg -le 0 ]]&&fhbeg=03 +((fhbeg=(10#$fhbeg-1)/3*3+3)) +[[ $fhbeg -lt 10 ]]&&fhbeg=0$fhbeg +if [[ $typef = enggrb ]];then + typef=icegrb + echo '************************************************************' >&2 + echo '* CAUTION: Using "-t enggrb" is now deprecated. *' >&2 + echo '* Please use "-t icegrb". *' >&2 + echo '************************************************************' >&2 +elif [[ $typef = enggri ]];then + typef=icegri + echo '************************************************************' >&2 + echo '* CAUTION: Using "-t enggri" is now deprecated. *' >&2 + echo '* Please use "-t icegri". *' >&2 + echo '************************************************************' >&2 +fi + +#------------------------------------------------------------------------------- +# Default top level directories. +export GETGES_COM=${GETGES_COM:-${COMROOT}} +export GETGES_NWG=${GETGES_NWG:-${GESROOT}} +export GETGES_GLO=${GETGES_GLO:-/gloptmp} + +#------------------------------------------------------------------------------- +# Assemble guess list in descending order from the best guess. +geslist="" +geslist00="" + +# GDAS +if [[ $netwk = gdas ]];then + fhend=12 + case $typef in + sigges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias' + ;; + biascr_pc) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias_pc' + ;; + biascr_air) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias_air' + ;; + radstat) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.radstat' + ;; + satang) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh' + ;; + sfggp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fhp3' + ;; + pgbges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh' + ;; + pg2ges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2f$fh$resolsuf' + ;; + pgiges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2f$fh$resolsuf' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574.1152.576' + fhbeg=00 + fhinc=06 + ;; + snogrb_1534) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t1534.3072.1536' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# CFS-CDAS +elif [[ $netwk = cfs-cdas ]];then + fhend=12 + case $typef in + sigges) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fh}.LIS + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhm3}.LIS + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhm2}.LIS + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhm1}.LIS + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhp1}.LIS + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhp2}.LIS + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhp3}.LIS + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.abias' + ;; + satang) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sfluxgrbf$fh' + ;; + sfggp3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sfluxgrbf$fhp3' + ;; + pgbges) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fhm6 + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fhm6 + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fhm3 + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fhm3 + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fhp3 + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fhp3 + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# GFS +elif [[ $netwk = gfs ]];then + fhend=384 + case $typef in + sigges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' + ;; + satang) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' + ;; + sfggp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3' + ;; + pgbges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + ;; + pg2ges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f0$fh$resolsuf' + ;; + pgiges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f0$fh$resolsuf' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# GFS +elif [[ $netwk = gfs ]];then + fhend=126 + case $typef in + sigges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' + ;; + satang) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' + ;; + sfggp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3' + ;; + pgbges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + echo '************************************************************' >&2 + echo '* CAUTION: Using "-n gfs" is now deprecated. *' >&2 + echo '* Please use "-n gfs". *' >&2 + echo '************************************************************' >&2 + +# CDAS +elif [[ $netwk = cdas ]];then + fhbeg=06 + fhend=06 + case $typef in + sigges) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.abias' + ;; + satang) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# CDC CDAS +elif [[ $netwk = cdc ]];then + fhbeg=06 + fhend=06 + case $typef in + sigges) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.abias' + ;; + satang) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# MRF +elif [[ $netwk = mrf ]];then + fhend=384 + case $typef in + sigges) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias' + ;; + satang) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + echo '************************************************************' >&2 + echo '* CAUTION: Using "-n mrf" is now deprecated. *' >&2 + echo '* Please use "-n gfs". *' >&2 + echo '************************************************************' >&2 + +# PRZ +elif [[ $netwk = prz ]];then + fhend=384 + case $typef in + sigges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.abias' + ;; + satang) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satang' + ;; + satcnt) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm6 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm6 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' + getlist00=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + echo '************************************************************' >&2 + echo '* CAUTION: Using "-n prz" is now deprecated. *' >&2 + echo '* Please use "-n gfs". *' >&2 + echo '************************************************************' >&2 + +# High resolution production +elif [[ $netwk = global && $resol = high ]];then + case $typef in + sigges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.abias + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' + fhbeg=06 + fhinc=06 + ;; + satang) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satang + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satang + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' + fhbeg=06 + fhinc=06 + ;; + satcnt) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satcnt + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satcnt + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' + fhbeg=06 + fhinc=06 + ;; + gesfil) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.gesfile + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.gesfile + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' + ;; + sfggp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3' + ;; + pgbges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' + ;; + pg2ges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh' + ;; + pg2gm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6' + ;; + pg2gm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3' + ;; + pg2gp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3' + ;; + sigcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sanl + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sanl + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sanl + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# Low resolution production +elif [[ $netwk = global && $resol = low ]];then + case $typef in + sigges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm2 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm1 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp1 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp2 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm2 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm1 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp1 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp1 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp2 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp2 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.abias + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.abias + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.abias + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.abias' + fhbeg=06 + fhinc=06 + ;; + satang) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.satang + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satang + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.satang + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.satang' + fhbeg=06 + fhinc=06 + ;; + satcnt) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.satcnt + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satcnt + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.satcnt + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.satcnt' + fhbeg=06 + fhinc=06 + ;; + gesfil) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.gesfile + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.gesfile + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.gesfile + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' + ;; + pgbges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm6 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm6 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm6 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm6 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhp3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhp3 + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhp3 + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhp3' + ;; + pg2ges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh' + ;; + pg2gm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6' + ;; + pg2gm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3' + ;; + pg2gp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3' + ;; + sigcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sanl + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sanl + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sanl + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sfcanl + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sfcanl + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sfcanl + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh + $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh + $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + esac + +# Any resolution production +elif [[ $netwk = global && $resol = any ]];then + case $typef in + sigges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.abias + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' + fhbeg=06 + fhinc=06 + ;; + satang) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satang + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satang + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' + fhbeg=06 + fhinc=06 + ;; + satcnt) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satcnt + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satcnt + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' + fhbeg=06 + fhinc=06 + ;; + gesfil) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.gesfile + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.gesfile + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' + ;; + pgbges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhp3 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3' + ;; + pg2ges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh' + ;; + pg2gm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6' + ;; + pg2gm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3' + ;; + pg2gp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3' + ;; + sigcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sanl + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sanl + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sanl + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index + $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index + $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# Early nam-32 resolution +elif [[ $netwk = namopl && $resol = namopl ]];then + fhbeg=03 + fhinc=03 + fhend=12 + case $typef in + restrt) geslist=' + $GETGES_NWG/$envir/nam.$day/nam.t${cyc}z.restrt$fh.tm00' + ;; + esac +fi + +# Global parallel +if [[ $envir = dump || $envir = pr? ]];then + fhend=384 + if [[ $netwk = global ]];then + case $typef in + sigges) geslist=' + $GETGES_GLO/$envir/sigf$fh.gdas.$id + $GETGES_GLO/$envir/sigf$fh.gfs.$id' + ;; + siggm3) geslist=' + $GETGES_GLO/$envir/sigf$fhm3.gdas.$id + $GETGES_GLO/$envir/sigf$fhm3.gfs.$id' + ;; + siggm2) geslist=' + $GETGES_GLO/$envir/sigf$fhm2.gdas.$id + $GETGES_GLO/$envir/sigf$fhm2.gfs.$id' + ;; + siggm1) geslist=' + $GETGES_GLO/$envir/sigf$fhm1.gdas.$id + $GETGES_GLO/$envir/sigf$fhm1.gfs.$id' + ;; + siggp1) geslist=' + $GETGES_GLO/$envir/sigf$fhp1.gdas.$id + $GETGES_GLO/$envir/sigf$fhp1.gfs.$id' + ;; + siggp2) geslist=' + $GETGES_GLO/$envir/sigf$fhp2.gdas.$id + $GETGES_GLO/$envir/sigf$fhp2.gfs.$id' + ;; + siggp3) geslist=' + $GETGES_GLO/$envir/sigf$fhp3.gdas.$id + $GETGES_GLO/$envir/sigf$fhp3.gfs.$id' + ;; + sfcges) geslist=' + $GETGES_GLO/$envir/sfcf$fh.gdas.$id + $GETGES_GLO/$envir/sfcf$fh.gfs.$id' + ;; + sfcgm3) geslist=' + $GETGES_GLO/$envir/sfcf$fhm3.gdas.$id + $GETGES_GLO/$envir/sfcf$fhm3.gfs.$id' + ;; + sfcgm2) geslist=' + $GETGES_GLO/$envir/sfcf$fhm2.gdas.$id + $GETGES_GLO/$envir/sfcf$fhm2.gfs.$id' + ;; + sfcgm1) geslist=' + $GETGES_GLO/$envir/sfcf$fhm1.gdas.$id + $GETGES_GLO/$envir/sfcf$fhm1.gfs.$id' + ;; + sfcgp1) geslist=' + $GETGES_GLO/$envir/sfcf$fhp1.gdas.$id + $GETGES_GLO/$envir/sfcf$fhp1.gfs.$id' + ;; + sfcgp2) geslist=' + $GETGES_GLO/$envir/sfcf$fhp2.gdas.$id + $GETGES_GLO/$envir/sfcf$fhp2.gfs.$id' + ;; + sfcgp3) geslist=' + $GETGES_GLO/$envir/sfcf$fhp3.gdas.$id + $GETGES_GLO/$envir/sfcf$fhp3.gfs.$id' + ;; + biascr) geslist=' + $GETGES_GLO/$envir/biascr.gdas.$id + $GETGES_GLO/$envir/biascr.gfs.$id' + fhbeg=06 + fhinc=06 + ;; + satang) geslist=' + $GETGES_GLO/$envir/satang.gdas.$id + $GETGES_GLO/$envir/satang.gfs.$id' + fhbeg=06 + fhinc=06 + ;; + satcnt) geslist=' + $GETGES_GLO/$envir/satcnt.gdas.$id + $GETGES_GLO/$envir/satcnt.gfs.$id' + fhbeg=06 + fhinc=06 + ;; + gesfil) geslist=' + $GETGES_GLO/$envir/gesfile.gdas.$id + $GETGES_GLO/$envir/gesfile.gfs.$id' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $GETGES_GLO/$envir/pgbf$fh.gdas.$id + $GETGES_GLO/$envir/pgbf$fh.gfs.$id' + ;; + pgbgm6) geslist=' + $GETGES_GLO/$envir/pgbf$fhm6.gdas.$id + $GETGES_GLO/$envir/pgbf$fhm6.gfs.$id' + ;; + pgbgm3) geslist=' + $GETGES_GLO/$envir/pgbf$fhm3.gdas.$id + $GETGES_GLO/$envir/pgbf$fhm3.gfs.$id' + ;; + pgbgp3) geslist=' + $GETGES_GLO/$envir/pgbf$fhp3.gdas.$id + $GETGES_GLO/$envir/pgbf$fhp3.gfs.$id' + ;; + sigcur) geslist=' + $GETGES_GLO/$envir/sigf$fh.gdas.$id + $GETGES_GLO/$envir/sigf$fh.gfs.$id' + getlist00=' + $GETGES_GLO/$envir/siganl.gdas.$id + $GETGES_GLO/$envir/siganl.gfs.$id' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_GLO/$envir/sfcf$fh.gdas.$id + $GETGES_GLO/$envir/sfcf$fh.gfs.$id' + getlist00=' + $GETGES_GLO/$envir/sfcanl.gdas.$id + $GETGES_GLO/$envir/sfcanl.gfs.$id' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_GLO/$envir/pgbf$fh.gdas.$id + $GETGES_GLO/$envir/pgbf$fh.gfs.$id' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_GLO/$envir/prepqc.gdas.$id + $GETGES_GLO/$envir/prepqc.gfs.$id' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_GLO/$envir/tcvitl.gdas.$id + $GETGES_GLO/$envir/tcvitl.gfs.$id' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_GLO/$envir/tcvitl.gdas.$id + $GETGES_GLO/$envir/tcvitl.gfs.$id' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_GLO/$envir/tcvitl.gdas.$id + $GETGES_GLO/$envir/tcvitl.gfs.$id' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_GLO/$envir/icegrb.gdas.$id + $GETGES_GLO/$envir/icegrb.gfs.$id' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_GLO/$envir/snogrb.gdas.$id + $GETGES_GLO/$envir/snogrb.gfs.$id' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_GLO/$envir/sstgrb.gdas.$id + $GETGES_GLO/$envir/sstgrb.gfs.$id' + fhbeg=00 + fhinc=06 + ;; + esac + else + case $typef in + sigges) geslist=' + $GETGES_GLO/$envir/sigf$fh.$netwk.$id' + ;; + siggm3) geslist=' + $GETGES_GLO/$envir/sigf$fhm3.$netwk.$id' + ;; + siggm2) geslist=' + $GETGES_GLO/$envir/sigf$fhm2.$netwk.$id' + ;; + siggm1) geslist=' + $GETGES_GLO/$envir/sigf$fhm1.$netwk.$id' + ;; + siggp1) geslist=' + $GETGES_GLO/$envir/sigf$fhp1.$netwk.$id' + ;; + siggp2) geslist=' + $GETGES_GLO/$envir/sigf$fhp2.$netwk.$id' + ;; + siggp3) geslist=' + $GETGES_GLO/$envir/sigf$fhp3.$netwk.$id' + ;; + sfcges) geslist=' + $GETGES_GLO/$envir/sfcf$fh.$netwk.$id' + ;; + sfcgm3) geslist=' + $GETGES_GLO/$envir/sfcf$fhm3.$netwk.$id' + ;; + sfcgm2) geslist=' + $GETGES_GLO/$envir/sfcf$fhm2.$netwk.$id' + ;; + sfcgm1) geslist=' + $GETGES_GLO/$envir/sfcf$fhm1.$netwk.$id' + ;; + sfcgp1) geslist=' + $GETGES_GLO/$envir/sfcf$fhp1.$netwk.$id' + ;; + sfcgp2) geslist=' + $GETGES_GLO/$envir/sfcf$fhp2.$netwk.$id' + ;; + sfcgp3) geslist=' + $GETGES_GLO/$envir/sfcf$fhp3.$netwk.$id' + ;; + biascr) geslist=' + $GETGES_GLO/$envir/biascr.$netwk.$id' + fhbeg=06 + fhinc=06 + ;; + satang) geslist=' + $GETGES_GLO/$envir/satang.$netwk.$id' + fhbeg=06 + fhinc=06 + ;; + satcnt) geslist=' + $GETGES_GLO/$envir/satcnt.$netwk.$id' + fhbeg=06 + fhinc=06 + ;; + gesfil) geslist=' + $GETGES_GLO/$envir/gesfile.$netwk.$id' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $GETGES_GLO/$envir/pgbf$fh.$netwk.$id' + ;; + pgbgm6) geslist=' + $GETGES_GLO/$envir/pgbf$fhm6.$netwk.$id' + ;; + pgbgm3) geslist=' + $GETGES_GLO/$envir/pgbf$fhm3.$netwk.$id' + ;; + pgbgp3) geslist=' + $GETGES_GLO/$envir/pgbf$fhp3.$netwk.$id' + ;; + sigcur) geslist=' + $GETGES_GLO/$envir/sigf$fh.$netwk.$id' + getlist00=' + $GETGES_GLO/$envir/siganl.$netwk.$id' + fhbeg=00 + ;; + sfccur) geslist=' + $GETGES_GLO/$envir/sfcf$fh.$netwk.$id' + getlist00=' + $GETGES_GLO/$envir/sfcanl.$netwk.$id' + fhbeg=00 + ;; + pgbcur) geslist=' + $GETGES_GLO/$envir/pgbf$fh.$netwk.$id' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_GLO/$envir/prepqc.$netwk.$id' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_GLO/$envir/tcvitl.$netwk.$id' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_GLO/$envir/tcvitl.$netwk.$id' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_GLO/$envir/tcvitl.$netwk.$id' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_GLO/$envir/icegrb.$netwk.$id' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_GLO/$envir/snogrb.$netwk.$id' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_GLO/$envir/sstgrb.$netwk.$id' + fhbeg=00 + fhinc=06 + ;; + esac + fi +fi + +#------------------------------------------------------------------------------- +# Check validity of options. +if [[ $fhour != any ]];then + fhbeg=$fhour + fhend=$fhour +fi +if [[ $valid -lt 20000000 ]];then + valid=20$valid + echo '************************************************************' >&2 + echo '* CAUTION: A 2-digit year was converted to a 4-digit year. *' >&2 + echo '* Please use full a 4-digit year in this utility. *' >&2 + echo '************************************************************' >&2 +elif [[ $valid -lt 100000000 ]];then + valid=19$valid + echo '************************************************************' >&2 + echo '* CAUTION: A 2-digit year was converted to a 4-digit year. *' >&2 + echo '* Please use full a 4-digit year in this utility. *' >&2 + echo '************************************************************' >&2 +fi +if [[ $($NDATE 0 $valid 2>/dev/null) != $valid ]];then + echo getges.sh: invalid date $valid >&2 + exit 2 +fi +if [[ -z $geslist ]];then + echo getges.sh: filetype $typef or resolution $resol not recognized >&2 + exit 2 +fi + +#------------------------------------------------------------------------------- +# Loop until guess is found. +fh=$fhbeg +while [[ $fh -le $fhend ]];do + ((fhm6=10#$fh-6)) + [[ $fhm6 -lt 10 && $fhm6 -ge 0 ]]&&fhm6=0$fhm6 + ((fhm3=10#$fh-3)) + [[ $fhm3 -lt 10 && $fhm3 -ge 0 ]]&&fhm3=0$fhm3 + ((fhm2=10#$fh-2)) + [[ $fhm2 -lt 10 && $fhm2 -ge 0 ]]&&fhm2=0$fhm2 + ((fhm1=10#$fh-1)) + [[ $fhm1 -lt 10 && $fhm1 -ge 0 ]]&&fhm1=0$fhm1 + ((fhp1=10#$fh+1)) + [[ $fhp1 -lt 10 ]]&&fhp1=0$fhp1 + ((fhp2=10#$fh+2)) + [[ $fhp2 -lt 10 ]]&&fhp2=0$fhp2 + ((fhp3=10#$fh+3)) + [[ $fhp3 -lt 10 ]]&&fhp3=0$fhp3 + id=$($NDATE -$fh $valid) + typeset -L8 day=$id + typeset -R2 cyc=$id + eval list=\$getlist$fh + [[ -z $list ]]&&list=${geslist} + for gestest in $list;do + eval ges=$gestest + [[ $quiet = NO ]]&&echo Checking: $ges >&2 + [[ -r $ges ]]&&break 2 + done + fh=$((10#$fh+10#$fhinc)) + [[ $fh -lt 10 ]]&&fh=0$fh +done +if [[ $fh -gt $fhend ]];then + echo getges.sh: unable to find $netwk.$envir.$typef.$resol.$valid >&2 + exit 8 +fi + +#------------------------------------------------------------------------------- +# Either copy guess to a file or write guess name to standard output. +if [[ -z "$gfile" ]];then + echo $ges + exit $? +else + cp $ges $gfile + exit $? +fi diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.sh new file mode 100755 index 0000000..bb8f950 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.sh @@ -0,0 +1,101 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + + +# This script performs VAD wind complex quality control checking +# +# It is normally executed by the script prepobs_makeprepbufr.sh +# but can also be executed from a checkout parent script +# -------------------------------------------------------------- + +set -aux + +qid=$$ + +# Positional parameters passed in: +# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr +# file upon successful completion of this script (note that input +# prepbufr file is NOT saved by this script) +# 2 - ncep production date (YYYYMMDDHH) + +# Imported variables that must be passed in: +# DATA - path to working directory +# VQCX - path to PREPOBS_CQCVAD program executable + +# Imported variables that can be passed in: +# pgmout - string indicating path to for standard output file (skipped +# over by this script if not passed in) + +cd $DATA +PRPI=$1 +if [ ! -s $PRPI ] ; then exit 1;fi +CDATE10=$2 + +set +x +cat <<\EOFc > cqcvad05 + &NAMLST + HONOR_FLAGS=TRUE, ! If TRUE then levels with bad q.m. flags are honored + PRINT_52=TRUE, ! If TRUE then writes bird quality control information + ! to unit 52 + PRINT_53=FALSE, ! If TRUE then writes a final report listing with q.c. + ! information to unit 53 + PRINT_60=FALSE, ! If TRUE then writes event information to unit 60 + TEST=FALSE ! If TRUE then writes diagnostic print to stdout (unit 06) + / +EOFc +set -x + +rm $PRPI.cqcvad + +pgm=`basename $VQCX` +if [ -s $DATA/prep_step ]; then + set +u + . $DATA/prep_step + set -u +else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +fi + +export FORT11=$PRPI +echo "$CDATE10" > cdate10.dat +export FORT14=cdate10.dat +export FORT51=$PRPI.cqcvad +export FORT52=cqcvad.birdqc +export FORT53=cqcvad.unit53.wrk +export FORT55=cqcvad.unit55.wrk +export FORT60=cqcvad.unit60.wrk +TIMEIT=${TIMEIT:-""} +[ -s $DATA/time ] && TIMEIT="$DATA/time -p" +$TIMEIT $VQCX < cqcvad05 > outout 2> errfile +err=$? +###cat errfile +cat errfile >> outout +cat outout >> cqcvad.out +set +u +[ -n "$pgmout" ] && cat outout >> $pgmout +set -u +rm outout +set +x +echo +echo 'The foreground exit status for PREPOBS_CQCVAD is ' $err +echo +set -x +if [ -s $DATA/err_chk ]; then + $DATA/err_chk +else + if test "$err" -gt '0' + then +######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out + # in ops + exit 55 + fi +fi + +if [ "$err" -gt '0' ]; then + exit 9 +else + mv $PRPI.cqcvad $PRPI +fi + +exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.txt new file mode 100644 index 0000000..1898aed --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.txt @@ -0,0 +1 @@ +VQCX=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_cqcvad diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.sh new file mode 100755 index 0000000..ff85639 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.sh @@ -0,0 +1,2466 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + +#### UNIX Script Documentation Block +# +# Script name: prepobs_makeprepbufr.sh +# Script description: Prepares & quality controls PREPBUFR file +# +# Author: Keyser Org: EMC Date: 2017-04-20 +# +# Abstract: This script creates the PREPBUFR file containing observational data +# assimilated by all versions of NCEP atmospheric analyses. It points to BUFR +# observational data dumps as input files. PREPOBS_PREPDATA combines them to +# generate an initial form of the PREPBUFR file which also contains the +# background guess as well as the observational error field. If tropical +# cyclone relocation processing has previously occurred, the background global +# guess read in by PREPOBS_PREPDATA (and later by SYNDAT_SYNDATA if it runs) +# is the relocated guess valid at the center date/time for the PREPBUFR +# processing. Otherwise it is the regular (unrelocated) global atmosperic +# guess obtained via the getges utility script. After PREPOBS_PREPDATA runs, +# this script can execute SYNDAT_SYNDATA to generate synthetic wind bogus +# data, as well as their background guess and observational error fields, +# which are appended to the PREPBUFR file. +# +# In the global networks the decision to append synthetic wind bogus data in +# the SYNDATA processing is determined by the outcome of the previous +# tropical cyclone relocation processing (if it was run). There are three +# possible outcomes: +# 1) If all storms in the original tcvitals file have vorticies of at +# least medium intensity such that a relocation was previously +# performed for each, then SYNDAT_SYNDATA will still run but will not +# append synthetic wind bogus data to the PREPBUFR file for any storm. +# It will input the original tcvitals file (output from qctropcy +# processing) and (if the option is set) it will flag dropwinsonde +# winds in the vicinity of each tropical storm in the file. +# 2) If all storms in the original tcvitals file (output from qctropcy +# processing) have weak vorticies such that a relocation was not +# previously performed for any, then SYNDAT_SYNDATA will run, inputting +# the original tcvitals file, and it will append synthetic wind bogus +# data to the PREPBUFR file for each storm in it. It will also +# possibly flag mass pressure and/or dropwinsonde wind reports in the +# vicinity of each storm (if requested). +# 3) If some storms in the original tcvitals file (output from qctropcy +# processing) have weak vorticies, such that a relocation was not +# previously performed for them, and others have vorticies of at least +# medium intensity, such that a relocation was previously performed for +# these, then SYNDAT_SYNDATA will run twice. The first time, it will +# input the relocation-generated tcvitals file, which contains all of +# the weak storms, and it will append synthetic wind bogus data to the +# PREPBUFR file for each storm in it. It will also possibly flag mass +# pressure and/or dropwinsonde wind reports in the vicinity of each of +# these storms (if requested). The second time SYNDAT_SYNDATA runs, it +# will input any storm records that were in the original tcvitals file +# but not in the relocation-generated tcvitals file (i.e., storms with +# vorticies of at least medium intensity). It will not append +# synthetic wind bogus data to the PREPBUFR file for any of these +# storms, but it will flag dropwinsonde winds in the vicinity of each +# storm in the original tcvitals file but not in the relocation- +# generated tcvitals file (if requested). +# If this is the nam network, the only reason relocation processing would +# have been previously run would be to update the first guess read in here by +# PREPOBS_PREPDATA and SYNDAT_SYNDATA. In this case, SYNDAT_SYNDATA inputs +# the original tcvitals file (output from qctropcy processing), appends +# synthetic wind bogus data to the PREPBUFR file for each storm in it, and +# possibly also flags mass pressure and/or dropwinsonde wind reports in the +# vicinity of each storm in the file (if requested). +# +# After all of this, the script then executes a series of quality control +# programs which can change the observation value and/or its quality marker. +# The PREPBUFR file is set up such that all changes to data are stacked on +# top of previous values. Such changes are considered to be "events", with +# the event containing an associated program code and reason code to describe +# it. This allows the PREPBUFR file to internally contain a record of all +# events preformed on the observations. This script has been designed to be +# executed by either an "operational J-job" script, a "test J-job" script, a +# "parallel J-job" script, or a stand-alone batch run initiated by a user. +# +# Script history log: +# 1999-07-20 Dennis A. Keyser -- Original version for implementation +# 2000-06-14 Dennis A. Keyser -- Added the tropical cyclone relocation +# processing +# 2001-03-20 Dennis A. Keyser -- Now gets tcvitals file for t-12 as well as +# t-06 in tropical cyclone relocation processing and passes both to ush +# relocate_relocate_ts.sh as new pos. parameters 3 and 4 +# 2004-01-15 Dennis A. Keyser -- Reads file *aircar_status_flag* in $COMSP +# path to see whether ARINC (primary) or AFWA (backup) reports in AIRCAR +# dump should be read and processed as ACARS data in PREPBUFR (flag file +# generated in upstream dump process and is based on a comparison of report +# counts), inserts proper switch in parm cards read by PREPOBS_PREPDATA +# program +# 2004-08-30 Dennis A. Keyser -- Connects new data dumps to PREPDATA +# processing, copies t-3 and t+3 sigma guess files for GFS and GDAS even if +# DO_RELOCATE = NO (unless GETGUESS = NO); Copies the "PRE-QC" snapshot of +# the PREPBUFR file AFTER SYNDAT_SYNDATA runs (if it does) rather than +# before it runs (ensures that the PRE-QC PREPBUFR file contains ALL of the +# observations); Variable PRVT (observational error table file path) is now +# read by NAM network and defaults to $FIXPREP/prepobs_errtable.nam if not +# imported (obs. errors are now read into PREPBUFR file in NAM network in +# preparation for the switch to the GSI analysis, the operational 3DVAR +# analysis ignores the obs errors in PREPBUFR and still reads them in from +# $PARMPREP/nam_errtable.r3dv) +# 2005-07-01 Dennis A. Keyser -- Logic changes to run SYNDAT_SYNDATA in all +# networks where requested regardless of outcome of relocation processing, +# but sets new script variable DO_BOGUS to NO if SYNDAT_SYNDATA is to NOT +# generate synthetic wind bogus reports and append them to PREPBUFR file +# (SYNDAT_SYNDATA program also modified to read in this variable) - change +# needed because (if requested) SYNDAT_SYNDATA will now flag all +# dropwinsonde wind reports in vicinity of each storm in original tcvitals +# file, regardless of whether or not bogus winds are generated +# 2006-03-22 Dennis A. Keyser -- Accounts for possibility of split dump status +# files (status1 and status2) at the time this runs {in the test for the +# presence of the dump status file(s)} +# 2006-06-13 Dennis A. Keyser -- Adds dump file "wdsatr" to default BUFRLIST +# value and assigns it to unit 38 read in to PREPOBS_PREPDATA; Removed +# tropical cyclone relocation processing, this is now done (if requested) +# in a new script called tropcy_relocate.sh which runs in the new +# TROPCY_QC_RELOC job prior to the PREP job that executes this script +# (TROPCY_QC_RELOC first performs qctropcy processing, this was moved from +# the DUMP job) - this was done to allow the TROPCY_QC_RELOC job to run at +# the same time as the DUMP job in order to speed up overall obs +# processing and remove variability in the PREP job executing this script +# (i.e., this job had run faster when no tropical storms were present) +# 2007-09-14 Dennis A. Keyser -- Replaced "export XLFUNIT_xx=" with +# "ln .sf fort.xx" in preparation for PREPOBS_PREPDATA +# interfacing with global spectral guess files using sigio routines (via +# W3LIB routine GBLEVENTS - sigio requires explicit open statements in the +# code and this conflicts with XLFUNIT statements; Removed test on +# existence of status2 file from NAM_DUMP2 and NDAS_DUMP2 jobs since dump +# files here (currently only "nexrad") are not processed into the PREPBUFR +# file - PREP job initiation may soon no longer be dependent upon +# completion of DUMP2 job in NAM and NDAS networks; in the case where an +# input (normally, pre-QC) PREPBUFR file is passed into the script via the +# variable PREPBUFR_IN, it had been assumed that this file had already +# been run through SYNDATA processing (but that was not the case prior to +# 12Z 25 Jan 2005) - this script changed to use the value of variable +# SYNDATA to determine if the file in PREPBUFR_IN should be run through +# SYNDATA processing (i.e., if SYNDATA=YES, run it through SYNDATA +# processing) (this change will allow reanalysis runs prior to 12Z 25 Jan +# 2005 to work properly) +# 2008-09-25 Dennis A. Keyser -- Added dump file "ascatw" to default BUFRLIST +# value and assigns it to unit 39 read in to PREPOBS_PREPDATA; in +# preparation for future NRL aircraft QC code NRLACQC, added new script +# variables NRLACQC (def=NO), USHNQC (def=${HOMEALL}/ush), NQCX +# (def=$EXECPREP/prepobs_nrlacqc) and NQCC +# (def=$PARMPREP/prepobs_nrlacqc.${NET}.parm"), if NRLACQC=YES will +# execute script USHNQC to perform NRL aircraft QC (not yet ready) +# 2011-10-14 D.A. Keyser -- Updated to handle new "rap" (Rapid Refresh) +# network and its model runs "rap", "rap_p" and "rap_e" +# 2012-05-09 S. Bender/D. Keyser -- Removed all prior references to "NRL" +# aircraft QC script variables (never actually used) since the NRL +# aircraft QC nomenclature is being dropped in place of the existing +# PREPACQC nomenclature and will use its existing script variables; +# removed all references to the ACARSQC processing since it is no longer +# executed (ACARS QC is now performed within the revamped PREPACQC +# processing); removed script variables no longer used by the new version +# of the PREPACQC processing; added new script variables which are +# associated with the new program PREPOBS_PREPACPF which now runs as a +# second program within the PREPACQC processing (after program +# PREPOBS_PREPACQC) in the ush script prepobs_prepacqc.sh {PROCESS_ACQC +# (def=YES), PROCESS_ACPF (def=YES), DICTPREP (def=$HOMEALL/dictionaries), +# DICT (def=$DICTPREP/metar.tbl), APFX (def=$EXECPREP/prepobs_prepacpf), +# and the new second argument "$DATA/adpsfc" passed to +# prepobs_prepacqc.sh} +# 2013-03-05 D.A. Keyser -- Modified to properly run on WCOSS system: replaced +# all usage of "timex" with "time -p."; replaced script variables +# XLFUNIT_n with FORTn (where n is the unit number connected to the +# filename defined by the variable FORTn) - needed because ifort uses +# FORTn; script is now set to run under ksh shell as the default; added +# script variable "BACK" which, when YES, threads the mp_prepdata herefile +# into background shells that run simultaneously (an alternative option +# to poe which is not ready on WCOSS); touches all dump files not included +# in BUFRLIST so that they will not cause a read error if PREPOBS_PREPDATA +# tries to read them +# 2014-01-15 S. Melchior -- Placed into new OBSPROC_PREP vertical directory +# structure/environmental equivalence paradigm. As a result: imports new +# environment variable $HOMEobsproc_prep which points to directory path for +# generic prep subdirectories under version control (in production this is +# normally /nwprod/obsproc_prep.vX.Y.Z where X.Y.Z is version number being +# used, usually the latest); and imports new environment variable +# $HOMEobsproc_network which points to directory path for network-specific +# prep subdirectories under version control (in production this is normally +# /nwprod//obsproc_NETWORK.vX.Y.Z where NETWORK is, e.g., global, namp, rap, +# rtma, urma, and X.Y.Z is version number being used, usually the latest) - +# these replace /nw${envir} in order to point to files moved from +# horizontal to vertical directory structure. +# 2014-02-25 D.A. Keyser -- Removed all references to RUC. Removed option +# to run on MACHINE=sgi - this is obsolete (as a result variables $MACHINE +# and $HOMEALL are no longer used in this script). Replaced variable +# $EXECUTIL with $utilexec for directory path to utility program ndate +# (both were exported from job scripts with same value, $EXECUTIL has now +# been removed from all job scripts). Removed all references to "cdc" +# network (this is obsolete). +# 2014-07-23 D.A. Keyser -- Imported script environment variable DICTPREP now +# defaults to new vertical structure directory path location for metar.tbl +# dictionary, /nw${envir}/decoders/decod_shared/dictionaries, rather than +# old horizontal structure location, /nw${envir}/dictionaries (the latter +# will be removed in September 2014). +# 2016-02-05 JWhiting -- Use NCO-established variables to point to root +# directories for main software components and input/output directories in +# order to run on WCOSS Phase 1 or Phase 2 (here, $COMROOT which replaces +# hardwire to "/com", $NWROOT which replaces hardwire to "/nwprod" in +# comments only). Use NCO-established variables (presumably obtained from +# modules) to point to prod utilities [here, $NDATE from module prod_util +# (default or specified version, loaded in each network which executes this +# script) which replaces executable ndate in non-versioned, horizontal +# structure utility directory path defined by imported variable $utilexec]. +# 2016-04-29 D.A. Keyser -- Updated logic such that when tropical cyclone +# relocation has not run, a first guess is required, the network is gfs or +# gdas, but the cycle time is not 00, 06, 12 or 18z, no attempt will be +# made to obtain a guess 3-hrs before and after cycle time (since it can +# fail). Instead this is treated the same as any 3- or 1-hrly cycle run +# (like rap, e.g.) meaning two guess files will be obtained at the +# spanning 3 hour interval around any cycle time not a multiple of 3 hrs. +# BENEFIT: Allows future hourly WAM model to run properly. +# 2016-07-12 D.C. Stokes -- Reinstated poe option to run multiple instances +# of the PREPDATA processing script in parallel. New variable $launcher +# defines the parallel scripting launch mechanism (description below). +# Added logic to create scaled down versions of err_chk and err_exit +# scripts if they don't exist in the working directory and eliminated +# similar blocks of logic that had been repeated throughout the script. +# Updated USHGETGES default to pick up more recent versions of getges.sh. +# 2017-02-07 D.C. Stokes -- Updated to run on Cray-XC40 as well as iDataPlex. +# If on Cray-XC40, default parallel scripting launching mechanism is cfp +# inovked by aprun. Variable name used for launching mechanism changed from +# "launcher" to "launcher_PREP". Variable COMDATEROOT is now the primary +# default for the root of the directory containing NCEP date files. The +# variable NWROOTp1 is now the default root for directory DICTPREP. Logic +# used to determine if $COMSP points to production "com" directory was +# updated to recognize full path name (as needed on luna/surge). +# 2017-03-19 D.C. Stokes/D.A. Keyser -- Updated to input nemsio atmopheric +# guess files -or- the older sigio atmospheric files. The nemsio option +# is triggered by flag NEMSIO_IN=.true. For nemsio runs, a single guess +# file valid at the prepbufr center time is picked up, even for runs with +# center time that is not a multiple of 3. Also the dbn_alert subtype is +# now dependent upon $RUN (for transition from "gdas1" to "gdas"). +# 2017-04-20 D.C. Stokes -- Relocated assignments of variable stype to ensure +# it always passes the proper value to the getges utility script. +# +# +# Usage: prepobs_makeprepbufr.sh yyyymmddhh +# +# Input script positional parameters: +# 1 String indicating the center date/time for the PREPBUFR +# processing - if missing, then this time +# is obtained from the ${COMDATEROOT}/date/$cycle file +# +# Imported Shell Variables: +# +# These must ALWAYS be exported to this script by the parent script -- +# +# COMROOT Root to input/output "com" directory (in production, +# normally "/com", "/com2", or "/gpfs/hps/nco/ops/com") +# NSPLIT Number of parts into which the PREPDATA processing shell +# script (herefile MP_PREPDATA) will be split in order to +# run in parallel for computational efficiency (either using +# multiple tasks when POE is not "NO" or in background threads +# when BACK is "YES") +# NOTE : This is required ONLY if the imported shell variable +# POE is not "NO" (see below) or the imported shell +# variable BACK is "YES" (see below) (i.e., a parallel +# environment), and the imported shell variable +# PREPDATA=YES (see below) +# NET String indicating system network {either "gfs", "gdas", +# "cdas", "nam", "rap", "rtma" or "urma"} +# NOTE : NET is changed to gdas in the parent Job script for +# RUN=gdas or RUN=gdas1 (was gfs) +# RUN String indicating model run {either "gfs", "gdas", "gdas1", +# "cdas", "nam", "ndas", "rap", "rap_p", "rap_e", +# "rtma", or "urma"} +# cycle String indicating the center cycle hour for PREPBUFR +# processing {"txxz", where xx is two-digit hour of the day +# (UTC)} +# NOTE : This is required ONLY if input script positional +# parameter 1 is missing (see above) +# DATA String indicating the working directory path (usually a +# temporary location) +# COMSP String indicating the directory/filename path to input BUFR +# observational data dumps, tropical cyclone location +# (tcvitals) files, global atmos guess files, and status +# files (e.g., "$COMROOT/gfs/prod/gfs.20060612/gfs.t12z.") +# DBNROOT String indicating directory path to bin/dbn_alert file +# location +# NOTE : This is required ONLY if the imported shell variable +# SENDDBN is "YES" (see below) +# job - String indicating job name (e.g., 'gdas_prep_12') +# NOTE : This is required ONLY if the imported shell variable +# SENDDBN is "YES" (see below) +# $HOMEobsproc_prep - string indicating directory path to generic prep +# subdirectories under version control +# (in production this is normally +# ${NWROOT}/obsproc_prep.vX.Y.Z where X.Y.Z is +# version number being used, usually the latest) +# $HOMEobsproc_network - string indicating directory path to network- +# specific prep subdirectories under version control +# (in production this is normally +# ${NWROOT}/obsproc_NETWORK.vX.Y.Z where NETWORK is, +# e.g., global, nam, rap, rtma, urma, and X.Y.Z is +# version number being used, usually the latest) +# +# These will be set to their default value in this script if not exported +# to this script by the parent script -- +# +# SITE Site name (may have been set by local shell startup script) +# Default is "" +# sys_tp System type and phase. If not imported, an attempt is made +# to set it using getsystem.pl (an NCO prod_util script). +# A failed attempt results in an empty string. +# NEMSIO_IN Flag that if ".true." indicates that nemsio atmospheric +# background fields will be input rather than sigio. +# Default is "" +# SENDDBN String indicating whether or not to alert an output file to +# the NWS/TOC (= "YES" - invoke alert; anything else - do not +# invoke alert) +# Default is "NO" +# NPROCS Number of "poe" tasks to use for mpmd (must be .GE. $NSPLIT) +# NOTE : This is applicable ONLY if the imported shell +# variable POE is not "NO" (see below) and variable +# launcher_PREP is not "cfp" or "aprun" (see below) and +# the imported shell variable PREPDATA=YES (see below) +# For LSF jobs, the count of hosts listed in string $LSB_HOSTS +# will be used to set NPROCS (overriding any imported value). +# Default is "$NSPLIT" +# envir String indicating environment under which job runs ('prod' +# or 'test') +# Default is "prod" +# envir_getges String indicating environment under which GETGES utility +# ush runs (see getges.sh docblock for more information) +# Default is "$envir" +# network_getges +# String indicating job network under which GETGES utility +# ush runs (see getges.sh docblock for more information) +# Default is "global" unless the center PREPBUFR processing +# date/time is not a multiple of 3-hrs and the global guess is +# sigio-based, then the default is "gfs" +# pgmout String indicating file containing standard output (output +# always contatenated onto this file) +# Default is "/dev/null" +# tstsp String indicating the directory/filename path to one or +# more BUFR observational data dumps and/or tropical cyclone +# location (tcvitals) files and/or global atmos guess files +# and/or status files that are to override the corresponding +# file in $COMSP (this should be imported with the same +# naming convention as $COMSP; e.g., +# "/gpfstmp/wx22dk/test_dump/ndas.20060612/ndas.t12z." - +# (if tstsp is not imported, the default is used and no +# overriding file would exist; if tstsp is imported then any +# file found would override the correspoding file in $COMSP) +# Default is "/tmp/null/" +# tmmark - string indicating hour for center PREPBUFR processing date/ +# time relative to the analysis time embedded in $tstsp or +# $COMSP (e.g., "tm12", "tm09", "tm06", "tm03", "tm00") +# Default is "tm00" +# BUFRLIST String indicating list of BUFR data dump file names to +# process +# Default is "adpupa proflr aircar aircft satwnd adpsfc \ +# sfcshp sfcbog vadwnd goesnd spssmi erscat qkswnd msonet \ +# gpsipw rassda wdsatr ascatw" +# POE String indicating whether or not to use a poe-like launcher +# to spread instances of the PREPBUFR processing herefile +# MP_PREPDATA over multiple pes in parallel. (= "NO" - +# do not invoke invoke "poe"; anything else - invoke "poe") +# Default is "YES" +# launcher_PREP Parallel scripting launch tool. Settings are in place for +# aprun, mpirun.lsf, and cfp but a different tool can be +# specified. +# NOTE : This is applicable ONLY if the imported shell +# variable POE is not "NO" and the imported shell +# variable PREPDATA=YES (see below) +# Default on Cray-XC40 is "aprun". Otherwise: "mpirun.lsf" +# BACK String indicating whether or not to run background shells +# (on the same task) for the PREPBUFR processing (= "YES" - +# run background shells; anything else - do not run +# background shells). IF BACK=YES on Cray-XC40, the shells +# are invoked by aprun. +# USHSYND String indicating directory path for SYNDATA ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHPREV String indicating directory path for PREVENTS ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHCQC String indicating directory path for CQCBUFR ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHPQC String indicating directory path for PROFCQC ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHVQC String indicating directory path for CQCVAD ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHAQC String indicating directory path for PREPACQC ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHOIQC String indicating directory path for OIQCBUFR ush file +# Default is "${HOMEobsproc_prep}/ush" +# EXECPREP String indicating directory path for PREPOBS executables +# Default is "${HOMEobsproc_prep}/exec" +# PARMPREP String indicating directory path for PREPOBS parm files +# Default is "${HOMEobsproc_network}/parm" +# FIXPREP String indicating directory path for PREPOBS fix-field +# files +# Default is "${HOMEobsproc_prep}/fix" +# DICTPREP String indicating directory path for PREPOBS dictionary +# files +# Default is "${NWROOTp1}/decoders/decod_shared/dictionaries" +# EXECSYND String indicating directory path for SYNTHETIC data +# executables +# Default is "${HOMEobsproc_prep}/exec" +# PARMSYND String indicating directory path for SYNTHETIC parm files +# Default is "${HOMEobsproc_network}/parm" +# FIXSYND String indicating directory path for SYNTHETIC data fix- +# field files +# Default is "${HOMEobsproc_prep}/fix" +# GETGUESS String: if = "YES" will encode first guess (background) +# values interpolated by the program PREPOBS_PREPDATA to +# observation locations in the PREPBUFR file for use by the +# q.c. programs. This guess is always from a global atmos +# guess file valid at the center PREPBUFR processing date/ +# time or from an interpolated guess obtained from global +# atmos guess files valid at times 3-hours apart which span +# the PREPBUFR processing date/time (the latter is performed +# by the program PREPOBS_PREPDATA and occurs when the guess +# files are sigio-based and the PREPBUFR date/time hour is not +# a multiple of 3, e.g. 02Z rap or tm04 nam catchup runs). The +# guess file (or files) may be obtained in one of two ways: +# 1) From pre-existing files in the working directory +# $DATA called sgesprep and sgesprepA (either copied +# there prior to the execution of this script, or +# copied there earlier in this script from either +# $tstsp, or if not found there, $COMSP which was +# populated by the previous running of tropical +# cyclone relocation processing +# NOTE 1: sgesprepA is needed only when the guess is +# sigio-based and the PREPBUFR processing +# date/time is not a multiple of 3-hrs. +# NOTE 2: if previous tropical cyclone relocation +# processing was run, then an sgesprepA file +# is NEVER generated, not a problem since +# previous tropical cyclone relocation +# processing is not run in rap, rap_p or +# rap_e runs +# 2) Via the execution of the GETGES utility ush to +# obtain sgesprep (if pre-existing file $DATA/sgesprep +# does not exist), and possibly via the execution of +# the GETGES utility ush to obtain sgesprepA (if +# PREPBUFR processing date/time is not a multiple of +# 3-hrs and the global guess is sigio-based, and the +# pre-existing file $DATA/sgesprepA does not exist) +# Default is "YES" +# NOTE: If GETGUESS=NO, then the program PREPOBS_PREPDATA +# will NOT call w3emc routine GBLEVENTS to perform +# "prevents" processing +# PREPDATA String: if = "YES" will perform PREPDATA processing +# (in either a parallel or serial environment depending upon +# the values for POE and BACK) +# Default is "YES" +# SYNDATA String: if = "YES" will attempt to perform synthetic bogus +# processing (generation of synthetic bogus winds to be +# appended to PREPBUFR file and, possibly, flagging of mass +# pressure data "near" storms; and, possibly, flagging of +# dropwinsonde wind data "near" storms) +# Default is "YES" +# DO_QC String: if = "YES" will perform quality control +# Default is "YES" +# PREVENTS String: if = "YES" will encode background and obs. errors +# into PREPBUFR file (usually this should be "NO" since the +# programs PREPOBS_PREPDATA and SYNDAT_SYNDATA normally are +# set to perform this function) +# NOTE: Only invoked if DO_QC=YES +# Default is "NO" +# CQCBUFR String: if = "YES" will complex quality control radiosonde +# data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# PROFCQC String: if = "YES" will quality control wind profiler data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# CQCVAD String: if = "YES" will quality control VAD wind data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# PREPACQC String: if = "YES" will quality control aircraft data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# PROCESS_ACQC String: if = "YES" will execute PREPOBS_PREPACQC program as +# part of PREPACQC processing +# NOTE: Only invoked if PREPACQC=YES +# Default is "YES" +# PROCESS_ACPF String: if = "YES" will execute PREPOBS_PREPACPF program as +# part of PREPACQC processing +# NOTE: Only invoked if PREPACQC=YES +# Default is "YES" +# OIQCBUFR String: if = "YES" will perform final oi-based quality +# control on all data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# MPCOPYX String indicating executable path for PREPOBS_MPCOPYBUFR +# program +# Default is "$EXECPREP/prepobs_mpcopybufr" +# PRPX String indicating executable path for PREPOBS_PREPDATA +# program +# Default is "$EXECPREP/prepobs_prepdata" +# errPREPDATA_limit +# String indicating the highest allowed foreground exit +# status for program PREPOBS_PREPDATA (any exit status higher +# than this is considered a failure) +# (Note: errPREPDATA_limit=1 is ALWAYS considered a FAILURE) +# Default is "0" +# PRPC String indicating data card path for PREPOBS_PREPDATA +# program +# Default is "$PARMPREP/prepobs_prepdata.${NET}.parm" +# PRPT String indicating bufrtable file path for PREPOBS_PREPDATA +# program +# Default is "$FIXPREP/prepobs_prep.bufrtable" +# LANDC String indicating land/sea mask file path for +# PREPOBS_PREPDATA program +# Default is "$FIXPREP/prepobs_landc" +# PRVT String indicating observational error table file path for +# PREPOBS_PREPDATA, SYNDAT_SYNDATA and PREPOBS_PREVENTS +# programs (used by GBLEVENTS subroutine) +# NOTE: Only read by gdas, gfs, cdas and nam networks +# If imported "NET=gdas" or "NET=gfs", default is +# "$HOMEobproc_network/fix/prepobs_errtable.global"; +# if imported "NET=cdas", default is +# "$HOMEobsproc_network/fix/prepobs_errtable.cdas"; +# if imported "NET=nam", default is +# "$HOMEobsproc_network/fix/prepobs_errtable.nam" +# otherwise, default is "$DATA/scratch.PRVT" a null file +# LISTHDX String indicating executable path for PREPOBS_LISTHEADERS +# program +# Default is "$EXECPREP/prepobs_listheaders" +# MONOBFRX String indicating executable path for PREPOBS_MONOPREPBUFR +# program +# Default is "$EXECPREP/prepobs_monoprepbufr" +# SYNDX String indicating executable path for SYNDAT_SYNDATA +# program +# Default is "$EXECSYND/syndat_syndata" +# SYNDC String indicating data card path for SYNDAT_SYNDATA program +# Default is "$PARMSYND/syndat_syndata.${NET}.parm" +# PREX String indicating executable path for PREPOBS_PREVENTS +# program +# Default is "$EXECPREP/prepobs_prevents" +# PREC String indicating data card path for PREPOBS_PREVENTS +# program +# Default is "$PARMPREP/prepobs_prevents.${NET}.parm" +# AQCX String indicating executable path for PREPOBS_PREPACQC +# program +# Default is "$EXECPREP/prepobs_prepacqc" +# AQCC String indicating data card path for PREPOBS_PREPACQC +# program +# Default is "$PARMPREP/prepobs_prepacqc.${NET}.parm" +# APFX String indicating executable path for PREPOBS_PREPACPF +# program +# Default is "$EXECPREP/prepobs_prepacpf" +# DICT String indicating METAR station dictionary path for +# PREPOBS_PREPACPF program +# Default is "$DICTPREP/metar.tbl" +# PQCX String indicating executable path for PREPOBS_PROFCQC +# program +# Default is "$EXECPREP/prepobs_profcqc" +# PQCC String indicating data card path for PREPOBS_PROFCQC +# program +# Default is "$PARMPREP/prepobs_profcqc.${NET}.parm" +# VQCX String indicating executable path for PREPOBS_CQCVAD +# program +# Default is "$EXECPREP/prepobs_cqcvad" +# CQCX String indicating executable path for PREPOBS_CQCBUFR +# program +# Default is "$EXECPREP/prepobs_cqcbufr" +# CQCC String indicating data card path for PREPOBS_CQCBUFR +# program +# Default is "$PARMPREP/prepobs_cqcbufr.${NET}.parm" +# CQCS String indicating statbge path for PREPOBS_CQCBUFR program +# Default is "$FIXPREP/prepobs_cqc_statbge" +# OIQCX String indicating executable path for PREPOBS_OIQCBUFR +# program +# Default is "$EXECPREP/prepobs_oiqcbufr" +# OIQCT String indicating observational error table file path for +# PREPOBS_OIQCBUFR program +# NOTE: If imported "NET=cdas", default is +# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas"; +# otherwise default is +# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs" +# +# These do not have to be exported to this script. If they are, they will +# be used by the script. If they are not, they will be skipped +# over by the script. +# +# PREPBUFR_APP String indicating path to output PREPBUFR file for +# PREPOBS_PREPDATA program. +# If present and POE is "NO" and BACK is not "YES" (i.e., a +# serial environment), PREPOBS_PREPDATA will append all +# output BUFR messages to a copy of this file (prepda) in +# the current working directory, using the internal BUFR +# mnemonic table in the first several BUFR messages at the +# top of the file +# NOTE 1: In this case, it is assumed the the switch APPEND +# is set to TRUE in the parm cards $PRPC (careful, +# if APPEND is FALSE, the original copy of +# $PREPBUFR_APP will be wiped out and the case below +# will occur) +# NOTE 2: When POE is not "NO" or BACK is "YES" (i.e., a +# parallel environment), appending makes no sense +# because the original output PREPBUFR file is +# monolithic +# If not present or POE is not "NO" or BACK is "YES" (i.e., a +# parallel environment), PREPOBS_PREPDATA will write all +# output BUFR messages to a new file (prepda) in the current +# working directory using the external BUFR mnemonic table +# in the file $PRPT +# NOTE 3: In this case, it is assumed the the switch APPEND +# is set to FALSE in the parm cards $PRPC (careful, +# if APPEND is TRUE, PREPOBS_PREPDATA will abort +# because the original empty PREPBUFR file has no +# internal BUFR mnemonic table) +# PREPBUFR_IN String indicating path to input PREPBUFR file +# If present, this file will be used by SYNDAT_SYNDATA (if +# SYNDATA=YES - see @ below) and by all applicable Q.C. +# programs (set to to be invoked here) rather than the +# PREPBUFR file generated in this script by PREPOBS_PREPDATA +# (normally this would be used when PREPDATA=NO) +# @ - if the PREPBUFR_IN target file is obtained from +# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, +# then for all runs on and after 12Z 25 Jan 2005, +# SYNDATA should be NO because the target files +# will already contain synthetic bogus data; +# if the PREPBUFR_IN target file is obtained from +# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, +# then for all runs prior to 12Z 25 Jan 2005, +# SYNDATA should be YES because the target files +# will not have contain synthetic bogus data. +# If not present, then the PREPBUFR file generated in this +# script by PREPOBS_PREPDATA and possibly appended to by +# SYNDAT_SYNDATA is passed on as input to all applicable +# Q.C. programs +# jlogfile String indicating path to joblog file +# +# These do not have be exported to this script. +# +# COMDATEROOT Primary default for the root of the directory containing +# produciton date files. +# +# NWROOTp1 Root directory for production software on WCOSS Phase 1. +# +# USHGETGES String indicating directory path for GETGES utility script. +# Default is $HOMEobsproc_prep/ush. +# +# GETGESprep GETGES utility script. If NEMSIO_IN=.true., defaults to: +# $USHGETGES/getges.sh +# otherwise, defaults to: +# $USHGETGES/getges_sig.sh +# +# PREPDATAtpn Tasks per node when invoking cfp on Cray-XC40. Will be +# computed if needed but was not imported. +# +# These do not have to be exported to this script. If they are, they will +# be passed on to the script $USHCQC/prepobs_cqcbufr.sh. They are not used +# by this script. +# +# PRPI_m24 See documentation in $USHCQC/prepobs_cqcbufr.sh +# PRPI_m12 See documentation in $USHCQC/prepobs_cqcbufr.sh +# PRPI_p12 See documentation in $USHCQC/prepobs_cqcbufr.sh +# PRPI_p24 See documentation in $USHCQC/prepobs_cqcbufr.sh +# +# Exported Shell Variables: +# CDATE10 String indicating the center date/time for the PREPBUFR +# processing +# SGES Either ... +# 1) String indicating the full path name for global +# sigio-based or nemsio-based guess file valid at the +# center PREPBUFR processing date/time (in which case the +# center PREPBUFR processing date/time is a multiple of +# 3-hrs, or for any PREPBUFR center hour if global guess +# is nemsio-based) - This guess file will be encoded +# into the PREPBUFR file for use by the q.c. programs. +# -- or -- +# 2) String indicating the full path name for the global +# atmosperic guess file valid at the nearest cycle time +# prior to the center PREPBUFR processing date/time which +# is a multiple of 3 (in which case the center PREPBUFR +# processing date/time is not a multiple of 3-hrs and the +# global guess is sigio-based) - A linear interpolation +# (of the spectal coefficients) between this file and the +# guess file indicated by SGESA case 2 below will be +# performed by program PREPOBS_PREPDATA and encoded into +# the PREPBUFR file for use by the q.c. programs. The +# SGES file is always from the GFS in this case. +# NOTE 1: Only case 1 above is valid when tropical cyclone +# relocation processing previously occurred. +# NOTE 2: Case 2 above is necessary because the w3emc lib +# routine gblevents called by PREPOBS_PREPDATA +# expects that sigio-based guess files will only +# have valid hours which are a multiple of 3 +# NOTE 3: Only case 1 above is valid when global guess is +# nemsio-based. +# SGESA Either ... +# 1) String set to "/dev/null" for case 1 of SGES above +# (default) +# -- or -- +# 2) String indicating the full path name for the global +# sigma guess file valid at the nearest cycle time after +# the center PREPBUFR processing/date time which is a +# multiple of 3 for case 2 of SGES above - A linear +# interpolation (of the spectal coefficients) between +# this guess file and the guess file indicated by SGES +# above (see case 2 for SGES) will be performed by the +# program PREPOBS_PREPDATA and encoded into the PREPBUFR +# file for use by the q.c. programs. The SGESA file is +# always from the GFS in this case and its forecast hour +# is 3-hrs later than the SGES file (thus both initiate +# at the same time). +# NOTE 1: Only case 1 above is valid when tropical cyclone +# relocation processing previously occurred. +# NOTE 2: Case 2 above is necessary because the w3emc lib +# routine gblevents called by PREPOBS_PREPDATA +# expects that sigio-based guess files will only +# have valid hours which are a multiple of 3 +# NOTE 3: Only case 1 above is valid when global guess is +# nemsio-based. +# +# +# Modules and files referenced: +# herefiles : $DATA/MP_PREPDATA +# $DATA/MERGE_MSGS +# scripts : $USHGETGES/getges.sh +# $USHGETGES/getges_sig.sh +# $USHSYND/prepobs_syndata.sh +# $USHPREV/prepobs_prevents.sh +# $USHCQC/prepobs_cqcbufr.sh +# $USHPQC/prepobs_profcqc.sh +# $USHVQC/prepobs_cqcvad.sh +# $USHAQC/prepobs_prepacqc.sh +# $USHOIQC/prepobs_oiqcbufr.sh +# $DATA/postmsg (required ONLY if "$jlogfile" is present) +# $DATA/prep_step {here and by referenced script(s)} +# $DATA/err_exit +# $DATA/err_chk {here and by referenced script(s)} +# (NOTE: The last three scripts above are NOT REQUIRED +# utilities. If $DATA/prep_step not found, a scaled down +# version of it is executed in-line. If $DATA/err_exit +# or $DATA/err_chk are not found, scaled down versions, +# created in-line, are executed. +# executables: $NDATE (from prod_util module) +# programs : +# PREPOBS_MPCOPYBUFR - executable: $MPCOPYX +# PREPOBS_PREPDATA - executable: $PRPX +# land/sea mask: $LANDC +# bufr mnemonic user table: $PRPT +# obs. error table: $PRVT +# data cards: $PRPC +# PREPOBS_LISTHEADERS - executable: $LISTHDX +# PREPOBS_MONOPREPBUFR - executable: $MONOBFRX +# SYNDAT_SYNDATA - executable: $SYNDX +# T126 gaussian land/sea mask: +# $FIXSYND/syndat_syndata.slmask.t126.gaussian +# weights: $FIXSYND/syndat_weight +# obs. error table: $PRVT +# data cards: $SYNDC +# PREPOBS_PREVENTS - executable: $PREX +# obs. error table: $PRVT +# data cards: $PREC +# PREPOBS_PREPACQC - executable: $AQCX +# data cards: $AQCC +# PREPOBS_PREPACPF - executable: $APFX +# dictionary: $DICT +# PREPOBS_PROFCQC - executable: $PQCX +# data cards: $PQCC +# PREPOBS_CQCVAD - executable: $VQCX +# PREPOBS_CQCBUFR - executable: $CQCX +# data cards: $CQCC +# PREPOBS_OIQCBUFR - executable: $OIQCX +# obs. error table: $OIQCT +# +# Remarks: +# +# Condition codes +# 0 - no problem encountered +# >0 - some problem encountered +# +# Attributes: +# Language: Korn shell under linux +# Machine: NCEP WCOSS +# +#### + +set -aux + +NEMSIO_IN=${NEMSIO_IN:=""} +jlogfile=${jlogfile:=""} +SENDDBN=${SENDDBN:-NO} + +if [ ! -d $DATA ] ; then mkdir -p $DATA ;fi + +cd $DATA + +qid=$$ + +##################################################### +##################################################### +# create error check and exit utilities if necessary. +# (as may be the case for some developer runs) +##################################################### + +if [ ! -x $DATA/err_exit ]; then +cat <<\EOFerrexit > $DATA/err_exit + set -x + if [ -n "$LSB_JOBID" ]; then + bkill $LSB_JOBID + sleep 60 + date + else + set -e + kill -n 9 $qid + fi + exit 7 # for extra measure +EOFerrexit +chmod 775 $DATA/err_exit +fi + +if [ ! -x $DATA/err_chk ]; then +cat <<\EOFerrchk > $DATA/err_chk + set -x + if [ "$err" != '0' ]; then + $DATA/err_exit + fi +EOFerrchk +chmod 775 $DATA/err_chk +fi + +##################################################### +##################################################### + + +# determine local system name and type if available +# ------------------------------------------------- +SITE=${SITE:-""} +sys_tp=${sys_tp:-$(getsystem.pl -tp)} +getsystp_err=$? +if [ $getsystp_err -ne 0 ]; then + msg="***WARNING: error using getsystem.pl to determine system type and phase" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" +fi +echo sys_tp is set to: $sys_tp + +#------------------------------------------------------------------------------- + +# obtain the center date/time for PREPBUFR processing +# --------------------------------------------------- + +if [ $# -ne 1 ] ; then + cp ${COMDATEROOT:-$COMROOT}/date/$cycle ncepdate + err0=$? + CDATE10=`cut -c7-16 ncepdate` +else + CDATE10=$1 + if [ "${#CDATE10}" -ne '10' ]; then + err0=1 + else + cycle=t`echo $CDATE10|cut -c9-10`z + err0=0 + fi +fi + +if test $err0 -ne 0 +then +# problem with obtaining date record so exit + set +x + echo + echo "problem with obtaining date record;" + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure +fi + +cyc=`echo $CDATE10|cut -c9-10` +modhr=`expr $cyc % 3` + +set +x +echo +echo "CENTER DATE/TIME FOR PREPBUFR PROCESSING IS $CDATE10" +echo +set -x + +#---------------------------------------------------------------------------- + +# Create variables needed for this script and its children +# -------------------------------------------------------- + +envir=${envir:-prod} + +envir_getges=${envir_getges:-$envir} +if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then + network_getges=${network_getges:-global} +else + network_getges=${network_getges:-gfs} +fi + +pgmout=${pgmout:-/dev/null} + +tstsp=${tstsp:-/tmp/null/} +tmmark=${tmmark:-tm00} + +BUFRLIST=${BUFRLIST:-"adpupa proflr aircar aircft satwnd adpsfc sfcshp \ + sfcbog vadwnd goesnd spssmi erscat qkswnd msonet gpsipw rassda wdsatr \ + ascatw"} + +PREPDATA=${PREPDATA:-YES} + +if [ "$PREPDATA" != 'YES' ] ; then + POE=NO + BACK=NO +else + set +u + [ -z "$POE" -a "$BACK" = 'YES' ] && POE=NO + POE=${POE:-YES} + if [ "$POE" != 'NO' -a "$BACK" = 'YES' ]; then + set -u + set +x +echo +echo "YOU have set both POE and BACK to YES - choose one or the other!!" +echo "Defaults are POE=YES and BACK=NO, as is preferable for WCOSS." +echo + set -x + exit 99 + fi + BACK=${BACK:-NO} + PARALLEL=NO + [ "$POE" != 'NO' -o "$BACK" = 'YES' ] && PARALLEL=YES + if [ "$POE" != 'NO' ] ; then + if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + launcher_PREP=${launcher_PREP:-aprun} + else + launcher_PREP=${launcher_PREP:-mpirun.lsf} + fi + if [ "$launcher_PREP" != 'cfp' -a "$launcher_PREP" != aprun ]; then + if [ -n ${LSB_HOSTS:-""} ]; then + NPROCS=$(echo $LSB_HOSTS|wc -w) + set +x; echo "Setting NPROCS based on LSB_HOSTS count"; set -x + else + NPROCS=${NPROCS:-$NSPLIT} + fi + if [ $NPROCS -lt $NSPLIT ]; then + set +x +echo "********************************************************************" +echo " P R O B L E M ! ! ! " +echo "********************************************************************" +echo " NPROCS=$NPROCS IS NOT SUFFICIENT FOR NSPLIT=$NSPLIT " +echo " NPROCS must be greater than NSPLIT when using a " +echo " parallel processing launcher other than cfp " +echo "********************************************************************" + set -x + msg="***FATAL ERROR: Insufficient NPROCS for NSPLIT=$NSPLIT" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + $DATA/err_exit + exit 55 # for extra measure + fi + fi + elif [ "$BACK" = 'YES' ] ; then + NPROCS=$NSPLIT + fi +# fi for PREPDATA != YES +fi + +USHSYND=${USHSYND:-${HOMEobsproc_prep}/ush} +USHPREV=${USHPREV:-${HOMEobsproc_prep}/ush} +USHCQC=${USHCQC:-${HOMEobsproc_prep}/ush} +USHPQC=${USHPQC:-${HOMEobsproc_prep}/ush} +USHVQC=${USHVQC:-${HOMEobsproc_prep}/ush} +USHAQC=${USHAQC:-${HOMEobsproc_prep}/ush} +USHOIQC=${USHOIQC:-${HOMEobsproc_prep}/ush} + +EXECPREP=${EXECPREP:-${HOMEobsproc_prep}/exec} +PARMPREP=${PARMPREP:-${HOMEobsproc_network}/parm} +FIXPREP=${FIXPREP:-${HOMEobsproc_prep}/fix} +DICTPREP=${DICTPREP:-${NWROOTp1}/decoders/decod_shared/dictionaries} + +EXECSYND=${EXECSYND:-${HOMEobsproc_prep}/exec} +PARMSYND=${PARMSYND:-${HOMEobsproc_network}/parm} +FIXSYND=${FIXSYND:-${HOMEobsproc_prep}/fix} + +GETGUESS=${GETGUESS:-YES} +if [ "$GETGUESS" = 'YES' ]; then + USHGETGES=${USHGETGES:-${HOMEobsproc_prep}/ush} + if [ "$NEMSIO_IN" = .true. ]; then + GETGESprep=${GETGESprep:-$USHGETGES/getges.sh} + else + GETGESprep=${GETGESprep:-$USHGETGES/getges_sig.sh} + fi +fi + +PREPDATA=${PREPDATA:-YES} + +SYNDATA=${SYNDATA:-YES} + +DO_QC=${DO_QC:-YES} + +PREVENTS=${PREVENTS:-NO} +CQCBUFR=${CQCBUFR:-YES} +PROFCQC=${PROFCQC:-YES} +CQCVAD=${CQCVAD:-YES} +PREPACQC=${PREPACQC:-YES} +PROCESS_ACQC=${PROCESS_ACQC:-YES} +PROCESS_ACPF=${PROCESS_ACPF:-YES} +OIQCBUFR=${OIQCBUFR:-YES} + +MPCOPYX=${MPCOPYX:-$EXECPREP/prepobs_mpcopybufr} +PRPX=${PRPX:-$EXECPREP/prepobs_prepdata} +errPREPDATA_limit=${errPREPDATA_limit:-0} +PRPC=${PRPC:-$PARMPREP/prepobs_prepdata.${NET}.parm} +PRPT=${PRPT:-$FIXPREP/prepobs_prep.bufrtable} +cp $PRPT prep.bufrtable +LANDC=${LANDC:-$FIXPREP/prepobs_landc} +if [ "$NET" = 'gdas' -o "$NET" = 'gfs' ]; then + PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.global} +elif [ "$NET" = 'cdas' ]; then + PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.cdas} +elif [ "$NET" = 'nam' ]; then + PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.nam} +else + cp /dev/null $DATA/scratch.PRVT + PRVT=${PRVT:-$DATA/scratch.PRVT} +fi +LISTHDX=${LISTHDX:-$EXECPREP/prepobs_listheaders} +MONOBFRX=${MONOBFRX:-$EXECPREP/prepobs_monoprepbufr} +SYNDX=${SYNDX:-$EXECSYND/syndat_syndata} +SYNDC=${SYNDC:-$PARMSYND/syndat_syndata.${NET}.parm} +PREX=${PREX:-$EXECPREP/prepobs_prevents} +PREC=${PREC:-$PARMPREP/prepobs_prevents.${NET}.parm} +AQCX=${AQCX:-$EXECPREP/prepobs_prepacqc} +AQCC=${AQCC:-$PARMPREP/prepobs_prepacqc.${NET}.parm} +APFX=${APFX:-$EXECPREP/prepobs_prepacpf} +DICT=${DICT:-$DICTPREP/metar.tbl} +PQCX=${PQCX:-$EXECPREP/prepobs_profcqc} +PQCC=${PQCC:-$PARMPREP/prepobs_profcqc.${NET}.parm} +VQCX=${VQCX:-$EXECPREP/prepobs_cqcvad} +CQCX=${CQCX:-$EXECPREP/prepobs_cqcbufr} +CQCC=${CQCC:-$PARMPREP/prepobs_cqcbufr.${NET}.parm} +CQCS=${CQCS:-$FIXPREP/prepobs_cqc_statbge} +OIQCX=${OIQCX:-$EXECPREP/prepobs_oiqcbufr} +if [ "$NET" = 'cdas' ]; then + OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas} +else + OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs} +fi +TIMEIT=${TIMEIT:-""} +[ -s $DATA/time ] && TIMEIT="$DATA/time -p" + + +# See if tropical cyclone relocation previously ran for this network and cycle +# by checking for status file in first in $tstsp, and if not found there, +# then in $COMSP +# ---------------------------------------------------------------------------- + +relo_rec=no # this will remain no even if relocation run, in the event it did + # not process an tropical cyclone records +if [ -s ${tstsp}tropcy_relocation_status.$tmmark ]; then + RELOCATION_HAS_RUN=YES + msg="Tropical cyclone RELOCATION RAN prior to this job - \ +`cat ${tstsp}tropcy_relocation_status.$tmmark`" + [ "`cat ${tstsp}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ + && relo_rec=yes +elif [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then + RELOCATION_HAS_RUN=YES + msg="Tropical cyclone RELOCATION RAN prior to this job - \ +`cat ${COMSP}tropcy_relocation_status.$tmmark`" + [ "`cat ${COMSP}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ + && relo_rec=yes +else + RELOCATION_HAS_RUN=NO + msg="Tropical cyclone RELOCATION did NOT run prior to this job" +fi +[ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + +if [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then + if [ "$SENDDBN" = "YES" ]; then + if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then + RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) + $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job \ + ${COMSP}tropcy_relocation_status.$tmmark + fi + fi +fi + +if [ "$RELOCATION_HAS_RUN" != 'YES' -a "$GETGUESS" != 'NO' ]; then + + if [ $cyc = 00 -o $cyc = 06 -o $cyc = 12 -o $cyc = 18 ]; then + +# The GFS and GDAS networks at 00, 06, 12 and 18z will get the t-3 and t+3 +# atmos guess files here since they are needed by the GSI even if tropical +# cyclone relocation was not previously performed (RELOCATION_HAS_RUN=NO) +# (NOTE 1: Normally RELOCATION_HAS_RUN=YES for these networks) +# (NOTE 2: If RELOCATION_HAS_RUN=YES, the t-3 and t+3 atmos guess files have +# already been obtained for all networks including the GFS and GDAS) +# (NOTE 3: This is not done if GETGUESS is NO) +# + + if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then + for fhr in -3 +3 ;do + if [ "$NEMSIO_IN" = .true. ]; then + if [ $fhr = "-3" ] ; then + sges=sgm3prep + stype=natgm3 + echo $sges + else + sges=sgp3prep + stype=natgp3 + echo $sges + fi + else + if [ $fhr = "-3" ] ; then + sges=sgm3prep + stype=siggm3 + echo $sges + else + sges=sgp3prep + stype=siggp3 + echo $sges + fi + fi + if [ ! -s $sges ]; then + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Tropical cylone relocation HAS NOT previously run" +echo " Get global atmospheric GUESS valid for $fhr hrs relative to center" +echo " PREPBUFR processing date/time" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + $GETGESprep -e $envir_getges -n $network_getges \ + -v $CDATE10 -t $stype $sges + errges=$? + if test $errges -ne 0; then +# problem obtaining global atmospheric first guess so exit + set +x + echo + echo "problem obtaining global atmos guess valid $fhr hrs \ +relative to center PREPBUFR date/time;" + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + set +x + echo +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + fi + done + fi + fi + +elif [ "$RELOCATION_HAS_RUN" = 'YES' ]; then + +# If Tropical cyclone relocation previously ran for this network and cycle +# copy the t-3, t+0 and t+3 atmos guess files and the tcvitals_relocate file +# from either $tstsp or, if not found there, $COMSP to working directory +# (Note: tcvitals_relocate file can be empty, but it must exist) +# -------------------------------------------------------------------------- + + qual_last=".$tmmark" # need this because gfs and gdas don't add $tmmark + # qualifier to end of output atmos guess files + [ $NET = gfs -o $NET = gdas ] && qual_last="" + for file in sgm3prep sgesprep sgp3prep tcvitals.relocate.$tmmark; do + case $file in + tcvitals.relocate.$tmmark) infile=$file; qual_last="";; # already has $tmmark at end + sgm3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgm3.nemsio;else infile=$file;fi;; + sgesprep) if [ "$NEMSIO_IN" = .true. ];then infile=atmges.nemsio;else infile=$file;fi;; + sgp3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgp3.nemsio;else infile=$file;fi;; + esac + if [ -s ${tstsp}${infile}${qual_last} ]; then + cp ${tstsp}${infile}${qual_last} $file + continue + elif [ -s ${COMSP}${infile}${qual_last} ]; then + cp ${COMSP}${infile}${qual_last} $file + continue + else + if [ $file = tcvitals.relocate.$tmmark ]; then + if [ -f ${tstsp}$file ]; then + > $file + continue + elif [ -f ${COMSP}$file ]; then + > $file + continue + fi + fi + fi +# either t-3,t+0 or t+3 atmos guess file or the tcvitals_relocate file not +# found in expected location so exit + set +x + echo + echo "$file file not found in expected location where it should have \ +populated by earlier tropical cyclone relocation processing" + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + done + cp tcvitals.relocate.$tmmark tcvitals + if [ $relo_rec = yes ]; then # come here if relocation ran and processed + # 1 or more records, means it updated + # sgesprep + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" +echo " Global atmospheric GUESS valid for 0 hrs relative to center" +echo " PREPBUFR processing date/time was generated by" +echo " previous tropical cyclone relocation processing" +echo " It will be encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + else # come here if relocation ran but did not + # process any records, means it did not update + # sgesprep (sgesprep obtained via getges used) + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" +echo " Global atmospheric GUESS valid for 0 hrs relative to center" +echo " PREPBUFR processing date/time was obtained via GETGES" +echo " It will be encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + fi + +fi + +############################################################################### +# POSSIBLY OBTAIN GBL ATMOS GUESS FILE(S) FOR LATER ENCODING INTO PREPBUFR FILE +############################################################################### + +if [ "$PREPDATA" = 'YES' -o "$SYNDATA" = 'YES' -o "$PREVENTS" = 'YES' ]; then + + SGES=/dev/null + SGESA=/dev/null + > sgesprep_pathname + > sgesprepA_pathname + + if [ "$GETGUESS" != 'NO' ]; then + +# Either ... +# If the global background guess will be nemsio-based -OR- if the global +# background guess will be sigio-based and the center PREPBUFR processing +# date/time is a multiple of 3-hrs, then get a global atmospheric guess valid +# at the center PREPBUFR processing date/time - this will be interpolated to +# observation locations by PREPDATA and encoded into the PREPBUFR file for +# use by the q.c. programs; if a non-zero length file sgesprep exists in the +# working directory, then this guess is used - otherwise: the GETGES utility +# is executed to obtain the global atmospheric guess file here +# +# (NOTE 1: a pre-existing sgesprep file in the working directory at this +# point was either: +# copied there prior to the execution of this script +# or +# copied there earlier in this script from either $tstsp, or if +# not found there, $COMSP which was populated by the previous +# running of tropical cyclone relocation processing +# (NOTE 2: If imported variable GETGUESS=NO, then bypass this step - a +# global atmos guess valid at center PREPBUFR time is not obtained) +# +# -- or -- +# +# (AND THIS APPLIES ONLY TO A GLOBAL SIGIO-BASED GUESS!!) +# +# If center PREPBUFR processing date/time is not a multiple of 3-hrs -AND- +# global guess is sigio-based, then get a global sigma guess valid at the +# nearest cycle time prior to the center PREPBUFR processing date/time which +# is a multiple of 3, then get a global sigma guess valid at the nearest +# cycle time after the center PREPBUFR processing date/time which is a +# multiple of 3 - the spectral coefficients will be linearly interpolated to +# the center PREPBUFR processing date/time by the program PREPOBS_PREPDATA +# and this guess will then be interpolated to observation locations (again by +# the program PREPOBS_PREPDATA) and encoded into the PREPBUFR file for use by +# the q.c. programs; if a non-zero length file sgesprep exists in the working +# directory, then this guess is used for time prior to the center PREPBUFR +# processing date/time - otherwise: the utility ush GETGES is executed to +# obtain the global atmos guess file here (will always be from GFS network); +# +# likewise if a non-zero length file sgesprepA exists in the working +# directory, then this guess is used for time after the center PREPBUFR +# processing date/time - otherwise: the utility ush GETGES is executed to +# obtain the global atmos guess file here (will always be from the GFS +# network and initiate at the same time as the guess file valid prior to the +# PREPBUFR processing date/time) +# +# (NOTE 1: a pre-existing sgesprep file in the working directory at this +# point was either: +# copied there prior to the execution of this script +# or +# copied there earlier in this script from either $tstsp, or if +# not found there, $COMSP which was populated by the previous +# running of tropical cyclone relocation processing +# (NOTE 2: a pre-existing sgesprepA file in the working directory at this +# point was copied there prior to the execution of this script - +# it could not have been copied from either $tstsp or $COMSP +# because previous tropical cyclone relocation processing can run +# only when the center tropical cyclone relocation (or PREPBUFR) +# processing date/time is a multiple of 3) +# (NOTE 3: this case is necessary because the gblevents subroutine used to +# add background forecast values to the prepbufr file expects sigio- +# based files to be valid only at hours that are a multiple of 3) +# (NOTE 4: if imported variable GETGUESS=NO, then bypass this step - a +# global atmos guess valid at center PREPBUFR time is not obtained) +# ---------------------------------------------------------------------- + + for sfx in "" A; do + if [ ! -s sgesprep${sfx} ]; then + fhr=any + if [ "$NEMSIO_IN" = .true. ]; then + dhr=0 + stype=natges + else + dhr=`expr 0 - $modhr` + stype=sigges + fi + if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then + [ "$sfx" = 'A' ] && break + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Either center PREPBUFR processing date/time is a multiple of 3-hrs" +echo " -OR-" +echo " global guess is nemsio-based" +echo " Use GETGES to get global sigio-based or nemsio-based GUESS valid for" +echo " 0 hrs relative to center PREPBUFR processing date/time" +echo " Will be encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + else + if [ "$sfx" = 'A' ]; then + typeset -Z2 fhr + fhr=`awk -F"sf" '{print$2}' sgesprep_pathname | cut -c1-2` + fhr=`expr $fhr + 03` + dhr=`expr 3 - $modhr` + fi + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Center PREPBUFR processing date/time is not a multiple of 3-hrs" + if [ "$sfx" != 'A' ]; then +echo " Get global atmos GUESS valid at the nearest cycle time prior to" + else +echo " Get global atmos GUESS valid at the nearest cycle time after" + fi +echo " center PREPBUFR processing date/time which is a multiple of 3" +echo " Will be used to generate an interpolated guess which will be" +echo " encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + fi + $GETGESprep -e $envir_getges -n $network_getges -t $stype\ + -f $fhr -v `${NDATE} $dhr $CDATE10` > sgesprep${sfx}_pathname + errges=$? + if test $errges -ne 0 + then +# problem obtaining global sigio-based or nemsio-based guess - exit if center +# PREPBUFR processing date/time is a multiple of 3-hrs or if global guess is +# nemsio-based, otherwise continue running but set GETGUESS=NO meaning a +# first guess will NOT be encoded in PREPBUFR file + if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then + if [ "$NEMSIO_IN" = .true. ]; then + set +x + echo +echo "problem obtaining global nemsio-based guess;" + else + set +x + echo +echo "problem obtaining global sigio-based guess valid 0 hrs relative to \ +center PREPBUFR date/time;" + fi +echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + else + set +x + echo +echo "problem obtaining global atmos guess valid at the nearest cycle time " + if [ "$sfx" != 'A' ]; then +echo "prior to center PREPBUFR processing date/time which is a multiple of 3" + else +echo "after center PREPBUFR processing date/time which is a multiple of 3" + fi +echo "will continue running but a GUESS will NOT be encoded in PREPBUFR file!!" + echo + set -x + msg="PROBLEM OBTAINING ONE OR BOTH SPANNING ATMOS GUESS \ +FILES, GUESS NOT ENCODED IN PREPBUFR FILE --> non-fatal" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + GETGUESS=NO + SGES=/dev/null + SGESA=/dev/null + > sgesprep + > sgesprepA + > sgesprep_pathname + > sgesprepA_pathname + break + fi + fi + cp `cat sgesprep${sfx}_pathname | awk '{ print $1 }'` sgesprep${sfx} + set +x + echo +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + else + if [ $RELOCATION_HAS_RUN = YES ]; then + +# If relocation ran, then ${sfx} is always "" (null) because relocation will +# only run on center times that are a multiple of 3-hrs - come here to +# store the pathname for the sgesprep file in sgesprep${sfx}_pathname - +# note that it will not be stored here if relocation did not process any +# records, i.e., it did not update the guess, because it was already stored +# in tropcy_relocate.sh (with the getges path) +# -------------------------------------------------------------------------- + + qual_last=".$tmmark" # need this because gfs and gdas don't add + # $tmmark qualifer to end of output atmos + # guess files + [ $NET = gfs -o $NET = gdas ] && qual_last="" + if [ "$NEMSIO_IN" = .true. ]; then + gesbase="atmges.nemsio" + else + gesbase="sgesprep" + fi + if [ -s ${tstsp}${gesbase}${qual_last} ]; then + echo "${tstsp}${gesbase}${qual_last}" > sgesprep${sfx}_pathname + elif [ -s ${COMSP}${gesbase}${qual_last} ]; then + echo "${COMSP}${gesbase}${qual_last}" > sgesprep${sfx}_pathname + fi + else + +# If relocation did not run, then the guess files in $DATA were copied there +# prior to the execution of this script by the user - just echo the path +# to this guess file in $DATA into sgesprep${sfx}_pathname +# -------------------------------------------------------------------------- + + echo "$DATA/sgesprep${sfx}" > sgesprep${sfx}_pathname + fi + fi + eval SGES${sfx}=$DATA/sgesprep${sfx} + done + fi +fi + +################################ +# EXECUTE PREPDATA PROCESSING +################################ + +if [ "$PREPDATA" = 'YES' ]; then + + cd $DATA + +set +u + if [ -z "$PREPBUFR_APP" -o "$PARALLEL" = 'YES' ]; then +set -u + if [ ! -s ${tstsp}status.${tmmark}.bufr_d -a \ + ! -s ${COMSP}status.${tmmark}.bufr_d ]; then + +#########if [ \( ! -s ${tstsp}status1.${tmmark}.bufr_d -o \ +######### ! -s ${tstsp}status2.${tmmark}.bufr_d \) -a \ +######### \( ! -s ${COMSP}status1.${tmmark}.bufr_d -o \ +######### ! -s ${COMSP}status2.${tmmark}.bufr_d \) ]; then + if [ ! -s ${tstsp}status1.${tmmark}.bufr_d -a \ + ! -s ${COMSP}status1.${tmmark}.bufr_d ]; then + +# problem: status file not found - indicates some or all data dumps were not +# found (produced) for requested time ... +# If highest level directory pointing to input BUFR observational +# data dumps is /com or /com2 then EXIT (assumes all data dumps are +# required) +# Otherwise, just echo a diagnostic (assumes only some data dumps are +# required) +# ---------------------------------------------------------------------------- + +echo +echo "Some or all BUFR data dumps were not found for requested time ... " +echo + set -x + + if [[ "$COMSP" =~ (^/com/|^/com2/|^/gpfs/.../nco/ops/com/) && \ + "$tstsp" =~ (^/tmp/null) ]]; then + set +x +echo +echo "ABNORMAL EXIT!!!!!!!!!!!" +echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + fi + fi + + echo $BUFRLIST | grep adpsfc + grp_adpsfc=$? + echo $BUFRLIST | grep adpupa + grp_adpupa=$? + if [ \( ! -f ${COMSP}adpsfc.${tmmark}.bufr_d -a \ + ! -f ${tstsp}adpsfc.${tmmark}.bufr_d -a $grp_adpsfc -eq 0 \) -o \ + \( ! -f ${COMSP}adpupa.${tmmark}.bufr_d -a \ + ! -f ${tstsp}adpupa.${tmmark}.bufr_d -a $grp_adpupa -eq 0 \) ] + then + +# problem: either adpsfc (surface land) or adpupa (raob/pibal/recco) file, or +# both, not found for requested time - this is unacceptable; EXIT +# (unless the culprit file was not included in the $BUFRLIST) +# --------------------------------------------------------------------------- + + set +x +echo +echo "ADPSFC and/or ADPUPA BUFR data dump was not produced for requested" +echo " time (but is in BUFRLIST); ABNORMAL EXIT!!!!!!!!!!!" +echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + + fi + + for name in ${BUFRLIST} ;do + > $name + if [ -f ${tstsp}${name}.${tmmark}.bufr_d ]; then + cp ${tstsp}${name}.${tmmark}.bufr_d $name + elif [ -s ${COMSP}${name}.${tmmark}.bufr_d ]; then + cp ${COMSP}${name}.${tmmark}.bufr_d $name + fi + done + + > prep_exec.cmd + + > prepda.${cycle} + + echo " $CDATE10" > cdate10.dat + +# If GETGUESS=YES, then either ... +# a global sigio-based guess file valid at the center PREPBUFR processing +# date/time which is a multiple of 3-hrs is valid at this point +# -- or -- +# global sigio-based guess files valid at times which are multiples of 3-hrs +# and span the center PREPBUFR processing date/time which is NOT a multiple of +# 3-hrs are available and valid at this point +# -- or -- +# a global nemsio-based guess file valid at the center PREPBUFR processing +# date/time for any hour is valid at this point + +# In any case, namelist "GBLEVN" with PREVEN=T is cat'ed to the beginning +# of the PREPOBS_PREPDATA program data cards file - this means +# PREPOBS_PREPDATA will call w3emc routine GBLEVENTS to do the "prevents" +# processing (otherwise PREVEN=F by default) + + > prepdata.stdin + [ "$GETGUESS" != 'NO' ] && echo " &gblevn preven=true /" >>prepdata.stdin + cat $PRPC >> prepdata.stdin + +# Check contents of *aircar_status_flag* file in $tstsp, or if not found there, +# $COMSP path - this was generated by previous bufr_dump_obs.sh script: if it +# exists and indicates that there were more AFWA (backup) ACARS reports than +# ARINC (primary) ACARS reports in the AIRCAR dump, then skip processing of +# ARINC ACARS messages in PREPOBS_PREPDATA (meaning process ONLY AFWA ACARS +# messages); otherwise, as is usually the case, skip processing of AFWA ACARS +# messages (meaning process only ARINC ACARS messages in PREPOBS_PREPDATA) + + echo " SUBSKP(004,007) = TRUE," > insert + if [ -s ${tstsp}aircar_status_flag.${tmmark}.bufr_d ]; then + grep -q -Fe "004.007" ${tstsp}aircar_status_flag.${tmmark}.bufr_d + err_grep=$? + if [ $err_grep -eq 0 ]; then + echo " SUBSKP(004,004) = TRUE," > insert + msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ +backup AFWA ACARS into PREPBUFR" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + fi + elif [ -s ${COMSP}aircar_status_flag.${tmmark}.bufr_d ]; then + grep -q -Fe "004.007" ${COMSP}aircar_status_flag.${tmmark}.bufr_d + err_grep=$? + if [ $err_grep -eq 0 ]; then + echo " SUBSKP(004,004) = TRUE," > insert + msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ +backup AFWA ACARS into PREPBUFR" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + fi + fi + + grep -q -Fe "`cat insert`" prepdata.stdin + err_grep=$? + if [ $err_grep -ne 0 ]; then + nlines=`cat < prepdata.stdin | wc -l` + line=`grep -n -Fe "&LDTA" prepdata.stdin | cut -f1 -d:` + head -n $line prepdata.stdin > top_part + mlines=`expr $nlines - $line` + tail -n $mlines prepdata.stdin > bottom_part + [ $mlines -gt 2 ] && cat top_part insert bottom_part > prepdata.stdin + rm top_part bottom_part + fi + rm insert + + +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## +## HEREFILE MP_PREPDATA ## +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## + +set +x +cat <<\EOFmpp > MP_PREPDATA + +{ echo + +# This herefile script performs the "prepdata" processing. It is designed to +# run in either a parallel (e.g., poe/mpi or background threads) or serial +# environment. In the parallel environment, it first splits the input BUFR +# data dump files into $NSPLIT equally-sized parts (analogous to dealing +# multiple sets of cards to $NSPLIT players, where each set of cards is a +# different BUFR data dump file). Next, in either the parallel or serial +# environment, it runs PREPOBS_PREPDATA to write out prepbufr files (either +# a single complete file in the serial environment or $NSPLIT partial +# PREPBUFR files in the parallel environment). Finally, it generates a list of +# PREPBUFR message headers which, in the parallel environment, is needed to +# later merge the partial PREPBUFR files together in the proper order. +# +# IMPORTANT: This script assumes that the BUFR data dump files it is to +# process have been copied into the $DATA directory and that each +# file name is the same as in $BUFRLIST. It also assumes that the +# NCEP production date file is present in the $DATA directory and +# that it is called cdate10.dat. Finally, it assumes that the +# PREPOBS_PREPDATA program data cards (parm) file is present in the +# $DATA directory and it is called prepdata.stdin +# ----------------------------------------------------------------------------- +# +# Positional parameters passed in: +# 1 - Stream index ($multi) (0 to $NSPLIT-1) +# +# Imported variables that must be passed in: +# DATA - path to working directory +# PARALLEL - indicates whether or not this script is running in a parallel +# (e.g., poe/mpi or background threads) or serial environment +# "YES" - running in a parallel environment; "NO" running in a +# serial environment) +# NSPLIT number of parts into which the input BUFR data dump files are to +# be evenly divided (applicable only when PARALLEL is "YES") +# BUFRLIST - list of BUFR data dump files to process +# MPCOPYX - path to PREPOBS_MPCOPYBUFR program executable +# PRPT - path to PREPOBS_PREPDATA bufrtable file +# LANDC - path to land/sea mask file +# SGES - path to COPY OF global sigio-based or nemsio-based first guess +# file valid at either center PREPBUFR processing date/time or, +# for global sigio-based guess only, nearest 3-hrly cycle time +# prior to center PREPBUFR processing date/time +# SGESA - path to COPY OF global sigio-based guess file valid at nearest +# 3-hrly cycle AFTER center PREPBUFR processing date/time (if +# needed, otherwise /dev/null). Only used if SGES is valid at +# 3-hrly cycle time PRIOR to center PREPBUFR processing date/time +# (and thus not used if NEMSIO_IN=.true.) +# PRVT - path to observation error table file +# PRPX - path to PREPOBS_PREPDATA program executable +# LISTHDX - path to PREPOBS_LISTHEADERS program executable + +set -aux +multi=$1 + +data=$DATA/multi$multi + +if [ ! -d $DATA/multi$multi ] ; then + mkdir -p $DATA/multi$multi +fi + +status=$data/mstatus ; > $status +mp_pgmout=$data/mp_pgmout ; > $mp_pgmout + + +{ echo +set +x +echo +echo "********************************************************************" +echo "This is stream (task/thread) $multi executing on node `hostname -s`" +echo "Starting time: `date`" +echo "********************************************************************" +echo +set -x +} >> $mp_pgmout + +cd $data + +if [ "$PARALLEL" = 'YES' ]; then + + n=0 + + pgm=`basename $MPCOPYX` +#-----mimics prep_step----- + set +x + echo $pgm > pgmname + set +u + [ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" + set -u + [ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout + rm pgmname + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` + [ -s $DATA/tracer ] && cat $DATA/tracer > errfile + set -x +#-------------------------- + + for name in ${BUFRLIST[*]} ;do + > $name + if [ -s $DATA/$name ] ; then + ((n+=1)) + export FORT$((10+n))=$DATA/$name + export FORT$((50+n))=$name + fi + done + + cat<> $mp_pgmout 2>&1 + &namin nfiles=$n / + &mp nprocs=$NSPLIT,mp_process=$multi / +EOF + err=$? + set +x + echo + echo "The foreground exit status for PREPOBS_MPCOPYBUFR is " $err + echo + set -x + + [ "$err" -gt '0' ] && exit + + dump_dir=$data + +else + + dump_dir=$DATA + +# fi for $PARALLEL = YES +fi + + +pgm=`basename $PRPX` +#-----mimics prep_step----- +set +x +echo $pgm > pgmname +set +u +[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" +set -u +[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout +rm pgmname +[ -f errfile ] && rm errfile +unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +[ -s $DATA/tracer ] && cat $DATA/tracer > errfile +set -x +#-------------------------- + +set +u +[ -n "$PREPBUFR_APP" -a "$PARALLEL" = 'NO' ] && \ + cp $PREPBUFR_APP prepda +set -u + +# Namelist "TASK" with mp_process set to the value of $multi - either the poe/ +# mpi task number (for POE not equal to "NO") or to the background thread +# number (for BACK equal to "YES") in the parallel environment, or hardwired +# to zero in the serial environment, is cat'ed to the beginning of the +# PREPOBS_PREPDATA program data cards (parm) file - this will allow +# PREPOBS_PREPDATA to identify this stream + +> prepdata.stdin +echo " &task mp_process=$multi /" >>prepdata.stdin +cat $DATA/prepdata.stdin >> prepdata.stdin + +BUFRLIST_all="adpupa aircar aircft satwnd proflr vadwnd rassda adpsfc sfcshp \ + sfcbog msonet spssmi erscat qkswnd wdsatr ascatw rtovs atovs goesnd gpsipw" +###BUFRLIST_all_array=($BUFRLIST_all) # this does not work on all platforms +set -A BUFRLIST_all_array `echo $BUFRLIST_all` # this works on all platforms + + +# Any dump file not included in BUFRLIST is "touched" so that it will not +# cause a read error in the event that PREPOBS_PREPDATA still tries to read it + +for name in $BUFRLIST_all;do +[ ! -f $dump_dir/$name ] && > $dump_dir/$name +done + +export FORT11=$DATA/cdate10.dat +export FORT12=$PRPT +export FORT15=$LANDC +## export FORT18=$SGES +## export FORT19=$SGESA + +# The PREPOBS_PREPDATA code opens GFS spectral coefficient guess files using +# sigio routines or GFS gaussian grid guess files using nemsio routines (via +# W3EMC routine GBLEVENTS) in a manner that may not recognize the FORTxx +# variables above. So, the above statements setting FORTxx vars for $SGES and +# $SGESA are replaced by the soft links below. + +ln -sf $SGES fort.18 +ln -sf $SGESA fort.19 +export FORT20=$PRVT +export FORT21=$dump_dir/${BUFRLIST_all_array[0]} +export FORT22=$dump_dir/${BUFRLIST_all_array[1]} +export FORT23=$dump_dir/${BUFRLIST_all_array[2]} +export FORT24=$dump_dir/${BUFRLIST_all_array[3]} +export FORT25=$dump_dir/${BUFRLIST_all_array[4]} +export FORT26=$dump_dir/${BUFRLIST_all_array[5]} +export FORT27=$dump_dir/${BUFRLIST_all_array[6]} +export FORT31=$dump_dir/${BUFRLIST_all_array[7]} +export FORT32=$dump_dir/${BUFRLIST_all_array[8]} +export FORT33=$dump_dir/${BUFRLIST_all_array[9]} +export FORT34=$dump_dir/${BUFRLIST_all_array[10]} +export FORT35=$dump_dir/${BUFRLIST_all_array[11]} +export FORT36=$dump_dir/${BUFRLIST_all_array[12]} +export FORT37=$dump_dir/${BUFRLIST_all_array[13]} +export FORT38=$dump_dir/${BUFRLIST_all_array[14]} +export FORT39=$dump_dir/${BUFRLIST_all_array[15]} +export FORT41=$dump_dir/${BUFRLIST_all_array[16]} +export FORT42=$dump_dir/${BUFRLIST_all_array[17]} +export FORT46=$dump_dir/${BUFRLIST_all_array[18]} +export FORT48=$dump_dir/${BUFRLIST_all_array[19]} +export FORT51=prepda +export FORT52=prevents.filtering.prepdata + +#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) +#If program ever fails, try changing 64000000 to 20000000 +#set +u +#[ -n "$LOADL_PROCESSOR_LIST" ] && XLSMPOPTS=parthds=2:stack=64000000 +#set -u + +# The following improves performance on Cray-XC40 if $PRPX was +# linked to the IOBUF i/o buffering library +export IOBUF_PARAMS='*prevents.filtering.prepdata:verbose' + +$TIMEIT $PRPX >$mp_pgmout 2>&1 +errPREPDATA=$? +unset IOBUF_PARAMS +cat prevents.filtering.prepdata >> $mp_pgmout +set +x +echo +echo "The foreground exit status for PREPOBS_PREPDATA is " $errPREPDATA +echo +set -x + +[ "$errPREPDATA" -gt '4' -o "$errPREPDATA" -eq '1' ] && exit + +# Will execute PREPOBS_LISTHEADERS even if PARALLEL is "NO", because it will +# reorder the monolithic PREPBUFR file to ensure that all messages of the same +# subtype will always be grouped together in sequential messages, arranged in +# the order found in $PRPT (Note: This is a necessity when PARALLEL is "YES" +# because the later program PREPOBS_MONOPREPBUFR must merge the $NSPLIT +# individual (partial) PREPBUFR files together in the proper order) + + +# Build listhdx.stdin from bufrtable entries of possible message headers first +# line is count, followed by list + +grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|wc -l|tee listhdx.stdin +grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|tee -a listhdx.stdin + +pgm=`basename $LISTHDX` +#-----mimics prep_step----- +set +x +echo $pgm > pgmname +set +u +[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" +set -u +[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout +rm pgmname +[ -f errfile ] && rm errfile +unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +[ -s $DATA/tracer ] && cat $DATA/tracer > errfile +set -x +#-------------------------- + +export FORT11=prepda +export FORT51=prepda.reorder +export FORT52=prepda.hdrs + +$TIMEIT $LISTHDX < listhdx.stdin >>$mp_pgmout 2>&1 +err=$? +cat prepda.hdrs +set +x +echo +echo "The foreground exit status for PREPOBS_LISTHEADERS is " $err +echo +set -x + +[ "$err" -gt '0' ] && exit + +mv prepda.reorder prepda +rm listhdx.stdin + +echo "$multi finished -- errPREPDATA = $errPREPDATA" > $status + +{ echo +set +x +echo +echo "********************************************************************" +echo "Finished executing on node `hostname -s`" +echo "Ending time : `date`" +echo "********************************************************************" +echo +set -x +} >> $mp_pgmout + +} 1> $DATA/mp_stream${1}.stdout 2> $DATA/mp_stream${1}.errfile + +exit 0 +EOFmpp +set -x + +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## +## end of HEREFILE MP_PREPDATA ## +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## + + chmod 775 MP_PREPDATA + + if [ "$PARALLEL" = 'YES' ]; then + +# In the parallel environment, either cat the multiple MP_PREPDATA tasks +# into a poe command file (for poe/mpi/cfp) - or - set up a script that will +# fire off each MP_PREPDATA thread as a background process +# ----------------------------------------------------------------------- + if [ "$POE" != 'NO' ]; then + multi=-1 + while [ $((multi+=1)) -lt $NSPLIT ] ; do + echo "ksh $DATA/MP_PREPDATA $multi "|tee -a $DATA/prep_exec.cmd + done + if [ "$launcher_PREP" != cfp -a "$launcher_PREP" != aprun ]; then + # fill in empty tasks + multi=$((multi-=1)) #need to go back one + while [ $((multi+=1)) -lt $NPROCS ] ; do + echo "echo do-nothing" >> $DATA/prep_exec.cmd + done + fi + elif [ $BACK = 'YES' ] ; then + multi=-1 + echo "#!/bin/ksh" > $DATA/prepthrds.sh + while [ $((multi+=1)) -lt $NSPLIT ] ; do + echo "$DATA/MP_PREPDATA $multi &" >> $DATA/prepthrds.sh + echo "echo $DATA/MP_PREPDATA $multi submitted in background" \ + >> $DATA/prepthrds.sh + done + echo "wait" >> $DATA/prepthrds.sh + chmod 775 $DATA/prepthrds.sh + fi + +# In the parallel environment, next either execute the poe wrapper (for poe/ +# mpi/cfp) (do not execute a time command with poe!) - or - run prepthrds.sh +# to kick off background processes and wait for them to complete +# -------------------------------------------------------------------------- + if [ "$POE" != 'NO' ]; then + if [ "$launcher_PREP" = mpirun.lsf ]; then + export MP_CMDFILE=$DATA/prep_exec.cmd + export MP_PGMMODEL=mpmd + export MP_PULSE=0 + export MP_DEBUG_NOTIMEOUT=yes + export MP_LABELIO=yes + export MP_STDOUTMODE=ordered + mpirun.lsf + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + elif [ "$launcher_PREP" = cfp ]; then + export MP_CSS_INTERRUPT=yes + export MP_LABELIO=yes + export MP_STDOUTMODE=ordered + mpirun.lsf cfp $DATA/prep_exec.cmd + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + elif [ "$launcher_PREP" = aprun ]; then + ## Determine tasks per node (PREPDATAtpn) and + ## max number of concurrent procs (PREPDATAprocs) for cfp + typeset -i nodesall=$(echo -e "${LSB_HOSTS// /\\n}"|sort -u|wc -w) + typeset -i ncnodes=$(($nodesall-1)) # we want compute nodes only + if [ $ncnodes -lt 1 ]; then + set +x + echo + echo " ** Could not get positive compute node count for aprun **" + echo " ** Are we using LSF queue with compute node access? **" + echo + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + if [[ -z ${PREPDATAtpn:-""} ]]; then + PREPDATAtpn=$((($NSPLIT+$ncnodes-1)/$ncnodes)) + # cfp is faster with extra thread so add one if there is room. + # (this logic needs an update to avoid hardwired 24) + [ $PREPDATAtpn -lt 24 ] && PREPDATAtpn=$(($PREPDATAtpn+1)) + fi + if [[ -z ${PREPDATAprocs:-""} ]]; then + PREPDATAprocs=$(($ncnodes*$PREPDATAtpn)) # max concurrent processes + fi + aprun -j 1 -n${PREPDATAprocs} -N${PREPDATAtpn} -d1 cfp $DATA/prep_exec.cmd + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + else # unknown launcher and options (eg, for use on R&D system) + $launcher_PREP + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + fi + elif [ $BACK = 'YES' ] ; then + if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + aprun -n 1 -d $NSPLIT $DATA/prepthrds.sh + else + $DATA/prepthrds.sh + fi + fi + totalt=$NSPLIT + else + +# In the serial environment, just fire off a single thread of MP_PREPDATA +# ----------------------------------------------------------------------- + multi=0 + if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + aprun -n 1 -N 1 ksh $DATA/MP_PREPDATA $multi + else + $DATA/MP_PREPDATA $multi + fi + totalt=1 + + # fi for $PARALLEL = YES + fi + + set +x + multi=0 + while [ $multi -lt $totalt ]; do +echo +echo "********************************************************************" +echo " ++ Script STDOUT from MP_PREPDATA for stream (task/thread) $multi ++" +echo "********************************************************************" +echo + cat $DATA/mp_stream${multi}.stdout +echo "********************************************************************" +echo " ++ End of Script STDOUT from MP_PREPDATA for stream $multi ++ " +echo "********************************************************************" + multi=`expr $multi + 1` + done + +echo +echo "********************************************************************" +echo " ++ Script trace from MP_PREPDATA for stream (task/thread) 0 ++ " + if [ "$PARALLEL" = 'YES' ]; then +echo +echo " In order to conserve space, the script trace from other " +echo " streams is not invoked unless the stream failed. " + fi +echo "********************************************************************" +echo + + cat mp_stream0.errfile + +echo +echo "********************************************************************" +echo " ++ End of Script trace from MP_PREPDATA for stream 0 ++ " +echo "********************************************************************" +echo + set -x + +# check status files +# ------------------ + + errSTATUS=0 + errPREPDATA=0 + four_check=yes + multi=0 + while [ $multi -lt $totalt ]; do + cat $DATA/multi$multi/mp_pgmout >> prepdata.out + cat $DATA/multi$multi/mp_pgmout >> $pgmout + status=$DATA/multi$multi/mstatus + if [ ! -s $status ]; then + set +x +echo +echo "********************************************************************" +echo " P R O B L E M ! ! ! " +echo "********************************************************************" +echo " ###> MP_PREPDATA stream (task/thread) $multi FAILED - Cycle date: \ +$CDATE10" +echo " Current working directory: $DATA " +echo +echo " Script trace from MP_PREPDATA for stream $multi follows ... " +echo "********************************************************************" +echo + cat $DATA/mp_stream${multi}.errfile +echo +echo "********************************************************************" +echo " ++ End of Script trace from MP_PREPDATA for stream $multi ++ " +echo "********************************************************************" +echo + set -x + errSTATUS=99 + else + err_this=`cut -f 2 -d = $status` + [ "$err_this" -gt "$errPREPDATA" ] && errPREPDATA=$err_this + [ "$err_this" -eq '0' ] && four_check=no + fi + multi=`expr $multi + 1` + done + + if [ "$errSTATUS" -gt '0' ]; then + $DATA/err_exit + exit 55 # for extra measure + fi + + [ "$errPREPDATA" -eq '4' -a "$four_check" = 'no' ] && errPREPDATA=0 + + set +x + echo + echo "For all MP_PREPDATA Streams, the largest foreground exit status \ + amongst all PREPOBS_PREPDATA runs is " $errPREPDATA + echo + set -x + + if [ "$errPREPDATA" -le "$errPREPDATA_limit" -a $errPREPDATA -ne 1 ]; then + err=0 + if [ "$errPREPDATA" -eq '4' ]; then + set +x + echo + echo "WARNING: PREPOBS_PREPDATA FOUND EITHER NO ADPUPA OR NO ADPSFC DATA" + echo "-------- THESE DATA WILL NOT BE AVAILABLE TO ANALYSES" + echo + set -x + fi + else + err=$errPREPDATA + fi + + pgm=`basename $PRPX` + touch errfile + $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + + if [ "$PARALLEL" = 'YES' ]; then + +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## +## HEREFILE MERGE_MSGS ## +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## + +set +x +cat <<\EOFmrg > MERGE_MSGS + +# This herefile script merges the individual partial PREPBUFR files present at +# this point into a complete, monolithic PREPBUFR file in the proper message +# type order. It is the last step in the PREPDATA processing. It runs only +# in the parallel environment. +# ---------------------------------------------------------------------------- + +# Positional parameters passed in: +# 1 - Number of input partial PREPBUFR files that are going to be merged +# ($nfiles) +# 2 - Working directory path ($DATA) (contains separate partial PREPBUFR +# files and text files containing headers for each, one directory down) +# 3 - Beginning string of sub-directories in $DATA ($subdir) (each sub- +# directory contains an input partial PREPBUFR file and a text file +# containing headers for all messages in that PREPBUFR file) +# 4 - File in each sub-directory containing headers for all messages in +# partial PREPBUFR file in same sub-directory (file name only - same name +# in all sub-directories) ($header_file_name) +# 5 - Partial PREPBUFR file in each sub-directory (file name only - same name +# in all sub-directories) ($prep_in) +# 6 - Output monolithic PREPBUFR file name (file name only) ($prep_out) +# +# Imported variables that must be passed in: +# MONOBFRX - path to PREPOBS_MONOPREPBUFR program executable +# +# Imported variables that can be passed in: +# pgmout - string indicating path to for standard output file (skipped over +# by this script if not passed in) + + +if [ $# -ne 6 ] ; then + echo "Usage: $0 nfiles DATA subdir header_file_name prep_in prep_out" + exit 1 +fi + +set -aux + +qid=$$ + +nfiles=$1;DATA=$2;subdir=$3;header_file_name=$4;prep_in=$5;prep_out=$6 + + +# From all the header files, extract the header counts and names build +# namelist input to drive $MONOBFRX program +# --------------------------------------------------------------------- + +nheaders=`cat $DATA/${subdir}*/$header_file_name|awk '{print $1}'|sort -u|wc -l` +((nheaders+=0)) + +>$DATA/input echo +echo " &namin nfiles=$nfiles, nheaders=$nheaders," >>$DATA/input + +cd $DATA + + +# Assign the fort units to the files +# ----------------------------------- + +pgm=`basename $MONOBFRX` +if [ -s $DATA/prep_step ]; then + . $DATA/prep_step +else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +fi + + +n=-1 +while [ $((n+=1)) -lt $nfiles ] ;do + [ ! -s $DATA/${subdir}$n/$prep_in ] && exit 1 + export FORT$((11+n))=$DATA/${subdir}$n/$prep_in +done +export FORT51=$prep_out +set +x + + +# Extract the total span of headers by searching through all the header files +# --------------------------------------------------------------------------- + +n=-1 +while [ $((n+=1)) -lt $nfiles ]; do + file=$DATA/${subdir}$n/$header_file_name + [ ! -s $file ] && exit 1 + if [ `cat $file|awk '{print $1}'| \ + sort -u|wc -l` -eq $nheaders ] ; then + headers="" + nlines=`cat $file|wc -l` + i=0 + while [ $((i+=1)) -le $nlines ]; do + line=`sed -n $i,${i}p $file` + header=`echo $line|awk '{print $1}'` + echo " cheaders($i)='$header',">>$DATA/input + headers="$headers $header" + done + break + fi +done + + +# Tranlate the hdrs file contents into namelist array +# --------------------------------------------------- + +n=-1 +while [ $((n+=1)) -lt $nfiles ]; do + file=$DATA/${subdir}$n/$header_file_name + line= + i=0 + for hdr in $headers; do + ((i+=1)) + count=`grep $hdr $file|awk '{print $2}'` + set +u + [ -z "$count" ] && count=0 + set -u + line="${line}msgs($i,$((n+1)))=$count," + done + echo " $line " >>$DATA/input +done + +echo " &end" >>$DATA/input +set -x +cat $DATA/input + +$TIMEIT $MONOBFRX <$DATA/input > outout 2> errfile +export err=$? +###cat errfile +cat errfile >> outout +cat outout >> monoprepbufr.out +set +u +[ -n "$pgmout" ] && cat outout >> $pgmout +set -u +rm outout +set +x +echo +echo "The foreground exit status for PREPOBS_MONOPREPBUFR is " $err +echo +set -x +$DATA/err_chk +[ $err != 0 ] && exit 55 # for extra measure + +exit 0 +EOFmrg +set -x + +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## +## end of HEREFILE MERGE_MSGS ## +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## + + chmod 775 MERGE_MSGS + +# In parallel environment, make monolithic PREPBUFR file by meriging the +# partial PREPBUFR files +# ---------------------------------------------------------------------- + $TIMEIT $DATA/MERGE_MSGS $NSPLIT $DATA multi prepda.hdrs prepda \ + prepda.${cycle} + errsc=$? + if test $errsc -ne 0 + then +# problem with merge script + $DATA/err_exit + exit 55 # for extra measure + fi + else + +# In serial environment, already have a monolithic PREPBUFR file - just +# copy it to expected local monolithic PREPBUFR file location +# --------------------------------------------------------------------- + cp $DATA/multi0/prepda prepda.${cycle} + + # fi for $PARALLEL = YES + fi + +# fi for $PREPDATA = YES +fi + +set +u +[ -n "$PREPBUFR_IN" ] && cp $PREPBUFR_IN $DATA/prepda.${cycle} +set -u + + +############################################ +# EXECUTE SYNTHETIC CYCLONE DATA PROCESSING +############################################ + +if [ "$SYNDATA" = 'YES' ]; then + +# Check condition code - SDM can shut-off synthetic cyclone bogusing +# ------------------------------------------------------------------ +# ==> this switch is NOT YET in place, so it will be hardwired to "YES" + +###cp ???????????? syndata_cond + echo "YES" > syndata_cond + SYN=`cat tcvitals_orig_sort + sort tcvitals > tcvitals_sort + comm -23 tcvitals_orig_sort tcvitals_sort > tcvitals_removed + [ -s tcvitals_removed ] && run_syndat_twice=yes + fi + fi + + $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ + $DATA/tcvitals $CDATE10 + + if [ $run_syndat_twice = yes ]; then + +# Run SYNDATA a second time when switch "run_syndat_twice" was set to "yes" in +# above logic (see %% above) + + DO_BOGUS=NO + $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ + $DATA/tcvitals_removed $CDATE10 + fi + fi +fi + +[ "$PREPDATA" = 'YES' ] && cp prepda.${cycle} prepda.prepdata + + +########################################### +# EXECUTE GSI QUALITY-CONTROL PROCESSING +########################################### + +if [ "$DO_QC" = 'YES' ]; then + if [ "$PREVENTS" = 'YES' ];then + $TIMEIT $USHPREV/prepobs_prevents.sh $DATA/prepda.${cycle} $CDATE10 + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$CQCBUFR" = 'YES' ];then + $TIMEIT $USHCQC/prepobs_cqcbufr.sh $DATA/prepda.${cycle} + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$PROFCQC" = 'YES' ];then + $TIMEIT $USHPQC/prepobs_profcqc.sh $DATA/prepda.${cycle} + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$CQCVAD" = 'YES' ];then + $TIMEIT $USHVQC/prepobs_cqcvad.sh $DATA/prepda.${cycle} $CDATE10 + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$PREPACQC" = 'YES' ];then + $TIMEIT $USHAQC/prepobs_prepacqc.sh $DATA/prepda.${cycle} $DATA/adpsfc + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$OIQCBUFR" = 'YES' ];then + $TIMEIT $USHOIQC/prepobs_oiqcbufr.sh $DATA/prepda.${cycle} $CDATE10 + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi +fi + + +# Look for "OVERLARGE" subsets in stdout (print out of bufrlib when subset +# discarded because it is too big to fit in a BUFR message) -- post to +# jlogfile if appropriate + +msg=`grep "OVERLARGE SUBSET DISCARDED" $pgmout` +err=$? +if [ "$err" -eq '0' ]; then + set +x + echo + echo "$msg" + echo + set -x + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" +fi + +exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.txt new file mode 100644 index 0000000..7d83a25 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.txt @@ -0,0 +1 @@ +KEPREPBUFRSH=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/prepobs_makeprepbufr.sh diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/repobs_makeprepbufr.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/repobs_makeprepbufr.txt new file mode 100644 index 0000000..22e7705 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/repobs_makeprepbufr.txt @@ -0,0 +1 @@ +MAKEPREPBUFRSH=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/prepobs_makeprepbufr.sh diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.sh new file mode 100755 index 0000000..7d131ab --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.sh @@ -0,0 +1,501 @@ + +################################################################################ +#### UNIX Script Documentation Block +# . . +# Script name: global_nceppost.sh +# Script description: Posts the global pressure GRIB file +# +# Author: Mark Iredell Org: NP23 Date: 1999-05-01 +# +# Abstract: This script reads a single global GFS IO file and (optionally) +# a global flux file and creates a global pressure GRIB file. +# The resolution and generating code of the output GRIB file can also +# be set in the argument list. +# +# Script history log: +# 1999-05-01 Mark Iredell +# 2007-04-04 Huiya Chuang: Modify the script to run unified post +# 2012-06-04 Jun Wang: add grib2 option +# 2015-03-20 Lin Gan: add Perl for Post XML performance upgrade +# 2016-02-08 Lin Gan: Modify to use Vertical Structure +# +# Usage: global_postgp.sh SIGINP FLXINP FLXIOUT PGBOUT PGIOUT IGEN +# +# Input script positional parameters: +# 1 Input sigma file +# defaults to $SIGINP +# 2 Input flux file +# defaults to $FLXINP +# 3 Output flux index file +# defaults to $FLXIOUT +# 4 Output pressure GRIB file +# defaults to $PGBOUT +# 5 Output pressure GRIB index file +# defaults to $PGIOUT, then to none +# 8 Model generating code, +# defaults to $IGEN, then to input sigma generating code +# +# Imported Shell Variables: +# SIGINP Input sigma file +# overridden by $1 +# FLXINP Input flux file +# overridden by $2 +# FLXIOUT Output flux index file +# overridden by $3 +# PGBOUT Output pressure GRIB file +# overridden by $4. If not defined, +# post will use the filename specified in +# the control file +# PGIOUT Output pressure GRIB index file +# overridden by $5; defaults to none +# IGEN Model generating code +# overridden by $8; defaults to input sigma generating code +##### Moorthi: Add new imported shell variable for running chgres +# CHGRESSH optional: the script to run chgres +# default to to ${USHglobal}/global_chgres.sh +# SIGLEVEL optional: the coordinate text file +# default to to /nwprod/fix/global_hyblev.l${LEVS}.txt +##### Chuang: Add new imported Shell Variable for ncep post +# OUTTYP Output file type read in by post +# 1: if user has a sigma file and needs post to run chgres to convert to gfs io file +# 2: if user already has a gfs io file +# 3: if user uses post to read sigma file directly +# 0: if user wishes to generate both gfsio and sigma files +# 4: if user uses post to read nemsio file directly +# VDATE Verifying date 10 digits yyyymmddhh +# GFSOUT Optional, output file name from chgres which is input file name to nceppost +# if model already runs gfs io, make sure GFSOUT is linked to the gfsio file +# CTLFILE Optional, Your version of control file if not using operational one +# OVERPARMEXEC Optional, the executable for changing Grib KPDS ID +# default to to ${EXECglobal}/overparm_grib +# CHGRESTHREAD Optional, speed up chgres by using multiple threads +# default to 1 +# FILTER Optional, set to 1 to filter SLP and 500 mb height using copygb +# D3DINP Optional, Inout D3D file, if not defined, post will run +# without processing D3D file +# D3DOUT Optional, output D3D file, if not defined, post will +# use the file name specified in the control file +# IPVOUT Optional, output IPV file, if not defined, post will +# use the file name specified in the control file +# GENPSICHI Optional, set to YES will generate psi and chi and +# append it to the end of PGBOUT. Default to NO +# GENPSICHIEXE Optional, specify where executable is for generating +# psi and chi. +######################################################################## +# EXECUTIL Directory for utility executables +# defaults to /nwprod/util/exec +# USHUTIL Directory for utility scripts +# defaults to /nwprod/util/ush +# EXECglobal Directory for global executables +# defaults to /nwprod/exec +# USHglobal Directory for global scripts +# defaults to /nwprod/ush +# DATA working directory +# (if nonexistent will be made, used and deleted) +# defaults to current working directory +# MP Multi-processing type ("p" or "s") +# defaults to "p", or "s" if LOADL_STEP_TYPE is not PARALLEL +# XC Suffix to add to executables +# defaults to none +# POSTGPEXEC Global post executable +# defaults to ${EXECglobal}/ncep_post +# GRBINDEX GRIB index maker +# defaults to ${EXECUTIL}/grbindex$XC +# ANOMCATSH Global anomaly GRIB script +# defaults to ${USHglobal/global_anomcat.sh +# POSTGPLIST File containing further namelist inputs +# defaults to /dev/null +# INISCRIPT Preprocessing script +# defaults to none +# LOGSCRIPT Log posting script +# defaults to none +# ERRSCRIPT Error processing script +# defaults to 'eval [[ $err = 0 ]]' +# ENDSCRIPT Postprocessing script +# defaults to none +# POSTGPVARS Other namelist inputs to the global post executable +# such as IDRT,KO,PO,KTT,KT,PT,KZZ,ZZ, +# NCPUS,MXBIT,IDS,POB,POT,MOO,MOOA,MOW,MOWA, +# ICEN,ICEN2,IENST,IENSI +# defaults to none set +# NTHREADS Number of threads +# defaults to 1 +# NTHSTACK Size of stack per thread +# defaults to 64000000 +# VERBOSE Verbose flag (YES or NO) +# defaults to NO +# PGMOUT Executable standard output +# defaults to $pgmout, then to '&1' +# PGMERR Executable standard error +# defaults to $pgmerr, then to '&1' +# pgmout Executable standard output default +# pgmerr Executable standard error default +# REDOUT standard output redirect ('1>' or '1>>') +# defaults to '1>', or to '1>>' to append if $PGMOUT is a file +# REDERR standard error redirect ('2>' or '2>>') +# defaults to '2>', or to '2>>' to append if $PGMERR is a file +# +# Exported Shell Variables: +# PGM Current program name +# pgm +# ERR Last return code +# err +# +# Modules and files referenced: +# scripts : $INISCRIPT +# $LOGSCRIPT +# $ERRSCRIPT +# $ENDSCRIPT +# $ANOMCATSH +# +# programs : $POSTGPEXEC +# $GRBINDEX +# +# input data : $1 or $SIGINP +# $2 or $SFCINP +# $POSTGPLIST +# +# output data: $3 or $FLXIOUT +# $4 or $PGBOUT +# $5 or $PGIOUT +# $PGMOUT +# $PGMERR +# +# scratch : ${DATA}/postgp.inp.sig +# ${DATA}/postgp.inp.flx +# ${DATA}/postgp.out.pgb +# +# Remarks: +# +# Condition codes +# 0 - no problem encountered +# >0 - some problem encountered +# +# Control variable resolution priority +# 1 Command line argument. +# 2 Environment variable. +# 3 Inline default. +# +# Attributes: +# Language: POSIX shell +# Machine: IBM SP +# +#### +################################################################################ +# Set environment. +export VERBOSE=${VERBOSE:-"NO"} +if [[ "$VERBOSE" = "YES" ]] +then + echo $(date) EXECUTING $0 $* >&2 + set -x +fi +# Command line arguments. +export SIGINP=${1:-${SIGINP}} +export FLXINP=${2:-${FLXINP}} +export FLXIOUT=${3:-${FLXIOUT}} +export PGBOUT=${4:-${PGBOUT}} +#export PGIOUT=${5:-${PGIOUT}} +export PGIOUT=${PGIOUT:-pgb.idx} +export IO=${6:-${IO:-0}} +export JO=${7:-${JO:-0}} +export IGEN=${8:-${IGEN:-0}} +# Directories. +export NWPROD=${NWPROD:-/nwprod} +export EXECUTIL=${EXECUTIL:-$NWPROD/util/exec} +export USHUTIL=${USHUTIL:-$NWPROD/util/ush} +export EXECglobal=${EXECglobal:-$NWPROD/exec} +export USHglobal=${USHglobal:-$NWPROD/ush} +export DATA=${DATA:-$(pwd)} +# Filenames. +export MP=${MP:-$([[ $LOADL_STEP_TYPE = PARALLEL ]]&&echo "p"||echo "s")} +export XC=${XC} +export POSTGPEXEC=${POSTGPEXEC:-${EXECglobal}/ncep_post} +export OVERPARMEXEC=${OVERPARMEXEC:-${EXECglobal}/overparm_grib} +export ANOMCATSH=${ANOMCATSH:-${USHglobal}/global_anomcat.sh} +export CHGRESSH=${CHGRESSH:-${USHglobal}/global_chgres.sh} +export POSTGPLIST=${POSTGPLIST:-/dev/null} +export INISCRIPT=${INISCRIPT} +export ERRSCRIPT=${ERRSCRIPT:-'eval [[ $err = 0 ]]'} +export LOGSCRIPT=${LOGSCRIPT} +export ENDSCRIPT=${ENDSCRIPT} +export GFSOUT=${GFSOUT:-gfsout} +export CTLFILE=${CTLFILE:-$NWPROD/parm/gfs_cntrl.parm} +export MODEL_OUT_FORM=${MODEL_OUT_FORM:-binarynemsiompiio} +export GRIBVERSION=${GRIBVERSION:-'grib1'} +# Other variables. +export POSTGPVARS=${POSTGPVARS} +export NTHREADS=${NTHREADS:-1} +export NTHSTACK=${NTHSTACK:-64000000} +export PGMOUT=${PGMOUT:-${pgmout:-'&1'}} +export PGMERR=${PGMERR:-${pgmerr:-'&2'}} +export CHGRESTHREAD=${CHGRESTHREAD:-1} +export FILTER=${FILTER:-1} +export GENPSICHI=${GENPSICHI:-NO} +export GENPSICHIEXE=${GENPSICHIEXE:-${EXECglobal}/genpsiandchi} +export ens=${ens:-NO} +#export D3DINP=${D3DINP:-/dev/null} +typeset -L1 l=$PGMOUT +[[ $l = '&' ]]&&a=''||a='>' +export REDOUT=${REDOUT:-'1>'$a} +typeset -L1 l=$PGMERR +[[ $l = '&' ]]&&a=''||a='>' +export REDERR=${REDERR:-'2>'$a} +################################################################################ +# Preprocessing +$INISCRIPT + +# Chuang: Run chgres if OUTTYP=1 or 0 + +export APRUN=${APRUNP:-${APRUN:-""}} + +# exit if SIGINP does not exist +if [ ${OUTTYP} -le 3 ] ; then + if [ ! -s $SIGINP ] ; then + echo "sigma file not found, exitting" + exit 111 + fi +fi + +export SIGHDR=${SIGHDR:-$NWPROD/exec/global_sighdr} +export IDRT=${IDRT:-4} + +if [ ${OUTTYP} -le 1 ] ; then + export JCAP=${JCAP:-`echo jcap|$SIGHDR ${SIGINP}`} + export LEVS=${LEVS:-`echo levs|$SIGHDR ${SIGINP}`} + export IDVC=${IDVC:-$(echo idvc|$SIGHDR ${SIGINP})} + export IDVM=${IDVM:-$(echo idvm|$SIGHDR ${SIGINP})} + export NVCOORD=${NVCOORD:-$(echo nvcoord|$SIGHDR ${SIGINP})} + export IVSSIG=${IVSSIG:-$(echo ivs|$SIGHDR ${SIGINP})} + export LATCH=${LATCH:-8} + if [ ${OUTTYP} -eq 1 ] ; then + export CHGRESVARS="IDVC=$IDVC,IDVM=$IDVM,NVCOORD=$NVCOORD,IVSSIG=$IVSSIG,LATCH=$LATCH," + elif [ ${OUTTYP} -eq 0 ] ; then + export CHGRESVARS="LATCH=$LATCH,$CHGRESVARS" + fi + #export SIGLEVEL=${SIGLEVEL:-""} + export SIGLEVEL=${SIGLEVEL:-"$NWPROD/fix/global_hyblev.l${LEVS}.txt"} + # specify threads for running chgres + export OMP_NUM_THREADS=$CHGRESTHREAD + export NTHREADS=$OMP_NUM_THREADS + if [ ${JCAP} -eq 574 -a ${IDRT} -eq 4 ] + then + export NTHSTACK=1024000000 + fi + export XLSMPOPTS="parthds=$NTHREADS:stack=$NTHSTACK" + + $CHGRESSH + + export ERR=$? + export err=$ERR + $ERRSCRIPT||exit 1 + +# run post to read sigma file directly if OUTTYP=3 +elif [ ${OUTTYP} -eq 3 ] ; then + export LONB=${LONB:-`echo lonb|$SIGHDR ${SIGINP}`} + export LATB=${LATB:-`echo latb|$SIGHDR ${SIGINP}`} + export MODEL_OUT_FORM=sigio + export GFSOUT=${SIGINP} + +# run post to read nemsio file if OUTTYP=4 +elif [ ${OUTTYP} -eq 4 ] ; then + export nemsioget=${nemsioget:-$EXECglobal/nemsio_get} + export LONB=${LONB:-$($nemsioget $NEMSINP lonf |grep -i "lonf" |awk -F"= " '{print $2}' |awk -F" " '{print $1}')} + export LATB=${LATB:-$($nemsioget $NEMSINP latg |grep -i "latg" |awk -F"= " '{print $2}' |awk -F" " '{print $1}')} + export JCAP=${JCAP:-$($nemsioget $NEMSINP jcap |grep -i "jcap" |awk -F"= " '{print $2}' |awk -F" " '{print $1}')} + + export MODEL_OUT_FORM=${MODEL_OUT_FORM:-binarynemsiompiio} + export GFSOUT=${NEMSINP} + ln -sf $FIXglobal/fix_am/global_lonsperlat.t${JCAP}.${LONB}.${LATB}.txt ./lonsperlat.dat + ln -sf $FIXglobal/fix_am/global_hyblev.l${LEVS}.txt ./global_hyblev.txt +fi + +# allow threads to use threading in Jim's sp lib +# but set default to 1 +export OMP_NUM_THREADS=${OMP_NUM_THREADS:-1} + +pwd=$(pwd) +if [[ -d $DATA ]] +then + mkdata=NO +else + mkdir -p $DATA + mkdata=YES +fi +cd $DATA||exit 99 +################################################################################ +# Post GRIB +export PGM=$POSTGPEXEC +export pgm=$PGM +$LOGSCRIPT +cat <postgp.inp.nml$$ + &NAMPGB + $POSTGPVARS +EOF + +cat <>postgp.inp.nml$$ + / +EOF +if [[ "$VERBOSE" = "YES" ]] +then + cat postgp.inp.nml$$ +fi + +# making the time stamp format for ncep post +export YY=`echo $VDATE | cut -c1-4` +export MM=`echo $VDATE | cut -c5-6` +export DD=`echo $VDATE | cut -c7-8` +export HH=`echo $VDATE | cut -c9-10` + +cat > itag <> itag + +cat itag + +rm -f fort.* + +#ln -sf $SIGINP postgp.inp.sig$$ +#ln -sf $FLXINP postgp.inp.flx$$ +#ln -sf $PGBOUT postgp.out.pgb$$ + +# change model generating Grib number +if [ ${GRIBVERSION} = grib1 ]; then + + if [ ${IGEN} -le 9 ] ; then + cat ${CTLFILE}|sed s:00082:0000${IGEN}:>./gfs_cntrl.parm + elif [ ${IGEN} -le 99 ] ; then + cat ${CTLFILE}|sed s:00082:000${IGEN}:>./gfs_cntrl.parm + elif [ ${IGEN} -le 999 ] ; then + cat ${CTLFILE}|sed s:00082:00${IGEN}:>./gfs_cntrl.parm + else + ln -sf ${CTLFILE} ./gfs_cntrl.parm + fi + ln -sf ./gfs_cntrl.parm fort.14 + +elif [ ${GRIBVERSION} = grib2 ]; then + cp ${POSTGRB2TBL} . + cp ${PostFlatFile} ./postxconfig-NT.txt + if [ ${ens} = "YES" ] ; then + sed < ${PostFlatFile} -e "s#negatively_pert_fcst#${ens_pert_type}#" > ./postxconfig-NT.txt + fi +# cp ${CTLFILE} postcntrl.xml + +fi +export CTL=`basename $CTLFILE` + +ln -sf griddef.out fort.110 +cp ${PARMglobal}/nam_micro_lookup.dat ./eta_micro_lookup.dat + +${APRUN:-mpirun.lsf} $POSTGPEXEC < itag > outpost_gfs_${VDATE}_${CTL} + +export ERR=$? +export err=$ERR +$ERRSCRIPT||exit 2 + +if [ $FILTER = "1" ] ; then + +# Filter SLP and 500 mb height using copygb, change GRIB ID, and then +# cat the filtered fields to the pressure GRIB file, from Iredell + +if [ $GRIBVERSION = grib1 ]; then + $COPYGB -x -i'4,0,80' -k'4*-1,1,102' $PGBOUT tfile + ln -s -f tfile fort.11 + ln -s -f prmsl fort.51 + echo 0 2|$OVERPARMEXEC + $COPYGB -x -i'4,1,5' -k'4*-1,7,100,500' $PGBOUT tfile + ln -s -f tfile fort.11 + ln -s -f h5wav fort.51 + echo 0 222|$OVERPARMEXEC + +#cat $PGBOUT prmsl h5wav >> $PGBOUT + cat prmsl h5wav >> $PGBOUT + +elif [ $GRIBVERSION = grib2 ]; then + if [ ${ens} = YES ] ; then + $COPYGB2 -x -i'4,0,80' -k'1 3 0 7*-9999 101 0 0' $PGBOUT tfile + else + $COPYGB2 -x -i'4,0,80' -k'0 3 0 7*-9999 101 0 0' $PGBOUT tfile + fi + $WGRIB2 tfile -set_byte 4 11 1 -grib prmsl + if [ ${ens} = YES ] ; then + $COPYGB2 -x -i'4,1,5' -k'1 3 5 7*-9999 100 0 50000' $PGBOUT tfile + else + $COPYGB2 -x -i'4,1,5' -k'0 3 5 7*-9999 100 0 50000' $PGBOUT tfile + fi + $WGRIB2 tfile -set_byte 4 11 193 -grib h5wav + +#cat $PGBOUT prmsl h5wav >> $PGBOUT + cat prmsl h5wav >> $PGBOUT + +fi + +fi + +################################################################################ +# Anomaly concatenation +# for now just do anomaly concentration for grib1 +if [ $GRIBVERSION = grib1 ]; then + + if [[ -x $ANOMCATSH ]] + then + if [[ -n $PGIOUT ]] + then + $GRBINDEX $PGBOUT $PGIOUT + fi + export PGM=$ANOMCATSH + export pgm=$PGM + $LOGSCRIPT + + eval $ANOMCATSH $PGBOUT $PGIOUT + + export ERR=$? + export err=$ERR + $ERRSCRIPT||exit 3 + fi +fi +################################################################################ +# Make GRIB index file +if [[ -n $PGIOUT ]] +then + if [ $GRIBVERSION = grib2 ]; then + # JY $GRBINDEX2 $PGBOUT $PGIOUT + $GRB2INDEX $PGBOUT $PGIOUT + else + $GRBINDEX $PGBOUT $PGIOUT + fi +fi +if [[ -r $FLXINP && -n $FLXIOUT && $OUTTYP -le 3 ]] +then + $GRBINDEX $FLXINP $FLXIOUT +fi +################################################################################ +# generate psi and chi +echo "GENPSICHI= " $GENPSICHI +if [ $GENPSICHI = YES ] ; then +#echo "PGBOUT PGIOUT=" $PGBOUT $PGIOUT +#echo "YY MM=" $YY $MM + export psichifile=./psichi.grb + $GENPSICHIEXE < postgp.inp.nml$$ + rc=$? + if [[ $rc -ne 0 ]] ; then echo 'Nonzero return code rc= '$rc ; exit 3 ; fi + cat ./psichi.grb >> $PGBOUT +fi +################################################################################ +# Postprocessing +cd $pwd +[[ $mkdata = YES ]]&&rmdir $DATA +$ENDSCRIPT +set +x +if [[ "$VERBOSE" = "YES" ]] +then + echo $(date) EXITING $0 with return code $err >&2 +fi +exit $err diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.txt new file mode 100644 index 0000000..a63b13f --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.txt @@ -0,0 +1 @@ +STGPSH=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/post/tags/ncep_post.v7.7.2/ush/global_nceppost.sh diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.sh new file mode 100755 index 0000000..1672800 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.sh @@ -0,0 +1,1385 @@ +#!/bin/ksh +################################################################################ +# +# Name: getges.sh Author: Mark Iredell +# +# Abstract: +# This script copies the valid global guess file to a given file. +# Alternatively, it writes the name of the guess file to standard output. +# Specify option "-n network" for the job network (default global). +# Other options are gdas, gfs, cdas, mrf, prx, etc. +# Specify option "-e environment" for the job environment (default prod). +# Another option is test. +# Specify option "-f fhour" for the specific forecast hour wanted (default any). +# Specify option "-q" for quiet mode to turn off script messages. +# Specify option "-r resolution" for the resolution wanted (default high). +# Other options are 25464 17042, 12628, low, 6228, namopl, any. +# Specify option "-t filetype" for the filetype wanted from among these choices: +# sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3, +# sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3, +# biascr, satang, satcnt, gesfil +# pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3, +# sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl, +# enggrb, enggri, icegrb, icegri, snogrb, snogrb_high, snogri, sstgrb, sstgri. +# natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur, +# nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur, +# nstcur, nflges, nflgp3 +# Specify option "-v valid" for the valid date wanted (default $CDATE). +# Currently, the valid hours specified must be a multiple of 3. +# Either 2-digit or 4-digit years are currently allowed. +# Specify positional argument to be the file to which to copy the guess. +# If missing, the NAME of the guess file is written to standard output. +# A nonzero return code from this script means either the arguments are invalid +# or the guess could not be found; a message is written to standard error in +# this case, but neither a file copy nor a standard output write will be done. +# The file returned is guaranteed to exist and be readable. +# The script uses the utility commands NDATE and NHOUR. +# +# Example 1. Copy the production sigma guess for 1998100100 to the file sges. +# getges.sh -e prod -t sigges -v 1998100100 sges +# +# Example 2. Assign the pressure grib guess for the date 1998100121. +# export CDATE=1998100121 +# export XLFUNIT_12="$(getges.sh -qt pgbges||echo /dev/null)" +# +# Example 3. Get the PRX pgb analysis or the best valid guess at 1998100112. +# getges -e prx -t pgbcur -v 1998100112 pgbfile +# +# Example 5. Get the 24-hour GFS forecast sigma file valid at 1998100112. +# getges -t sigcur -v 1998100112 -f 24 -e gfs sigfile +# +# History: 1996 December Iredell Initial implementation +# 1997 March Iredell Nine new filetypes +# 1997 April Iredell Two new filetypes and -f option +# 1997 December Iredell Four new filetypes +# 1998 April Iredell 4-digit year allowed; +# sigges internal date no longer checked +# 1998 May Iredell T170L42 defaulted; four new filetypes +# and two filetypes deleted +# 1998 June Rogers Nam types added +# 1998 September Iredell high is default resolution +# 2000 March Iredell Cdas and -n option +# 2000 June Iredell Eight new filetypes +# 2002 April Treadon T254L64 defaulted; add angle dependent +# bias correction file +# 2003 March Iredell GFS network out to 384 hours +# 2003 August Iredell Hourly global guesses +# 2005 September Treadon Add satellite data count file (satcnt) +# 2006 September Gayno Add high-res snow analysis +# 2009 January Rogers Added sfluxgrb file +# 2011 April Rogers Added GFS pg2ges file +# 2016 May Menlove Changed GETGES_COM variable to $COMINmodel +# 2016 November Iredell Adapted getges for NEMS GSM +# Also removed a lot of dead wood +# +################################################################################ +#------------------------------------------------------------------------------- +# Set some default parameters. +fhbeg=03 # hour to begin searching backward for guess +fhinc=03 # hour to increment backward in search +fhend=384 # hour to end searching backward for guess + +#------------------------------------------------------------------------------- +# Get options and arguments. +netwk=global # default network +envir=prod # default environment +fhour=any # default forecast hour +quiet=NO # default quiet mode +trace=NO # default execution trace mode +resol=high # default resolution +typef=sigges # default filetype +valid=${CDATE:-'?'} # default valid date +err=0 + +while getopts n:e:f:qxr:t:v: opt;do + case $opt in + n) netwk="$OPTARG";; + e) envir="$OPTARG";; + f) fhour="$OPTARG";; + q) quiet=YES;; + x) trace=YES;; + r) resol="$OPTARG";; + t) typef="$OPTARG";; + v) valid="$OPTARG";; + \?) err=1;; + esac +done +shift $(($OPTIND-1)) +gfile=$1 +if [[ -z $valid ]];then + echo "$0: either -v option or environment variable CDATE must be set" >&2 +elif [[ $# -gt 1 ]];then + echo "$0: too many positional arguments" >&2 +elif [[ $err -ne 0 ]];then + echo "$0: invalid option" >&2 +fi +if [[ $gfile = '?' || $# -gt 1 || $err -ne 0 || -z $valid ||\ + $netwk = '?' || $envir = '?' || $fhour = '?' || $resol = '?' ||\ + $typef = '?' || $valid = '?' ]];then + echo "Usage: getges.sh [-n network] [-e environment] [-f fhour] [-q] [-r resolution]" >&2 + echo " [-t filetype] [-v valid] [gfile]" >&2 + if [[ $netwk = '?' ]];then + echo " network choices:" >&2 + echo " global (default), namopl, gdas, gfs, cdas, etc." >&2 + elif [[ $envir = '?' ]];then + echo " environment choices:" >&2 + echo " prod (default), test, para, dump, prx" >&2 + echo " (some network values allowed for compatibility)" >&2 + elif [[ $fhour = '?' ]];then + echo " fhour is optional specific forecast hour" >&2 + elif [[ $resol = '?' ]];then + echo " resolution choices:" >&2 + echo " high (default), 25464, 17042, 12628, low, 6228, namopl, any" >&2 + elif [[ $typef = '?' ]];then + echo " filetype choices:" >&2 + echo " sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3," >&2 + echo " sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3," >&2 + echo " sfgges, sfggp3, biascr, satang, satcnt, gesfil" >&2 + echo " pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3," >&2 + echo " sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl," >&2 + echo " enggrb, enggri, icegrb, icegri, snogrb, snogri, sstgrb, sstgri," >&2 + echo " pg2cur, pg2ges, restrt," >&2 + echo " natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur," >&2 + echo " nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur," >&2 + echo " nstcur, nflges, nflgp3," >&2 + elif [[ $valid = '?' ]];then + echo " valid is the valid date in yyyymmddhh or yymmddhh form" >&2 + echo " (default is environmental variable CDATE)" >&2 + elif [[ $gfile = '?' ]];then + echo " gfile is the guess file to write" >&2 + echo " (default is to write the guess file name to stdout)" >&2 + else + echo " (Note: set a given option to '?' for more details)" >&2 + fi + exit 1 +fi +[[ $trace == YES ]]&&set -x +if [[ $envir != prod && $envir != test && $envir != para && $envir != dump && $envir != pr? && $envir != dev ]];then + netwk=$envir + envir=prod + echo '************************************************************' >&2 + echo '* WARNING: Using "-e" is deprecated in this case. *' >&2 + echo '* Please use "-n" instead. *' >&2 + echo '************************************************************' >&2 +fi +if [[ "$netwk" = "namopl" || "$resol" = "namopl" ]];then + netwk=namopl + typef=restrt + resol=namopl +fi +[[ $resol = 57464 || $resol = 38264 || $resol = 19064 || $resol = 25464 || $resol = 17042 || $resol = 12628 ]]&&resol=high +[[ $resol = 6228 ]]&&resol=low +resolsuf="" +[[ $resol == *deg ]]&&resolsuf=.$resol +fhbeg=$(${NHOUR:?} $valid) +[[ $fhbeg -le 0 ]]&&fhbeg=03 +((fhbeg=(10#$fhbeg-1)/3*3+3)) +[[ $fhbeg -lt 10 ]]&&fhbeg=0$fhbeg +if [[ $typef = enggrb ]];then + typef=icegrb + echo '************************************************************' >&2 + echo '* WARNING: Using "-t enggrb" is now deprecated. *' >&2 + echo '* Please use "-t icegrb". *' >&2 + echo '************************************************************' >&2 +elif [[ $typef = enggri ]];then + typef=icegri + echo '************************************************************' >&2 + echo '* WARNING: Using "-t enggri" is now deprecated. *' >&2 + echo '* Please use "-t icegri". *' >&2 + echo '************************************************************' >&2 +fi + +#------------------------------------------------------------------------------- +# Assemble guess list in descending order from the best guess. +geslist="" +getlist00="" + +# GDAS +if [[ "$netwk" = "gdas" ]];then + if [ -z "$COMINgdas" ]; then + echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 + exit 1 + fi + fhend=12 + case $typef in + biascr) geslist=' + $COMINgdas/gdas.t${cyc}z.abias' + ;; + biascr_pc) geslist=' + $COMINgdas/gdas.t${cyc}z.abias_pc' + ;; + biascr_air) geslist=' + $COMINgdas/gdas.t${cyc}z.abias_air' + ;; + radstat) geslist=' + $COMINgdas/gdas.t${cyc}z.radstat' + ;; + pgbges) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh' + ;; + pg2ges) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' + ;; + pgbgm6) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6' + ;; + pgbgm3) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3' + ;; + pgbgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3' + ;; + pgbcur) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINgdas/gdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINgdas/gdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINgdas/gdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576' + fhbeg=00 + fhinc=06 + ;; + snogrb_1534) geslist=' + $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINgdas/gdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + natges) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' + ;; + natgm3) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio' + ;; + natgm2) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio' + ;; + natgm1) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio' + ;; + natgp1) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio' + ;; + natgp2) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio' + ;; + natgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio' + ;; + natcur) geslist=' + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' + getlist00=' + $COMINgdas/gdas.t${cyc}z.atmanl.nemsio' + fhbeg=00 + ;; + nsfges) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' + ;; + nsfgm3) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio' + ;; + nsfgm2) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio' + ;; + nsfgm1) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio' + ;; + nsfgp1) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio' + ;; + nsfgp2) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio' + ;; + nsfgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio' + ;; + nsfcur) geslist=' + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' + getlist00=' + $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio' + fhbeg=00 + ;; + nstcur) geslist=' + $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio' + getlist00=' + $COMINgdas/gdas.t${cyc}z.nstanl.nemsio' + fhbeg=00 + ;; + nflges) geslist=' + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' + ;; + nflgp3) geslist=' + $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio' + ;; + nflcur) geslist=' + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' + fhbeg=00 + ;; + esac + +# CFS-CDAS +elif [[ "$netwk" = "cfs-cdas" ]];then + if [ -z "$COMINcfs_cdas" ]; then + echo "getges.sh ERROR: The \$COMINcfs_cdas variable must be defined." >&2 + exit 1 + fi + fhend=12 + case $typef in + sigges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fh}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm3}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm2}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm1}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp1}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp2}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp3}.LIS + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.abias' + ;; + satang) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.satang' + ;; + satcnt) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + sfgges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fh' + ;; + sfggp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fhp3' + ;; + pgbges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm6 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm6 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhp3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhp3 + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' + getlist00=' + $COMINcfs_cdas/cdas1.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' + getlist00=' + $COMINcfs_cdas/cdas1.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh + $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_high) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574 + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_382) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# GFS +elif [[ "$netwk" = "gfs" ]];then + if [ -z "$COMINgfs" ]; then + echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 + exit 1 + fi + fhend=384 + case $typef in + natges) geslist=' + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + ;; + pgbcur) geslist=' + $COMINgfs/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINgfs/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvitl) geslist=' + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINgfs/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINgfs/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_1534) geslist=' + $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINgfs/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + natcur) geslist=' + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + getlist00=' + $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' + fhbeg=00 + ;; + nsfcur) geslist=' + $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' + getlist00=' + $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' + fhbeg=00 + ;; + nstcur) geslist=' + $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' + getlist00=' + $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' + fhbeg=00 + ;; + nflcur) geslist=' + $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' + fhbeg=00 + ;; + esac + +# CDAS +elif [[ "$netwk" = "cdas" ]];then + if [ -z "$COMINcdas" ]; then + echo "getges.sh ERROR: The \$COMINcdas variable must be defined." >&2 + exit 1 + fi + fhbeg=06 + fhend=06 + case $typef in + sigges) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $COMINcdas/cdas.t${cyc}z.abias' + ;; + satang) geslist=' + $COMINcdas/cdas.t${cyc}z.satang' + ;; + satcnt) geslist=' + $COMINcdas/cdas.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $COMINcdas/cdas.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $COMINcdas/cdas.t${cyc}z.sf$fh' + getlist00=' + $COMINcdas/cdas.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $COMINcdas/cdas.t${cyc}z.bf$fh' + getlist00=' + $COMINcdas/cdas.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $COMINcdas/cdas.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINcdas/cdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINcdas/cdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $COMINcdas/cdas.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINcdas/cdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $COMINcdas/cdas.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINcdas/cdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $COMINcdas/cdas.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# CDC CDAS +elif [[ "$netwk" = "cdc" ]];then + if [ -z "$COMINcdc" ]; then + echo "getges.sh ERROR: The \$COMINcdc variable must be defined." >&2 + exit 1 + fi + fhbeg=06 + fhend=06 + case $typef in + sigges) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fh' + ;; + siggm3) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhm3' + ;; + siggm2) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhm2' + ;; + siggm1) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhm1' + ;; + siggp1) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhp1' + ;; + siggp2) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhp2' + ;; + siggp3) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fhp3' + ;; + sfcges) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fh' + ;; + sfcgm3) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhm3' + ;; + sfcgm2) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhm2' + ;; + sfcgm1) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhm1' + ;; + sfcgp1) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhp1' + ;; + sfcgp2) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhp2' + ;; + sfcgp3) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fhp3' + ;; + biascr) geslist=' + $COMINcdc/cdas.t${cyc}z.abias' + ;; + satang) geslist=' + $COMINcdc/cdas.t${cyc}z.satang' + ;; + satcnt) geslist=' + $COMINcdc/cdas.t${cyc}z.satcnt' + ;; + gesfil) geslist=' + $COMINcdc/cdas.t${cyc}z.gesfile' + fhbeg=00 + fhend=00 + ;; + pgbges) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fh' + ;; + pgiges) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fh' + ;; + pgbgm6) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fhm6' + ;; + pgigm6) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fhm6' + ;; + pgbgm3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fhm3' + ;; + pgigm3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fhm3' + ;; + pgbgp3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fhp3' + ;; + pgigp3) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fhp3' + ;; + sigcur) geslist=' + $COMINcdc/cdas.t${cyc}z.sf$fh' + getlist00=' + $COMINcdc/cdas.t${cyc}z.sanl' + fhbeg=00 + ;; + sfccur) geslist=' + $COMINcdc/cdas.t${cyc}z.bf$fh' + getlist00=' + $COMINcdc/cdas.t${cyc}z.sfcanl' + fhbeg=00 + ;; + pgbcur) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pgicur) geslist=' + $COMINcdc/cdas.t${cyc}z.pgrbif$fh' + fhbeg=00 + ;; + prepqc) geslist=' + $COMINcdc/cdas.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $COMINcdc/cdas.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + icegri) geslist=' + $COMINcdc/cdas.t${cyc}z.engicegrb.index' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $COMINcdc/cdas.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogri) geslist=' + $COMINcdc/cdas.t${cyc}z.snogrb.index' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $COMINcdc/cdas.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + sstgri) geslist=' + $COMINcdc/cdas.t${cyc}z.sstgrb.index' + fhbeg=00 + fhinc=06 + ;; + esac + +# Any resolution production +elif [[ "$netwk" = "global" ]];then + if [ -z "$COMINgdas" ]; then + echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 + exit 1 + fi + if [ -z "$COMINgfs" ]; then + echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 + exit 1 + fi + GETGES_NWG=${GETGES_NWG:-${GESROOT:?}} + case $typef in + biascr) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.abias + $COMINgdas/gdas.t${cyc}z.abias + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias + $COMINgfs/gfs.t${cyc}z.abias' + fhbeg=06 + fhinc=06 + ;; + pgbges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $COMINgfs/gfs.t${cyc}z.pgrbf$fh' + ;; + pgbgm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm6 + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm6 + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 + $COMINgfs/gfs.t${cyc}z.pgrbf$fhm6' + ;; + pgbgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm3 + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm3 + $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 + $COMINgfs/gfs.t${cyc}z.pgrbf$fhm3' + ;; + pgbgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhp3 + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhp3 + $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 + $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 + $COMINgfs/gfs.t${cyc}z.pgrbf$fhp3' + ;; + pg2ges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$gh + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$gh + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' + ;; + pg2gm6) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm6 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm6' + ;; + pg2gm5) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm5 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm5' + ;; + pg2gm4) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm4 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm4' + ;; + pg2gm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm3 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm3' + ;; + pg2gm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm2 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm2' + ;; + pg2gm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm1 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm1' + ;; + pg2gp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp1 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp1' + ;; + pg2gp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp2 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp2' + ;; + pg2gp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 + $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp3 + $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp3' + ;; + pgbcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh + $COMINgdas/gdas.t${cyc}z.pgrbh$fh + $COMINgdas/gdas.t${cyc}z.pgrbf$fh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh + $COMINgfs/gfs.t${cyc}z.pgrbf$fh' + fhbeg=00 + ;; + pg2cur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p50.f$gh + $COMINgdas/gdas.t${cyc}z.pgrb2.0p50.f$gh + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f$gh + $COMINgfs/gfs.t${cyc}z.pgrb2.0p50.f$gh' + fhbeg=00 + ;; + prepqc) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.prepbufr + $COMINgdas/gdas.t${cyc}z.prepbufr + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr + $COMINgfs/gfs.t${cyc}z.prepbufr' + fhbeg=00 + fhend=00 + ;; + tcvg12) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=12 + fhend=12 + ;; + tcvges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=06 + fhend=06 + ;; + tcvitl) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 + $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 + $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' + fhbeg=00 + fhend=00 + ;; + icegrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.engicegrb + $COMINgdas/gdas.t${cyc}z.engicegrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb + $COMINgfs/gfs.t${cyc}z.engicegrb' + fhbeg=00 + fhinc=06 + ;; + snogrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb + $COMINgdas/gdas.t${cyc}z.snogrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb + $COMINgfs/gfs.t${cyc}z.snogrb' + fhbeg=00 + fhinc=06 + ;; + snogrb_574) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t574.1152.576 + $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574.1152.576 + $COMINgfs/gfs.t${cyc}z.snogrb_t574.1152.576' + fhbeg=00 + fhinc=06 + ;; + snogrb_1534) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t1534.3072.1536 + $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536 + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t1534.3072.1536 + $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' + fhbeg=00 + fhinc=06 + ;; + sstgrb) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sstgrb + $COMINgdas/gdas.t${cyc}z.sstgrb + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb + $COMINgfs/gfs.t${cyc}z.sstgrb' + fhbeg=00 + fhinc=06 + ;; + natges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + ((vhr=$valid%100)) + if [[ $(($vhr % 3)) -ne 0 ]]; then + fhinc=01 + fi + ;; + natgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm3.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm3.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghm3.nemsio' + ;; + natgm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm2.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm2.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghm2.nemsio' + ;; + natgm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm1.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm1.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghm1.nemsio' + ;; + natgp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp1.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp1.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghp1.nemsio' + ;; + natgp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp2.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp2.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghp2.nemsio' + ;; + natgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp3.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp3.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$ghp3.nemsio' + ;; + natcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmanl.nemsio + $COMINgdas/gdas.t${cyc}z.atmanl.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmanl.nemsio + $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' + fhbeg=00 + ;; + nsfges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' + ;; + nsfgm3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm3.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm3.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghm3.nemsio' + ;; + nsfgm2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm2.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm2.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghm2.nemsio' + ;; + nsfgm1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm1.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm1.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghm1.nemsio' + ;; + nsfgp1) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp1.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp1.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghp1.nemsio' + ;; + nsfgp2) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp2.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp2.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghp2.nemsio' + ;; + nsfgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp3.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp3.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$ghp3.nemsio' + ;; + nsfcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcanl.nemsio + $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl.nemsio + $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' + fhbeg=00 + ;; + nstcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' + getlist00=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstanl.nemsio + $COMINgdas/gdas.t${cyc}z.nstanl.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstanl.nemsio + $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' + fhbeg=00 + ;; + nflges) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' + ;; + nflgp3) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$ghp3.nemsio + $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$ghp3.nemsio + $COMINgfs/gfs.t${cyc}z.flxf$ghp3.nemsio' + ;; + nflcur) geslist=' + $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio + $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio + $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio + $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' + fhbeg=00 + ;; + esac +fi + +# Check validity of options. +if [[ $fhour != any ]];then + fhbeg=$fhour + fhend=$fhour +fi +if [[ $valid -lt 20000000 ]];then + valid=20$valid + echo '************************************************************' >&2 + echo '* WARNING: A 2-digit year was converted to a 4-digit year. *' >&2 + echo '* Please use full a 4-digit year in this utility. *' >&2 + echo '************************************************************' >&2 +fi +if [[ $($NDATE 0 $valid 2>/dev/null) != $valid ]];then + echo getges.sh: invalid date $valid >&2 + exit 2 +fi +if [[ -z "$geslist" ]];then + echo getges.sh: filetype $typef or resolution $resol not recognized >&2 + exit 2 +fi + +#------------------------------------------------------------------------------- +# Loop until guess is found. +fh=$fhbeg +if [ -z "$PDY" ];then echo "getges.sh WARNING: \$PDY variable not set" >&2; fi +while [[ $fh -le $fhend ]];do + ((fhm6=10#$fh-6)) + [[ $fhm6 -lt 10 && $fhm6 -ge 0 ]]&&fhm6=0$fhm6 + ((fhm5=10#$fh-5)) + [[ $fhm5 -lt 10 && $fhm5 -ge 0 ]]&&fhm5=0$fhm5 + ((fhm4=10#$fh-4)) + [[ $fhm4 -lt 10 && $fhm4 -ge 0 ]]&&fhm4=0$fhm4 + ((fhm3=10#$fh-3)) + [[ $fhm3 -lt 10 && $fhm3 -ge 0 ]]&&fhm3=0$fhm3 + ((fhm2=10#$fh-2)) + [[ $fhm2 -lt 10 && $fhm2 -ge 0 ]]&&fhm2=0$fhm2 + ((fhm1=10#$fh-1)) + [[ $fhm1 -lt 10 && $fhm1 -ge 0 ]]&&fhm1=0$fhm1 + ((fhp1=10#$fh+1)) + [[ $fhp1 -lt 10 ]]&&fhp1=0$fhp1 + ((fhp2=10#$fh+2)) + [[ $fhp2 -lt 10 ]]&&fhp2=0$fhp2 + ((fhp3=10#$fh+3)) + [[ $fhp3 -lt 10 ]]&&fhp3=0$fhp3 + gh=$fh;[[ $gh -lt 100 ]]&&gh=0$gh + ghm6=$fhm6;[[ $ghm6 -lt 100 ]]&&ghm6=0$ghm6 + ghm5=$fhm5;[[ $ghm5 -lt 100 ]]&&ghm5=0$ghm5 + ghm4=$fhm4;[[ $ghm4 -lt 100 ]]&&ghm4=0$ghm4 + ghm3=$fhm3;[[ $ghm3 -lt 100 ]]&&ghm3=0$ghm3 + ghm2=$fhm2;[[ $ghm2 -lt 100 ]]&&ghm2=0$ghm2 + ghm1=$fhm1;[[ $ghm1 -lt 100 ]]&&ghm1=0$ghm1 + ghp1=$fhp1;[[ $ghp1 -lt 100 ]]&&ghp1=0$ghp1 + ghp2=$fhp2;[[ $ghp2 -lt 100 ]]&&ghp2=0$ghp2 + ghp3=$fhp3;[[ $ghp3 -lt 100 ]]&&ghp3=0$ghp3 + id=$($NDATE -$fh $valid) + typeset -L8 day=$id + typeset -R2 cyc=$id + eval list=\$getlist$fh + [[ -z "$list" ]]&&list=${geslist} + for ges_var in $list;do + # Replace variables in guess with their values + eval ges_val=$ges_var + # Replace the current PDY with the valid date + ges=${ges_val/$PDY\//$day/} + [[ $quiet = NO ]]&&echo Checking: $ges >&2 + [[ -r $ges ]]&&break 2 + done + fh=$((10#$fh+10#$fhinc)) + [[ $fh -lt 10 ]]&&fh=0$fh +done +if [[ $fh -gt $fhend ]];then + echo getges.sh: unable to find $netwk.$envir.$typef.$resol.$valid >&2 + exit 8 +fi + +#------------------------------------------------------------------------------- +# Either copy guess to a file or write guess name to standard output. +if [[ -z "$gfile" ]];then + echo $ges + exit $? +else + cp $ges $gfile + exit $? +fi diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.txt new file mode 100644 index 0000000..87bbf4e --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.txt @@ -0,0 +1 @@ +GETGESpre=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/getges.sh diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.sh new file mode 100755 index 0000000..4448067 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.sh @@ -0,0 +1,127 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + + +# This script performs rawinsonde upper-air complex quality control checking +# +# It is normally executed by the script prepobs_makeprepbufr.sh +# but can also be executed from a checkout parent script +# -------------------------------------------------------------------------- + +set -aux + +qid=$$ + +# Positional parameters passed in: +# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr +# file upon successful completion of this script (note that input +# prepbufr file is NOT saved by this script) + +# Imported variables that must be passed in: +# DATA - path to working directory +# CQCS - path to PREPOBS_CQCBUFR program statbge file +# CQCX - path to PREPOBS_CQCBUFR program executable +# CQCC - path to PREPOBS_CQCBUFR program parm cards + +# Imported variables that can be passed in: +# pgmout - string indicating path to for standard output file +# (skipped over by this script if not passed in) +# PRPI_m24 - string indicating path to prepbufr file valid 24-hours previous +# (only needed if temporal checking is being done) +# (skipped over by this script if not passed in) +# PRPI_m12 - string indicating path to prepbufr file valid 12-hours previous +# (only needed if temporal checking is being done) +# (skipped over by this script if not passed in) +# PRPI_p12 - string indicating path to prepbufr file valid 12-hours ahead +# (only needed if temporal checking is being done) +# (skipped over by this script if not passed in) +# PRPI_p24 - string indicating path to prepbufr file valid 24-hours ahead +# (only needed if temporal checking is being done) +# (skipped over by this script if not passed in) + +cd $DATA +PRPI=$1 +if [ ! -s $PRPI ] ; then exit 1 ;fi + +cp /dev/null $DATA/prepbufr_m24 +cp /dev/null $DATA/prepbufr_m12 +cp /dev/null $DATA/prepbufr_p12 +cp /dev/null $DATA/prepbufr_p24 + +set +u +[ -n "$PRPI_m24" ] && cp $PRPI_m24 prepbufr_m24 +[ -n "$PRPI_m12" ] && cp $PRPI_m12 prepbufr_m12 +[ -n "$PRPI_p12" ] && cp $PRPI_p12 prepbufr_p12 +[ -n "$PRPI_p24" ] && cp $PRPI_p24 prepbufr_p24 +set -u + +rm $PRPI.cqcbufr +rm cqc_events cqc_stncnt cqc_stnlst + +pgm=`basename $CQCX` +if [ -s $DATA/prep_step ]; then + set +u + . $DATA/prep_step + set -u +else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +fi + +export FORT4=cqcbufr.unit04.wrk +export FORT12=cqc_events +export FORT14=$PRPI +export FORT15=cqc_stncnt +export FORT16=cqc_stnlst +export FORT17=prepbufr_m24 +export FORT18=prepbufr_m12 +export FORT19=prepbufr_p12 +export FORT20=prepbufr_p24 +export FORT22=cqc_wndpbm +export FORT23=$CQCS +export FORT51=$PRPI.cqcbufr +export FORT52=cqc_sdm +export FORT60=cqcbufr.unit60.wrk +export FORT61=cqcbufr.unit61.wrk +export FORT62=cqcbufr.unit62.wrk +export FORT64=cqcbufr.unit64.wrk +export FORT68=cqc_radcor +export FORT80=cqcbufr.unit80.wrk +TIMEIT=${TIMEIT:-""} +[ -s $DATA/time ] && TIMEIT="$DATA/time -p" +# The following improves performance on Cray-XC40 if $CQCX was +# linked to the IOBUF i/o buffering library +export IOBUF_PARAMS='*wrk:verbose,*cqc_*:verbose' +$TIMEIT $CQCX< $CQCC > outout 2> errfile +err=$? +unset IOBUF_PARAMS +###cat errfile +cat errfile >> outout +cat outout >> cqcbufr.out +set +u +[ -n "$pgmout" ] && cat outout >> $pgmout +set -u +rm outout +set +x +echo +echo 'The foreground exit status for PREPOBS_CQCBUFR is ' $err +echo +set -x +if [ -s $DATA/err_chk ]; then + $DATA/err_chk +else + if test "$err" -gt '0' + then +######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out + # in ops + exit 55 + fi +fi + +if [ "$err" -gt '0' ]; then + exit 9 +else + mv $PRPI.cqcbufr $PRPI +fi + +exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.txt new file mode 100644 index 0000000..0395d77 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.txt @@ -0,0 +1 @@ +CQCX=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_cqcbufr diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.sh new file mode 100755 index 0000000..bb8f950 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.sh @@ -0,0 +1,101 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + + +# This script performs VAD wind complex quality control checking +# +# It is normally executed by the script prepobs_makeprepbufr.sh +# but can also be executed from a checkout parent script +# -------------------------------------------------------------- + +set -aux + +qid=$$ + +# Positional parameters passed in: +# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr +# file upon successful completion of this script (note that input +# prepbufr file is NOT saved by this script) +# 2 - ncep production date (YYYYMMDDHH) + +# Imported variables that must be passed in: +# DATA - path to working directory +# VQCX - path to PREPOBS_CQCVAD program executable + +# Imported variables that can be passed in: +# pgmout - string indicating path to for standard output file (skipped +# over by this script if not passed in) + +cd $DATA +PRPI=$1 +if [ ! -s $PRPI ] ; then exit 1;fi +CDATE10=$2 + +set +x +cat <<\EOFc > cqcvad05 + &NAMLST + HONOR_FLAGS=TRUE, ! If TRUE then levels with bad q.m. flags are honored + PRINT_52=TRUE, ! If TRUE then writes bird quality control information + ! to unit 52 + PRINT_53=FALSE, ! If TRUE then writes a final report listing with q.c. + ! information to unit 53 + PRINT_60=FALSE, ! If TRUE then writes event information to unit 60 + TEST=FALSE ! If TRUE then writes diagnostic print to stdout (unit 06) + / +EOFc +set -x + +rm $PRPI.cqcvad + +pgm=`basename $VQCX` +if [ -s $DATA/prep_step ]; then + set +u + . $DATA/prep_step + set -u +else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +fi + +export FORT11=$PRPI +echo "$CDATE10" > cdate10.dat +export FORT14=cdate10.dat +export FORT51=$PRPI.cqcvad +export FORT52=cqcvad.birdqc +export FORT53=cqcvad.unit53.wrk +export FORT55=cqcvad.unit55.wrk +export FORT60=cqcvad.unit60.wrk +TIMEIT=${TIMEIT:-""} +[ -s $DATA/time ] && TIMEIT="$DATA/time -p" +$TIMEIT $VQCX < cqcvad05 > outout 2> errfile +err=$? +###cat errfile +cat errfile >> outout +cat outout >> cqcvad.out +set +u +[ -n "$pgmout" ] && cat outout >> $pgmout +set -u +rm outout +set +x +echo +echo 'The foreground exit status for PREPOBS_CQCVAD is ' $err +echo +set -x +if [ -s $DATA/err_chk ]; then + $DATA/err_chk +else + if test "$err" -gt '0' + then +######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out + # in ops + exit 55 + fi +fi + +if [ "$err" -gt '0' ]; then + exit 9 +else + mv $PRPI.cqcvad $PRPI +fi + +exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.txt new file mode 100644 index 0000000..1898aed --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.txt @@ -0,0 +1 @@ +VQCX=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_cqcvad diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.sh new file mode 100755 index 0000000..ff85639 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.sh @@ -0,0 +1,2466 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + +#### UNIX Script Documentation Block +# +# Script name: prepobs_makeprepbufr.sh +# Script description: Prepares & quality controls PREPBUFR file +# +# Author: Keyser Org: EMC Date: 2017-04-20 +# +# Abstract: This script creates the PREPBUFR file containing observational data +# assimilated by all versions of NCEP atmospheric analyses. It points to BUFR +# observational data dumps as input files. PREPOBS_PREPDATA combines them to +# generate an initial form of the PREPBUFR file which also contains the +# background guess as well as the observational error field. If tropical +# cyclone relocation processing has previously occurred, the background global +# guess read in by PREPOBS_PREPDATA (and later by SYNDAT_SYNDATA if it runs) +# is the relocated guess valid at the center date/time for the PREPBUFR +# processing. Otherwise it is the regular (unrelocated) global atmosperic +# guess obtained via the getges utility script. After PREPOBS_PREPDATA runs, +# this script can execute SYNDAT_SYNDATA to generate synthetic wind bogus +# data, as well as their background guess and observational error fields, +# which are appended to the PREPBUFR file. +# +# In the global networks the decision to append synthetic wind bogus data in +# the SYNDATA processing is determined by the outcome of the previous +# tropical cyclone relocation processing (if it was run). There are three +# possible outcomes: +# 1) If all storms in the original tcvitals file have vorticies of at +# least medium intensity such that a relocation was previously +# performed for each, then SYNDAT_SYNDATA will still run but will not +# append synthetic wind bogus data to the PREPBUFR file for any storm. +# It will input the original tcvitals file (output from qctropcy +# processing) and (if the option is set) it will flag dropwinsonde +# winds in the vicinity of each tropical storm in the file. +# 2) If all storms in the original tcvitals file (output from qctropcy +# processing) have weak vorticies such that a relocation was not +# previously performed for any, then SYNDAT_SYNDATA will run, inputting +# the original tcvitals file, and it will append synthetic wind bogus +# data to the PREPBUFR file for each storm in it. It will also +# possibly flag mass pressure and/or dropwinsonde wind reports in the +# vicinity of each storm (if requested). +# 3) If some storms in the original tcvitals file (output from qctropcy +# processing) have weak vorticies, such that a relocation was not +# previously performed for them, and others have vorticies of at least +# medium intensity, such that a relocation was previously performed for +# these, then SYNDAT_SYNDATA will run twice. The first time, it will +# input the relocation-generated tcvitals file, which contains all of +# the weak storms, and it will append synthetic wind bogus data to the +# PREPBUFR file for each storm in it. It will also possibly flag mass +# pressure and/or dropwinsonde wind reports in the vicinity of each of +# these storms (if requested). The second time SYNDAT_SYNDATA runs, it +# will input any storm records that were in the original tcvitals file +# but not in the relocation-generated tcvitals file (i.e., storms with +# vorticies of at least medium intensity). It will not append +# synthetic wind bogus data to the PREPBUFR file for any of these +# storms, but it will flag dropwinsonde winds in the vicinity of each +# storm in the original tcvitals file but not in the relocation- +# generated tcvitals file (if requested). +# If this is the nam network, the only reason relocation processing would +# have been previously run would be to update the first guess read in here by +# PREPOBS_PREPDATA and SYNDAT_SYNDATA. In this case, SYNDAT_SYNDATA inputs +# the original tcvitals file (output from qctropcy processing), appends +# synthetic wind bogus data to the PREPBUFR file for each storm in it, and +# possibly also flags mass pressure and/or dropwinsonde wind reports in the +# vicinity of each storm in the file (if requested). +# +# After all of this, the script then executes a series of quality control +# programs which can change the observation value and/or its quality marker. +# The PREPBUFR file is set up such that all changes to data are stacked on +# top of previous values. Such changes are considered to be "events", with +# the event containing an associated program code and reason code to describe +# it. This allows the PREPBUFR file to internally contain a record of all +# events preformed on the observations. This script has been designed to be +# executed by either an "operational J-job" script, a "test J-job" script, a +# "parallel J-job" script, or a stand-alone batch run initiated by a user. +# +# Script history log: +# 1999-07-20 Dennis A. Keyser -- Original version for implementation +# 2000-06-14 Dennis A. Keyser -- Added the tropical cyclone relocation +# processing +# 2001-03-20 Dennis A. Keyser -- Now gets tcvitals file for t-12 as well as +# t-06 in tropical cyclone relocation processing and passes both to ush +# relocate_relocate_ts.sh as new pos. parameters 3 and 4 +# 2004-01-15 Dennis A. Keyser -- Reads file *aircar_status_flag* in $COMSP +# path to see whether ARINC (primary) or AFWA (backup) reports in AIRCAR +# dump should be read and processed as ACARS data in PREPBUFR (flag file +# generated in upstream dump process and is based on a comparison of report +# counts), inserts proper switch in parm cards read by PREPOBS_PREPDATA +# program +# 2004-08-30 Dennis A. Keyser -- Connects new data dumps to PREPDATA +# processing, copies t-3 and t+3 sigma guess files for GFS and GDAS even if +# DO_RELOCATE = NO (unless GETGUESS = NO); Copies the "PRE-QC" snapshot of +# the PREPBUFR file AFTER SYNDAT_SYNDATA runs (if it does) rather than +# before it runs (ensures that the PRE-QC PREPBUFR file contains ALL of the +# observations); Variable PRVT (observational error table file path) is now +# read by NAM network and defaults to $FIXPREP/prepobs_errtable.nam if not +# imported (obs. errors are now read into PREPBUFR file in NAM network in +# preparation for the switch to the GSI analysis, the operational 3DVAR +# analysis ignores the obs errors in PREPBUFR and still reads them in from +# $PARMPREP/nam_errtable.r3dv) +# 2005-07-01 Dennis A. Keyser -- Logic changes to run SYNDAT_SYNDATA in all +# networks where requested regardless of outcome of relocation processing, +# but sets new script variable DO_BOGUS to NO if SYNDAT_SYNDATA is to NOT +# generate synthetic wind bogus reports and append them to PREPBUFR file +# (SYNDAT_SYNDATA program also modified to read in this variable) - change +# needed because (if requested) SYNDAT_SYNDATA will now flag all +# dropwinsonde wind reports in vicinity of each storm in original tcvitals +# file, regardless of whether or not bogus winds are generated +# 2006-03-22 Dennis A. Keyser -- Accounts for possibility of split dump status +# files (status1 and status2) at the time this runs {in the test for the +# presence of the dump status file(s)} +# 2006-06-13 Dennis A. Keyser -- Adds dump file "wdsatr" to default BUFRLIST +# value and assigns it to unit 38 read in to PREPOBS_PREPDATA; Removed +# tropical cyclone relocation processing, this is now done (if requested) +# in a new script called tropcy_relocate.sh which runs in the new +# TROPCY_QC_RELOC job prior to the PREP job that executes this script +# (TROPCY_QC_RELOC first performs qctropcy processing, this was moved from +# the DUMP job) - this was done to allow the TROPCY_QC_RELOC job to run at +# the same time as the DUMP job in order to speed up overall obs +# processing and remove variability in the PREP job executing this script +# (i.e., this job had run faster when no tropical storms were present) +# 2007-09-14 Dennis A. Keyser -- Replaced "export XLFUNIT_xx=" with +# "ln .sf fort.xx" in preparation for PREPOBS_PREPDATA +# interfacing with global spectral guess files using sigio routines (via +# W3LIB routine GBLEVENTS - sigio requires explicit open statements in the +# code and this conflicts with XLFUNIT statements; Removed test on +# existence of status2 file from NAM_DUMP2 and NDAS_DUMP2 jobs since dump +# files here (currently only "nexrad") are not processed into the PREPBUFR +# file - PREP job initiation may soon no longer be dependent upon +# completion of DUMP2 job in NAM and NDAS networks; in the case where an +# input (normally, pre-QC) PREPBUFR file is passed into the script via the +# variable PREPBUFR_IN, it had been assumed that this file had already +# been run through SYNDATA processing (but that was not the case prior to +# 12Z 25 Jan 2005) - this script changed to use the value of variable +# SYNDATA to determine if the file in PREPBUFR_IN should be run through +# SYNDATA processing (i.e., if SYNDATA=YES, run it through SYNDATA +# processing) (this change will allow reanalysis runs prior to 12Z 25 Jan +# 2005 to work properly) +# 2008-09-25 Dennis A. Keyser -- Added dump file "ascatw" to default BUFRLIST +# value and assigns it to unit 39 read in to PREPOBS_PREPDATA; in +# preparation for future NRL aircraft QC code NRLACQC, added new script +# variables NRLACQC (def=NO), USHNQC (def=${HOMEALL}/ush), NQCX +# (def=$EXECPREP/prepobs_nrlacqc) and NQCC +# (def=$PARMPREP/prepobs_nrlacqc.${NET}.parm"), if NRLACQC=YES will +# execute script USHNQC to perform NRL aircraft QC (not yet ready) +# 2011-10-14 D.A. Keyser -- Updated to handle new "rap" (Rapid Refresh) +# network and its model runs "rap", "rap_p" and "rap_e" +# 2012-05-09 S. Bender/D. Keyser -- Removed all prior references to "NRL" +# aircraft QC script variables (never actually used) since the NRL +# aircraft QC nomenclature is being dropped in place of the existing +# PREPACQC nomenclature and will use its existing script variables; +# removed all references to the ACARSQC processing since it is no longer +# executed (ACARS QC is now performed within the revamped PREPACQC +# processing); removed script variables no longer used by the new version +# of the PREPACQC processing; added new script variables which are +# associated with the new program PREPOBS_PREPACPF which now runs as a +# second program within the PREPACQC processing (after program +# PREPOBS_PREPACQC) in the ush script prepobs_prepacqc.sh {PROCESS_ACQC +# (def=YES), PROCESS_ACPF (def=YES), DICTPREP (def=$HOMEALL/dictionaries), +# DICT (def=$DICTPREP/metar.tbl), APFX (def=$EXECPREP/prepobs_prepacpf), +# and the new second argument "$DATA/adpsfc" passed to +# prepobs_prepacqc.sh} +# 2013-03-05 D.A. Keyser -- Modified to properly run on WCOSS system: replaced +# all usage of "timex" with "time -p."; replaced script variables +# XLFUNIT_n with FORTn (where n is the unit number connected to the +# filename defined by the variable FORTn) - needed because ifort uses +# FORTn; script is now set to run under ksh shell as the default; added +# script variable "BACK" which, when YES, threads the mp_prepdata herefile +# into background shells that run simultaneously (an alternative option +# to poe which is not ready on WCOSS); touches all dump files not included +# in BUFRLIST so that they will not cause a read error if PREPOBS_PREPDATA +# tries to read them +# 2014-01-15 S. Melchior -- Placed into new OBSPROC_PREP vertical directory +# structure/environmental equivalence paradigm. As a result: imports new +# environment variable $HOMEobsproc_prep which points to directory path for +# generic prep subdirectories under version control (in production this is +# normally /nwprod/obsproc_prep.vX.Y.Z where X.Y.Z is version number being +# used, usually the latest); and imports new environment variable +# $HOMEobsproc_network which points to directory path for network-specific +# prep subdirectories under version control (in production this is normally +# /nwprod//obsproc_NETWORK.vX.Y.Z where NETWORK is, e.g., global, namp, rap, +# rtma, urma, and X.Y.Z is version number being used, usually the latest) - +# these replace /nw${envir} in order to point to files moved from +# horizontal to vertical directory structure. +# 2014-02-25 D.A. Keyser -- Removed all references to RUC. Removed option +# to run on MACHINE=sgi - this is obsolete (as a result variables $MACHINE +# and $HOMEALL are no longer used in this script). Replaced variable +# $EXECUTIL with $utilexec for directory path to utility program ndate +# (both were exported from job scripts with same value, $EXECUTIL has now +# been removed from all job scripts). Removed all references to "cdc" +# network (this is obsolete). +# 2014-07-23 D.A. Keyser -- Imported script environment variable DICTPREP now +# defaults to new vertical structure directory path location for metar.tbl +# dictionary, /nw${envir}/decoders/decod_shared/dictionaries, rather than +# old horizontal structure location, /nw${envir}/dictionaries (the latter +# will be removed in September 2014). +# 2016-02-05 JWhiting -- Use NCO-established variables to point to root +# directories for main software components and input/output directories in +# order to run on WCOSS Phase 1 or Phase 2 (here, $COMROOT which replaces +# hardwire to "/com", $NWROOT which replaces hardwire to "/nwprod" in +# comments only). Use NCO-established variables (presumably obtained from +# modules) to point to prod utilities [here, $NDATE from module prod_util +# (default or specified version, loaded in each network which executes this +# script) which replaces executable ndate in non-versioned, horizontal +# structure utility directory path defined by imported variable $utilexec]. +# 2016-04-29 D.A. Keyser -- Updated logic such that when tropical cyclone +# relocation has not run, a first guess is required, the network is gfs or +# gdas, but the cycle time is not 00, 06, 12 or 18z, no attempt will be +# made to obtain a guess 3-hrs before and after cycle time (since it can +# fail). Instead this is treated the same as any 3- or 1-hrly cycle run +# (like rap, e.g.) meaning two guess files will be obtained at the +# spanning 3 hour interval around any cycle time not a multiple of 3 hrs. +# BENEFIT: Allows future hourly WAM model to run properly. +# 2016-07-12 D.C. Stokes -- Reinstated poe option to run multiple instances +# of the PREPDATA processing script in parallel. New variable $launcher +# defines the parallel scripting launch mechanism (description below). +# Added logic to create scaled down versions of err_chk and err_exit +# scripts if they don't exist in the working directory and eliminated +# similar blocks of logic that had been repeated throughout the script. +# Updated USHGETGES default to pick up more recent versions of getges.sh. +# 2017-02-07 D.C. Stokes -- Updated to run on Cray-XC40 as well as iDataPlex. +# If on Cray-XC40, default parallel scripting launching mechanism is cfp +# inovked by aprun. Variable name used for launching mechanism changed from +# "launcher" to "launcher_PREP". Variable COMDATEROOT is now the primary +# default for the root of the directory containing NCEP date files. The +# variable NWROOTp1 is now the default root for directory DICTPREP. Logic +# used to determine if $COMSP points to production "com" directory was +# updated to recognize full path name (as needed on luna/surge). +# 2017-03-19 D.C. Stokes/D.A. Keyser -- Updated to input nemsio atmopheric +# guess files -or- the older sigio atmospheric files. The nemsio option +# is triggered by flag NEMSIO_IN=.true. For nemsio runs, a single guess +# file valid at the prepbufr center time is picked up, even for runs with +# center time that is not a multiple of 3. Also the dbn_alert subtype is +# now dependent upon $RUN (for transition from "gdas1" to "gdas"). +# 2017-04-20 D.C. Stokes -- Relocated assignments of variable stype to ensure +# it always passes the proper value to the getges utility script. +# +# +# Usage: prepobs_makeprepbufr.sh yyyymmddhh +# +# Input script positional parameters: +# 1 String indicating the center date/time for the PREPBUFR +# processing - if missing, then this time +# is obtained from the ${COMDATEROOT}/date/$cycle file +# +# Imported Shell Variables: +# +# These must ALWAYS be exported to this script by the parent script -- +# +# COMROOT Root to input/output "com" directory (in production, +# normally "/com", "/com2", or "/gpfs/hps/nco/ops/com") +# NSPLIT Number of parts into which the PREPDATA processing shell +# script (herefile MP_PREPDATA) will be split in order to +# run in parallel for computational efficiency (either using +# multiple tasks when POE is not "NO" or in background threads +# when BACK is "YES") +# NOTE : This is required ONLY if the imported shell variable +# POE is not "NO" (see below) or the imported shell +# variable BACK is "YES" (see below) (i.e., a parallel +# environment), and the imported shell variable +# PREPDATA=YES (see below) +# NET String indicating system network {either "gfs", "gdas", +# "cdas", "nam", "rap", "rtma" or "urma"} +# NOTE : NET is changed to gdas in the parent Job script for +# RUN=gdas or RUN=gdas1 (was gfs) +# RUN String indicating model run {either "gfs", "gdas", "gdas1", +# "cdas", "nam", "ndas", "rap", "rap_p", "rap_e", +# "rtma", or "urma"} +# cycle String indicating the center cycle hour for PREPBUFR +# processing {"txxz", where xx is two-digit hour of the day +# (UTC)} +# NOTE : This is required ONLY if input script positional +# parameter 1 is missing (see above) +# DATA String indicating the working directory path (usually a +# temporary location) +# COMSP String indicating the directory/filename path to input BUFR +# observational data dumps, tropical cyclone location +# (tcvitals) files, global atmos guess files, and status +# files (e.g., "$COMROOT/gfs/prod/gfs.20060612/gfs.t12z.") +# DBNROOT String indicating directory path to bin/dbn_alert file +# location +# NOTE : This is required ONLY if the imported shell variable +# SENDDBN is "YES" (see below) +# job - String indicating job name (e.g., 'gdas_prep_12') +# NOTE : This is required ONLY if the imported shell variable +# SENDDBN is "YES" (see below) +# $HOMEobsproc_prep - string indicating directory path to generic prep +# subdirectories under version control +# (in production this is normally +# ${NWROOT}/obsproc_prep.vX.Y.Z where X.Y.Z is +# version number being used, usually the latest) +# $HOMEobsproc_network - string indicating directory path to network- +# specific prep subdirectories under version control +# (in production this is normally +# ${NWROOT}/obsproc_NETWORK.vX.Y.Z where NETWORK is, +# e.g., global, nam, rap, rtma, urma, and X.Y.Z is +# version number being used, usually the latest) +# +# These will be set to their default value in this script if not exported +# to this script by the parent script -- +# +# SITE Site name (may have been set by local shell startup script) +# Default is "" +# sys_tp System type and phase. If not imported, an attempt is made +# to set it using getsystem.pl (an NCO prod_util script). +# A failed attempt results in an empty string. +# NEMSIO_IN Flag that if ".true." indicates that nemsio atmospheric +# background fields will be input rather than sigio. +# Default is "" +# SENDDBN String indicating whether or not to alert an output file to +# the NWS/TOC (= "YES" - invoke alert; anything else - do not +# invoke alert) +# Default is "NO" +# NPROCS Number of "poe" tasks to use for mpmd (must be .GE. $NSPLIT) +# NOTE : This is applicable ONLY if the imported shell +# variable POE is not "NO" (see below) and variable +# launcher_PREP is not "cfp" or "aprun" (see below) and +# the imported shell variable PREPDATA=YES (see below) +# For LSF jobs, the count of hosts listed in string $LSB_HOSTS +# will be used to set NPROCS (overriding any imported value). +# Default is "$NSPLIT" +# envir String indicating environment under which job runs ('prod' +# or 'test') +# Default is "prod" +# envir_getges String indicating environment under which GETGES utility +# ush runs (see getges.sh docblock for more information) +# Default is "$envir" +# network_getges +# String indicating job network under which GETGES utility +# ush runs (see getges.sh docblock for more information) +# Default is "global" unless the center PREPBUFR processing +# date/time is not a multiple of 3-hrs and the global guess is +# sigio-based, then the default is "gfs" +# pgmout String indicating file containing standard output (output +# always contatenated onto this file) +# Default is "/dev/null" +# tstsp String indicating the directory/filename path to one or +# more BUFR observational data dumps and/or tropical cyclone +# location (tcvitals) files and/or global atmos guess files +# and/or status files that are to override the corresponding +# file in $COMSP (this should be imported with the same +# naming convention as $COMSP; e.g., +# "/gpfstmp/wx22dk/test_dump/ndas.20060612/ndas.t12z." - +# (if tstsp is not imported, the default is used and no +# overriding file would exist; if tstsp is imported then any +# file found would override the correspoding file in $COMSP) +# Default is "/tmp/null/" +# tmmark - string indicating hour for center PREPBUFR processing date/ +# time relative to the analysis time embedded in $tstsp or +# $COMSP (e.g., "tm12", "tm09", "tm06", "tm03", "tm00") +# Default is "tm00" +# BUFRLIST String indicating list of BUFR data dump file names to +# process +# Default is "adpupa proflr aircar aircft satwnd adpsfc \ +# sfcshp sfcbog vadwnd goesnd spssmi erscat qkswnd msonet \ +# gpsipw rassda wdsatr ascatw" +# POE String indicating whether or not to use a poe-like launcher +# to spread instances of the PREPBUFR processing herefile +# MP_PREPDATA over multiple pes in parallel. (= "NO" - +# do not invoke invoke "poe"; anything else - invoke "poe") +# Default is "YES" +# launcher_PREP Parallel scripting launch tool. Settings are in place for +# aprun, mpirun.lsf, and cfp but a different tool can be +# specified. +# NOTE : This is applicable ONLY if the imported shell +# variable POE is not "NO" and the imported shell +# variable PREPDATA=YES (see below) +# Default on Cray-XC40 is "aprun". Otherwise: "mpirun.lsf" +# BACK String indicating whether or not to run background shells +# (on the same task) for the PREPBUFR processing (= "YES" - +# run background shells; anything else - do not run +# background shells). IF BACK=YES on Cray-XC40, the shells +# are invoked by aprun. +# USHSYND String indicating directory path for SYNDATA ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHPREV String indicating directory path for PREVENTS ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHCQC String indicating directory path for CQCBUFR ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHPQC String indicating directory path for PROFCQC ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHVQC String indicating directory path for CQCVAD ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHAQC String indicating directory path for PREPACQC ush file +# Default is "${HOMEobsproc_prep}/ush" +# USHOIQC String indicating directory path for OIQCBUFR ush file +# Default is "${HOMEobsproc_prep}/ush" +# EXECPREP String indicating directory path for PREPOBS executables +# Default is "${HOMEobsproc_prep}/exec" +# PARMPREP String indicating directory path for PREPOBS parm files +# Default is "${HOMEobsproc_network}/parm" +# FIXPREP String indicating directory path for PREPOBS fix-field +# files +# Default is "${HOMEobsproc_prep}/fix" +# DICTPREP String indicating directory path for PREPOBS dictionary +# files +# Default is "${NWROOTp1}/decoders/decod_shared/dictionaries" +# EXECSYND String indicating directory path for SYNTHETIC data +# executables +# Default is "${HOMEobsproc_prep}/exec" +# PARMSYND String indicating directory path for SYNTHETIC parm files +# Default is "${HOMEobsproc_network}/parm" +# FIXSYND String indicating directory path for SYNTHETIC data fix- +# field files +# Default is "${HOMEobsproc_prep}/fix" +# GETGUESS String: if = "YES" will encode first guess (background) +# values interpolated by the program PREPOBS_PREPDATA to +# observation locations in the PREPBUFR file for use by the +# q.c. programs. This guess is always from a global atmos +# guess file valid at the center PREPBUFR processing date/ +# time or from an interpolated guess obtained from global +# atmos guess files valid at times 3-hours apart which span +# the PREPBUFR processing date/time (the latter is performed +# by the program PREPOBS_PREPDATA and occurs when the guess +# files are sigio-based and the PREPBUFR date/time hour is not +# a multiple of 3, e.g. 02Z rap or tm04 nam catchup runs). The +# guess file (or files) may be obtained in one of two ways: +# 1) From pre-existing files in the working directory +# $DATA called sgesprep and sgesprepA (either copied +# there prior to the execution of this script, or +# copied there earlier in this script from either +# $tstsp, or if not found there, $COMSP which was +# populated by the previous running of tropical +# cyclone relocation processing +# NOTE 1: sgesprepA is needed only when the guess is +# sigio-based and the PREPBUFR processing +# date/time is not a multiple of 3-hrs. +# NOTE 2: if previous tropical cyclone relocation +# processing was run, then an sgesprepA file +# is NEVER generated, not a problem since +# previous tropical cyclone relocation +# processing is not run in rap, rap_p or +# rap_e runs +# 2) Via the execution of the GETGES utility ush to +# obtain sgesprep (if pre-existing file $DATA/sgesprep +# does not exist), and possibly via the execution of +# the GETGES utility ush to obtain sgesprepA (if +# PREPBUFR processing date/time is not a multiple of +# 3-hrs and the global guess is sigio-based, and the +# pre-existing file $DATA/sgesprepA does not exist) +# Default is "YES" +# NOTE: If GETGUESS=NO, then the program PREPOBS_PREPDATA +# will NOT call w3emc routine GBLEVENTS to perform +# "prevents" processing +# PREPDATA String: if = "YES" will perform PREPDATA processing +# (in either a parallel or serial environment depending upon +# the values for POE and BACK) +# Default is "YES" +# SYNDATA String: if = "YES" will attempt to perform synthetic bogus +# processing (generation of synthetic bogus winds to be +# appended to PREPBUFR file and, possibly, flagging of mass +# pressure data "near" storms; and, possibly, flagging of +# dropwinsonde wind data "near" storms) +# Default is "YES" +# DO_QC String: if = "YES" will perform quality control +# Default is "YES" +# PREVENTS String: if = "YES" will encode background and obs. errors +# into PREPBUFR file (usually this should be "NO" since the +# programs PREPOBS_PREPDATA and SYNDAT_SYNDATA normally are +# set to perform this function) +# NOTE: Only invoked if DO_QC=YES +# Default is "NO" +# CQCBUFR String: if = "YES" will complex quality control radiosonde +# data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# PROFCQC String: if = "YES" will quality control wind profiler data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# CQCVAD String: if = "YES" will quality control VAD wind data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# PREPACQC String: if = "YES" will quality control aircraft data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# PROCESS_ACQC String: if = "YES" will execute PREPOBS_PREPACQC program as +# part of PREPACQC processing +# NOTE: Only invoked if PREPACQC=YES +# Default is "YES" +# PROCESS_ACPF String: if = "YES" will execute PREPOBS_PREPACPF program as +# part of PREPACQC processing +# NOTE: Only invoked if PREPACQC=YES +# Default is "YES" +# OIQCBUFR String: if = "YES" will perform final oi-based quality +# control on all data +# NOTE: Only invoked if DO_QC=YES +# Default is "YES" +# MPCOPYX String indicating executable path for PREPOBS_MPCOPYBUFR +# program +# Default is "$EXECPREP/prepobs_mpcopybufr" +# PRPX String indicating executable path for PREPOBS_PREPDATA +# program +# Default is "$EXECPREP/prepobs_prepdata" +# errPREPDATA_limit +# String indicating the highest allowed foreground exit +# status for program PREPOBS_PREPDATA (any exit status higher +# than this is considered a failure) +# (Note: errPREPDATA_limit=1 is ALWAYS considered a FAILURE) +# Default is "0" +# PRPC String indicating data card path for PREPOBS_PREPDATA +# program +# Default is "$PARMPREP/prepobs_prepdata.${NET}.parm" +# PRPT String indicating bufrtable file path for PREPOBS_PREPDATA +# program +# Default is "$FIXPREP/prepobs_prep.bufrtable" +# LANDC String indicating land/sea mask file path for +# PREPOBS_PREPDATA program +# Default is "$FIXPREP/prepobs_landc" +# PRVT String indicating observational error table file path for +# PREPOBS_PREPDATA, SYNDAT_SYNDATA and PREPOBS_PREVENTS +# programs (used by GBLEVENTS subroutine) +# NOTE: Only read by gdas, gfs, cdas and nam networks +# If imported "NET=gdas" or "NET=gfs", default is +# "$HOMEobproc_network/fix/prepobs_errtable.global"; +# if imported "NET=cdas", default is +# "$HOMEobsproc_network/fix/prepobs_errtable.cdas"; +# if imported "NET=nam", default is +# "$HOMEobsproc_network/fix/prepobs_errtable.nam" +# otherwise, default is "$DATA/scratch.PRVT" a null file +# LISTHDX String indicating executable path for PREPOBS_LISTHEADERS +# program +# Default is "$EXECPREP/prepobs_listheaders" +# MONOBFRX String indicating executable path for PREPOBS_MONOPREPBUFR +# program +# Default is "$EXECPREP/prepobs_monoprepbufr" +# SYNDX String indicating executable path for SYNDAT_SYNDATA +# program +# Default is "$EXECSYND/syndat_syndata" +# SYNDC String indicating data card path for SYNDAT_SYNDATA program +# Default is "$PARMSYND/syndat_syndata.${NET}.parm" +# PREX String indicating executable path for PREPOBS_PREVENTS +# program +# Default is "$EXECPREP/prepobs_prevents" +# PREC String indicating data card path for PREPOBS_PREVENTS +# program +# Default is "$PARMPREP/prepobs_prevents.${NET}.parm" +# AQCX String indicating executable path for PREPOBS_PREPACQC +# program +# Default is "$EXECPREP/prepobs_prepacqc" +# AQCC String indicating data card path for PREPOBS_PREPACQC +# program +# Default is "$PARMPREP/prepobs_prepacqc.${NET}.parm" +# APFX String indicating executable path for PREPOBS_PREPACPF +# program +# Default is "$EXECPREP/prepobs_prepacpf" +# DICT String indicating METAR station dictionary path for +# PREPOBS_PREPACPF program +# Default is "$DICTPREP/metar.tbl" +# PQCX String indicating executable path for PREPOBS_PROFCQC +# program +# Default is "$EXECPREP/prepobs_profcqc" +# PQCC String indicating data card path for PREPOBS_PROFCQC +# program +# Default is "$PARMPREP/prepobs_profcqc.${NET}.parm" +# VQCX String indicating executable path for PREPOBS_CQCVAD +# program +# Default is "$EXECPREP/prepobs_cqcvad" +# CQCX String indicating executable path for PREPOBS_CQCBUFR +# program +# Default is "$EXECPREP/prepobs_cqcbufr" +# CQCC String indicating data card path for PREPOBS_CQCBUFR +# program +# Default is "$PARMPREP/prepobs_cqcbufr.${NET}.parm" +# CQCS String indicating statbge path for PREPOBS_CQCBUFR program +# Default is "$FIXPREP/prepobs_cqc_statbge" +# OIQCX String indicating executable path for PREPOBS_OIQCBUFR +# program +# Default is "$EXECPREP/prepobs_oiqcbufr" +# OIQCT String indicating observational error table file path for +# PREPOBS_OIQCBUFR program +# NOTE: If imported "NET=cdas", default is +# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas"; +# otherwise default is +# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs" +# +# These do not have to be exported to this script. If they are, they will +# be used by the script. If they are not, they will be skipped +# over by the script. +# +# PREPBUFR_APP String indicating path to output PREPBUFR file for +# PREPOBS_PREPDATA program. +# If present and POE is "NO" and BACK is not "YES" (i.e., a +# serial environment), PREPOBS_PREPDATA will append all +# output BUFR messages to a copy of this file (prepda) in +# the current working directory, using the internal BUFR +# mnemonic table in the first several BUFR messages at the +# top of the file +# NOTE 1: In this case, it is assumed the the switch APPEND +# is set to TRUE in the parm cards $PRPC (careful, +# if APPEND is FALSE, the original copy of +# $PREPBUFR_APP will be wiped out and the case below +# will occur) +# NOTE 2: When POE is not "NO" or BACK is "YES" (i.e., a +# parallel environment), appending makes no sense +# because the original output PREPBUFR file is +# monolithic +# If not present or POE is not "NO" or BACK is "YES" (i.e., a +# parallel environment), PREPOBS_PREPDATA will write all +# output BUFR messages to a new file (prepda) in the current +# working directory using the external BUFR mnemonic table +# in the file $PRPT +# NOTE 3: In this case, it is assumed the the switch APPEND +# is set to FALSE in the parm cards $PRPC (careful, +# if APPEND is TRUE, PREPOBS_PREPDATA will abort +# because the original empty PREPBUFR file has no +# internal BUFR mnemonic table) +# PREPBUFR_IN String indicating path to input PREPBUFR file +# If present, this file will be used by SYNDAT_SYNDATA (if +# SYNDATA=YES - see @ below) and by all applicable Q.C. +# programs (set to to be invoked here) rather than the +# PREPBUFR file generated in this script by PREPOBS_PREPDATA +# (normally this would be used when PREPDATA=NO) +# @ - if the PREPBUFR_IN target file is obtained from +# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, +# then for all runs on and after 12Z 25 Jan 2005, +# SYNDATA should be NO because the target files +# will already contain synthetic bogus data; +# if the PREPBUFR_IN target file is obtained from +# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, +# then for all runs prior to 12Z 25 Jan 2005, +# SYNDATA should be YES because the target files +# will not have contain synthetic bogus data. +# If not present, then the PREPBUFR file generated in this +# script by PREPOBS_PREPDATA and possibly appended to by +# SYNDAT_SYNDATA is passed on as input to all applicable +# Q.C. programs +# jlogfile String indicating path to joblog file +# +# These do not have be exported to this script. +# +# COMDATEROOT Primary default for the root of the directory containing +# produciton date files. +# +# NWROOTp1 Root directory for production software on WCOSS Phase 1. +# +# USHGETGES String indicating directory path for GETGES utility script. +# Default is $HOMEobsproc_prep/ush. +# +# GETGESprep GETGES utility script. If NEMSIO_IN=.true., defaults to: +# $USHGETGES/getges.sh +# otherwise, defaults to: +# $USHGETGES/getges_sig.sh +# +# PREPDATAtpn Tasks per node when invoking cfp on Cray-XC40. Will be +# computed if needed but was not imported. +# +# These do not have to be exported to this script. If they are, they will +# be passed on to the script $USHCQC/prepobs_cqcbufr.sh. They are not used +# by this script. +# +# PRPI_m24 See documentation in $USHCQC/prepobs_cqcbufr.sh +# PRPI_m12 See documentation in $USHCQC/prepobs_cqcbufr.sh +# PRPI_p12 See documentation in $USHCQC/prepobs_cqcbufr.sh +# PRPI_p24 See documentation in $USHCQC/prepobs_cqcbufr.sh +# +# Exported Shell Variables: +# CDATE10 String indicating the center date/time for the PREPBUFR +# processing +# SGES Either ... +# 1) String indicating the full path name for global +# sigio-based or nemsio-based guess file valid at the +# center PREPBUFR processing date/time (in which case the +# center PREPBUFR processing date/time is a multiple of +# 3-hrs, or for any PREPBUFR center hour if global guess +# is nemsio-based) - This guess file will be encoded +# into the PREPBUFR file for use by the q.c. programs. +# -- or -- +# 2) String indicating the full path name for the global +# atmosperic guess file valid at the nearest cycle time +# prior to the center PREPBUFR processing date/time which +# is a multiple of 3 (in which case the center PREPBUFR +# processing date/time is not a multiple of 3-hrs and the +# global guess is sigio-based) - A linear interpolation +# (of the spectal coefficients) between this file and the +# guess file indicated by SGESA case 2 below will be +# performed by program PREPOBS_PREPDATA and encoded into +# the PREPBUFR file for use by the q.c. programs. The +# SGES file is always from the GFS in this case. +# NOTE 1: Only case 1 above is valid when tropical cyclone +# relocation processing previously occurred. +# NOTE 2: Case 2 above is necessary because the w3emc lib +# routine gblevents called by PREPOBS_PREPDATA +# expects that sigio-based guess files will only +# have valid hours which are a multiple of 3 +# NOTE 3: Only case 1 above is valid when global guess is +# nemsio-based. +# SGESA Either ... +# 1) String set to "/dev/null" for case 1 of SGES above +# (default) +# -- or -- +# 2) String indicating the full path name for the global +# sigma guess file valid at the nearest cycle time after +# the center PREPBUFR processing/date time which is a +# multiple of 3 for case 2 of SGES above - A linear +# interpolation (of the spectal coefficients) between +# this guess file and the guess file indicated by SGES +# above (see case 2 for SGES) will be performed by the +# program PREPOBS_PREPDATA and encoded into the PREPBUFR +# file for use by the q.c. programs. The SGESA file is +# always from the GFS in this case and its forecast hour +# is 3-hrs later than the SGES file (thus both initiate +# at the same time). +# NOTE 1: Only case 1 above is valid when tropical cyclone +# relocation processing previously occurred. +# NOTE 2: Case 2 above is necessary because the w3emc lib +# routine gblevents called by PREPOBS_PREPDATA +# expects that sigio-based guess files will only +# have valid hours which are a multiple of 3 +# NOTE 3: Only case 1 above is valid when global guess is +# nemsio-based. +# +# +# Modules and files referenced: +# herefiles : $DATA/MP_PREPDATA +# $DATA/MERGE_MSGS +# scripts : $USHGETGES/getges.sh +# $USHGETGES/getges_sig.sh +# $USHSYND/prepobs_syndata.sh +# $USHPREV/prepobs_prevents.sh +# $USHCQC/prepobs_cqcbufr.sh +# $USHPQC/prepobs_profcqc.sh +# $USHVQC/prepobs_cqcvad.sh +# $USHAQC/prepobs_prepacqc.sh +# $USHOIQC/prepobs_oiqcbufr.sh +# $DATA/postmsg (required ONLY if "$jlogfile" is present) +# $DATA/prep_step {here and by referenced script(s)} +# $DATA/err_exit +# $DATA/err_chk {here and by referenced script(s)} +# (NOTE: The last three scripts above are NOT REQUIRED +# utilities. If $DATA/prep_step not found, a scaled down +# version of it is executed in-line. If $DATA/err_exit +# or $DATA/err_chk are not found, scaled down versions, +# created in-line, are executed. +# executables: $NDATE (from prod_util module) +# programs : +# PREPOBS_MPCOPYBUFR - executable: $MPCOPYX +# PREPOBS_PREPDATA - executable: $PRPX +# land/sea mask: $LANDC +# bufr mnemonic user table: $PRPT +# obs. error table: $PRVT +# data cards: $PRPC +# PREPOBS_LISTHEADERS - executable: $LISTHDX +# PREPOBS_MONOPREPBUFR - executable: $MONOBFRX +# SYNDAT_SYNDATA - executable: $SYNDX +# T126 gaussian land/sea mask: +# $FIXSYND/syndat_syndata.slmask.t126.gaussian +# weights: $FIXSYND/syndat_weight +# obs. error table: $PRVT +# data cards: $SYNDC +# PREPOBS_PREVENTS - executable: $PREX +# obs. error table: $PRVT +# data cards: $PREC +# PREPOBS_PREPACQC - executable: $AQCX +# data cards: $AQCC +# PREPOBS_PREPACPF - executable: $APFX +# dictionary: $DICT +# PREPOBS_PROFCQC - executable: $PQCX +# data cards: $PQCC +# PREPOBS_CQCVAD - executable: $VQCX +# PREPOBS_CQCBUFR - executable: $CQCX +# data cards: $CQCC +# PREPOBS_OIQCBUFR - executable: $OIQCX +# obs. error table: $OIQCT +# +# Remarks: +# +# Condition codes +# 0 - no problem encountered +# >0 - some problem encountered +# +# Attributes: +# Language: Korn shell under linux +# Machine: NCEP WCOSS +# +#### + +set -aux + +NEMSIO_IN=${NEMSIO_IN:=""} +jlogfile=${jlogfile:=""} +SENDDBN=${SENDDBN:-NO} + +if [ ! -d $DATA ] ; then mkdir -p $DATA ;fi + +cd $DATA + +qid=$$ + +##################################################### +##################################################### +# create error check and exit utilities if necessary. +# (as may be the case for some developer runs) +##################################################### + +if [ ! -x $DATA/err_exit ]; then +cat <<\EOFerrexit > $DATA/err_exit + set -x + if [ -n "$LSB_JOBID" ]; then + bkill $LSB_JOBID + sleep 60 + date + else + set -e + kill -n 9 $qid + fi + exit 7 # for extra measure +EOFerrexit +chmod 775 $DATA/err_exit +fi + +if [ ! -x $DATA/err_chk ]; then +cat <<\EOFerrchk > $DATA/err_chk + set -x + if [ "$err" != '0' ]; then + $DATA/err_exit + fi +EOFerrchk +chmod 775 $DATA/err_chk +fi + +##################################################### +##################################################### + + +# determine local system name and type if available +# ------------------------------------------------- +SITE=${SITE:-""} +sys_tp=${sys_tp:-$(getsystem.pl -tp)} +getsystp_err=$? +if [ $getsystp_err -ne 0 ]; then + msg="***WARNING: error using getsystem.pl to determine system type and phase" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" +fi +echo sys_tp is set to: $sys_tp + +#------------------------------------------------------------------------------- + +# obtain the center date/time for PREPBUFR processing +# --------------------------------------------------- + +if [ $# -ne 1 ] ; then + cp ${COMDATEROOT:-$COMROOT}/date/$cycle ncepdate + err0=$? + CDATE10=`cut -c7-16 ncepdate` +else + CDATE10=$1 + if [ "${#CDATE10}" -ne '10' ]; then + err0=1 + else + cycle=t`echo $CDATE10|cut -c9-10`z + err0=0 + fi +fi + +if test $err0 -ne 0 +then +# problem with obtaining date record so exit + set +x + echo + echo "problem with obtaining date record;" + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure +fi + +cyc=`echo $CDATE10|cut -c9-10` +modhr=`expr $cyc % 3` + +set +x +echo +echo "CENTER DATE/TIME FOR PREPBUFR PROCESSING IS $CDATE10" +echo +set -x + +#---------------------------------------------------------------------------- + +# Create variables needed for this script and its children +# -------------------------------------------------------- + +envir=${envir:-prod} + +envir_getges=${envir_getges:-$envir} +if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then + network_getges=${network_getges:-global} +else + network_getges=${network_getges:-gfs} +fi + +pgmout=${pgmout:-/dev/null} + +tstsp=${tstsp:-/tmp/null/} +tmmark=${tmmark:-tm00} + +BUFRLIST=${BUFRLIST:-"adpupa proflr aircar aircft satwnd adpsfc sfcshp \ + sfcbog vadwnd goesnd spssmi erscat qkswnd msonet gpsipw rassda wdsatr \ + ascatw"} + +PREPDATA=${PREPDATA:-YES} + +if [ "$PREPDATA" != 'YES' ] ; then + POE=NO + BACK=NO +else + set +u + [ -z "$POE" -a "$BACK" = 'YES' ] && POE=NO + POE=${POE:-YES} + if [ "$POE" != 'NO' -a "$BACK" = 'YES' ]; then + set -u + set +x +echo +echo "YOU have set both POE and BACK to YES - choose one or the other!!" +echo "Defaults are POE=YES and BACK=NO, as is preferable for WCOSS." +echo + set -x + exit 99 + fi + BACK=${BACK:-NO} + PARALLEL=NO + [ "$POE" != 'NO' -o "$BACK" = 'YES' ] && PARALLEL=YES + if [ "$POE" != 'NO' ] ; then + if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + launcher_PREP=${launcher_PREP:-aprun} + else + launcher_PREP=${launcher_PREP:-mpirun.lsf} + fi + if [ "$launcher_PREP" != 'cfp' -a "$launcher_PREP" != aprun ]; then + if [ -n ${LSB_HOSTS:-""} ]; then + NPROCS=$(echo $LSB_HOSTS|wc -w) + set +x; echo "Setting NPROCS based on LSB_HOSTS count"; set -x + else + NPROCS=${NPROCS:-$NSPLIT} + fi + if [ $NPROCS -lt $NSPLIT ]; then + set +x +echo "********************************************************************" +echo " P R O B L E M ! ! ! " +echo "********************************************************************" +echo " NPROCS=$NPROCS IS NOT SUFFICIENT FOR NSPLIT=$NSPLIT " +echo " NPROCS must be greater than NSPLIT when using a " +echo " parallel processing launcher other than cfp " +echo "********************************************************************" + set -x + msg="***FATAL ERROR: Insufficient NPROCS for NSPLIT=$NSPLIT" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + $DATA/err_exit + exit 55 # for extra measure + fi + fi + elif [ "$BACK" = 'YES' ] ; then + NPROCS=$NSPLIT + fi +# fi for PREPDATA != YES +fi + +USHSYND=${USHSYND:-${HOMEobsproc_prep}/ush} +USHPREV=${USHPREV:-${HOMEobsproc_prep}/ush} +USHCQC=${USHCQC:-${HOMEobsproc_prep}/ush} +USHPQC=${USHPQC:-${HOMEobsproc_prep}/ush} +USHVQC=${USHVQC:-${HOMEobsproc_prep}/ush} +USHAQC=${USHAQC:-${HOMEobsproc_prep}/ush} +USHOIQC=${USHOIQC:-${HOMEobsproc_prep}/ush} + +EXECPREP=${EXECPREP:-${HOMEobsproc_prep}/exec} +PARMPREP=${PARMPREP:-${HOMEobsproc_network}/parm} +FIXPREP=${FIXPREP:-${HOMEobsproc_prep}/fix} +DICTPREP=${DICTPREP:-${NWROOTp1}/decoders/decod_shared/dictionaries} + +EXECSYND=${EXECSYND:-${HOMEobsproc_prep}/exec} +PARMSYND=${PARMSYND:-${HOMEobsproc_network}/parm} +FIXSYND=${FIXSYND:-${HOMEobsproc_prep}/fix} + +GETGUESS=${GETGUESS:-YES} +if [ "$GETGUESS" = 'YES' ]; then + USHGETGES=${USHGETGES:-${HOMEobsproc_prep}/ush} + if [ "$NEMSIO_IN" = .true. ]; then + GETGESprep=${GETGESprep:-$USHGETGES/getges.sh} + else + GETGESprep=${GETGESprep:-$USHGETGES/getges_sig.sh} + fi +fi + +PREPDATA=${PREPDATA:-YES} + +SYNDATA=${SYNDATA:-YES} + +DO_QC=${DO_QC:-YES} + +PREVENTS=${PREVENTS:-NO} +CQCBUFR=${CQCBUFR:-YES} +PROFCQC=${PROFCQC:-YES} +CQCVAD=${CQCVAD:-YES} +PREPACQC=${PREPACQC:-YES} +PROCESS_ACQC=${PROCESS_ACQC:-YES} +PROCESS_ACPF=${PROCESS_ACPF:-YES} +OIQCBUFR=${OIQCBUFR:-YES} + +MPCOPYX=${MPCOPYX:-$EXECPREP/prepobs_mpcopybufr} +PRPX=${PRPX:-$EXECPREP/prepobs_prepdata} +errPREPDATA_limit=${errPREPDATA_limit:-0} +PRPC=${PRPC:-$PARMPREP/prepobs_prepdata.${NET}.parm} +PRPT=${PRPT:-$FIXPREP/prepobs_prep.bufrtable} +cp $PRPT prep.bufrtable +LANDC=${LANDC:-$FIXPREP/prepobs_landc} +if [ "$NET" = 'gdas' -o "$NET" = 'gfs' ]; then + PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.global} +elif [ "$NET" = 'cdas' ]; then + PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.cdas} +elif [ "$NET" = 'nam' ]; then + PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.nam} +else + cp /dev/null $DATA/scratch.PRVT + PRVT=${PRVT:-$DATA/scratch.PRVT} +fi +LISTHDX=${LISTHDX:-$EXECPREP/prepobs_listheaders} +MONOBFRX=${MONOBFRX:-$EXECPREP/prepobs_monoprepbufr} +SYNDX=${SYNDX:-$EXECSYND/syndat_syndata} +SYNDC=${SYNDC:-$PARMSYND/syndat_syndata.${NET}.parm} +PREX=${PREX:-$EXECPREP/prepobs_prevents} +PREC=${PREC:-$PARMPREP/prepobs_prevents.${NET}.parm} +AQCX=${AQCX:-$EXECPREP/prepobs_prepacqc} +AQCC=${AQCC:-$PARMPREP/prepobs_prepacqc.${NET}.parm} +APFX=${APFX:-$EXECPREP/prepobs_prepacpf} +DICT=${DICT:-$DICTPREP/metar.tbl} +PQCX=${PQCX:-$EXECPREP/prepobs_profcqc} +PQCC=${PQCC:-$PARMPREP/prepobs_profcqc.${NET}.parm} +VQCX=${VQCX:-$EXECPREP/prepobs_cqcvad} +CQCX=${CQCX:-$EXECPREP/prepobs_cqcbufr} +CQCC=${CQCC:-$PARMPREP/prepobs_cqcbufr.${NET}.parm} +CQCS=${CQCS:-$FIXPREP/prepobs_cqc_statbge} +OIQCX=${OIQCX:-$EXECPREP/prepobs_oiqcbufr} +if [ "$NET" = 'cdas' ]; then + OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas} +else + OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs} +fi +TIMEIT=${TIMEIT:-""} +[ -s $DATA/time ] && TIMEIT="$DATA/time -p" + + +# See if tropical cyclone relocation previously ran for this network and cycle +# by checking for status file in first in $tstsp, and if not found there, +# then in $COMSP +# ---------------------------------------------------------------------------- + +relo_rec=no # this will remain no even if relocation run, in the event it did + # not process an tropical cyclone records +if [ -s ${tstsp}tropcy_relocation_status.$tmmark ]; then + RELOCATION_HAS_RUN=YES + msg="Tropical cyclone RELOCATION RAN prior to this job - \ +`cat ${tstsp}tropcy_relocation_status.$tmmark`" + [ "`cat ${tstsp}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ + && relo_rec=yes +elif [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then + RELOCATION_HAS_RUN=YES + msg="Tropical cyclone RELOCATION RAN prior to this job - \ +`cat ${COMSP}tropcy_relocation_status.$tmmark`" + [ "`cat ${COMSP}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ + && relo_rec=yes +else + RELOCATION_HAS_RUN=NO + msg="Tropical cyclone RELOCATION did NOT run prior to this job" +fi +[ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + +if [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then + if [ "$SENDDBN" = "YES" ]; then + if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then + RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) + $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job \ + ${COMSP}tropcy_relocation_status.$tmmark + fi + fi +fi + +if [ "$RELOCATION_HAS_RUN" != 'YES' -a "$GETGUESS" != 'NO' ]; then + + if [ $cyc = 00 -o $cyc = 06 -o $cyc = 12 -o $cyc = 18 ]; then + +# The GFS and GDAS networks at 00, 06, 12 and 18z will get the t-3 and t+3 +# atmos guess files here since they are needed by the GSI even if tropical +# cyclone relocation was not previously performed (RELOCATION_HAS_RUN=NO) +# (NOTE 1: Normally RELOCATION_HAS_RUN=YES for these networks) +# (NOTE 2: If RELOCATION_HAS_RUN=YES, the t-3 and t+3 atmos guess files have +# already been obtained for all networks including the GFS and GDAS) +# (NOTE 3: This is not done if GETGUESS is NO) +# + + if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then + for fhr in -3 +3 ;do + if [ "$NEMSIO_IN" = .true. ]; then + if [ $fhr = "-3" ] ; then + sges=sgm3prep + stype=natgm3 + echo $sges + else + sges=sgp3prep + stype=natgp3 + echo $sges + fi + else + if [ $fhr = "-3" ] ; then + sges=sgm3prep + stype=siggm3 + echo $sges + else + sges=sgp3prep + stype=siggp3 + echo $sges + fi + fi + if [ ! -s $sges ]; then + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Tropical cylone relocation HAS NOT previously run" +echo " Get global atmospheric GUESS valid for $fhr hrs relative to center" +echo " PREPBUFR processing date/time" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + $GETGESprep -e $envir_getges -n $network_getges \ + -v $CDATE10 -t $stype $sges + errges=$? + if test $errges -ne 0; then +# problem obtaining global atmospheric first guess so exit + set +x + echo + echo "problem obtaining global atmos guess valid $fhr hrs \ +relative to center PREPBUFR date/time;" + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + set +x + echo +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + fi + done + fi + fi + +elif [ "$RELOCATION_HAS_RUN" = 'YES' ]; then + +# If Tropical cyclone relocation previously ran for this network and cycle +# copy the t-3, t+0 and t+3 atmos guess files and the tcvitals_relocate file +# from either $tstsp or, if not found there, $COMSP to working directory +# (Note: tcvitals_relocate file can be empty, but it must exist) +# -------------------------------------------------------------------------- + + qual_last=".$tmmark" # need this because gfs and gdas don't add $tmmark + # qualifier to end of output atmos guess files + [ $NET = gfs -o $NET = gdas ] && qual_last="" + for file in sgm3prep sgesprep sgp3prep tcvitals.relocate.$tmmark; do + case $file in + tcvitals.relocate.$tmmark) infile=$file; qual_last="";; # already has $tmmark at end + sgm3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgm3.nemsio;else infile=$file;fi;; + sgesprep) if [ "$NEMSIO_IN" = .true. ];then infile=atmges.nemsio;else infile=$file;fi;; + sgp3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgp3.nemsio;else infile=$file;fi;; + esac + if [ -s ${tstsp}${infile}${qual_last} ]; then + cp ${tstsp}${infile}${qual_last} $file + continue + elif [ -s ${COMSP}${infile}${qual_last} ]; then + cp ${COMSP}${infile}${qual_last} $file + continue + else + if [ $file = tcvitals.relocate.$tmmark ]; then + if [ -f ${tstsp}$file ]; then + > $file + continue + elif [ -f ${COMSP}$file ]; then + > $file + continue + fi + fi + fi +# either t-3,t+0 or t+3 atmos guess file or the tcvitals_relocate file not +# found in expected location so exit + set +x + echo + echo "$file file not found in expected location where it should have \ +populated by earlier tropical cyclone relocation processing" + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + done + cp tcvitals.relocate.$tmmark tcvitals + if [ $relo_rec = yes ]; then # come here if relocation ran and processed + # 1 or more records, means it updated + # sgesprep + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" +echo " Global atmospheric GUESS valid for 0 hrs relative to center" +echo " PREPBUFR processing date/time was generated by" +echo " previous tropical cyclone relocation processing" +echo " It will be encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + else # come here if relocation ran but did not + # process any records, means it did not update + # sgesprep (sgesprep obtained via getges used) + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" +echo " Global atmospheric GUESS valid for 0 hrs relative to center" +echo " PREPBUFR processing date/time was obtained via GETGES" +echo " It will be encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + fi + +fi + +############################################################################### +# POSSIBLY OBTAIN GBL ATMOS GUESS FILE(S) FOR LATER ENCODING INTO PREPBUFR FILE +############################################################################### + +if [ "$PREPDATA" = 'YES' -o "$SYNDATA" = 'YES' -o "$PREVENTS" = 'YES' ]; then + + SGES=/dev/null + SGESA=/dev/null + > sgesprep_pathname + > sgesprepA_pathname + + if [ "$GETGUESS" != 'NO' ]; then + +# Either ... +# If the global background guess will be nemsio-based -OR- if the global +# background guess will be sigio-based and the center PREPBUFR processing +# date/time is a multiple of 3-hrs, then get a global atmospheric guess valid +# at the center PREPBUFR processing date/time - this will be interpolated to +# observation locations by PREPDATA and encoded into the PREPBUFR file for +# use by the q.c. programs; if a non-zero length file sgesprep exists in the +# working directory, then this guess is used - otherwise: the GETGES utility +# is executed to obtain the global atmospheric guess file here +# +# (NOTE 1: a pre-existing sgesprep file in the working directory at this +# point was either: +# copied there prior to the execution of this script +# or +# copied there earlier in this script from either $tstsp, or if +# not found there, $COMSP which was populated by the previous +# running of tropical cyclone relocation processing +# (NOTE 2: If imported variable GETGUESS=NO, then bypass this step - a +# global atmos guess valid at center PREPBUFR time is not obtained) +# +# -- or -- +# +# (AND THIS APPLIES ONLY TO A GLOBAL SIGIO-BASED GUESS!!) +# +# If center PREPBUFR processing date/time is not a multiple of 3-hrs -AND- +# global guess is sigio-based, then get a global sigma guess valid at the +# nearest cycle time prior to the center PREPBUFR processing date/time which +# is a multiple of 3, then get a global sigma guess valid at the nearest +# cycle time after the center PREPBUFR processing date/time which is a +# multiple of 3 - the spectral coefficients will be linearly interpolated to +# the center PREPBUFR processing date/time by the program PREPOBS_PREPDATA +# and this guess will then be interpolated to observation locations (again by +# the program PREPOBS_PREPDATA) and encoded into the PREPBUFR file for use by +# the q.c. programs; if a non-zero length file sgesprep exists in the working +# directory, then this guess is used for time prior to the center PREPBUFR +# processing date/time - otherwise: the utility ush GETGES is executed to +# obtain the global atmos guess file here (will always be from GFS network); +# +# likewise if a non-zero length file sgesprepA exists in the working +# directory, then this guess is used for time after the center PREPBUFR +# processing date/time - otherwise: the utility ush GETGES is executed to +# obtain the global atmos guess file here (will always be from the GFS +# network and initiate at the same time as the guess file valid prior to the +# PREPBUFR processing date/time) +# +# (NOTE 1: a pre-existing sgesprep file in the working directory at this +# point was either: +# copied there prior to the execution of this script +# or +# copied there earlier in this script from either $tstsp, or if +# not found there, $COMSP which was populated by the previous +# running of tropical cyclone relocation processing +# (NOTE 2: a pre-existing sgesprepA file in the working directory at this +# point was copied there prior to the execution of this script - +# it could not have been copied from either $tstsp or $COMSP +# because previous tropical cyclone relocation processing can run +# only when the center tropical cyclone relocation (or PREPBUFR) +# processing date/time is a multiple of 3) +# (NOTE 3: this case is necessary because the gblevents subroutine used to +# add background forecast values to the prepbufr file expects sigio- +# based files to be valid only at hours that are a multiple of 3) +# (NOTE 4: if imported variable GETGUESS=NO, then bypass this step - a +# global atmos guess valid at center PREPBUFR time is not obtained) +# ---------------------------------------------------------------------- + + for sfx in "" A; do + if [ ! -s sgesprep${sfx} ]; then + fhr=any + if [ "$NEMSIO_IN" = .true. ]; then + dhr=0 + stype=natges + else + dhr=`expr 0 - $modhr` + stype=sigges + fi + if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then + [ "$sfx" = 'A' ] && break + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Either center PREPBUFR processing date/time is a multiple of 3-hrs" +echo " -OR-" +echo " global guess is nemsio-based" +echo " Use GETGES to get global sigio-based or nemsio-based GUESS valid for" +echo " 0 hrs relative to center PREPBUFR processing date/time" +echo " Will be encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + else + if [ "$sfx" = 'A' ]; then + typeset -Z2 fhr + fhr=`awk -F"sf" '{print$2}' sgesprep_pathname | cut -c1-2` + fhr=`expr $fhr + 03` + dhr=`expr 3 - $modhr` + fi + set +x + echo +echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" +echo " Center PREPBUFR processing date/time is not a multiple of 3-hrs" + if [ "$sfx" != 'A' ]; then +echo " Get global atmos GUESS valid at the nearest cycle time prior to" + else +echo " Get global atmos GUESS valid at the nearest cycle time after" + fi +echo " center PREPBUFR processing date/time which is a multiple of 3" +echo " Will be used to generate an interpolated guess which will be" +echo " encoded into PREPBUFR file and used by q.c. programs" +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + fi + $GETGESprep -e $envir_getges -n $network_getges -t $stype\ + -f $fhr -v `${NDATE} $dhr $CDATE10` > sgesprep${sfx}_pathname + errges=$? + if test $errges -ne 0 + then +# problem obtaining global sigio-based or nemsio-based guess - exit if center +# PREPBUFR processing date/time is a multiple of 3-hrs or if global guess is +# nemsio-based, otherwise continue running but set GETGUESS=NO meaning a +# first guess will NOT be encoded in PREPBUFR file + if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then + if [ "$NEMSIO_IN" = .true. ]; then + set +x + echo +echo "problem obtaining global nemsio-based guess;" + else + set +x + echo +echo "problem obtaining global sigio-based guess valid 0 hrs relative to \ +center PREPBUFR date/time;" + fi +echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + else + set +x + echo +echo "problem obtaining global atmos guess valid at the nearest cycle time " + if [ "$sfx" != 'A' ]; then +echo "prior to center PREPBUFR processing date/time which is a multiple of 3" + else +echo "after center PREPBUFR processing date/time which is a multiple of 3" + fi +echo "will continue running but a GUESS will NOT be encoded in PREPBUFR file!!" + echo + set -x + msg="PROBLEM OBTAINING ONE OR BOTH SPANNING ATMOS GUESS \ +FILES, GUESS NOT ENCODED IN PREPBUFR FILE --> non-fatal" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + GETGUESS=NO + SGES=/dev/null + SGESA=/dev/null + > sgesprep + > sgesprepA + > sgesprep_pathname + > sgesprepA_pathname + break + fi + fi + cp `cat sgesprep${sfx}_pathname | awk '{ print $1 }'` sgesprep${sfx} + set +x + echo +echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" + echo + set -x + else + if [ $RELOCATION_HAS_RUN = YES ]; then + +# If relocation ran, then ${sfx} is always "" (null) because relocation will +# only run on center times that are a multiple of 3-hrs - come here to +# store the pathname for the sgesprep file in sgesprep${sfx}_pathname - +# note that it will not be stored here if relocation did not process any +# records, i.e., it did not update the guess, because it was already stored +# in tropcy_relocate.sh (with the getges path) +# -------------------------------------------------------------------------- + + qual_last=".$tmmark" # need this because gfs and gdas don't add + # $tmmark qualifer to end of output atmos + # guess files + [ $NET = gfs -o $NET = gdas ] && qual_last="" + if [ "$NEMSIO_IN" = .true. ]; then + gesbase="atmges.nemsio" + else + gesbase="sgesprep" + fi + if [ -s ${tstsp}${gesbase}${qual_last} ]; then + echo "${tstsp}${gesbase}${qual_last}" > sgesprep${sfx}_pathname + elif [ -s ${COMSP}${gesbase}${qual_last} ]; then + echo "${COMSP}${gesbase}${qual_last}" > sgesprep${sfx}_pathname + fi + else + +# If relocation did not run, then the guess files in $DATA were copied there +# prior to the execution of this script by the user - just echo the path +# to this guess file in $DATA into sgesprep${sfx}_pathname +# -------------------------------------------------------------------------- + + echo "$DATA/sgesprep${sfx}" > sgesprep${sfx}_pathname + fi + fi + eval SGES${sfx}=$DATA/sgesprep${sfx} + done + fi +fi + +################################ +# EXECUTE PREPDATA PROCESSING +################################ + +if [ "$PREPDATA" = 'YES' ]; then + + cd $DATA + +set +u + if [ -z "$PREPBUFR_APP" -o "$PARALLEL" = 'YES' ]; then +set -u + if [ ! -s ${tstsp}status.${tmmark}.bufr_d -a \ + ! -s ${COMSP}status.${tmmark}.bufr_d ]; then + +#########if [ \( ! -s ${tstsp}status1.${tmmark}.bufr_d -o \ +######### ! -s ${tstsp}status2.${tmmark}.bufr_d \) -a \ +######### \( ! -s ${COMSP}status1.${tmmark}.bufr_d -o \ +######### ! -s ${COMSP}status2.${tmmark}.bufr_d \) ]; then + if [ ! -s ${tstsp}status1.${tmmark}.bufr_d -a \ + ! -s ${COMSP}status1.${tmmark}.bufr_d ]; then + +# problem: status file not found - indicates some or all data dumps were not +# found (produced) for requested time ... +# If highest level directory pointing to input BUFR observational +# data dumps is /com or /com2 then EXIT (assumes all data dumps are +# required) +# Otherwise, just echo a diagnostic (assumes only some data dumps are +# required) +# ---------------------------------------------------------------------------- + +echo +echo "Some or all BUFR data dumps were not found for requested time ... " +echo + set -x + + if [[ "$COMSP" =~ (^/com/|^/com2/|^/gpfs/.../nco/ops/com/) && \ + "$tstsp" =~ (^/tmp/null) ]]; then + set +x +echo +echo "ABNORMAL EXIT!!!!!!!!!!!" +echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + fi + fi + + echo $BUFRLIST | grep adpsfc + grp_adpsfc=$? + echo $BUFRLIST | grep adpupa + grp_adpupa=$? + if [ \( ! -f ${COMSP}adpsfc.${tmmark}.bufr_d -a \ + ! -f ${tstsp}adpsfc.${tmmark}.bufr_d -a $grp_adpsfc -eq 0 \) -o \ + \( ! -f ${COMSP}adpupa.${tmmark}.bufr_d -a \ + ! -f ${tstsp}adpupa.${tmmark}.bufr_d -a $grp_adpupa -eq 0 \) ] + then + +# problem: either adpsfc (surface land) or adpupa (raob/pibal/recco) file, or +# both, not found for requested time - this is unacceptable; EXIT +# (unless the culprit file was not included in the $BUFRLIST) +# --------------------------------------------------------------------------- + + set +x +echo +echo "ADPSFC and/or ADPUPA BUFR data dump was not produced for requested" +echo " time (but is in BUFRLIST); ABNORMAL EXIT!!!!!!!!!!!" +echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + + fi + + for name in ${BUFRLIST} ;do + > $name + if [ -f ${tstsp}${name}.${tmmark}.bufr_d ]; then + cp ${tstsp}${name}.${tmmark}.bufr_d $name + elif [ -s ${COMSP}${name}.${tmmark}.bufr_d ]; then + cp ${COMSP}${name}.${tmmark}.bufr_d $name + fi + done + + > prep_exec.cmd + + > prepda.${cycle} + + echo " $CDATE10" > cdate10.dat + +# If GETGUESS=YES, then either ... +# a global sigio-based guess file valid at the center PREPBUFR processing +# date/time which is a multiple of 3-hrs is valid at this point +# -- or -- +# global sigio-based guess files valid at times which are multiples of 3-hrs +# and span the center PREPBUFR processing date/time which is NOT a multiple of +# 3-hrs are available and valid at this point +# -- or -- +# a global nemsio-based guess file valid at the center PREPBUFR processing +# date/time for any hour is valid at this point + +# In any case, namelist "GBLEVN" with PREVEN=T is cat'ed to the beginning +# of the PREPOBS_PREPDATA program data cards file - this means +# PREPOBS_PREPDATA will call w3emc routine GBLEVENTS to do the "prevents" +# processing (otherwise PREVEN=F by default) + + > prepdata.stdin + [ "$GETGUESS" != 'NO' ] && echo " &gblevn preven=true /" >>prepdata.stdin + cat $PRPC >> prepdata.stdin + +# Check contents of *aircar_status_flag* file in $tstsp, or if not found there, +# $COMSP path - this was generated by previous bufr_dump_obs.sh script: if it +# exists and indicates that there were more AFWA (backup) ACARS reports than +# ARINC (primary) ACARS reports in the AIRCAR dump, then skip processing of +# ARINC ACARS messages in PREPOBS_PREPDATA (meaning process ONLY AFWA ACARS +# messages); otherwise, as is usually the case, skip processing of AFWA ACARS +# messages (meaning process only ARINC ACARS messages in PREPOBS_PREPDATA) + + echo " SUBSKP(004,007) = TRUE," > insert + if [ -s ${tstsp}aircar_status_flag.${tmmark}.bufr_d ]; then + grep -q -Fe "004.007" ${tstsp}aircar_status_flag.${tmmark}.bufr_d + err_grep=$? + if [ $err_grep -eq 0 ]; then + echo " SUBSKP(004,004) = TRUE," > insert + msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ +backup AFWA ACARS into PREPBUFR" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + fi + elif [ -s ${COMSP}aircar_status_flag.${tmmark}.bufr_d ]; then + grep -q -Fe "004.007" ${COMSP}aircar_status_flag.${tmmark}.bufr_d + err_grep=$? + if [ $err_grep -eq 0 ]; then + echo " SUBSKP(004,004) = TRUE," > insert + msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ +backup AFWA ACARS into PREPBUFR" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + fi + fi + + grep -q -Fe "`cat insert`" prepdata.stdin + err_grep=$? + if [ $err_grep -ne 0 ]; then + nlines=`cat < prepdata.stdin | wc -l` + line=`grep -n -Fe "&LDTA" prepdata.stdin | cut -f1 -d:` + head -n $line prepdata.stdin > top_part + mlines=`expr $nlines - $line` + tail -n $mlines prepdata.stdin > bottom_part + [ $mlines -gt 2 ] && cat top_part insert bottom_part > prepdata.stdin + rm top_part bottom_part + fi + rm insert + + +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## +## HEREFILE MP_PREPDATA ## +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## + +set +x +cat <<\EOFmpp > MP_PREPDATA + +{ echo + +# This herefile script performs the "prepdata" processing. It is designed to +# run in either a parallel (e.g., poe/mpi or background threads) or serial +# environment. In the parallel environment, it first splits the input BUFR +# data dump files into $NSPLIT equally-sized parts (analogous to dealing +# multiple sets of cards to $NSPLIT players, where each set of cards is a +# different BUFR data dump file). Next, in either the parallel or serial +# environment, it runs PREPOBS_PREPDATA to write out prepbufr files (either +# a single complete file in the serial environment or $NSPLIT partial +# PREPBUFR files in the parallel environment). Finally, it generates a list of +# PREPBUFR message headers which, in the parallel environment, is needed to +# later merge the partial PREPBUFR files together in the proper order. +# +# IMPORTANT: This script assumes that the BUFR data dump files it is to +# process have been copied into the $DATA directory and that each +# file name is the same as in $BUFRLIST. It also assumes that the +# NCEP production date file is present in the $DATA directory and +# that it is called cdate10.dat. Finally, it assumes that the +# PREPOBS_PREPDATA program data cards (parm) file is present in the +# $DATA directory and it is called prepdata.stdin +# ----------------------------------------------------------------------------- +# +# Positional parameters passed in: +# 1 - Stream index ($multi) (0 to $NSPLIT-1) +# +# Imported variables that must be passed in: +# DATA - path to working directory +# PARALLEL - indicates whether or not this script is running in a parallel +# (e.g., poe/mpi or background threads) or serial environment +# "YES" - running in a parallel environment; "NO" running in a +# serial environment) +# NSPLIT number of parts into which the input BUFR data dump files are to +# be evenly divided (applicable only when PARALLEL is "YES") +# BUFRLIST - list of BUFR data dump files to process +# MPCOPYX - path to PREPOBS_MPCOPYBUFR program executable +# PRPT - path to PREPOBS_PREPDATA bufrtable file +# LANDC - path to land/sea mask file +# SGES - path to COPY OF global sigio-based or nemsio-based first guess +# file valid at either center PREPBUFR processing date/time or, +# for global sigio-based guess only, nearest 3-hrly cycle time +# prior to center PREPBUFR processing date/time +# SGESA - path to COPY OF global sigio-based guess file valid at nearest +# 3-hrly cycle AFTER center PREPBUFR processing date/time (if +# needed, otherwise /dev/null). Only used if SGES is valid at +# 3-hrly cycle time PRIOR to center PREPBUFR processing date/time +# (and thus not used if NEMSIO_IN=.true.) +# PRVT - path to observation error table file +# PRPX - path to PREPOBS_PREPDATA program executable +# LISTHDX - path to PREPOBS_LISTHEADERS program executable + +set -aux +multi=$1 + +data=$DATA/multi$multi + +if [ ! -d $DATA/multi$multi ] ; then + mkdir -p $DATA/multi$multi +fi + +status=$data/mstatus ; > $status +mp_pgmout=$data/mp_pgmout ; > $mp_pgmout + + +{ echo +set +x +echo +echo "********************************************************************" +echo "This is stream (task/thread) $multi executing on node `hostname -s`" +echo "Starting time: `date`" +echo "********************************************************************" +echo +set -x +} >> $mp_pgmout + +cd $data + +if [ "$PARALLEL" = 'YES' ]; then + + n=0 + + pgm=`basename $MPCOPYX` +#-----mimics prep_step----- + set +x + echo $pgm > pgmname + set +u + [ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" + set -u + [ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout + rm pgmname + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` + [ -s $DATA/tracer ] && cat $DATA/tracer > errfile + set -x +#-------------------------- + + for name in ${BUFRLIST[*]} ;do + > $name + if [ -s $DATA/$name ] ; then + ((n+=1)) + export FORT$((10+n))=$DATA/$name + export FORT$((50+n))=$name + fi + done + + cat<> $mp_pgmout 2>&1 + &namin nfiles=$n / + &mp nprocs=$NSPLIT,mp_process=$multi / +EOF + err=$? + set +x + echo + echo "The foreground exit status for PREPOBS_MPCOPYBUFR is " $err + echo + set -x + + [ "$err" -gt '0' ] && exit + + dump_dir=$data + +else + + dump_dir=$DATA + +# fi for $PARALLEL = YES +fi + + +pgm=`basename $PRPX` +#-----mimics prep_step----- +set +x +echo $pgm > pgmname +set +u +[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" +set -u +[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout +rm pgmname +[ -f errfile ] && rm errfile +unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +[ -s $DATA/tracer ] && cat $DATA/tracer > errfile +set -x +#-------------------------- + +set +u +[ -n "$PREPBUFR_APP" -a "$PARALLEL" = 'NO' ] && \ + cp $PREPBUFR_APP prepda +set -u + +# Namelist "TASK" with mp_process set to the value of $multi - either the poe/ +# mpi task number (for POE not equal to "NO") or to the background thread +# number (for BACK equal to "YES") in the parallel environment, or hardwired +# to zero in the serial environment, is cat'ed to the beginning of the +# PREPOBS_PREPDATA program data cards (parm) file - this will allow +# PREPOBS_PREPDATA to identify this stream + +> prepdata.stdin +echo " &task mp_process=$multi /" >>prepdata.stdin +cat $DATA/prepdata.stdin >> prepdata.stdin + +BUFRLIST_all="adpupa aircar aircft satwnd proflr vadwnd rassda adpsfc sfcshp \ + sfcbog msonet spssmi erscat qkswnd wdsatr ascatw rtovs atovs goesnd gpsipw" +###BUFRLIST_all_array=($BUFRLIST_all) # this does not work on all platforms +set -A BUFRLIST_all_array `echo $BUFRLIST_all` # this works on all platforms + + +# Any dump file not included in BUFRLIST is "touched" so that it will not +# cause a read error in the event that PREPOBS_PREPDATA still tries to read it + +for name in $BUFRLIST_all;do +[ ! -f $dump_dir/$name ] && > $dump_dir/$name +done + +export FORT11=$DATA/cdate10.dat +export FORT12=$PRPT +export FORT15=$LANDC +## export FORT18=$SGES +## export FORT19=$SGESA + +# The PREPOBS_PREPDATA code opens GFS spectral coefficient guess files using +# sigio routines or GFS gaussian grid guess files using nemsio routines (via +# W3EMC routine GBLEVENTS) in a manner that may not recognize the FORTxx +# variables above. So, the above statements setting FORTxx vars for $SGES and +# $SGESA are replaced by the soft links below. + +ln -sf $SGES fort.18 +ln -sf $SGESA fort.19 +export FORT20=$PRVT +export FORT21=$dump_dir/${BUFRLIST_all_array[0]} +export FORT22=$dump_dir/${BUFRLIST_all_array[1]} +export FORT23=$dump_dir/${BUFRLIST_all_array[2]} +export FORT24=$dump_dir/${BUFRLIST_all_array[3]} +export FORT25=$dump_dir/${BUFRLIST_all_array[4]} +export FORT26=$dump_dir/${BUFRLIST_all_array[5]} +export FORT27=$dump_dir/${BUFRLIST_all_array[6]} +export FORT31=$dump_dir/${BUFRLIST_all_array[7]} +export FORT32=$dump_dir/${BUFRLIST_all_array[8]} +export FORT33=$dump_dir/${BUFRLIST_all_array[9]} +export FORT34=$dump_dir/${BUFRLIST_all_array[10]} +export FORT35=$dump_dir/${BUFRLIST_all_array[11]} +export FORT36=$dump_dir/${BUFRLIST_all_array[12]} +export FORT37=$dump_dir/${BUFRLIST_all_array[13]} +export FORT38=$dump_dir/${BUFRLIST_all_array[14]} +export FORT39=$dump_dir/${BUFRLIST_all_array[15]} +export FORT41=$dump_dir/${BUFRLIST_all_array[16]} +export FORT42=$dump_dir/${BUFRLIST_all_array[17]} +export FORT46=$dump_dir/${BUFRLIST_all_array[18]} +export FORT48=$dump_dir/${BUFRLIST_all_array[19]} +export FORT51=prepda +export FORT52=prevents.filtering.prepdata + +#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) +#If program ever fails, try changing 64000000 to 20000000 +#set +u +#[ -n "$LOADL_PROCESSOR_LIST" ] && XLSMPOPTS=parthds=2:stack=64000000 +#set -u + +# The following improves performance on Cray-XC40 if $PRPX was +# linked to the IOBUF i/o buffering library +export IOBUF_PARAMS='*prevents.filtering.prepdata:verbose' + +$TIMEIT $PRPX >$mp_pgmout 2>&1 +errPREPDATA=$? +unset IOBUF_PARAMS +cat prevents.filtering.prepdata >> $mp_pgmout +set +x +echo +echo "The foreground exit status for PREPOBS_PREPDATA is " $errPREPDATA +echo +set -x + +[ "$errPREPDATA" -gt '4' -o "$errPREPDATA" -eq '1' ] && exit + +# Will execute PREPOBS_LISTHEADERS even if PARALLEL is "NO", because it will +# reorder the monolithic PREPBUFR file to ensure that all messages of the same +# subtype will always be grouped together in sequential messages, arranged in +# the order found in $PRPT (Note: This is a necessity when PARALLEL is "YES" +# because the later program PREPOBS_MONOPREPBUFR must merge the $NSPLIT +# individual (partial) PREPBUFR files together in the proper order) + + +# Build listhdx.stdin from bufrtable entries of possible message headers first +# line is count, followed by list + +grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|wc -l|tee listhdx.stdin +grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|tee -a listhdx.stdin + +pgm=`basename $LISTHDX` +#-----mimics prep_step----- +set +x +echo $pgm > pgmname +set +u +[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" +set -u +[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout +rm pgmname +[ -f errfile ] && rm errfile +unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +[ -s $DATA/tracer ] && cat $DATA/tracer > errfile +set -x +#-------------------------- + +export FORT11=prepda +export FORT51=prepda.reorder +export FORT52=prepda.hdrs + +$TIMEIT $LISTHDX < listhdx.stdin >>$mp_pgmout 2>&1 +err=$? +cat prepda.hdrs +set +x +echo +echo "The foreground exit status for PREPOBS_LISTHEADERS is " $err +echo +set -x + +[ "$err" -gt '0' ] && exit + +mv prepda.reorder prepda +rm listhdx.stdin + +echo "$multi finished -- errPREPDATA = $errPREPDATA" > $status + +{ echo +set +x +echo +echo "********************************************************************" +echo "Finished executing on node `hostname -s`" +echo "Ending time : `date`" +echo "********************************************************************" +echo +set -x +} >> $mp_pgmout + +} 1> $DATA/mp_stream${1}.stdout 2> $DATA/mp_stream${1}.errfile + +exit 0 +EOFmpp +set -x + +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## +## end of HEREFILE MP_PREPDATA ## +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## + + chmod 775 MP_PREPDATA + + if [ "$PARALLEL" = 'YES' ]; then + +# In the parallel environment, either cat the multiple MP_PREPDATA tasks +# into a poe command file (for poe/mpi/cfp) - or - set up a script that will +# fire off each MP_PREPDATA thread as a background process +# ----------------------------------------------------------------------- + if [ "$POE" != 'NO' ]; then + multi=-1 + while [ $((multi+=1)) -lt $NSPLIT ] ; do + echo "ksh $DATA/MP_PREPDATA $multi "|tee -a $DATA/prep_exec.cmd + done + if [ "$launcher_PREP" != cfp -a "$launcher_PREP" != aprun ]; then + # fill in empty tasks + multi=$((multi-=1)) #need to go back one + while [ $((multi+=1)) -lt $NPROCS ] ; do + echo "echo do-nothing" >> $DATA/prep_exec.cmd + done + fi + elif [ $BACK = 'YES' ] ; then + multi=-1 + echo "#!/bin/ksh" > $DATA/prepthrds.sh + while [ $((multi+=1)) -lt $NSPLIT ] ; do + echo "$DATA/MP_PREPDATA $multi &" >> $DATA/prepthrds.sh + echo "echo $DATA/MP_PREPDATA $multi submitted in background" \ + >> $DATA/prepthrds.sh + done + echo "wait" >> $DATA/prepthrds.sh + chmod 775 $DATA/prepthrds.sh + fi + +# In the parallel environment, next either execute the poe wrapper (for poe/ +# mpi/cfp) (do not execute a time command with poe!) - or - run prepthrds.sh +# to kick off background processes and wait for them to complete +# -------------------------------------------------------------------------- + if [ "$POE" != 'NO' ]; then + if [ "$launcher_PREP" = mpirun.lsf ]; then + export MP_CMDFILE=$DATA/prep_exec.cmd + export MP_PGMMODEL=mpmd + export MP_PULSE=0 + export MP_DEBUG_NOTIMEOUT=yes + export MP_LABELIO=yes + export MP_STDOUTMODE=ordered + mpirun.lsf + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + elif [ "$launcher_PREP" = cfp ]; then + export MP_CSS_INTERRUPT=yes + export MP_LABELIO=yes + export MP_STDOUTMODE=ordered + mpirun.lsf cfp $DATA/prep_exec.cmd + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + elif [ "$launcher_PREP" = aprun ]; then + ## Determine tasks per node (PREPDATAtpn) and + ## max number of concurrent procs (PREPDATAprocs) for cfp + typeset -i nodesall=$(echo -e "${LSB_HOSTS// /\\n}"|sort -u|wc -w) + typeset -i ncnodes=$(($nodesall-1)) # we want compute nodes only + if [ $ncnodes -lt 1 ]; then + set +x + echo + echo " ** Could not get positive compute node count for aprun **" + echo " ** Are we using LSF queue with compute node access? **" + echo + echo "ABNORMAL EXIT!!!!!!!!!!!" + echo + set -x + $DATA/err_exit + exit 55 # for extra measure + fi + if [[ -z ${PREPDATAtpn:-""} ]]; then + PREPDATAtpn=$((($NSPLIT+$ncnodes-1)/$ncnodes)) + # cfp is faster with extra thread so add one if there is room. + # (this logic needs an update to avoid hardwired 24) + [ $PREPDATAtpn -lt 24 ] && PREPDATAtpn=$(($PREPDATAtpn+1)) + fi + if [[ -z ${PREPDATAprocs:-""} ]]; then + PREPDATAprocs=$(($ncnodes*$PREPDATAtpn)) # max concurrent processes + fi + aprun -j 1 -n${PREPDATAprocs} -N${PREPDATAtpn} -d1 cfp $DATA/prep_exec.cmd + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + else # unknown launcher and options (eg, for use on R&D system) + $launcher_PREP + export err=$?; $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + fi + elif [ $BACK = 'YES' ] ; then + if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + aprun -n 1 -d $NSPLIT $DATA/prepthrds.sh + else + $DATA/prepthrds.sh + fi + fi + totalt=$NSPLIT + else + +# In the serial environment, just fire off a single thread of MP_PREPDATA +# ----------------------------------------------------------------------- + multi=0 + if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then + aprun -n 1 -N 1 ksh $DATA/MP_PREPDATA $multi + else + $DATA/MP_PREPDATA $multi + fi + totalt=1 + + # fi for $PARALLEL = YES + fi + + set +x + multi=0 + while [ $multi -lt $totalt ]; do +echo +echo "********************************************************************" +echo " ++ Script STDOUT from MP_PREPDATA for stream (task/thread) $multi ++" +echo "********************************************************************" +echo + cat $DATA/mp_stream${multi}.stdout +echo "********************************************************************" +echo " ++ End of Script STDOUT from MP_PREPDATA for stream $multi ++ " +echo "********************************************************************" + multi=`expr $multi + 1` + done + +echo +echo "********************************************************************" +echo " ++ Script trace from MP_PREPDATA for stream (task/thread) 0 ++ " + if [ "$PARALLEL" = 'YES' ]; then +echo +echo " In order to conserve space, the script trace from other " +echo " streams is not invoked unless the stream failed. " + fi +echo "********************************************************************" +echo + + cat mp_stream0.errfile + +echo +echo "********************************************************************" +echo " ++ End of Script trace from MP_PREPDATA for stream 0 ++ " +echo "********************************************************************" +echo + set -x + +# check status files +# ------------------ + + errSTATUS=0 + errPREPDATA=0 + four_check=yes + multi=0 + while [ $multi -lt $totalt ]; do + cat $DATA/multi$multi/mp_pgmout >> prepdata.out + cat $DATA/multi$multi/mp_pgmout >> $pgmout + status=$DATA/multi$multi/mstatus + if [ ! -s $status ]; then + set +x +echo +echo "********************************************************************" +echo " P R O B L E M ! ! ! " +echo "********************************************************************" +echo " ###> MP_PREPDATA stream (task/thread) $multi FAILED - Cycle date: \ +$CDATE10" +echo " Current working directory: $DATA " +echo +echo " Script trace from MP_PREPDATA for stream $multi follows ... " +echo "********************************************************************" +echo + cat $DATA/mp_stream${multi}.errfile +echo +echo "********************************************************************" +echo " ++ End of Script trace from MP_PREPDATA for stream $multi ++ " +echo "********************************************************************" +echo + set -x + errSTATUS=99 + else + err_this=`cut -f 2 -d = $status` + [ "$err_this" -gt "$errPREPDATA" ] && errPREPDATA=$err_this + [ "$err_this" -eq '0' ] && four_check=no + fi + multi=`expr $multi + 1` + done + + if [ "$errSTATUS" -gt '0' ]; then + $DATA/err_exit + exit 55 # for extra measure + fi + + [ "$errPREPDATA" -eq '4' -a "$four_check" = 'no' ] && errPREPDATA=0 + + set +x + echo + echo "For all MP_PREPDATA Streams, the largest foreground exit status \ + amongst all PREPOBS_PREPDATA runs is " $errPREPDATA + echo + set -x + + if [ "$errPREPDATA" -le "$errPREPDATA_limit" -a $errPREPDATA -ne 1 ]; then + err=0 + if [ "$errPREPDATA" -eq '4' ]; then + set +x + echo + echo "WARNING: PREPOBS_PREPDATA FOUND EITHER NO ADPUPA OR NO ADPSFC DATA" + echo "-------- THESE DATA WILL NOT BE AVAILABLE TO ANALYSES" + echo + set -x + fi + else + err=$errPREPDATA + fi + + pgm=`basename $PRPX` + touch errfile + $DATA/err_chk + [ $err != 0 ] && exit 55 # for extra measure + + if [ "$PARALLEL" = 'YES' ]; then + +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## +## HEREFILE MERGE_MSGS ## +##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## + +set +x +cat <<\EOFmrg > MERGE_MSGS + +# This herefile script merges the individual partial PREPBUFR files present at +# this point into a complete, monolithic PREPBUFR file in the proper message +# type order. It is the last step in the PREPDATA processing. It runs only +# in the parallel environment. +# ---------------------------------------------------------------------------- + +# Positional parameters passed in: +# 1 - Number of input partial PREPBUFR files that are going to be merged +# ($nfiles) +# 2 - Working directory path ($DATA) (contains separate partial PREPBUFR +# files and text files containing headers for each, one directory down) +# 3 - Beginning string of sub-directories in $DATA ($subdir) (each sub- +# directory contains an input partial PREPBUFR file and a text file +# containing headers for all messages in that PREPBUFR file) +# 4 - File in each sub-directory containing headers for all messages in +# partial PREPBUFR file in same sub-directory (file name only - same name +# in all sub-directories) ($header_file_name) +# 5 - Partial PREPBUFR file in each sub-directory (file name only - same name +# in all sub-directories) ($prep_in) +# 6 - Output monolithic PREPBUFR file name (file name only) ($prep_out) +# +# Imported variables that must be passed in: +# MONOBFRX - path to PREPOBS_MONOPREPBUFR program executable +# +# Imported variables that can be passed in: +# pgmout - string indicating path to for standard output file (skipped over +# by this script if not passed in) + + +if [ $# -ne 6 ] ; then + echo "Usage: $0 nfiles DATA subdir header_file_name prep_in prep_out" + exit 1 +fi + +set -aux + +qid=$$ + +nfiles=$1;DATA=$2;subdir=$3;header_file_name=$4;prep_in=$5;prep_out=$6 + + +# From all the header files, extract the header counts and names build +# namelist input to drive $MONOBFRX program +# --------------------------------------------------------------------- + +nheaders=`cat $DATA/${subdir}*/$header_file_name|awk '{print $1}'|sort -u|wc -l` +((nheaders+=0)) + +>$DATA/input echo +echo " &namin nfiles=$nfiles, nheaders=$nheaders," >>$DATA/input + +cd $DATA + + +# Assign the fort units to the files +# ----------------------------------- + +pgm=`basename $MONOBFRX` +if [ -s $DATA/prep_step ]; then + . $DATA/prep_step +else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +fi + + +n=-1 +while [ $((n+=1)) -lt $nfiles ] ;do + [ ! -s $DATA/${subdir}$n/$prep_in ] && exit 1 + export FORT$((11+n))=$DATA/${subdir}$n/$prep_in +done +export FORT51=$prep_out +set +x + + +# Extract the total span of headers by searching through all the header files +# --------------------------------------------------------------------------- + +n=-1 +while [ $((n+=1)) -lt $nfiles ]; do + file=$DATA/${subdir}$n/$header_file_name + [ ! -s $file ] && exit 1 + if [ `cat $file|awk '{print $1}'| \ + sort -u|wc -l` -eq $nheaders ] ; then + headers="" + nlines=`cat $file|wc -l` + i=0 + while [ $((i+=1)) -le $nlines ]; do + line=`sed -n $i,${i}p $file` + header=`echo $line|awk '{print $1}'` + echo " cheaders($i)='$header',">>$DATA/input + headers="$headers $header" + done + break + fi +done + + +# Tranlate the hdrs file contents into namelist array +# --------------------------------------------------- + +n=-1 +while [ $((n+=1)) -lt $nfiles ]; do + file=$DATA/${subdir}$n/$header_file_name + line= + i=0 + for hdr in $headers; do + ((i+=1)) + count=`grep $hdr $file|awk '{print $2}'` + set +u + [ -z "$count" ] && count=0 + set -u + line="${line}msgs($i,$((n+1)))=$count," + done + echo " $line " >>$DATA/input +done + +echo " &end" >>$DATA/input +set -x +cat $DATA/input + +$TIMEIT $MONOBFRX <$DATA/input > outout 2> errfile +export err=$? +###cat errfile +cat errfile >> outout +cat outout >> monoprepbufr.out +set +u +[ -n "$pgmout" ] && cat outout >> $pgmout +set -u +rm outout +set +x +echo +echo "The foreground exit status for PREPOBS_MONOPREPBUFR is " $err +echo +set -x +$DATA/err_chk +[ $err != 0 ] && exit 55 # for extra measure + +exit 0 +EOFmrg +set -x + +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## +## end of HEREFILE MERGE_MSGS ## +##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## + + chmod 775 MERGE_MSGS + +# In parallel environment, make monolithic PREPBUFR file by meriging the +# partial PREPBUFR files +# ---------------------------------------------------------------------- + $TIMEIT $DATA/MERGE_MSGS $NSPLIT $DATA multi prepda.hdrs prepda \ + prepda.${cycle} + errsc=$? + if test $errsc -ne 0 + then +# problem with merge script + $DATA/err_exit + exit 55 # for extra measure + fi + else + +# In serial environment, already have a monolithic PREPBUFR file - just +# copy it to expected local monolithic PREPBUFR file location +# --------------------------------------------------------------------- + cp $DATA/multi0/prepda prepda.${cycle} + + # fi for $PARALLEL = YES + fi + +# fi for $PREPDATA = YES +fi + +set +u +[ -n "$PREPBUFR_IN" ] && cp $PREPBUFR_IN $DATA/prepda.${cycle} +set -u + + +############################################ +# EXECUTE SYNTHETIC CYCLONE DATA PROCESSING +############################################ + +if [ "$SYNDATA" = 'YES' ]; then + +# Check condition code - SDM can shut-off synthetic cyclone bogusing +# ------------------------------------------------------------------ +# ==> this switch is NOT YET in place, so it will be hardwired to "YES" + +###cp ???????????? syndata_cond + echo "YES" > syndata_cond + SYN=`cat tcvitals_orig_sort + sort tcvitals > tcvitals_sort + comm -23 tcvitals_orig_sort tcvitals_sort > tcvitals_removed + [ -s tcvitals_removed ] && run_syndat_twice=yes + fi + fi + + $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ + $DATA/tcvitals $CDATE10 + + if [ $run_syndat_twice = yes ]; then + +# Run SYNDATA a second time when switch "run_syndat_twice" was set to "yes" in +# above logic (see %% above) + + DO_BOGUS=NO + $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ + $DATA/tcvitals_removed $CDATE10 + fi + fi +fi + +[ "$PREPDATA" = 'YES' ] && cp prepda.${cycle} prepda.prepdata + + +########################################### +# EXECUTE GSI QUALITY-CONTROL PROCESSING +########################################### + +if [ "$DO_QC" = 'YES' ]; then + if [ "$PREVENTS" = 'YES' ];then + $TIMEIT $USHPREV/prepobs_prevents.sh $DATA/prepda.${cycle} $CDATE10 + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$CQCBUFR" = 'YES' ];then + $TIMEIT $USHCQC/prepobs_cqcbufr.sh $DATA/prepda.${cycle} + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$PROFCQC" = 'YES' ];then + $TIMEIT $USHPQC/prepobs_profcqc.sh $DATA/prepda.${cycle} + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$CQCVAD" = 'YES' ];then + $TIMEIT $USHVQC/prepobs_cqcvad.sh $DATA/prepda.${cycle} $CDATE10 + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$PREPACQC" = 'YES' ];then + $TIMEIT $USHAQC/prepobs_prepacqc.sh $DATA/prepda.${cycle} $DATA/adpsfc + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi + if [ "$OIQCBUFR" = 'YES' ];then + $TIMEIT $USHOIQC/prepobs_oiqcbufr.sh $DATA/prepda.${cycle} $CDATE10 + errsc=$? + [ "$errsc" -ne '0' ] && exit $errsc + fi +fi + + +# Look for "OVERLARGE" subsets in stdout (print out of bufrlib when subset +# discarded because it is too big to fit in a BUFR message) -- post to +# jlogfile if appropriate + +msg=`grep "OVERLARGE SUBSET DISCARDED" $pgmout` +err=$? +if [ "$err" -eq '0' ]; then + set +x + echo + echo "$msg" + echo + set -x + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" +fi + +exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.txt new file mode 100644 index 0000000..22e7705 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.txt @@ -0,0 +1 @@ +MAKEPREPBUFRSH=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/prepobs_makeprepbufr.sh diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.sh new file mode 100755 index 0000000..dbb6630 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.sh @@ -0,0 +1,152 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + +# This script performs an oi-based quality control on all data +# +# It is normally executed by the script prepobs_makeprepbufr.sh +# but can also be executed from a checkout parent script +# -------------------------------------------------------------- + +set -aux + +qid=$$ + +# Positional parameters passed in: +# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr +# file upon successful completion of this script (note that input +# prepbufr file is NOT saved by this script) +# 2 - NCEP production date (YYYYMMDDHH) + +# Imported variables that must be passed in: +# DATA - path to working directory +# OIQCT - path to observation error table file +# OIQCX - path to PREPOBS_OIQCBUFR program executable + +# Imported variables that can be passed in: +# jlogfile - string indicating path to joblog file (skipped over by this +# script if not passed in) +# pgmout - string indicating path to for standard output file (skipped +# over by this script if not passed in) +# sys_tp - system type and phase. (if not passed in, an attempt is made to +# set this string using getsystem.pl, an NCO script in prod_util) +# SITE - site name (may have been set by local shell startup script) +# launcher_OIQCX - launcher for OIQCX executable (on Cray-XC40, defaults to +# aprun using 16 tasks) + +cd $DATA +PRPI=$1 +if [ ! -s $PRPI ] ; then exit 1;fi +CDATE10=$2 + +jlogfile=${jlogfile:=""} + +rm $PRPI.oiqcbufr +rm tosslist + +pgm=`basename $OIQCX` +if [ -s $DATA/prep_step ]; then + set +u + . $DATA/prep_step + set -u +else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +fi + +#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) +#set +u +#[ -n "$LOADL_PROCESSOR_LIST" ] && export XLSMPOPTS=parthds=2:usrthds=2:stack=64000000 +#set -u + +echo " $CDATE10" > cdate.dat +export FORT11=cdate.dat +export FORT14=$PRPI +export FORT17=$OIQCT +export FORT18=obprt_ipoint.wrk +export FORT20=tolls.wrk +export FORT61=toss.sfc_z +export FORT62=toss.temp_wind +export FORT63=toss.sat_temp +export FORT64=toss.ssmi_wind +export FORT65=tosslist +export FORT70=$PRPI.oiqcbufr +export FORT81=obogram.out +export FORT82=obogram.bin +TIMEIT=${TIMEIT:-""} +[ -s $DATA/time ] && TIMEIT="$DATA/time -p" +# $TIMEIT mpirun $OIQCX > outout 2> errfile +#$TIMEIT mpirun -genvall -n $LSB_DJOB_NUMPROC -machinefile $LSB_DJOB_HOSTFILE $OIQCX > outout 2> errfile + +SITE=${SITE:-""} +sys_tp=${sys_tp:-$(getsystem.pl -tp)} +getsystp_err=$? +if [ $getsystp_err -ne 0 ]; then + msg="***WARNING: error using getsystem.pl to determine system type and phase" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" +fi +echo sys_tp is set to: $sys_tp +if [ "$sys_tp" = "Cray-XC40" -o "$SITE" = "SURGE" -o "$SITE" = "LUNA" ]; then + launcher_OIQCX=${launcher_OIQCX:-"aprun -n 16 -N 16 -j 1"} # consistent with tide/gyre +# launcher_OIQCX=${launcher_OIQCX:-"aprun -n 24 -N 24 -j 1"} # slightly faster +else + launcher_OIQCX=${launcher_OIQCX:-"mpirun.lsf"} +#########################module load ibmpe ics lsf uncomment if not in profile +# seems to run ok w next 10 lines commented out (even though Jack had them in +# his version of this script) +###export LANG=en_US +###export MP_EAGER_LIMIT=65536 +###export MP_EUIDEVELOP=min +###export MP_EUIDEVICE=sn_all +###export MP_EUILIB=us +###export MP_MPILIB=mpich2 +###export MP_USE_BULK_XFER=yes +###export MPICH_ALLTOALL_THROTTLE=0 +###export MP_COLLECTIVE_OFFLOAD=yes +###export KMP_STACKSIZE=1024m +fi + +$TIMEIT $launcher_OIQCX $OIQCX > outout 2> errfile + +err=$? +###cat errfile +cat errfile >> outout +cat outout >> oiqcbufr.out +cp outout obcnt.out +set +u +[ -n "$pgmout" ] && cat outout >> $pgmout +set -u +rm outout +set +x +echo +echo 'The foreground exit status for PREPOBS_OIQCBUFR is ' $err +echo +set -x +if [ "$err" -eq '4' ]; then +msg="WRNG: SOME OBS NOT QC'd BY PGM PREPOBS_OIQCBUFR - # OF OBS > LIMIT \ +--> non-fatal" + set +x + echo + echo "$msg" + echo + set -x + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + err=0 +fi +if [ -s $DATA/err_chk ]; then + $DATA/err_chk +else + if test "$err" -gt '0' + then +######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out + # in ops + exit 55 + fi +fi + +if [ "$err" -gt '0' ]; then + exit 9 +else + mv $PRPI.oiqcbufr $PRPI +fi + +exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.txt new file mode 100644 index 0000000..72b016f --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.txt @@ -0,0 +1 @@ +OIQCX=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_oiqcbufr diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.sh new file mode 100755 index 0000000..0fc1c6e --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.sh @@ -0,0 +1,211 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + + +# This script performs two tasks: +# 1) Execute program PREPOBS_PREPACQC to perform aircraft quality control +# checking +# 2) Execute program PREPOBS_PREPACPF to append a surface level to profile +# reports in the PREPBUFR-format aircraft profiles file which is output +# from PREPOBS_PREPACQC +# Both tasks are optional in case the executing job wants to perform only one +# of these two tasks. The default to to perform both tasks. +# +# This script is normally executed by the script prepobs_makeprepbufr.sh +# but can also be executed from a checkout parent script +# -------------------------------------------------------------------------- + +set -aux + +qid=$$ + +# Positional parameters that must always be passed in: +# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr +# file upon successful completion of this script +# (note that input prepbufr file is NOT saved by this script) +# {this can be set to "null" if PROCESS_ACQC != YES (see below), since in +# this case it is not considered} + +# Positional parameters that must be passed in if PROCESS_ACPF = YES (see +# below): +# 2 - path to adpsfc dump file input to PREPOBS_PREPACPF {normally the same +# one that was read in to generate the prepbufr file in positional +# parameter 1 or, if PREPOBS_PREPACQC != YES (see below), the prepbufr +# file processed by program PREPOBS_PREPACQC which presumably ran some +# place outside of, and prior to, this script} + +# Imported variables that must always be passed in: +# DATA - path to working directory +# PROCESS_ACQC - switch controlling whether or not to execute +# PREPOBS_PREPACQC +# PROCESS_ACPF - switch controlling whether or not to execute +# PREPOBS_PREPACPF + +# Imported variables that must be passed in if PROCESS_ACQC = YES: +# AQCX - path to PREPOBS_PREPACQC program executable +# AQCC - path to PREPOBS_PREPACQC program parm cards + +# Imported variables that must be passed in if PROCESS_ACQC != YES: +# acft_profiles - path to prepbufr.acft_profiles file output by program +# PREPOBS_PREPACQC (which presumably ran some place outside +# of, and prior to, this script) + +# Imported variables that must be passed in if PROCESS_ACPF = YES: +# DICT - path to unsorted METAR station dictionary file +# APFX - path to PREPOBS_PREPACPF program executable + +# Imported variables that can be passed in: +# jlogfile - string indicating path to joblog file +# (skipped over by this script if not passed in) +# (only examined if PROCESS_ACPF = YES) +# pgmout - string indicating path to for standard output file +# (skipped over by this script if not passed in) + + +cd $DATA + +jlogfile=${jlogfile:=""} + +if [ $PROCESS_ACQC = YES ]; then + PRPI=$1 + if [ ! -s $PRPI ] ; then exit 1;fi + + rm $PRPI.prepacqc + rm prepbufr.acft_profiles + + pgm=`basename $AQCX` + if [ -s $DATA/prep_step ]; then + set +u + . $DATA/prep_step + set -u + else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` + fi + + export FORT11=$PRPI + export FORT41=vvel_info.acft_profiles.txt + export FORT61=$PRPI.prepacqc + export FORT62=prepbufr.acft_profiles + TIMEIT=${TIMEIT:-""} + [ -s $DATA/time ] && TIMEIT="$DATA/time -p" + # The following improves performance on Cray-XC40 if $AQCX was + # linked to the IOBUF i/o buffering library + export IOBUF_PARAMS='*.log:verbose,*.txt:verbose,*.sorted:verbose' + $TIMEIT $AQCX< $AQCC > outout 2> errfile + err=$? + err_actual=$err + unset IOBUF_PARAMS +######cat errfile + cat errfile >> outout + cat outout >> prepacqc.out + set +u + [ -n "$pgmout" ] && cat outout >> $pgmout + set -u + rm outout + set +x + echo + echo 'The foreground exit status for PREPOBS_PREPACQC is ' $err + echo + set -x + if [ $err -eq 4 ]; then + msg="PREPBUFR DATA SET CONTAINS NO "AIRCAR" OR "AIRCFT" TABLE A MESSAGES --> non-fatal" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + err=0 + fi + if [ -s $DATA/err_chk ]; then + $DATA/err_chk + else + if test "$err" -gt '0' + then +#########kill -9 ${qid} # need a WCOSS alternative to this even tho commented + # out in ops + exit 55 + fi + fi + + if [ "$err" -gt '0' ]; then + exit 9 + elif [ "$err_actual" -gt '0' ]; then + PROCESS_ACPF=NO + else + [ ! -f $PRPI.prepacqc ] && touch $PRPI.prepacqc + mv $PRPI.prepacqc $PRPI + fi + +else + cp -p $acft_profiles prepbufr.acft_profiles +fi + + +if [ $PROCESS_ACPF = YES ]; then + ADPSFC=$2 + + sort -n +0.61 -0.67 $DICT > metar.tbl.lon_sorted + + msg=good + if [ ! -s $ADPSFC ]; then + msg="WARNING: PREPOBS_PREPACPF COULD NOT RUN, adpsfc FILE NOT FOUND \ +--> non-fatal" + elif [ ! -s prepbufr.acft_profiles ]; then + msg="WARNING: PREPOBS_PREPACPF COULD NOT RUN, prepbufr.acft_profiles \ +FILE NOT FOUND --> non-fatal" + elif [ ! -s metar.tbl.lon_sorted ]; then + msg="WARNING: PREPOBS_PREPACPF COULD NOT RUN, metar.tbl FILE NOT FOUND \ +--> non-fatal" + fi + if [ "$msg" != 'good' ]; then + set +x + echo + echo "$msg" + echo + set -x + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + exit 0 + fi + + pgm=`basename $APFX` + if [ -s $DATA/prep_step ]; then + set +u + . $DATA/prep_step + set -u + else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` + fi + + export FORT11=metar.tbl.lon_sorted + export FORT12=$ADPSFC + export FORT13=prepbufr.acft_profiles + export FORT51=prepbufr.acft_profiles_sfc + TIMEIT=${TIMEIT:-""} + [ -s $DATA/time ] && TIMEIT="$DATA/time -p" + $TIMEIT $APFX > outout 2> errfile + err=$? +######cat errfile + cat errfile >> outout + cat outout >> prepacpf.out + set +u + [ -n "$pgmout" ] && cat outout >> $pgmout + set -u + rm outout + set +x + echo + echo 'The foreground exit status for PREPOBS_PREPACPF is ' $err + echo + set -x + if [ $err -gt 0 ]; then + msg="WARNING: PREPOBS_PREPACPF DID NOT COMPLETE NORMALLY --> non-fatal" + set +x + echo + echo "$msg" + echo + set -x + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + else + err=0 + [ -s $DATA/err_chk ] && $DATA/err_chk + fi +fi + +exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.txt new file mode 100644 index 0000000..45184d1 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.txt @@ -0,0 +1 @@ +AQCC=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_prepacqc diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepdata.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepdata.txt new file mode 100644 index 0000000..cfba978 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepdata.txt @@ -0,0 +1 @@ +PRPX=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_prepdata diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prevents.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prevents.txt new file mode 100644 index 0000000..9aa9640 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prevents.txt @@ -0,0 +1 @@ +PREX=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_prevents diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_profcqc.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_profcqc.txt new file mode 100644 index 0000000..9f82c5a --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_profcqc.txt @@ -0,0 +1 @@ +PQCX=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_profcqc diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.sh new file mode 100755 index 0000000..5fe1fab --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.sh @@ -0,0 +1,209 @@ +#!/bin/ksh +# Run under ksh (converted to WCOSS) + + +# This script has two functions: +# 1) Generates synthetic cyclone bogus near tropical storms and appends them +# to a PREPBUFR file (based on script variable DO_BOGUS). If may also, +# based on user-requested switch, flag mass pressure reports "near" +# tropical storms. +# 2) Flag dropwinsonde wind reports "near" tropical storms (based on user- +# requested switch). +# +# Note: It can do both 1 and 2 above or just one of them without the other. +# +# (NOTE: SYNDATA is currently restricted to run with T126 gaussian +# land-sea mask) +# +# It is normally executed by the script prepobs_makeprepbufr.sh +# but can also be executed from a checkout parent script +# ------------------------------------------------------------- + +set -aux + +# Positional parameters passed in: +# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr +# file upon successful completion of this script (note that input +# prepbufr file is NOT saved by this script) +# 2 - path to COPY OF input tcvitals file +# 3 - expected center date in PREPBUFR file (YYYYMMDDHH) + + +# Imported variables that must be passed in: +# DATA - path to working directory +# SGES - path to COPY OF global simga first guess file 1 (valid at +# either center date of PREPBUFR file or nearest cycle time prior +# to center date of PREPBUFR file which is a multiple of 3) +# SGESA - path to COPY OF global simga first guess file 2 (either +# null if SGES is valid at center date of PREPBUFR file or valid +# at nearest cycle time after center date of PREPBUFR file which +# is a multiple of 3 if SGES is valid at nearest cycle time +# prior to center date of PREPBUFR file which is a multiple of 3) +# PRVT - path to observation error table file +# FIXSYND - path to synthethic data fixed field files +# SYNDX - path to SYNDAT_SYNDATA program executable +# SYNDC - path to SYNDAT_SYNDATA program parm cards + +# Imported variables that can be passed in: +# DO_BOGUS - Generate synthetic cyclone bogus near tropical storms and +# append them to a PREPBUFR file (and also, based on user- +# requested switch, flag mass pressure reports "near" tropical +# storms)? (choices are "YES" or "NO", anything else defaults to +# "YES", including if this is not passed in) +# jlogfile - string indicating path to joblog file (skipped over by this +# script if not passed in) +# pgmout - string indicating path to for standard output file (skipped +# over by this script if not passed in) +# sys_tp - system type and phase. (if not passed in, an attempt is made to +# set this string using getsystem.pl, an NCO script in prod_util) +# SITE - site name (may have been set by local shell startup script) +# launcher_SYNDX - launcher for SYNDX executable (on Cray-XC40, defaults to +# aprun using single task) + + +cd $DATA +PRPI=$1 +if [ ! -s $PRPI ] ; then exit 1 ;fi +VITL=$2 +CDATE10=$3 + +jlogfile=${jlogfile:=""} + +if [ ! -s $VITL ] ; then + msg="TCVITALS EMPTY - NO PROCESSING PERFORMED BY SYNDAT_SYNDATA for \ +$CDATE10 --> non-fatal" + set +x + echo + echo "$msg" + echo + set -x + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + + exit +fi + +if [ $DO_BOGUS = 'YES' ]; then + suffix_char="" +else + suffix_char="_nobog" +fi + +rm -f $PRPI.syndata bogdomn.wrk${suffix_char} alldat${suffix_char} +rm -f stmtrk.wrk${suffix_char} rawdat.wrk${suffix_char} dumcoef${suffix_char} +rm -f matcoef${suffix_char} dthistry${suffix_char} bogrept${suffix_char} +rm -f bogdata${suffix_char} fenvdta.wrk${suffix_char} stkdatb.wrk${suffix_char} +rm -f gesvit${suffix_char} bghistry.diag${suffix_char} +rm -f prevents.filtering.syndata${suffix_char} + +pgm=`basename $SYNDX` +if [ -s $DATA/prep_step ]; then + set +u + . $DATA/prep_step + set -u +else + [ -f errfile ] && rm errfile + unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` +fi + +export FORT11=$VITL +echo " $CDATE10" > cdate10.dat +export FORT13=cdate10.dat +export FORT14=$FIXSYND/syndat_syndata.slmask.t126.gaussian +export FORT15=bogdomn.wrk${suffix_char} +export FORT16=stmtrk.wrk${suffix_char} +export FORT17=rawdat.wrk${suffix_char} +export FORT19=bghistry.diag${suffix_char} +export FORT21=gesvit${suffix_char} +export FORT22=stkdatb.wrk${suffix_char} +export FORT23=fenvdta.wrk${suffix_char} +export FORT24=bogdata${suffix_char} +export FORT25=$PRPI +#####export FORT30=$SGES +#####export FORT31=$SGESA + +# The SYNDAT_SYNDATA code will soon, or may now, open GFS spectral coefficient +# guess files using sigio routines (via W3EMC routine GBLEVENTS) via explicit +# open(unit=number,file=filename) statements. This conflicts with the FORTxx +# statements above. One can either remove the explicit open statements in the +# code or replace the above FORTxx lines with soft links. The soft link +# approach is taken below. + +ln -sf $SGES fort.30 +ln -sf $SGESA fort.31 +export FORT32=$PRVT +export FORT40=$FIXSYND/syndat_weight +export FORT58=bogrept${suffix_char} +export FORT59=dthistry${suffix_char} +export FORT61=$PRPI.syndata +export FORT70=matcoef${suffix_char} +export FORT71=dumcoef${suffix_char} +export FORT72=rawdat.wrk${suffix_char} +export FORT73=stmtrk.wrk${suffix_char} +export FORT74=alldat${suffix_char} +export FORT80=prevents.filtering.syndata${suffix_char} +export FORT89=bogdomn.wrk${suffix_char} + +#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) +##The choice in the first line below MAY cause a failure +##The choice in the second line below works! +#set +u +#####[ -n "$LOADL_PROCESSOR_LIST" ] && export XLSMPOPTS=parthds=2:stack=64000000 +#[ -n "$LOADL_PROCESSOR_LIST" ] && export XLSMPOPTS=parthds=2:stack=20000000 +#set -u + +TIMEIT=${TIMEIT:-""} +[ -s $DATA/time ] && TIMEIT="$DATA/time -p" + +SITE=${SITE:-""} +sys_tp=${sys_tp:-$(getsystem.pl -tp)} +getsystp_err=$? +if [ $getsystp_err -ne 0 ]; then + msg="***WARNING: error using getsystem.pl to determine system type and phase" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" +fi +echo sys_tp is set to: $sys_tp +if [ "$sys_tp" = "Cray-XC40" -o "$SITE" = "SURGE" -o "$SITE" = "LUNA" ]; then + launcher_SYNDX=${launcher_SYNDX:-"aprun -n 1 -N 1 -d 1"} +else + launcher_SYNDX=${launcher_SYNDX:-""} +fi +$TIMEIT $launcher_SYNDX $SYNDX < $SYNDC > outout 2> errfile +err=$? +###cat errfile +cat errfile >> outout +[ $DO_BOGUS = 'YES' ] && cat prevents.filtering.syndata >> outout +cat outout >> syndata.out +set +u +[ -n "$pgmout" ] && cat outout >> $pgmout +set -u +rm outout +set +x +echo +echo 'The foreground exit status for SYNDAT_SYNDATA is ' $err +echo +set -x +if [ $err -eq 0 ]; then + + set +x + echo " --------------------------------------------- " + echo " ********** COMPLETED PROGRAM $pgm **********" + echo " --------------------------------------------- " + set -x + msg="$pgm completed normally for $CDATE10 - DO_BOGUS= $DO_BOGUS" + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + mv $PRPI.syndata $PRPI + +else + +msg="SYNDAT_SYNDATA TERMINATED ABNORMALLY WITH CONDITION CODE $err \ +--> non-fatal" + set +x + echo + echo "$msg" + echo + set -x + [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" + +fi + +exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.txt new file mode 100644 index 0000000..dcfe446 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.txt @@ -0,0 +1 @@ +/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/prepobs_syndata.sh diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/gfs_genesis_para_fv3gfs.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/gfs_genesis_para_fv3gfs.sh new file mode 100755 index 0000000..abb97dc --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/gfs_genesis_para_fv3gfs.sh @@ -0,0 +1,108 @@ +#!/bin/sh +##BSUB -J gfs_GEN_00 +##BSUB -W 0:30 +##BSUB -o /gpfs/hps/ptmp/Jiayi.Peng/com2/gfs_genesis_00.o%J +##BSUB -e /gpfs/hps/ptmp/Jiayi.Peng/com2/gfs_genesis_00.o%J +##BSUB -q "dev" +##BSUB -P "GEN-T2O" +##BSUB -M 1000 +##BSUB -extsched 'CRAYLINUX[]' +##export NODES=1 + +date +export PS4=' $SECONDS + ' +set -x + +. $MODULESHOME/init/sh +module use /gpfs/hps/nco/ops/nwprod/modulefiles +module load prod_util +module load grib_util/1.0.3 + +module use /opt/cray/alt-modulefiles +module load PrgEnv-intel +module load iobuf/2.0.5 + +module use /opt/cray/craype/default/alt-modulefiles +module load craype-haswell +module list + +#export IOBUF_PARAMS="*:size=256M:count=4:verbose" +export IOBUF_PARAMS="*:size=32M:count=4:verbose" + +export NWROOTGENESIS=${NWROOTGENESIS:-/gpfs/hps/emc/ensemble/save/Jiayi.Peng} +export COMDATEROOT=/gpfs/hps/nco/ops/com +#export COMROOTp1=/gpfs/tp1/nco/ops/com +export ens_tracker_ver=v2.0.1 + +export CDATE=${1:-?} +export CDUMP=${2:-?} +export COMROT=${3:-?} +export DATA=${4:-?} + +export GESROOT=${COMROT} + +#export APRNRELOC="time aprun -b -j1 -n1 -N1 -d24 -cc depth" +#export APRNGETTX="time aprun -q -j1 -n1 -N1 -d1 -cc depth " +export APRUNTRACK="aprun -j1 -n1 -N1 -d1" + +export JYYYY=`echo ${CDATE} | cut -c1-4` +export PDY=`echo ${CDATE} | cut -c1-8` +export cyc=`echo ${CDATE} | cut -c9-10` +export cycle=t${cyc}z + +mkdir -p $DATA +cd $DATA + +mkdir -p ${ROTDIR}/logs/$CDATE +export jlogfile=${jlogfile:-${ROTDIR}/logs/$CDATE/genesis_tracker.log} + +export SENDECF=${SENDECF:-NO} +export SENDCOM=${SENDCOM:-YES} +export SENDDBN=${SENDDBN:-NO} + +#################################### +# Specify Execution Areas +#################################### +export HOMEens_tracker=${HOMEens_tracker:-${NWROOTGENESIS}/ens_tracker.${ens_tracker_ver}} +export EXECens_tracker=${EXECens_tracker:-$HOMEens_tracker/exec} +export FIXens_tracker=${FIXens_tracker:-$HOMEens_tracker/fix} +export USHens_tracker=${USHens_tracker:-$HOMEens_tracker/ush} +export SCRIPTens_tracker=${SCRIPTens_tracker:-$HOMEens_tracker/scripts} + +############################################## +# Define COM directories +############################################## +#export COMINgfs=${COMINgfs:-${COMROOTp2}/gfs/prod/gfs.${PDY}} +#export COMINsyn=${COMINsyn:-${COMROOTp1}/arch/prod/syndat} +export COMINgfs=${COMINgfs:-$(compath.py gfs/prod/gfs.$PDY)} +export COMINsyn=${COMINsyn:-$(compath.py arch/prod/syndat)} + +export COMIN=${COMIN:-${COMROT}} +export COMOUT=${COMOUT:-${COMROT}} + +export COMINgenvit=${COMINgenvit:-${DATA}/genesis_vital_${JYYYY}} +export COMOUTgenvit=${COMOUTgenvit:-${DATA}/genesis_vital_${JYYYY}} + +export gfspara=${gfspara:-${COMIN}} +#export gfspara=${gfspara:-/gpfs/hps/ptmp/emc.glopara/prtest} +#export gfspara=/ptmpd3/emc.glopara/pr4devbs15 +#export gfspara=/ptmpp2/emc.glopara/pr4devbs12 + +mkdir -m 775 -p $COMOUT $COMOUTgenvit + +msg="HAS BEGUN on `hostname`" + +env + +${SCRIPTens_tracker}/exgfs_tc_genesis_fv3gfs.sh +export err=$?; err_chk + +msg="JOB COMPLETED NORMALLY" +postmsg "$jlogfile" "$msg" + +############################## +# Remove the Temporary working directory +############################## +#rm -rf $DATA + +date diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/gfs_genesis_para_fv3gfs.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/gfs_genesis_para_fv3gfs.txt new file mode 100644 index 0000000..056afa8 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/gfs_genesis_para_fv3gfs.txt @@ -0,0 +1 @@ +GENESISSH=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/gfs/q3fy17/ens_tracker.v2.0.1/gfs_genesis_para_fv3gfs.sh diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_extrkr.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_extrkr.sh new file mode 100755 index 0000000..62a3815 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_extrkr.sh @@ -0,0 +1,1703 @@ +#!/bin/ksh + +#module load ics +export PS4='+t+$SECONDS extrkr.sh:$LINENO -- ' + +userid=$LOGNAME + +set +x +############################################################################## +cat<${DATA}/tmpsynvit.${atcfout}.${PDY}${CYL} + grep "${current_str}" ${synvitdir}/${synvitfile} \ + >>${DATA}/tmpsynvit.${atcfout}.${PDY}${CYL} + grep "${future_str}" ${synvitfuture_dir}/${synvitfuture_file} \ + >>${DATA}/tmpsynvit.${atcfout}.${PDY}${CYL} +else + set +x + echo " " + echo " There is no (synthetic) TC vitals file for ${CYL}z in ${synvitdir}," + echo " nor is there a TC vitals file for ${old_hh}z in ${synvitold_dir}." + echo " nor is there a TC vitals file for ${future_hh}z in ${synvitfuture_dir}," + echo " Checking the raw TC Vitals file ....." + echo " " + set -x +fi + +# Take the vitals from Steve Lord's /com/gfs/prod tcvitals file, +# and cat them with the NHC-only vitals from the raw, original +# /com/arch/prod/synda_tcvitals file. Do this because the nwprod +# tcvitals file is the original tcvitals file, and Steve runs a +# program that ignores the vitals for a storm that's over land or +# even just too close to land, and for tracking purposes for the +# US regional models, we need these locations. Only include these +# "inland" storm vitals for NHC (we're not going to track inland +# storms that are outside of NHC's domain of responsibility -- we +# don't need that info). +# UPDATE 5/12/98 MARCHOK: awk logic is added to screen NHC +# vitals such as "89E TEST", since TPC +# does not want tracks for such storms. + +grep "${old_str}" ${archsyndir}/syndat_tcvitals.${CENT}${syy} | \ + grep -v TEST | awk 'substr($0,6,1) !~ /8/ {print $0}' \ + >${DATA}/tmprawvit.${atcfout}.${PDY}${CYL} +grep "${current_str}" ${archsyndir}/syndat_tcvitals.${CENT}${syy} | \ + grep -v TEST | awk 'substr($0,6,1) !~ /8/ {print $0}' \ + >>${DATA}/tmprawvit.${atcfout}.${PDY}${CYL} +grep "${future_str}" ${archsyndir}/syndat_tcvitals.${CENT}${syy} | \ + grep -v TEST | awk 'substr($0,6,1) !~ /8/ {print $0}' \ + >>${DATA}/tmprawvit.${atcfout}.${PDY}${CYL} + + +# IMPORTANT: When "cat-ing" these files, make sure that the vitals +# files from the "raw" TC vitals files are first in order and Steve's +# TC vitals files second. This is because Steve's vitals file has +# been error-checked, so if we have a duplicate tc vitals record in +# these 2 files (very likely), program supvit.x below will +# only take the last vitals record listed for a particular storm in +# the vitals file (all previous duplicates are ignored, and Steve's +# error-checked vitals records are kept). + +cat ${DATA}/tmprawvit.${atcfout}.${PDY}${CYL} ${DATA}/tmpsynvit.${atcfout}.${PDY}${CYL} \ + >${DATA}/vitals.${atcfout}.${PDY}${CYL} + +#--------------------------------------------------------------# +# Now run a fortran program that will read all the TC vitals +# records for the current dtg and the dtg from 6h ago, and +# sort out any duplicates. If the program finds a storm that +# was included in the vitals file 6h ago but not for the current +# dtg, this program updates the 6h-old first guess position +# and puts these updated records as well as the records from +# the current dtg into a temporary vitals file. It is this +# temporary vitals file that is then used as the input for the +# tracking program. +#--------------------------------------------------------------# + +oldymdh=` ${NDATE:?} -${vit_incr} ${PDY}${CYL}` +oldyy=${oldymdh:2:2} +oldmm=${oldymdh:4:2} +olddd=${oldymdh:6:2} +oldhh=${oldymdh:8:2} +oldymd=${oldyy}${oldmm}${olddd} + +futureymdh=` ${NDATE:?} 6 ${PDY}${CYL}` +futureyy=${futureymdh:2:2} +futuremm=${futureymdh:4:2} +futuredd=${futureymdh:6:2} +futurehh=${futureymdh:8:2} +futureymd=${futureyy}${futuremm}${futuredd} + +cat<${DATA}/suv_input.${atcfout}.${PDY}${CYL} +&datenowin dnow%yy=${syy}, dnow%mm=${smm}, + dnow%dd=${sdd}, dnow%hh=${CYL}/ +&dateoldin dold%yy=${oldyy}, dold%mm=${oldmm}, + dold%dd=${olddd}, dold%hh=${oldhh}/ +&datefuturein dfuture%yy=${futureyy}, dfuture%mm=${futuremm}, + dfuture%dd=${futuredd}, dfuture%hh=${futurehh}/ +&hourinfo vit_hr_incr=${vit_incr}/ +EOF + + +numvitrecs=`cat ${DATA}/vitals.${atcfout}.${PDY}${CYL} | wc -l` +if [ ${numvitrecs} -eq 0 ] +then + + if [ ${trkrtype} = 'tracker' ] + then + set +x + echo " " + echo "!!! NOTE -- There are no vitals records for this time period." + echo "!!! File ${DATA}/vitals.${atcfout}.${PDY}${CYL} is empty." + echo "!!! It could just be that there are no storms for the current" + echo "!!! time. Please check the dates and submit this job again...." + echo " " + set -x + exit 1 + fi + +fi + +# For tcgen cases, filter to use only vitals from the ocean +# basin of interest.... + +if [ ${trkrtype} = 'tcgen' ] + then + + if [ ${numvitrecs} -gt 0 ] + then + + fullvitfile=${DATA}/vitals.${atcfout}.${PDY}${CYL} + cp $fullvitfile ${DATA}/vitals.all_basins.${atcfout}.${PDY}${CYL} + basin=` echo $regtype | cut -c1-2` + + if [ ${basin} = 'al' ]; then + cat $fullvitfile | awk '{if (substr($0,8,1) == "L") print $0}' \ + >${DATA}/vitals.tcgen_al_only.${atcfout}.${PDY}${CYL} + cp ${DATA}/vitals.tcgen_al_only.${atcfout}.${PDY}${CYL} \ + ${DATA}/vitals.${atcfout}.${PDY}${CYL} + fi + if [ ${basin} = 'ep' ]; then + cat $fullvitfile | awk '{if (substr($0,8,1) == "E") print $0}' \ + >${DATA}/vitals.tcgen_ep_only.${atcfout}.${PDY}${CYL} + cp ${DATA}/vitals.tcgen_ep_only.${atcfout}.${PDY}${CYL} \ + ${DATA}/vitals.${atcfout}.${PDY}${CYL} + fi + if [ ${basin} = 'wp' ]; then + cat $fullvitfile | awk '{if (substr($0,8,1) == "W") print $0}' \ + >${DATA}/vitals.tcgen_wp_only.${atcfout}.${PDY}${CYL} + cp ${DATA}/vitals.tcgen_wp_only.${atcfout}.${PDY}${CYL} \ + ${DATA}/vitals.${atcfout}.${PDY}${CYL} + fi + + cat ${DATA}/vitals.${atcfout}.${PDY}${CYL} + + fi + +fi + +# - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - +# Before running the program to read, sort and update the vitals, +# first run the vitals through some awk logic, the purpose of +# which is to convert all the 2-digit years into 4-digit years. +# We need this logic to ensure that all the vitals going +# into supvit.f have uniform, 4-digit years in their records. +# +# 1/8/2000: sed code added by Tim Marchok due to the fact that +# some of the vitals were getting past the syndata/qctropcy +# error-checking with a colon in them; the colon appeared +# in the character immediately to the left of the date, which +# was messing up the "(length($4) == 8)" statement logic. +# - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + +sed -e "s/\:/ /g" ${DATA}/vitals.${atcfout}.${PDY}${CYL} > ${DATA}/tempvit +mv ${DATA}/tempvit ${DATA}/vitals.${atcfout}.${PDY}${CYL} + +awk ' +{ + yycheck = substr($0,20,2) + if ((yycheck == 20 || yycheck == 19) && (length($4) == 8)) { + printf ("%s\n",$0) + } + else { + if (yycheck >= 0 && yycheck <= 50) { + printf ("%s20%s\n",substr($0,1,19),substr($0,20)) + } + else { + printf ("%s19%s\n",substr($0,1,19),substr($0,20)) + } + } +} ' ${DATA}/vitals.${atcfout}.${PDY}${CYL} >${DATA}/vitals.${atcfout}.${PDY}${CYL}.y4 + +mv ${DATA}/vitals.${atcfout}.${PDY}${CYL}.y4 ${DATA}/vitals.${atcfout}.${PDY}${CYL} + +if [ ${numvitrecs} -gt 0 ] +then + + export pgm=supvit + . $prep_step + + ln -s -f ${DATA}/vitals.${atcfout}.${PDY}${CYL} fort.31 + ln -s -f ${DATA}/vitals.upd.${atcfout}.${PDY}${CYL} fort.51 + + msg="$pgm start for $atcfout at ${CYL}z" + $postmsg "$jlogfile" "$msg" + + ${exectrkdir}/supvit <${DATA}/suv_input.${atcfout}.${PDY}${CYL} + suvrcc=$? + + if [ ${suvrcc} -eq 0 ] + then + msg="$pgm end for $atcfout at ${CYL}z completed normally" + $postmsg "$jlogfile" "$msg" + else + set +x + echo " " + echo "!!! ERROR -- An error occurred while running supvit.x, " + echo "!!! which is the program that updates the TC Vitals file." + echo "!!! Return code from supvit.x = ${suvrcc}" + echo "!!! model= ${atcfout}, forecast initial time = ${PDY}${CYL}" + echo "!!! Exiting...." + echo " " + set -x + err_exit " FAILED ${jobid} - ERROR RUNNING SUPVIT IN TRACKER SCRIPT- ABNORMAL EXIT" + fi + +else + + touch ${DATA}/vitals.upd.${atcfout}.${PDY}${CYL} + +fi + +#----------------------------------------------------------------- +# In this section, check to see if the user requested the use of +# operational TC vitals records for the initial time only. This +# option might be used for a retrospective medium range forecast +# in which the user wants to initialize with the storms that are +# currently there, but then let the model do its own thing for +# the next 10 or 14 days.... + +#------------------------------------------------------------------# +# Now select all storms to be processed, that is, process every +# storm that's listed in the updated vitals file for the current +# forecast hour. If there are no storms for the current time, +# then exit. +#------------------------------------------------------------------# + +numvitrecs=`cat ${DATA}/vitals.upd.${atcfout}.${PDY}${CYL} | wc -l` +if [ ${numvitrecs} -eq 0 ] +then + if [ ${trkrtype} = 'tracker' ] + then + set +x + echo " " + echo "!!! NOTE -- There are no vitals records for this time period " + echo "!!! in the UPDATED vitals file." + echo "!!! It could just be that there are no storms for the current" + echo "!!! time. Please check the dates and submit this job again...." + echo " " + set -x + exit 1 + fi +fi + +set +x +echo " " +echo " *--------------------------------*" +echo " | STORM SELECTION |" +echo " *--------------------------------*" +echo " " +set -x + +ict=1 +while [ $ict -le 15 ] +do + stormflag[${ict}]=3 + let ict=ict+1 +done + +dtg_current="${symd} ${CYL}00" +stormmax=` grep "${dtg_current}" ${DATA}/vitals.upd.${atcfout}.${PDY}${CYL} | wc -l` + +if [ ${stormmax} -gt 15 ] +then + stormmax=15 +fi + +sct=1 +while [ ${sct} -le ${stormmax} ] +do + stormflag[${sct}]=1 + let sct=sct+1 +done + + +#---------------------------------------------------------------# +# +# -------- "Genesis" Vitals processing -------- +# +# May 2006: This entire genesis tracking system is being +# upgraded to more comprehensively track and categorize storms. +# One thing that has been missing from the tracking system is +# the ability to keep track of storms from one analysis cycle +# to the next. That is, the current system has been very +# effective at tracking systems within a forecast, but we have +# no methods in place for keeping track of storms across +# difference initial times. For example, if we are running +# the tracker on today's 00z GFS analysis, we will get a +# position for various storms at the analysis time. But then +# if we go ahead and run again at 06z, we have no way of +# telling the tracker that we know about the 00z position of +# this storm. We now address that problem by creating +# "genesis" vitals, that is, when a storm is found at an +# analysis time, we not only produce "atcfunix" output to +# detail the track & intensity of a found storm, but we also +# produce a vitals record that will be used for the next +# run of the tracker script. These "genesis vitals" records +# will be of the format: +# +# YYYYMMDDHH_AAAH_LLLLX_TYP +# +# Where: +# +# YYYYMMDDHH = Date the storm was FIRST identified +# by the tracker. +# AAA = Abs(Latitude) * 10; integer value +# H = 'N' for norther hem, 'S' for southern hem +# LLLL = Abs(Longitude) * 10; integer value +# X = 'E' for eastern hem, 'W' for western hem +# TYP = Tropical cyclone storm id if this is a +# tropical cyclone (e.g., "12L", or "09W", etc). +# If this is one that the tracker instead "Found +# On the Fly (FOF)", we simply put those three +# "FOF" characters in there. + +d6ago_ymdh=` ${NDATE:?} -6 ${PDY}${CYL}` +d6ago_4ymd=` echo ${d6ago_ymdh} | cut -c1-8` +d6ago_ymd=` echo ${d6ago_ymdh} | cut -c3-8` +d6ago_hh=` echo ${d6ago_ymdh} | cut -c9-10` +d6ago_str="${d6ago_ymd} ${d6ago_hh}00" + +d6ahead_ymdh=` ${NDATE:?} 6 ${PDY}${CYL}` +d6ahead_4ymd=` echo ${d6ahead_ymdh} | cut -c1-8` +d6ahead_ymd=` echo ${d6ahead_ymdh} | cut -c3-8` +d6ahead_hh=` echo ${d6ahead_ymdh} | cut -c9-10` +d6ahead_str="${d6ahead_ymd} ${d6ahead_hh}00" + +syyyym6=` echo ${d6ago_ymdh} | cut -c1-4` +smmm6=` echo ${d6ago_ymdh} | cut -c5-6` +sddm6=` echo ${d6ago_ymdh} | cut -c7-8` +shhm6=` echo ${d6ago_ymdh} | cut -c9-10` + +syyyyp6=` echo ${d6ahead_ymdh} | cut -c1-4` +smmp6=` echo ${d6ahead_ymdh} | cut -c5-6` +sddp6=` echo ${d6ahead_ymdh} | cut -c7-8` +shhp6=` echo ${d6ahead_ymdh} | cut -c9-10` + +set +x +echo " " +echo " d6ago_str= --->${d6ago_str}<---" +echo " current_str= --->${current_str}<---" +echo " d6ahead_str= --->${d6ahead_str}<---" +echo " " +echo " for the times 6h ago, current and 6h ahead:" +echo " " +echo " " +set -x + + touch ${DATA}/genvitals.upd.${cmodel}.${atcfout}.${PDY}${CYL} + + +#-----------------------------------------------------------------# +# +# ------ CUT APART INPUT GRIB FILES ------- +# +# For the selected model, cut apart the GRIB input files in order +# to pull out only the variables that we need for the tracker. +# Put these selected variables from all forecast hours into 1 big +# GRIB file that we'll use as input for the tracker. +# +#-----------------------------------------------------------------# + +set +x +echo " " +echo " -----------------------------------------" +echo " NOW CUTTING APART INPUT GRIB FILES TO " +echo " CREATE 1 BIG GRIB INPUT FILE " +echo " -----------------------------------------" +echo " " +set -x + +#gix=$NWPROD/util/exec/grbindex +#g2ix=$NWPROD/util/exec/grb2index +#cgb=$NWPROD/util/exec/copygb +#cgb2=$NWPROD/util/exec/copygb2 + +regflag=`grep NHC ${DATA}/vitals.upd.${atcfout}.${PDY}${CYL} | wc -l` + +# ---------------------------------------------------------------------- +find_gfile() { + # This subroutine finds an input file from a list of possible + # input filenames, and calls err_exit if no file is found. The + # first file found is returned. + + # Calling conventions: + # find_gfile GFS 30 /path/to/file1.master.pgrbq30.grib2 /path/to/file2.master.pgrbq030.grib2 ... + nicename="$1" + nicehour="$2" + shift 2 + gfile=none + echo "Searching for input $nicename data for forecast hour $nicehour" + set -x + now=$( date +%s ) + later=$(( now + wait_max_time )) + # Note: the loop has only one iteration if --wait-max-time is + # unspecified. That is because later=now + while [[ ! ( "$now" -gt "$later" ) ]] ; do + for gfile in "$@" ; do + if [[ ! -e "$gfile" ]] ; then + set +x + echo "$gfile: does not exist" + set -x + gfile=none + elif [[ ! -s "$gfile" ]] ; then + set +x + echo "$gfile: exists, but is empty" + set -x + gfile=none + else + set +x + echo "$gfile: exists, is non-empty, so I will use this file" + set -x + return 0 + fi + done + now=$( date +%s ) + if [[ "$gfile" == none ]] ; then + if [[ ! ( "$now" -lt "$later" ) ]] ; then + set +x + echo " " + echo " " + echo " !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!" + echo " !!! $nicename missing for hour $nicehour" + echo " !!! Check for the existence of these file:" + for gfile in "$@" ; do + echo " !!! $nicename File: $gfile" + done + echo " !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!" + echo " " + set -x + err_exit "ERROR: mandatory input GFS file for hour $nicehour is missing or empty. Aborting. Checked for these files: $*" + continue + else + set +x + echo " " + echo " !!! Mandatory input $nicename missing for hour $nicehour" + echo " !!! Will retry after $sleep_time second sleep." + echo " !!! Checked these files:" + for gfile in "$@" ; do + echo " !!! $nicename File: $gfile" + done + echo " " + set -x + sleep $sleep_time + fi + fi + done +} + +# -------------------------------------------------- +# Process GFS or GDAS data +# -------------------------------------------------- +if [[ ${model} -eq 1 || $model == 8 ]] ; then + + export nest_type="fixed" + export trkrebd=360.0 + export trkrwbd=0.0 + export trkrnbd=85.0 + export trkrsbd=-85.0 + rundescr="xxxx" + atcfdescr="xxxx" + + + if [ $loopnum -eq 1 ] + then + + if [ -s ${DATA}/gfsgribfile.${PDY}${CYL} ] + then + rm ${DATA}/gfsgribfile.${PDY}${CYL} + fi + + rm ${DATA}/master.gfsgribfile.${PDY}${CYL}.f* + rm ${DATA}/gfsgribfile.${PDY}${CYL}.f* + >${DATA}/gfsgribfile.${PDY}${CYL} + + set +x + echo " " + echo "Time before gfs wgrib loop is `date`" + echo " " + set -x + + if [[ "$model" -eq 8 ]] ; then + name=gdas + name1=gdas + nicename=GDAS + else # not model 8, so assume GFS + name=gfs + name1=gfs + nicename=GFS + fi + + for fhour in ${fcsthrs} ; do + fhour=$( echo "$fhour" | bc ) + + if [ ${fhour} -eq $bad_hour ] + then + continue + fi + + fhour00=$( printf %02d "$fhour" ) + fhour000=$( printf %03d "$fhour" ) + fhour0000=$( printf %03d "$fhour" ) + + if [[ "$gribver" == 1 ]] ; then + + find_gfile "$nicename" "$fhour" \ + ${gfsdir}/$name1.t${CYL}z.${flag_pgb}$fhour00 \ + ${gfsdir}/$name1.t${CYL}z.${flag_pgb}$fhour000 \ + ${gfsdir}/pgb${flag_pgb}$fhour00.$name.${symdh} \ + ${gfsdir}/pgrb${flag_pgb}$fhour00.$name.${symdh} + ${WGRIB:?} -s $gfile >gfs.ix + + for parm in ${wgrib_parmlist} + do + case ${parm} in + "SurfaceU") grep "UGRD:10 m " gfs.ix ;; + "SurfaceV") grep "VGRD:10 m " gfs.ix ;; + *) grep "${parm}" gfs.ix ;; + esac + done | ${WGRIB:?} -s $gfile -i -grib -append \ + -o ${DATA}/master.gfsgribfile.${PDY}${CYL}.f${fhour000} + + gfs_master_file=${DATA}/master.gfsgribfile.${PDY}${CYL}.f${fhour000} + gfs_converted_file=${DATA}/gfsgribfile.${PDY}${CYL}.f${fhour000} + gfs_cat_file=${DATA}/gfsgribfile.${PDY}${CYL} +# $cgb -g4 -i2 -x ${gfs_master_file} ${gfs_converted_file} +# cat ${gfs_converted_file} >>${gfs_cat_file} + cat ${gfs_master_file} >>${gfs_cat_file} + + else # gribver is not 1, so assume GRIB2 + + find_gfile "$nicename" "$fhour" \ + ${gfsdir}/$name1.t${CYL}z.pgrb2.0p25.f${fhour000} \ + ${gfsdir}/$name1.t${CYL}z.pgrb2.0p25.f${fhour00} \ + ${gfsdir}/pgb${flag_pgb}$fhour00.$name.${symdh}.grib2 \ + ${gfsdir}/pgrb${flag_pgb}${fhour000}.$name.${symdh}.grib2 + ${WGRIB2:?} -s $gfile >gfs.ix + + for parm in ${wgrib_parmlist} + do + case ${parm} in + "SurfaceU") grep "UGRD:10 m " gfs.ix ;; + "SurfaceV") grep "VGRD:10 m " gfs.ix ;; + *) grep "${parm}" gfs.ix ;; + esac + done | ${WGRIB2:?} -i $gfile -append -grib \ + ${DATA}/master.gfsgribfile.${PDY}${CYL}.f${fhour000} + + gfs_master_file=${DATA}/master.gfsgribfile.${PDY}${CYL}.f${fhour000} + gfs_converted_file=${DATA}/gfsgribfile.${PDY}${CYL}.f${fhour000} + gfs_cat_file=${DATA}/gfsgribfile.${PDY}${CYL} + + ${GRB2INDEX:?} ${gfs_master_file} ${gfs_master_file}.ix + + g1=${gfs_master_file} + x1=${gfs_master_file}.ix + +# grid4="0 6 0 0 0 0 0 0 720 361 0 0 90000000 0 48 -90000000 359500000 500000 500000 0" +# $cgb2 -g "${grid4}" ${g1} ${x1} ${gfs_converted_file} +# cat ${gfs_converted_file} >>${gfs_cat_file} + + cat ${gfs_master_file} >>${gfs_cat_file} + + fi + + done + + if [ ${gribver} -eq 1 ]; then + ${GRBINDEX:?} ${DATA}/gfsgribfile.${PDY}${CYL} ${DATA}/gfsixfile.${PDY}${CYL} + else + ${GRB2INDEX:?} ${DATA}/gfsgribfile.${PDY}${CYL} ${DATA}/gfsixfile.${PDY}${CYL} + fi + +# -------------------------------------------- + + if [[ "$PhaseFlag" == y ]] ; then + + catfile=${DATA}/gfs.${PDY}${CYL}.catfile + >${catfile} + + for fhour in ${fcsthrs} + do + + + fhour=$( echo "$fhour" | bc ) + + if [ ${fhour} -eq $bad_hour ] + then + continue + fi + + fhour00=$( printf %02d "$fhour" ) + fhour000=$( printf %03d "$fhour" ) + fhour0000=$( printf %03d "$fhour" ) + + set +x + echo " " + echo "Date in interpolation for model= $cmodel and fhour= $fhour000 before = `date`" + echo " " + set -x + + gfile=${DATA}/gfsgribfile.${PDY}${CYL} + ifile=${DATA}/gfsixfile.${PDY}${CYL} + + if [ ${gribver} -eq 1 ]; then + ${GRBINDEX:?} $gfile $ifile + else + ${GRB2INDEX:?} $gfile $ifile + fi + + gparm=7 + namelist=${DATA}/vint_input.${PDY}${CYL}.z + echo "&timein ifcsthour=${fhour000}," >${namelist} + echo " iparm=${gparm}," >>${namelist} + echo " gribver=${gribver}," >>${namelist} + echo " g2_jpdtn=${g2_jpdtn}/" >>${namelist} + + ln -s -f ${gfile} fort.11 + ln -s -f ${FIXRELO}/gfs_hgt_levs.txt fort.16 + ln -s -f ${ifile} fort.31 + ln -s -f ${DATA}/${cmodel}.${PDY}${CYL}.z.f${fhour000} fort.51 + + ${exectrkdir}/vint.x <${namelist} + rcc1=$? + + + gparm=11 + namelist=${DATA}/vint_input.${PDY}${CYL}.t + echo "&timein ifcsthour=${fhour000}," >${namelist} + echo " iparm=${gparm}," >>${namelist} + echo " gribver=${gribver}," >>${namelist} + echo " g2_jpdtn=${g2_jpdtn}/" >>${namelist} + + ln -s -f ${gfile} fort.11 + ln -s -f ${FIXRELO}/gfs_tmp_levs.txt fort.16 + ln -s -f ${ifile} fort.31 + ln -s -f ${DATA}/${cmodel}.${PDY}${CYL}.t.f${fhour000} fort.51 + + ${exectrkdir}/vint.x <${namelist} + rcc2=$? + + namelist=${DATA}/tave_input.${PDY}${CYL} + echo "&timein ifcsthour=${fhour000}," >${namelist} + echo " iparm=${gparm}," >>${namelist} + echo " gribver=${gribver}," >>${namelist} + echo " g2_jpdtn=${g2_jpdtn}/" >>${namelist} + + ffile=${DATA}/${cmodel}.${PDY}${CYL}.t.f${fhour000} + ifile=${DATA}/${cmodel}.${PDY}${CYL}.t.f${fhour000}.i + + if [ ${gribver} -eq 1 ]; then + ${GRBINDEX:?} ${ffile} ${ifile} + else + ${GRB2INDEX:?} ${ffile} ${ifile} + fi + + ln -s -f ${ffile} fort.11 + ln -s -f ${ifile} fort.31 + ln -s -f ${DATA}/${cmodel}.tave.${PDY}${CYL}.f${fhour000} fort.51 + ln -s -f ${DATA}/${cmodel}.tave92.${PDY}${CYL}.f${fhour000} fort.92 + + ${exectrkdir}/tave.x <${namelist} + rcc3=$? + + if [ $rcc1 -eq 0 -a $rcc2 -eq 0 -a $rcc3 -eq 0 ]; then + echo " " + else + mailfile=${rundir}/errmail.${cmodel}.${PDY}${CYL} + echo "CPS/WC interp failure for $cmodel ${PDY}${CYL}" >${mailfile} + mail -s "GFS Failure (CPS/WC int) $cmodel ${PDY}${CYL}" ${userid} <${mailfile} + exit 8 + fi + + tavefile=${DATA}/${cmodel}.tave.${PDY}${CYL}.f${fhour000} + zfile=${DATA}/${cmodel}.${PDY}${CYL}.z.f${fhour000} + cat ${zfile} ${tavefile} >>${catfile} +## rm $tavefile $zfile + + set +x + echo " " + echo "Date in interpolation for cmodel= $cmodel and fhour= $fhour000 after = `date`" + echo " " + set -x + + done + fi # end of "If PhaseFlag is on" + fi # end of "If loopnum is 1" + + gfile=${DATA}/gfsgribfile.${PDY}${CYL} + ifile=${DATA}/gfsixfile.${PDY}${CYL} + + if [[ "$PhaseFlag" == y ]] ; then + cat ${catfile} >>${gfile} + if [ ${gribver} -eq 1 ]; then + ${GRBINDEX:?} ${gfile} ${ifile} + else + ${GRB2INDEX:?} ${gfile} ${ifile} + fi + fi + + # File names for input to tracker: + gribfile=${DATA}/gfsgribfile.${PDY}${CYL} + ixfile=${DATA}/gfsixfile.${PDY}${CYL} +fi + +$postmsg "$jlogfile" "SUCCESS: have all inputs needed to run tracker. Will now run the tracker." + +#------------------------------------------------------------------------# +# Now run the tracker # +#------------------------------------------------------------------------# + +ist=1 +while [ $ist -le 15 ] +do + if [ ${stormflag[${ist}]} -ne 1 ] + then + set +x; echo "Storm number $ist NOT selected for processing"; set -x + else + set +x; echo "Storm number $ist IS selected for processing...."; set -x + fi + let ist=ist+1 +done + +namelist=${DATA}/input.${atcfout}.${PDY}${CYL} +ATCFNAME=` echo "${atcfname}" | tr '[a-z]' '[A-Z]'` + +if [ ${cmodel} = 'sref' ]; then + export atcfymdh=` ${NDATE:?} -3 ${scc}${syy}${smm}${sdd}${shh}` +else + export atcfymdh=${scc}${syy}${smm}${sdd}${shh} +fi + +contour_interval=100.0 +write_vit=n +want_oci=.TRUE. + +cat < ${namelist} +&datein inp%bcc=${scc},inp%byy=${syy},inp%bmm=${smm}, + inp%bdd=${sdd},inp%bhh=${shh},inp%model=${model}, + inp%modtyp='${modtyp}', + inp%lt_units='${lead_time_units}', + inp%file_seq='${file_sequence}', + inp%nesttyp='${nest_type}'/ +&atcfinfo atcfnum=${atcfnum},atcfname='${ATCFNAME}', + atcfymdh=${atcfymdh},atcffreq=${atcffreq}/ +&trackerinfo trkrinfo%westbd=${trkrwbd}, + trkrinfo%eastbd=${trkrebd}, + trkrinfo%northbd=${trkrnbd}, + trkrinfo%southbd=${trkrsbd}, + trkrinfo%type='${trkrtype}', + trkrinfo%mslpthresh=${mslpthresh}, + trkrinfo%v850thresh=${v850thresh}, + trkrinfo%gridtype='${modtyp}', + trkrinfo%contint=${contour_interval}, + trkrinfo%want_oci=${want_oci}, + trkrinfo%out_vit='${write_vit}', + trkrinfo%gribver=${gribver}, + trkrinfo%g2_jpdtn=${g2_jpdtn}/ +&phaseinfo phaseflag='${PHASEFLAG}', + phasescheme='${PHASE_SCHEME}', + wcore_depth=${WCORE_DEPTH}/ +&structinfo structflag='${STRUCTFLAG}', + ikeflag='${IKEFLAG}'/ +&fnameinfo gmodname='${atcfname}', + rundescr='${rundescr}', + atcfdescr='${atcfdescr}'/ +&verbose verb=3/ +&waitinfo use_waitfor='n', + wait_min_age=10, + wait_min_size=100, + wait_max_wait=1800, + wait_sleeptime=5, + per_fcst_command=''/ +EOF + +export pgm=gettrk +. $prep_step + +ln -s -f ${gribfile} fort.11 +ln -s -f ${DATA}/vitals.upd.${atcfout}.${PDY}${shh} fort.12 +ln -s -f ${DATA}/genvitals.upd.${cmodel}.${atcfout}.${PDY}${CYL} fort.14 +ihour=1 +for fhour in ${fcsthrs} ; do + fhour=$( echo "$fhour" | bc ) # strip leading zeros + printf "%4d %5d\n" $ihour $(( fhour * 60 )) + let ihour=ihour+1 +done > leadtimes.txt +ln -s -f leadtimes.txt fort.15 +#ln -s -f ${FIXRELO}/${cmodel}.tracker_leadtimes fort.15 +ln -s -f ${ixfile} fort.31 + +if [[ -z "$atcfout" ]] ; then + err_exit 'ERROR: exgfs_trkr script forgot to set $atcfout variable' +fi + +track_file_path=nowhere + +if [ ${trkrtype} = 'tracker' ]; then + if [ ${atcfout} = 'gfdt' -o ${atcfout} = 'gfdl' -o \ + ${atcfout} = 'hwrf' -o ${atcfout} = 'hwft' ]; then + ln -s -f ${DATA}/trak.${atcfout}.all.${stormenv}.${PDY}${CYL} fort.61 + ln -s -f ${DATA}/trak.${atcfout}.atcf.${stormenv}.${PDY}${CYL} fort.62 + ln -s -f ${DATA}/trak.${atcfout}.radii.${stormenv}.${PDY}${CYL} fort.63 + ln -s -f ${DATA}/trak.${atcfout}.atcf_gen.${stormenv}.${PDY}${CYL} fort.66 + ln -s -f ${DATA}/trak.${atcfout}.atcf_sink.${stormenv}.${PDY}${CYL} fort.68 + ln -s -f ${DATA}/trak.${atcfout}.atcf_hfip.${stormenv}.${PDY}${CYL} fort.69 + track_file_path=${DATA}/trak.${atcfout}.atcfunix.${stormenv}.${PDY}${CYL} + else + ln -s -f ${DATA}/trak.${atcfout}.all.${PDY}${CYL} fort.61 + ln -s -f ${DATA}/trak.${atcfout}.atcf.${PDY}${CYL} fort.62 + ln -s -f ${DATA}/trak.${atcfout}.radii.${PDY}${CYL} fort.63 + ln -s -f ${DATA}/trak.${atcfout}.atcf_gen.${PDY}${CYL} fort.66 + ln -s -f ${DATA}/trak.${atcfout}.atcf_sink.${PDY}${CYL} fort.68 + ln -s -f ${DATA}/trak.${atcfout}.atcf_hfip.${PDY}${CYL} fort.69 + track_file_path=${DATA}/trak.${atcfout}.atcfunix.${PDY}${CYL} + fi +else + ln -s -f ${DATA}/trak.${atcfout}.all.${regtype}.${PDY}${CYL} fort.61 + ln -s -f ${DATA}/trak.${atcfout}.atcf.${regtype}.${PDY}${CYL} fort.62 + ln -s -f ${DATA}/trak.${atcfout}.radii.${regtype}.${PDY}${CYL} fort.63 + ln -s -f ${DATA}/trak.${atcfout}.atcf_gen.${regtype}.${PDY}${CYL} fort.66 + ln -s -f ${DATA}/trak.${atcfout}.atcf_sink.${regtype}.${PDY}${CYL} fort.68 + ln -s -f ${DATA}/trak.${atcfout}.atcf_hfip.${regtype}.${PDY}${CYL} fort.69 + track_file_path=${DATA}/trak.${atcfout}.atcfunix.${regtype}.${PDY}${CYL} +fi + +if [[ "$track_file_path" == nowhere ]] ; then + err_exit 'ERROR: exgfs_trkr script forgot to set $track_file_path variable' +fi + +ln -s -f $track_file_path fort.64 + +if [ ${atcfname} = 'aear' ] +then + ln -s -f ${DATA}/trak.${atcfout}.initvitl.${PDY}${CYL} fort.65 +fi + +if [ ${write_vit} = 'y' ] +then + ln -s -f ${DATA}/output_genvitals.${atcfout}.${PDY}${shh} fort.67 +fi + +if [ ${PHASEFLAG} = 'y' ]; then + if [ ${atcfout} = 'gfdt' -o ${atcfout} = 'gfdl' -o \ + ${atcfout} = 'hwrf' -o ${atcfout} = 'hwft' ]; then + ln -s -f ${DATA}/trak.${atcfout}.cps_parms.${stormenv}.${PDY}${CYL} fort.71 + else + ln -s -f ${DATA}/trak.${atcfout}.cps_parms.${PDY}${CYL} fort.71 + fi +fi + +if [ ${STRUCTFLAG} = 'y' ]; then + if [ ${atcfout} = 'gfdt' -o ${atcfout} = 'gfdl' -o \ + ${atcfout} = 'hwrf' -o ${atcfout} = 'hwft' ]; then + ln -s -f ${DATA}/trak.${atcfout}.structure.${stormenv}.${PDY}${CYL} fort.72 + ln -s -f ${DATA}/trak.${atcfout}.fractwind.${stormenv}.${PDY}${CYL} fort.73 + ln -s -f ${DATA}/trak.${atcfout}.pdfwind.${stormenv}.${PDY}${CYL} fort.76 + else + ln -s -f ${DATA}/trak.${atcfout}.structure.${PDY}${CYL} fort.72 + ln -s -f ${DATA}/trak.${atcfout}.fractwind.${PDY}${CYL} fort.73 + ln -s -f ${DATA}/trak.${atcfout}.pdfwind.${PDY}${CYL} fort.76 + fi +fi + +if [ ${IKEFLAG} = 'y' ]; then + if [ ${atcfout} = 'gfdt' -o ${atcfout} = 'gfdl' -o \ + ${atcfout} = 'hwrf' -o ${atcfout} = 'hwft' ]; then + ln -s -f ${DATA}/trak.${atcfout}.ike.${stormenv}.${PDY}${CYL} fort.74 + else + ln -s -f ${DATA}/trak.${atcfout}.ike.${PDY}${CYL} fort.74 + fi +fi + +if [ ${trkrtype} = 'midlat' -o ${trkrtype} = 'tcgen' ]; then + ln -s -f ${DATA}/trkrmask.${atcfout}.${regtype}.${PDY}${CYL} fort.77 +fi + + +set +x +echo " " +echo " -----------------------------------------------" +echo " NOW EXECUTING TRACKER......" +echo " -----------------------------------------------" +echo " " +set -x + +msg="$pgm start for $atcfout at ${CYL}z" +$postmsg "$jlogfile" "$msg" + +set +x +echo "+++ TIMING: BEFORE gettrk ---> `date`" +set -x + +set +x +echo " " +echo "TIMING: Before call to gettrk at `date`" +echo " " +set -x + +##/usrx/local/bin/getrusage -a /hwrf/save/Qingfu.Liu/trak/para/exec/gettrk <${namelist} + +${exectrkdir}/gettrk <${namelist} | tee gettrk.log +gettrk_rcc=$? + +set +x +echo " " +echo "TIMING: After call to gettrk at `date`" +echo " " +set -x + +set +x +echo "+++ TIMING: AFTER gettrk ---> `date`" +set -x + +#--------------------------------------------------------------# +# Send a message to the jlogfile for each storm that used +# tcvitals for hour 0 track/intensity info. +#--------------------------------------------------------------# + +pcount=0 +cat gettrk.log | grep -a 'NOTE: TCVITALS_USED_FOR_ATCF_F00' | \ +while read line +do + echo "line is [$line]" + if [[ ! ( "$pcount" -lt 30 ) ]] ; then + $postmsg "$jlogfile" "Hit maximum number of postmsg commands for tcvitals usage at hour 0. Will stop warning about that, to avoid spamming jlogfile." + break + fi + $postmsg "$jlogfile" "$line" + pcount=$(( pcount + 1 )) +done + +#--------------------------------------------------------------# +# Now copy the output track files to different directories +#--------------------------------------------------------------# + +set +x +echo " " +echo " -----------------------------------------------" +echo " NOW COPYING OUTPUT TRACK FILES TO COM " +echo " -----------------------------------------------" +echo " " +set -x + +if [[ ! -e "$track_file_path" ]] ; then + $postmsg "$jlogfile" "WARNING: tracker output file does not exist. This is probably an error. File: $track_file_path" + $postmsg "$jlogfile" "WARNING: exgfs_trkr will create an empty track file and deliver that." + cat /dev/null > $track_file_path +elif [[ ! -s "$track_file_path" ]] ; then + $postmsg "$jlogfile" "WARNING: tracker output file is empty. That is only an error if there are storms or genesis cases somewhere in the world. File: $track_file_path" +else + $postmsg "$jlogfile" "SUCCESS: Track file exists and is non-empty: $track_file" + if [[ "$PHASEFLAG" == n ]] ; then + echo "Phase information was disabled. I will remove the empty phase information from the track file before delivery." + cp -p $track_file_path $track_file_path.orig + cut -c1-112 < $track_file_path.orig > $track_file_path + if [[ ! -s "$track_file_path" ]] ; then + $postmsg "$jlogfile" "WARNING: Something went wrong with \"cut\" command to remove phase information. Will deliver original file." + /bin/mv -f $track_file_path.orig $track_file_path + else + $postmsg "$jlogfile" "SUCCESS: Removed empty phase information because phase information is disabled." + fi + fi +fi + +#mkdir /global/save/Qingfu.Liu/gfspara_track/gfs.${PDY}${CYL} +#cp /ptmpp1/Qingfu.Liu/trakout2/${PDY}${CYL}/gfs/trak.gfso.atcf* /global/save/Qingfu.Liu/gfspara_track/gfs.${PDY}${CYL}/. +#rm -rf /ptmpp1/Qingfu.Liu/trakout2/${PDY}${CYL}/gfs/* + +if [ ${gettrk_rcc} -eq 0 ]; then + + if [ -s ${DATA}/output_genvitals.${atcfout}.${PDY}${shh} ]; then + cat ${DATA}/output_genvitals.${atcfout}.${PDY}${shh} >>${genvitfile} + fi + + if [ ${PARAFLAG} = 'YES' ] + then + + if [[ ! -s "$track_file_path" ]] ; then + $postmsg "$jlogfile" "WARNING: delivering empty track file to rundir." + fi + + cp $track_file_path ../. + cat $track_file_path >> \ + ${rundir}/${cmodel}.atcfunix.${syyyy} + if [ ${cmodel} = 'gfs' ]; then + cat ${rundir}/${cmodel}.atcfunix.${syyyy} | sed -e "s/ GFSO/ AVNO/g" >>${rundir}/avn.atcfunix.${syyyy} + fi +# cp ${DATA}/trak.${atcfout}.atcf_sink.${regtype}.${PDY}${CYL} ../. +# cp ${DATA}/trak.${atcfout}.atcf_gen.${regtype}.${PDY}${CYL} ../. + fi + + msg="$pgm end for $atcfout at ${CYL}z completed normally" + $postmsg "$jlogfile" "$msg" + +# Now copy track files into various archives.... + + if [ ${SENDCOM} = 'YES' ] + then + + if [[ ! -s "$track_file_path" ]] ; then + $postmsg "$jlogfile" "WARNING: delivering an empty track file to COM." + return + fi + + glatuxarch=${glatuxarch:-${gltrkdir}/tracks.atcfunix.${syy}} + + cat $track_file_path >>${glatuxarch} + if [ ${cmodel} = 'gfs' ]; then + cat $track_file_path | sed -e "s/ GFSO/ AVNO/g" >>${glatuxarch} + fi + + if [ ${PARAFLAG} = 'YES' ] + then + echo " " + tmatuxarch=${tmatuxarch:-/gpfs/gd2/emc/hwrf/save/${userid}/trak/prod/tracks.atcfunix.${syy}} + cat $track_file_path >>${tmatuxarch} + if [ ${cmodel} = 'gfs' ]; then + cat $track_file_path | sed -e "s/ GFSO/ AVNO/g" >>${tmatuxarch} + fi + else + + if [ ${cmodel} = 'gfdl' ] + then + cp $track_file_path ${COM}/${stormenv}.${PDY}${CYL}.trackeratcfunix + else + cp $track_file_path ${COM}/${atcfout}.t${CYL}z.cyclone.trackatcfunix + if [ ${cmodel} = 'gfs' ]; then + cat $track_file_path | sed -e "s/ GFSO/ AVNO/g" >${COM}/avn.t${CYL}z.cyclone.trackatcfunix + fi + fi + + tmscrdir=/gpfs/gd2/emc/hwrf/save/${userid}/trak/prod + + tmtrakstat=${tmscrdir}/tracker.prod.status + echo "${atcfout} tracker completed okay for ${PDY}${CYL}" >>${tmtrakstat} + + export SENDDBN=${SENDDBN:-YES} + if [ ${SENDDBN} = 'YES' ] + then + if [ ${cmodel} = 'gfdl' ] + then + $DBNROOT/bin/dbn_alert ATCFUNIX GFS_NAVY $job ${COM}/${stormenv}.${PDY}${CYL}.trackeratcfunix + else + $DBNROOT/bin/dbn_alert ATCFUNIX GFS_NAVY $job ${COM}/${atcfout}.t${CYL}z.cyclone.trackatcfunix + if [ ${cmodel} = 'gfs' ]; then + $DBNROOT/bin/dbn_alert ATCFUNIX GFS_NAVY $job ${COM}/avn.t${CYL}z.cyclone.trackatcfunix + fi + fi + fi + + if [[ "$SENDNHC" == YES ]] ; then + # We need to parse apart the atcfunix file and distribute the forecasts to + # the necessary directories. To do this, first sort the atcfunix records + # by forecast hour (k6), then sort again by ocean basin (k1), storm number (k2) + # and then quadrant radii wind threshold (k12). Once you've got that organized + # file, break the file up by putting all the forecast records for each storm + # into a separate file. Then, for each file, find the corresponding atcfunix + # file in the /nhc/com/prod/atcf directory and dump the atcfunix records for that + # storm in there. + + if [ ${cmodel} = 'gfdl' ] + then + auxfile=${COM}/${stormenv}.${PDY}${CYL}.trackeratcfunix + else + auxfile=$track_file_path + fi + + sort -k6 ${auxfile} | sort -k1 -k2 -k12 >atcfunix.sorted + + old_string="XX, XX" + + ict=0 + while read unixrec + do + storm_string=` echo "${unixrec}" | cut -c1-6` + if [ "${storm_string}" = "${old_string}" ] + then + echo "${unixrec}" >>atcfunix_file.${ict} + else + let ict=ict+1 + echo "${unixrec}" >atcfunix_file.${ict} + old_string="${storm_string}" + fi + done >${ATCFdir}/${at}${NO}${syyyy}/a${at}${NO}${syyyy}.dat + cat atcfunix_file.$mct >>${ATCFdir}/${at}${NO}${syyyy}/a${at}${NO}${syyyy}.dat + cat atcfunix_file.$mct >>${ATCFdir}/${at}${NO}${syyyy}/ncep_a${at}${NO}${syyyy}.dat + if [ ${cmodel} = 'gfs' ]; then + cat atcfunix_file.$mct | sed -e "s/ GFSO/ AVNO/g" >>${ATCFdir}/${at}${NO}${syyyy}/a${at}${NO}${syyyy}.dat + cat atcfunix_file.$mct | sed -e "s/ GFSO/ AVNO/g" >>${ATCFdir}/${at}${NO}${syyyy}/ncep_a${at}${NO}${syyyy}.dat + fi + set +x + echo " " + echo "+++ Adding records to TPC ATCFUNIX directory: /tpcprd/atcf_unix/${at}${NO}${syyyy}" + echo " " + set -x + else + set +x + echo " " + echo "There is no TPC ATCFUNIX directory for: /tpcprd/atcf_unix/${at}${NO}${syyyy}" + set -x + fi + done + fi + fi + fi + + fi + +else + + if [ ${PARAFLAG} = 'YES' ] + then + echo " " + else + tmtrakstat=/gpfs/gd2/emc/hwrf/save/${userid}/trak/prod/tracker.prod.status + echo "ERROR: ${atcfout} tracker FAILED for ${PDY}${CYL}" >>${tmtrakstat} + fi + + set +x + echo " " + echo "!!! ERROR -- An error occurred while running gettrk.x, " + echo "!!! which is the program that actually gets the track." + echo "!!! Return code from gettrk.x = ${gettrk_rcc}" + echo "!!! model= ${atcfout}, forecast initial time = ${PDY}${CYL}" + echo "!!! Exiting...." + echo " " + set -x + err_exit " FAILED ${jobid} - ERROR RUNNING GETTRK IN TRACKER SCRIPT- ABNORMAL EXIT" + +fi diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_extrkr.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_extrkr.txt new file mode 100644 index 0000000..dbf0b45 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_extrkr.txt @@ -0,0 +1 @@ +PARATRKR=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/fv3gfs/trunk/global_shared.v15.0.0/ush/global_extrkr.sh diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_tracker.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_tracker.sh new file mode 100755 index 0000000..f5b9bb5 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_tracker.sh @@ -0,0 +1,115 @@ +#! /bin/ksh +set -x + +export CDATE=${1:-?} +export CDUMP=${2:-?} +export COMOUT=${3:-?} +export DATA=${4:-?} +export nknd=${5:-0} + +export PSLOT=${PSLOT:-x} +export FHMAX2=${FHMAX2:-252} +export FHOUT=${FHOUT:-3} +user=$LOGNAME + +# Load production utility module. Used by PARATRKR +shell=${SHELL:-ksh} +export machine=${machine:-WCOSS_C} +if [ $machine = WCOSS ]; then + . /usrx/local/Modules/default/init/ksh 2>>/dev/null + module use /nwprod2/modulefiles 2>>/dev/null + module load prod_util 2>>/dev/null + export APRUNTRACK="" +elif [ $machine = WCOSS_C ]; then + . $MODULESHOME/init/sh 2>>/dev/null + module load prod_util 2>>/dev/null + module load iobuf/2.0.5 2>>/dev/null + export IOBUF_PARAMS="*:size=32M:count=4:verbose" + export APRUNTRACK="aprun -j1 -n1 -N1 -d1" +fi +# +export HOMEDIR=${HOMEDIR:-${BASE_TROPCY:-/gpfs/hps/emc/global/noscrub/Qingfu.Liu/gfs/gfs_q3fy17/tropcy_qc_reloc.v13.2.0_NEMS_Russ/}} +export NWPROD=${NWPROD:-/nwprod} +export NWPROD=${NWPROD:-$HOMEDIR} +export USHDIR=${USHDIR:-$HOMEDIR/ush} +export archsyndir=${archsyndir:-$COMROOTp1/arch/prod/syndat} +export HOMERELO=${HOMERELO:-$HOMEDIR} +FIXRELO=${FIXRELO:-${HOMERELO}/fix} +GRIBVERSION=${GRIBVERSION:-"grib2"} +# +#export DISK_GLOB=${DISK_GLOB:-/global/save} +#export DISK_TRAK=${DISK_TRAK:-$DISK_GLOB} +export GETTRKEXEC=${GETTRKEXEC:-$HOMEDIR/exec/gettrk} +export inpdate=$CDATE +export paradir=$COMIN +export prxtrak=$DATA +export vdir=$DATA + +if [ ! -d ${vdir} ]; then + mkdir -p ${vdir} +fi +if [ ! -d ${prxtrak} ]; then + mkdir -p ${prxtrak} +fi + +cd $vdir + +# Always keep cmodel as "para" + +export cmodel=para +if [ "$GRIBVERSION" = "grib1" ]; then + export gribver=1 +else + export gribver=2 +fi + +# TRACKID can be changed to "parx", "pary", "parw", etc.... + +# +nn=$((`echo $PSLOT | wc -c`-1)) +if [ $nn -eq 1 ] ; then + export TRACKID=`echo par$PSLOT | cut -c1-4` +else +# export TRACKID=pr$PSLOT + export TRACKID=`echo pr$PSLOT | cut -c1-4` +fi + + +export YYYYMMDDHH=${inpdate} +export PDY=` echo ${YYYYMMDDHH} | cut -c1-8` +export cyc=` echo ${YYYYMMDDHH} | cut -c9-10` +if [[ -r tcvitl.$CDUMP.$CDATE ]]; then + export AUXTCVIT=$DATA/auxtcvit.$CDATE + NDATE=${NDATE:-$NWPROD/util/exec/ndate} + export GDATE=$($NDATE -06 $CDATE) + cat tcvitl.gdas.$GDATE tcvitl.$CDUMP.$CDATE >$AUXTCVIT +else + export AUXTCVIT=JUNK_NOFILE +fi + +if [ $nknd -gt 1 ] ; then + export CDUMP=${CDUMP}${nknd} +fi + +gfstrackhour1=180; gfstrackhour2=252 +if [ $gfstrackhour1 -gt $FHMAX2 ]; then gfstrackhour1=$FHMAX2 ; fi +if [ $gfstrackhour2 -gt $FHMAX2 ]; then gfstrackhour2=$FHMAX2 ; fi + +if [[ $CDUMP = gdas ]]; then + ${APRUNTRACK} ${PARATRKR:-$USHDIR/global_extrkr.sh} --gdas-last-hour 9 + cp $DATA/trak.$TRACKID.atcfunix.$CDATE $COMOUT/atcfunix.$CDUMP.$CDATE +else + # Run first tracker for 180 hours for NHC/JTWC operational forecast: + ${APRUNTRACK} ${PARATRKR:-$USHDIR/global_extrkr.sh} --gfs-last-hour $gfstrackhour1 --wait-for-data 900 + cp $DATA/trak.$TRACKID.atcfunix.$CDATE $COMOUT/atcfunix.$CDUMP.$CDATE + if [ $machine = WCOSS_C ]; then + # Run a second tracker for 252 hours for experimental ten day forecasts: + if [ $gfstrackhour2 -gt $gfstrackhour1 ]; then; + export SENDNHC=NO + ${APRUNTRACK} ${PARATRKR:-$USHDIR/global_extrkr.sh} --gfs-last-hour $gfstrackhour2 --wait-for-data 900 + cp $DATA/trak.$TRACKID.atcfunix.$CDATE $COMOUT/atcf252hr.$CDUMP.$CDATE + fi + fi +fi + + diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_tracker.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_tracker.txt new file mode 100644 index 0000000..b7c4bcf --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_tracker.txt @@ -0,0 +1 @@ +TRACKERSH=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/fv3gfs/trunk/global_shared.v15.0.0/ush/global_tracker.sh diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/vsdbjob.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/vsdbjob.sh new file mode 100755 index 0000000..3ae08d8 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/vsdbjob.sh @@ -0,0 +1,356 @@ +#!/bin/ksh +set -x + +##--------------------------------------------------------------------------- +## Modified version of vsdbjob_submit.sh for use in NCEP/EMC GFS para_config +## to do verification while forecast is running. +## Fanglin Yang, 01Jan2010 +##--------------------------------------------------------------------------- + +## variables read in from vrfy.sh and/or para_config +export DATEST=${1:-20080701} ;#forecast starting date +export DATEND=${2:-20080801} ;#forecast ending date +export vlength=${3:-384} ;#forecast length in hour +export cycle=${4:-"00"} ;#forecast cycle +export exp1name=${5:-"pre13a"} ;#experiment names +export VSDB_START_DATE=${6:-$VSDB_START_DATE} ;#map starting date +export anl_type=${7:-${anltype:-gfs}} ;#analysis type for verification: gfs, gdas, ecmwf, manl or canl +export gfs_cyc=${8:-${gfs_cyc:-1}} ;#number of GFS cycles, 1-->00Z, 4-->00Z 06Z 12Z and 18Z +export iauf00=${9:-${iauf00:-"NO"}} ;#set pgbf00=pgbanl for forecasts with IAU + +export exp1dir=${ARCDIR1:-/global/hires/glopara/archive} ;#online archive of current exp +export scppgb=${SCP_PGB:-"NO"} ;#whether of not to scp pgb files from CLIENT +export sfcvsdb=${sfcvsdb:-"YES"} ;#include the group of surface variables +export rundir0=${rundir:-$STMP/$LOGNAME/vsdb_exp} + +## +##------------------------------------------------------------------- +##------------------------------------------------------------------- + +MAKEVSDBDATA=${VSDB_STEP1:-NO} ;#To create VSDB date + +MAKEMAPS=${VSDB_STEP2:-NO} ;#To make AC and RMS maps + +CONUSPLOTS=${VSDB_STEP2:-NO} ;#To make precip verification plots + +CONUSDATA=${VRFYPRCP:-NO} ;#To compute precip threat skill scores + +VRFYG2OBS=${VRFYG2OBS:-NO} ;#To create grid2obs vsdb date + +#---------------------------------------------------------------------- +export machine=${machine:-WCOSS} ;#WCOSS, THEIA +export machine=$(echo $machine|tr '[a-z]' '[A-Z]') +export ACCOUNT=${ACCOUNT:-GFS-T2O} ;#ibm computer ACCOUNT task +export CUE2RUN=${CUE2RUN:-shared} ;#dev or devhigh or 1 +export CUE2FTP=${CUE2FTP:-$CUE2RUNA} ;#queue for data transfer +export GROUP=${GROUP:-g01} ;#account group +export webhost=${webhost:-"emcrzdm.ncep.noaa.gov"} ;#host for web display +export webhostid=${webhostid:-$LOGNAME} ;#id of webhost +export ftpdir=${WEBDIR:-/home/people/emc/www/htdocs/gmb/$webhostid}/vsdb +export doftp=${SEND2WEB:-"NO"} ;#whether or not to sent maps to ftpdir +export vsdbsave=${vsdbsave:-/stmp/$LOGNAME/VSDB/vsdb_data} ;#place where vsdb database is saved + +chost=`echo $(hostname) |cut -c 1-1 ` +chost2=`echo $(hostname) |cut -c 1-2 ` + +if [ $machine = THEIA ]; then + export vsdbhome=${vsdbhome:-/scratch4/NCEPDEV/global/save/Fanglin.Yang/VRFY/vsdb} ;#script home, do not change + export GNOSCRUB=${GNOSCRUB:-/scratch4/NCEPDEV/global/noscrub} ;#archive directory + export STMP=${STMP:-/scratch4/NCEPDEV/stmp3} ;#temporary directory + export PTMP=${PTMP:-/scratch4/NCEPDEV/stmp3} ;#temporary directory + + export obdata=/scratch4/NCEPDEV/global/save/Fanglin.Yang/obdata ;#observation data for making 2dmaps + export gstat=/scratch4/NCEPDEV/global/noscrub/stat ;#global stats directory + export gfsvsdb=$gstat/vsdb_data ;#operational gfs vsdb database + export canldir=$gstat/canl ;#consensus analysis directory + export ecmanldir=$gstat/ecm ;#ecmwf analysis directory + export OBSPCP=$gstat/OBSPRCP ;#observed precip for verification + export gfswgnedir=$gstat/wgne1 ;#operational gfs precip QPF scores + export gfsfitdir=$gstat/surufits ;#Suru operational model fit-to-obs database + export gdas_prepbufr_arch=$gstat/prepbufr/gdas + export ndasbufr_arch=$gstat/prepbufr/ndas + export nambufr_arch=$gstat/prepbufr/nam + export SUBJOB=$vsdbhome/bin/sub_theia ;#script for submitting batch jobs + export CUE2FTP=service ;#data transfer queue + export NWPROD=$vsdbhome/nwprod ;#common utilities and libs included in /nwprod + export GRADSBIN=/apps/grads/2.0.1a/bin ;#GrADS executables + export IMGCONVERT=/usr/bin/convert ;#image magic converter + export FC=/apps/intel/composer_xe_2013_sp1.2.144/bin/intel64/ifort ;#intel compiler + export FFLAG="-O2 -convert big_endian -FR" ;#intel compiler options + export APRUN="" + export COMROTNCO=${COMROTNCO:-/scratch4/NCEPDEV/rstprod/com} + export COMROTNAM=$COMROTNCO + +elif [ $machine = JET ]; then + export vsdbhome=${vsdbhome:-/pan2/projects/gnmip/Fanglin.Yang/VRFY/vsdb} ;#script home, do not change + export GNOSCRUB=${GNOSCRUB:-/pan2/projects/gnmip/$LOGNAME/noscrub} ;#temporary directory + export STMP=${STMP:-/pan2/projects/gnmip/$LOGNAME/ptmp} ;#temporary directory + export PTMP=${PTMP:-/pan2/projects/gnmip/$LOGNAME/ptmp} ;#temporary directory + + export obdata=/pan2/projects/gnmip/Fanglin.Yang/VRFY/obdata ;#observation data for making 2dmaps + export gstat=/pan2/projects/gnmip/Fanglin.Yang/VRFY/stat ;#global stats directory + export gfsvsdb=$gstat/vsdb_data ;#operational gfs vsdb database + export canldir=$gstat/canl ;#consensus analysis directory + export ecmanldir=$gstat/ecm ;#ecmwf analysis directory + export OBSPCP=$gstat/OBSPRCP ;#observed precip for verification + export gfswgnedir=$gstat/wgne1 ;#operational gfs precip QPF scores + export gfsfitdir=$gstat/surufits ;#Suru operational model fit-to-obs database + export gdas_prepbufr_arch=$gstat/prepbufr/gdas + export SUBJOB=$vsdbhome/bin/sub_jet ;#script for submitting batch jobs + export NWPROD=$vsdbhome/nwprod ;#common utilities and libs included in /nwprod + export GRADSBIN=/opt/grads/2.0.a2//bin/grads ;#GrADS executables + export IMGCONVERT=/usr/bin/convert ;#image magic converter + export FC=/opt/intel/Compiler/11.1/072//bin/intel64/ifort ;#intel compiler + export FFLAG="-O2 -convert big_endian -FR" ;#intel compiler options + export APRUN="" + +elif [ $chost = t -o $machine = WCOSS ]; then + export vsdbhome=${vsdbhome:-/global/save/Fanglin.Yang/VRFY/vsdb} ;#script home, do not change + export GNOSCRUB=${GNOSCRUB:-/global/noscrub} ;#archive directory + export STMP=${STMP:-/stmp} ;#temporary directory + export PTMP=${PTMP:-/ptmp} ;#temporary directory + + export obdata=/global/save/Fanglin.Yang/obdata ;#observation data for making 2dmaps + export gstat=/global/noscrub/Fanglin.Yang/stat ;#global stats directory + export gfsvsdb=$gstat/vsdb_data ;#operational gfs vsdb database + export canldir=$gstat/canl ;#consensus analysis directory + export ecmanldir=$gstat/ecm ;#ecmwf analysis directory + export OBSPCP=$gstat/OBSPRCP ;#observed precip for verification + export gfswgnedir=$gstat/wgne1 ;#operational gfs precip QPF scores + export gfsfitdir=$gstat/surufits ;#Suru operational model fit-to-obs database + export gdas_prepbufr_arch=/global/noscrub/Fanglin.Yang/prepbufr/gdas ;#ops gdas prepbufr archive + export ndasbufr_arch=/global/noscrub/Fanglin.Yang/prepbufr/ndas + export nambufr_arch=/global/noscrub/Fanglin.Yang/prepbufr/nam + export SUBJOB=$vsdbhome/bin/sub_wcoss ;#script for submitting batch jobs + export CUE2FTP=transfer ;#data transfer queue + export NWPROD=$vsdbhome/nwprod ;#common utilities and libs included in /nwprod + export GRADSBIN=/usrx/local/GrADS/2.0.2/bin ;#GrADS executables + export IMGCONVERT=/usrx/local/ImageMagick/6.8.3-3/bin/convert ;#image magic converter + export FC=/usrx/local/intel/composer_xe_2011_sp1.11.339/bin/intel64/ifort ;#intel compiler + export FFLAG="-O2 -convert big_endian -FR" ;#fortran compiler options + export APRUN="" + export COMROTNCO=${COMROTNCO:-/gpfs/hps/nco/ops/com} + export COMROTNAM=${COMROTNAM:-/com2} + +elif [ $machine = WCOSS_C ]; then + export vsdbhome=${vsdbhome:-/gpfs/hps3/emc/global/noscrub/Fanglin.Yang/VRFY/vsdb} ;#script home, do not change + export GNOSCRUB=${GNOSCRUB:-/gpfs/hps3/emc/global/noscrub} ;#archive directory + export STMP=${STMP:-/gpfs/hps3/stmp} ;#temporary directory + export PTMP=${PTMP:-/gpfs/hps3/ptmp} ;#temporary directory + + export obdata=/gpfs/hps3/emc/global/noscrub/Fanglin.Yang/obdata ;#observation data for making 2dmaps + export gstat=/gpfs/hps3/emc/global/noscrub/Fanglin.Yang/stat ;#global stats directory + export gfsvsdb=$gstat/vsdb_data ;#operational gfs vsdb database + export canldir=$gstat/canl ;#consensus analysis directory + export ecmanldir=$gstat/ecm ;#ecmwf analysis directory + export OBSPCP=$gstat/OBSPRCP ;#observed precip for verification + export gfswgnedir=$gstat/wgne ;#operational gfs precip QPF scores + export gfsfitdir=$gstat/surufits ;#Suru operational model fit-to-obs database + export gdas_prepbufr_arch=/gpfs/hps3/emc/global/noscrub/Fanglin.Yang/prepbufr/gdas ;#ops gdas prepbufr archive + export ndasbufr_arch=/gpfs/hps3/emc/global/noscrub/Fanglin.Yang/prepbufr/ndas + export nambufr_arch=/gpfs/hps3/emc/global/noscrub/Fanglin.Yang/prepbufr/nam + export SUBJOB=$vsdbhome/bin/sub_wcoss_c ;#script for submitting batch jobs + export CUE2FTP=dev_transfer ;#data transfer queue + export NWPROD=$vsdbhome/nwprod ;#common utilities and libs included in /nwprod + export GRADSBIN=/gpfs/hps3/emc/global/noscrub/Fanglin.Yang/software/grads-2.1.a2/bin + export IMGCONVERT=/usr/bin/convert ;#image magic converter + export FC=/opt/intel/composer_xe_2015.3.187/bin/intel64/ifort + export FFLAG="-O2 -convert big_endian -FR" ;#fortran compiler options + export APRUN="aprun -n 1 -N 1 -j 1 -d 1" + . $MODULESHOME/init/sh + module load prod_envir + export COMROTNCO=${COMROTNCO:-$COMROOT} + export COMROTNAM=${COMROTNAM:-$COMROOTp2} +fi + +if [ $gfs_cyc = 1 ]; then + export vhrlist=${vhrlist:-"$cycle"} ;#verification hours for each day + export fcyclist="$cycle" ;#forecast cycles to be included in stats computation + export cyc2runvsdb="$cycle" ;#cycle to run vrfy which will generate vsdb data for all cycles of the day +elif [ $gfs_cyc = 2 ]; then + export vhrlist=${vhrlist:-"00 12 "} ;#verification hours for each day + export fcyclist="00 12" ;#forecast cycles to be included in stats computation + export cyc2runvsdb=12 ;#cycle to run vrfy which will generate vsdb data for all cycles of the day +elif [ $gfs_cyc = 4 ]; then + export vhrlist=${vhrlist:-"00 06 12 18"} ;#verification hours for each day + export fcyclist="00 06 12 18" ;#forecast cycles to be included in stats computation + export cyc2runvsdb=18 ;#cycle to run vrfy which will generate vsdb data for all cycles of the day +else + echo "gfs_cyc must be 1, 2 or 4, quit vsdbjob" + exit +fi + +if [ $cycle != $cyc2runvsdb ]; then + MAKEVSDBDATA=NO + MAKEMAPS=NO + VRFYG2OBS=NO +fi +if [ $cycle != 00 -a $cycle != 12 ]; then + CONUSPLOTS=NO + CONUSDATA=NO +fi + + +### -------------------------------------------------------------- +### make vsdb database + if [ $MAKEVSDBDATA = YES ] ; then +### -------------------------------------------------------------- +export fcyclist="$fcyclist" ;#all fcst cycles to be included in verification +export expnlist=$exp1name ;#experiment names +export expdlist=$exp1dir ;#exp online archive directories +export complist=$(hostname) ;#computers where experiments are run +export dumplist=".gfs." ;#file format pgb${asub}${fhr}${dump}${yyyymmdd}${cyc} + +export anl_type=$anl_type ;#analysis type for verification: gfs, gdas or canl +export DATEST=$DATEST ;#verification starting date +export DATEND=$DATEND ;#verification ending date +export vlength=$vlength ;#forecast length in hour +export asub=${asub:-a} ;#string in pgb anal file after pgb, say, pgbanl, pgbhnl +export fsub=${fsub:-f} ;#string in pgb fcsy file after pgb, say, pgbf06, pgbh06 + +if [ ! -d $vsdbhome ]; then + echo "$vsdbhome does not exist " + exit +fi +if [ ! -d $expdlist ]; then + echo "$expdlist does not exist " + exit +fi + +export rundir=$rundir0/acrmse_stat +#export listvar1=fcyclist,vhrlist,expnlist,expdlist,complist,dumplist,DATEST,DATEND,vlength,rundir +#export listvar2=machine,anl_type,scppgb,sfcvsdb,canldir,ecmanldir,vsdbsave,vsdbhome,gd,NWPROD +#export listvar="$listvar1,$listvar2" + +${vsdbhome}/verify_exp_step1.sh + +### -------------------------------------------------------------- + fi +### -------------------------------------------------------------- + + + +### -------------------------------------------------------------- +### make AC and RMSE maps + if [ $MAKEMAPS = YES ] ; then +### -------------------------------------------------------------- +# +export mdlist=${mdlist:-"gfs $exp1name"} ;#experiment names, up to 10 +export fcyclist="$fcyclist" ;#forecast cycles to show on map +export DATEST=${VSDB_START_DATE:-$DATEST} ;#map starting date starting date to show on map +export DATEND=$DATEND ;#verification ending date to show on map +export vlength=$vlength ;#forecast length in hour to show on map +export maptop=${maptop:-10} ;#can be set to 10, 50 or 100 hPa for cross-section maps +export maskmiss=${maskmiss:-1} ;#remove missing data from all models to unify sample size, 0-->NO, 1-->Yes + +set -A namelist $mdlist +export rundir=$rundir0/acrmse_map + +${vsdbhome}/verify_exp_step2.sh +### -------------------------------------------------------------- + fi +### -------------------------------------------------------------- + + +### -------------------------------------------------------------- +### make CONUS precip plots + if [ $CONUSPLOTS = YES ] ; then +### -------------------------------------------------------------- +export expnlist=$mdlist ;#experiment names, up to 6 +export expdlist=${expd_list:-"$exp1dir $exp1dir $exp1dir $exp1dir $exp1dir $exp1dir"} ;#precip stats online archive dirs +export complist=${comp_list:-"$(hostname) $(hostname) $(hostname) $(hostname) $(hostname) $(hostname) "} ;#computers where experiments are run + +export cycle=$cycle ;#cycle to make QPF plots +export DATEST=$DATEST ;#forecast starting date to show on map +export DATEND=$(echo $($NWPROD/util/exec/ndate -${VBACKUP_PRCP:-00} ${DATEND}00 ) |cut -c1-8 ) +export rundir=$rundir0/rain_map +export scrdir=${vsdbhome}/precip +export vhour=${vhr_rain:-${vhour:-180}} ;#verification length in hour + +${scrdir}/plot_pcp.sh +### -------------------------------------------------------------- + fi +### -------------------------------------------------------------- + + +### -------------------------------------------------------------- +### compute precip threat score stats over CONUS + if [ $CONUSDATA = YES ] ; then +### -------------------------------------------------------------- +export cycle=$cycle ;#cycle to generate QPF stats data +export expnlist=$exp1name ;#experiment names +export expdlist=`dirname $COMROT` ;#exp online archive directories +export complist=$(hostname) ;#computers where experiments are run +export dumplist=".gfs." ;#file format pgb${asub}${fhr}${dump}${yyyymmdd}${cyc} +export DATEST=`$NWPROD/util/exec/ndate -${VBACKUP_PRCP:-00} ${DATEST}00 |cut -c 1-8 ` ;#verification starting date +export DATEND=`$NWPROD/util/exec/ndate -${VBACKUP_PRCP:-00} ${DATEND}00 |cut -c 1-8 ` ;#verification starting date + +export ftyplist=${ftyplist:-"flxf"} ;#file types: pgbq or flxf +export dumplist=${dumplist:-".gfs."} ;#file format ${ftyp}f${fhr}${dump}${yyyymmdd}${cyc} +export ptyplist=${ptyplist:-"PRATE"} ;#precip types in GRIB: PRATE or APCP +export bucket=${bucket:-6} ;#accumulation bucket in hours. bucket=0 -- continuous accumulation +export fhout=6 ;#forecast output frequency in hours +export vhour=${vhr_rain:-${vhour:-180}} ;#verification length in hour +export ARCDIR=${ARCDIR1:-$GNOSCRUB/$LOGNAME/archive} ;#directory to save stats data +export rundir=$rundir0/rain_stat +export scrdir=${vsdbhome}/precip + +#export listvar1=expnlist,expdlist,complist,ftyplist,dumplist,ptyplist,bucket,fhout,cyclist,vhour +#export listvar2=machine,DATEST,DATEND,ARCDIR,rundir,scrdir,OBSPCP,mapdir,scppgb,NWPROD +#export listvar="$listvar1,$listvar2" + +${scrdir}/mkup_rain_stat.sh +### -------------------------------------------------------------- + fi +### -------------------------------------------------------------- + + +### -------------------------------------------------------------- +### make grid2obs vsdb database + if [ $VRFYG2OBS = YES ] ; then +### -------------------------------------------------------------- +export cyclist="$fcyclist" ;#all fcst cycles to be included in verification +export expnlist="$exp1name" ;#experiment names +export expdlist="$exp1dir" ;#exp online archive directories +export complist="$(hostname)" ;#computers where experiments are run +export dumplist=".gfs." ;#file format pgb${asub}${fhr}${dump}${yyyymmdd}${cyc} +export fhoutair="6" ;#forecast output frequency in hours for raobs vrfy +export fhoutsfc="3" ;#forecast output frequency in hours for sfc vrfy +export gdtype="3" ;#pgb file resolution, 2 for 2.5-deg and 3 for 1-deg +export vsdbsfc="YES" ;#run sfc verification +export vsdbair="YES" ;#run upper-air verification +if [ $vlength -ge 168 ]; then + export vlength=168 ;#forecast length in hour +else + export vlength=$vlength ;#forecast length in hour +fi +export DATEST=`$NWPROD/util/exec/ndate -${VBACKUP_G2OBS:-00} ${DATEST}00 |cut -c 1-8 ` ;#verification starting date +export DATEND=`$NWPROD/util/exec/ndate -${VBACKUP_G2OBS:-00} ${DATEND}00 |cut -c 1-8 ` ;#verification ending date +export batch=YES +export rundir=$rundir0/grid2obs_stat +export HPSSTAR=${HPSSTAR:-/u/Fanglin.Yang/bin/hpsstar} +export hpssdirlist=${hpsslist:-"/5year/NCEPDEV/emc-global/$LOGNAME/$machine"} +export runhpss=${runhpss:-NO} ;#run hpsstar in batch mode if data are missing + +if [ ! -d $vsdbhome ]; then + echo "$vsdbhome does not exist " + exit +fi +if [ ! -d $expdlist ]; then + echo "$expdlist does not exist " + exit +fi + + +#listvar1=vsdbhome,vsdbsave,cyclist,expnlist,expdlist,dumplist,complist,fhoutair,fhoutsfc,vsdbsfc,vsdbair,gdtype,vlength +#listvar2=NWPROD,SUBJOB,ACCOUNT,CUE2RUN,CUE2FTP,GROUP,DATEST,DATEND,rundir,HPSSTAR,gdas_prepbufr_arch,batch,runhpss,APRUN,COMROTNCO +#export listvar=$listvar1,$listvar2 +${vsdbhome}/grid2obs/grid2obs.sh + + +### -------------------------------------------------------------- + fi +### -------------------------------------------------------------- + +exit + diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/vsdbjob.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/vsdbjob.txt new file mode 100644 index 0000000..baf0736 --- /dev/null +++ b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/vsdbjob.txt @@ -0,0 +1 @@ +VSDBSH=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/verif/global/tags/vsdb/vsdbjob.sh diff --git a/systems/fv3gfs/scripts/exglobal_fcst_crow.sh b/systems/fv3gfs/scripts/exglobal_fcst_crow.sh new file mode 100755 index 0000000..0415c49 --- /dev/null +++ b/systems/fv3gfs/scripts/exglobal_fcst_crow.sh @@ -0,0 +1,288 @@ +#!/bin/ksh +################################################################################ +# UNIX Script Documentation Block +# Script name: exglobal_fcst_nemsfv3gfs.sh.ecf +# Script description: Runs a global FV3GFS model forecast +# +# Author: Fanglin Yang Org: NCEP/EMC Date: 2016-11-15 +# Abstract: This script runs a single GFS forecast with FV3 dynamical core. +# This script is created based on a C-shell script that GFDL wrote +# for the NGGPS Phase-II Dycore Comparison Project. +# +# Script history log: +# 2016-11-15 Fanglin Yang First Version. +# 2017-02-09 Rahul Mahajan Added warm start and restructured the code. +# 2017-03-10 Fanglin Yang Updated for running forecast on Cray. +# 2017-03-24 Fanglin Yang Updated to use NEMS FV3GFS with IPD4 +# 2017-05-24 Rahul Mahajan Updated for cycling with NEMS FV3GFS +# 2017-09-13 Fanglin Yang Updated for using GFDL MP and Write Component +# +# $Id$ +# +# Attributes: +# Language: Portable Operating System Interface (POSIX) Shell +# Machine: WCOSS-CRAY, Theia +################################################################################ + +# Set environment. +VERBOSE=${VERBOSE:-"YES"} +if [ $VERBOSE = "YES" ] ; then + echo $(date) EXECUTING $0 $* >&2 + set -x +fi + +# This should be in the script that calls this script, not here +machine=${machine:-"WCOSS_C"} +machine=$(echo $machine | tr '[a-z]' '[A-Z]') +if [ $machine = "WCOSS_C" ] ; then + . $MODULESHOME/init/sh 2>/dev/null + PRGENV=${PRGENV:-intel} + HUGEPAGES=${HUGEPAGES:-hugepages4M} + module unload prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null + module load prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null + module load intel/16.3.210 2>/dev/null + module use /usrx/local/dev/modulefiles + export IOBUF_PARAMS=${IOBUF_PARAMS:-'*:size=8M:verbose'} + export MPICH_GNI_COLL_OPT_OFF=${MPICH_GNI_COLL_OPT_OFF:-MPI_Alltoallv} + export MKL_CBWR=AVX2 + module use /gpfs/hps3/emc/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null + module load esmf/7.1.0bs34 2>/dev/null +elif [ $machine = "THEIA" ]; then + . $MODULESHOME/init/sh 2>/dev/null + module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null + module load esmf/7.1.0bs34 2>/dev/null +fi + +# Directories. +pwd=$(pwd) +DATA=${DATA:-$( pwd -P )/fv3tmp$$} # temporary running directory +SEND=${SEND:-"YES"} #move final result to rotating directory +KEEPDATA=${KEEPDATA:-"NO"} +NTASKS_FV3=${NTASKS_FV3:-$npe_fv3} + +#------------------------------------------------------- +set -ue +if [ ! -d $ROTDIR ]; then mkdir -p $ROTDIR; fi +if [ ! -d $DATA ]; then mkdir -p $DATA ;fi +mkdir -p $DATA/RESTART $DATA/INPUT +cd $DATA +set +ue + +#------------------------------------------------------- +# member directory +if [ $MEMBER -lt 0 ]; then + prefix=$CDUMP + rprefix=$rCDUMP + memchar="" +else + prefix=enkf.$CDUMP + rprefix=enkf.$rCDUMP + memchar=mem$(printf %03i $MEMBER) +fi +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) +memdir=$ROTDIR/${prefix}.$cymd/$chh/$memchar +if [ ! -d $memdir ]; then mkdir -p $memdir; fi + +GDATE=$($NDATE -$assim_freq $CDATE) +gymd=$(echo $GDATE | cut -c1-8) +ghh=$(echo $GDATE | cut -c9-10) +gmemdir=$ROTDIR/${rprefix}.$gymd/$ghh/$memchar + +#------------------------------------------------------- +# initial conditions +increment_file=${increment_file:-$memdir/${CDUMP}.t${chh}z.atminc.nc} + +if [ $warm_start = ".false." ]; then + if [ -d $ICSDIR/$CDATE/$CDUMP/$CASE/INPUT ]; then + $NCP $ICSDIR/$CDATE/$CDUMP/$CASE/INPUT/* $DATA/INPUT/. + else + for file in $memdir/INPUT/*.nc; do + file2=$(echo $(basename $file)) + fsuf=$(echo $file2 | cut -c1-3) + if [ $fsuf = "gfs" -o $fsuf = "sfc" ]; then + $NLN $file $DATA/INPUT/$file2 + fi + done + fi +else + if [ ${restart_test:-"NO"} = "YES" ]; then + # start from the end of last forecast run + $NLN $gmemdir/RESTART/* $DATA/INPUT/. + else + + # Link all (except sfc_data) restart files from $gmemdir + for file in $gmemdir/RESTART/${cymd}.${chh}0000.*.nc; do + file2=$(echo $(basename $file)) + file2=$(echo $file2 | cut -d. -f3-) # remove the date from file + fsuf=$(echo $file2 | cut -d. -f1) + if [ $fsuf != "sfc_data" ]; then + $NLN $file $DATA/INPUT/$file2 + fi + done + + # Link sfcanl_data restart files from $memdir + for file in $memdir/RESTART/${cymd}.${chh}0000.*.nc; do + file2=$(echo $(basename $file)) + file2=$(echo $file2 | cut -d. -f3-) # remove the date from file + fsufanl=$(echo $file2 | cut -d. -f1) + if [ $fsufanl = "sfcanl_data" ]; then + file2=$(echo $file2 | sed -e "s/sfcanl_data/sfc_data/g") + $NLN $file $DATA/INPUT/$file2 + fi + done + + # Handle coupler.res file for DA cycling + if [ ${USE_COUPLER_RES:-"YES"} = "YES" ]; then + # In DA, this is not really a "true restart", + # and the model start time is the analysis time + # The alternative is to replace + # model start time with current model time in coupler.res + file=$gmemdir/RESTART/${cymd}.${chh}0000.coupler.res + file2=$(echo $(basename $file)) + file2=$(echo $file2 | cut -d. -f3-) # remove the date from file + $NLN $file $DATA/INPUT/$file2 + fi + + if [ $read_increment = ".true." ]; then + if [ -f $increment_file ]; then + $NLN $increment_file $DATA/INPUT/fv3_increment.nc + else + read_increment=".false." + fi + fi + fi +fi +nfiles=$(ls -1 $DATA/INPUT/* | wc -l) +if [ $nfiles -le 0 ]; then + echo "Initial conditions must exist in $DATA/INPUT, ABORT!" + exit 1 +fi + +#-------------------------------------------------------------------------- +# Grid and orography data +for n in $(seq 1 $ntiles); do + $NLN $FIX_FV3/$CASE/${CASE}_grid.tile${n}.nc $DATA/INPUT/${CASE}_grid.tile${n}.nc + $NLN $FIX_FV3/$CASE/${CASE}_oro_data.tile${n}.nc $DATA/INPUT/oro_data.tile${n}.nc +done +$NLN $FIX_FV3/$CASE/${CASE}_mosaic.nc $DATA/INPUT/grid_spec.nc + +# GFS standard input data + +$NLN $FIX_AM/global_solarconstant_noaa_an.txt $DATA/solarconstant_noaa_an.txt +$NLN $FIX_AM/global_o3prdlos.f77 $DATA/INPUT/global_o3prdlos.f77 +$NLN $FIX_AM/global_sfc_emissivity_idx.txt $DATA/sfc_emissivity_idx.txt + +$NLN $FIX_AM/global_co2historicaldata_glob.txt $DATA/co2historicaldata_glob.txt +$NLN $FIX_AM/co2monthlycyc.txt $DATA/co2monthlycyc.txt +if [ $ICO2 -gt 0 ]; then + for file in $(ls $FIX_AM/fix_co2_proj/global_co2historicaldata*) ; do + $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") + done +fi + +$NLN $FIX_AM/global_climaeropac_global.txt $DATA/aerosol.dat +if [ $IAER -gt 0 ] ; then + for file in $(ls $FIX_AM/global_volcanic_aerosols*) ; do + $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") + done +fi + +#------------------------------------------------------------------ +# Namelists. + +CROW_TO_SH="$HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH" + +# Override stochastic physics seeds if requested: +if [ ${SET_STP_SEED:-"YES"} = "YES" ]; then + ISEED_SKEB=$((CDATE*1000 + MEMBER*10 + 1)) + ISEED_SHUM=$((CDATE*1000 + MEMBER*10 + 2)) + ISEED_SPPT=$((CDATE*1000 + MEMBER*10 + 3)) + CROW_TO_SH="$CROW_TO_SH apply:ISEED_SKEB=$ISEED_SKEB apply:ISEED_SHUM=$ISEED_SHUM apply:ISEED_SPPT=$ISEED_SPPT" +fi + +set -eu + +# Build the FMS diag_table with the experiment name and date stamp: +pwd +ls -ld . +eval $( $CROW_TO_SH DIAG_TABLE=DIAG_TABLE ) +$CROW_TO_SH expand:diag_table_header > ./diag_table +cat diag_table +cat $DIAG_TABLE >> ./diag_table + +$NCP $DATA_TABLE data_table +$NCP $FIELD_TABLE field_table + +# NEMS and FV3 namelists: +$CROW_TO_SH expand:input_nml > ./input.nml +cat input.nml +$CROW_TO_SH expand:nems_configure > ./nems.configure +cat nems.configure +$CROW_TO_SH expand:model_configure > ./model_configure +cat model_configure + +set +eu + +#------------------------------------------------------------------ +# setup the runtime environment and run the executable +cd $DATA +$NCP $FCSTEXECDIR/$FCSTEXEC $DATA/. +export OMP_NUM_THREADS=$NTHREADS_FV3 +$APRUN_FV3 $DATA/$FCSTEXEC 1>&1 2>&2 +export ERR=$? +export err=$ERR +$ERRSCRIPT || exit $err + +#------------------------------------------------------------------ +if [ $SEND = "YES" ]; then + # Copy model output files + cd $DATA + if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then + $NCP ${CDUMP}.t${chh}z.atm*.nemsio $memdir/. + $NCP ${CDUMP}.t${chh}z.sfc*.nemsio $memdir/. + else + for n in $(seq 1 $ntiles); do + for file in *.tile${n}.nc; do + $NCP $file $memdir/. + done + done + fi + + # Copy model restart files + cd $DATA/RESTART + mkdir -p $memdir/RESTART + + # Add time-stamp to restart files at FHMAX (this should be done inside the model) + RDATE=$($NDATE +$FHMAX $CDATE) + rymd=$(echo $RDATE | cut -c1-8) + rhh=$(echo $RDATE | cut -c9-10) + for file in $(ls * | grep -v 0000); do + $NMV $file ${rymd}.${rhh}0000.$file + done + + # Only save restarts at single time in RESTART directory + # Either at FHMAX or at first time in restart_interval + if [ $restart_interval -eq 0 ]; then + RDATE=$($NDATE +$FHMAX $CDATE) + else + RDATE=$($NDATE +$restart_interval $CDATE) + fi + rymd=$(echo $RDATE | cut -c1-8) + rhh=$(echo $RDATE | cut -c9-10) + for file in ${rymd}.${rhh}0000.* ; do + $NCP $file $memdir/RESTART/$file + done + +fi + +#------------------------------------------------------------------ +# Clean up before leaving +if [ $KEEPDATA = "NO" ]; then rm -rf $DATA; fi + +#------------------------------------------------------------------ +set +x +if [ $VERBOSE = "YES" ] ; then + echo $(date) EXITING $0 with return code $err >&2 +fi +exit 0 diff --git a/systems/fv3gfs/scripts/exglobal_fcst_crow_df.sh b/systems/fv3gfs/scripts/exglobal_fcst_crow_df.sh new file mode 100755 index 0000000..62b4b56 --- /dev/null +++ b/systems/fv3gfs/scripts/exglobal_fcst_crow_df.sh @@ -0,0 +1,236 @@ +#!/bin/ksh +################################################################################ +# UNIX Script Documentation Block +# Script name: exglobal_fcst_nemsfv3gfs.sh.ecf +# Script description: Runs a global FV3GFS model forecast +# +# Author: Fanglin Yang Org: NCEP/EMC Date: 2016-11-15 +# Abstract: This script runs a single GFS forecast with FV3 dynamical core. +# This script is created based on a C-shell script that GFDL wrote +# for the NGGPS Phase-II Dycore Comparison Project. +# +# Script history log: +# 2016-11-15 Fanglin Yang First Version. +# 2017-02-09 Rahul Mahajan Added warm start and restructured the code. +# 2017-03-10 Fanglin Yang Updated for running forecast on Cray. +# 2017-03-24 Fanglin Yang Updated to use NEMS FV3GFS with IPD4 +# 2017-05-24 Rahul Mahajan Updated for cycling with NEMS FV3GFS +# 2017-09-13 Fanglin Yang Updated for using GFDL MP and Write Component +# +# $Id$ +# +# Attributes: +# Language: Portable Operating System Interface (POSIX) Shell +# Machine: WCOSS-CRAY, Theia +################################################################################ + +# Set environment. +VERBOSE=${VERBOSE:-"YES"} +if [ $VERBOSE = "YES" ] ; then + echo $(date) EXECUTING $0 $* >&2 + set -x +fi + +# This should be in the script that calls this script, not here +machine=${machine:-"WCOSS_C"} +machine=$(echo $machine | tr '[a-z]' '[A-Z]') +if [ $machine = "WCOSS_C" ] ; then + . $MODULESHOME/init/sh 2>/dev/null + PRGENV=${PRGENV:-intel} + HUGEPAGES=${HUGEPAGES:-hugepages4M} + module unload prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null + module load prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null + module load intel/16.3.210 2>/dev/null + module use /usrx/local/dev/modulefiles + export IOBUF_PARAMS=${IOBUF_PARAMS:-'*:size=8M:verbose'} + export MPICH_GNI_COLL_OPT_OFF=${MPICH_GNI_COLL_OPT_OFF:-MPI_Alltoallv} + export MKL_CBWR=AVX2 + module use /gpfs/hps3/emc/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null + module load esmf/7.1.0bs34 2>/dev/null +elif [ $machine = "THEIA" ]; then + . $MODULESHOME/init/sh 2>/dev/null + module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null + module load esmf/7.1.0bs34 2>/dev/null +fi + +# Directories. +pwd=$(pwd) +DATA=${DATA:-$pwd/fv3tmp$$} # temporary running directory +SEND=${SEND:-"YES"} #move final result to rotating directory +KEEPDATA=${KEEPDATA:-"NO"} +NTASKS_FV3=${NTASKS_FV3:-$npe_fv3} + +#------------------------------------------------------- +set -ue +if [ ! -d $ROTDIR ]; then mkdir -p $ROTDIR; fi +if [ ! -d $DATA ]; then mkdir -p $DATA ;fi +mkdir -p $DATA/RESTART $DATA/INPUT +cd $DATA +set +ue + +#------------------------------------------------------- +# member directory +if [ $MEMBER -lt 0 ]; then + prefix=$CDUMP + rprefix=$rCDUMP + memchar="" +else + prefix=enkf.$CDUMP + rprefix=enkf.$rCDUMP + memchar=mem$(printf %03i $MEMBER) +fi +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) +memdir=$ROTDIR/${prefix}.$cymd/$chh/$memchar +if [ ! -d $memdir ]; then mkdir -p $memdir; fi + +GDATE=$($NDATE -$assim_freq $CDATE) +gymd=$(echo $GDATE | cut -c1-8) +ghh=$(echo $GDATE | cut -c9-10) +gmemdir=$ROTDIR/${rprefix}.$gymd/$ghh/$memchar + +#------------------------------------------------------- +# initial conditions +set -ue +increment_file=${increment_file:-$memdir/${CDUMP}.t${chh}z.atminc.nc} + +ACTOR=$( echo "$TASK_PATH" | sed 's,\.[^.]*$,,g' ) + +$HOMEcrow/crow_dataflow_deliver_sh.py -v -o "$DATA/INPUT/gfs_ctrl.nc" \ + "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=gfs_ctrl_nc + +$HOMEcrow/crow_dataflow_deliver_sh.py -v -m -o "$DATA/INPUT/{kind}.tile{tile}.nc" \ + "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=input_data_tiles +set +ue + +nfiles=$(ls -1 $DATA/INPUT/* | wc -l) +if [ $nfiles -le 0 ]; then + echo "Initial conditions must exist in $DATA/INPUT, ABORT!" + exit 1 +fi + +#-------------------------------------------------------------------------- +# Grid and orography data +for n in $(seq 1 $ntiles); do + $NLN $FIX_FV3/$CASE/${CASE}_grid.tile${n}.nc $DATA/INPUT/${CASE}_grid.tile${n}.nc + $NLN $FIX_FV3/$CASE/${CASE}_oro_data.tile${n}.nc $DATA/INPUT/oro_data.tile${n}.nc +done +$NLN $FIX_FV3/$CASE/${CASE}_mosaic.nc $DATA/INPUT/grid_spec.nc + +# GFS standard input data + +$NLN $FIX_AM/global_solarconstant_noaa_an.txt $DATA/solarconstant_noaa_an.txt +$NLN $FIX_AM/global_o3prdlos.f77 $DATA/INPUT/global_o3prdlos.f77 +$NLN $FIX_AM/global_sfc_emissivity_idx.txt $DATA/sfc_emissivity_idx.txt + +$NLN $FIX_AM/global_co2historicaldata_glob.txt $DATA/co2historicaldata_glob.txt +$NLN $FIX_AM/co2monthlycyc.txt $DATA/co2monthlycyc.txt +if [ $ICO2 -gt 0 ]; then + for file in $(ls $FIX_AM/fix_co2_proj/global_co2historicaldata*) ; do + $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") + done +fi + +$NLN $FIX_AM/global_climaeropac_global.txt $DATA/aerosol.dat +if [ $IAER -gt 0 ] ; then + for file in $(ls $FIX_AM/global_volcanic_aerosols*) ; do + $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") + done +fi + +#------------------------------------------------------------------ +# Namelists. + +CROW_TO_SH="$HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH" + +# Override stochastic physics seeds if requested: +if [ ${SET_STP_SEED:-"YES"} = "YES" ]; then + ISEED_SKEB=$((CDATE*1000 + MEMBER*10 + 1)) + ISEED_SHUM=$((CDATE*1000 + MEMBER*10 + 2)) + ISEED_SPPT=$((CDATE*1000 + MEMBER*10 + 3)) + CROW_TO_SH="$CROW_TO_SH apply:ISEED_SKEB=$ISEED_SKEB apply:ISEED_SHUM=$ISEED_SHUM apply:ISEED_SPPT=$ISEED_SPPT" +fi + +set -eu + +# Build the FMS diag_table with the experiment name and date stamp: +eval $( $CROW_TO_SH DIAG_TABLE=DIAG_TABLE ) +$CROW_TO_SH expand:diag_table_header > diag_table +cat diag_table +cat $DIAG_TABLE >> diag_table + +$NCP $DATA_TABLE data_table +$NCP $FIELD_TABLE field_table + +# NEMS and FV3 namelists: +$CROW_TO_SH expand:input_nml > input.nml +cat input.nml +$CROW_TO_SH expand:nems_configure > nems.configure +cat nems.configure +$CROW_TO_SH expand:model_configure > model_configure +cat model_configure + +set +eu + +#------------------------------------------------------------------ +# setup the runtime environment and run the executable +cd $DATA +$NCP $FCSTEXECDIR/$FCSTEXEC $DATA/. +export OMP_NUM_THREADS=$NTHREADS_FV3 +$APRUN_FV3 $DATA/$FCSTEXEC 1>&1 2>&2 +export ERR=$? +export err=$ERR +$ERRSCRIPT || exit $err + +#------------------------------------------------------------------ +if [ $SEND = "YES" ]; then + # Copy model output files + cd $DATA + if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then + $NCP ${CDUMP}.t${chh}z.atm*.nemsio $memdir/. + $NCP ${CDUMP}.t${chh}z.sfc*.nemsio $memdir/. + else + for n in $(seq 1 $ntiles); do + for file in *.tile${n}.nc; do + $NCP $file $memdir/. + done + done + fi + + # Copy model restart files + cd $DATA/RESTART + mkdir -p $memdir/RESTART + + # Add time-stamp to restart files at FHMAX (this should be done inside the model) + RDATE=$($NDATE +$FHMAX $CDATE) + rymd=$(echo $RDATE | cut -c1-8) + rhh=$(echo $RDATE | cut -c9-10) + for file in $(ls * | grep -v 0000); do + $NMV $file ${rymd}.${rhh}0000.$file + done + + # Only save restarts at single time in RESTART directory + # Either at FHMAX or at first time in restart_interval + if [ $restart_interval -eq 0 ]; then + RDATE=$($NDATE +$FHMAX $CDATE) + else + RDATE=$($NDATE +$restart_interval $CDATE) + fi + rymd=$(echo $RDATE | cut -c1-8) + rhh=$(echo $RDATE | cut -c9-10) + for file in ${rymd}.${rhh}0000.* ; do + $NCP $file $memdir/RESTART/$file + done + +fi + +#------------------------------------------------------------------ +# Clean up before leaving +if [ $KEEPDATA = "NO" ]; then rm -rf $DATA; fi + +#------------------------------------------------------------------ +set +x +if [ $VERBOSE = "YES" ] ; then + echo $(date) EXITING $0 with return code $err >&2 +fi +exit 0 diff --git a/systems/fv3gfs/scripts/exglobal_fcst_crow_exe.sh b/systems/fv3gfs/scripts/exglobal_fcst_crow_exe.sh new file mode 100755 index 0000000..84b0e6e --- /dev/null +++ b/systems/fv3gfs/scripts/exglobal_fcst_crow_exe.sh @@ -0,0 +1,176 @@ +#!/bin/ksh +################################################################################ +# UNIX Script Documentation Block +# Script name: exglobal_fcst_nemsfv3gfs.sh.ecf +# Script description: Runs a global FV3GFS model forecast +# +# Author: Fanglin Yang Org: NCEP/EMC Date: 2016-11-15 +# Abstract: This script runs a single GFS forecast with FV3 dynamical core. +# This script is created based on a C-shell script that GFDL wrote +# for the NGGPS Phase-II Dycore Comparison Project. +# +# Script history log: +# 2016-11-15 Fanglin Yang First Version. +# 2017-02-09 Rahul Mahajan Added warm start and restructured the code. +# 2017-03-10 Fanglin Yang Updated for running forecast on Cray. +# 2017-03-24 Fanglin Yang Updated to use NEMS FV3GFS with IPD4 +# 2017-05-24 Rahul Mahajan Updated for cycling with NEMS FV3GFS +# 2017-09-13 Fanglin Yang Updated for using GFDL MP and Write Component +# +# $Id$ +# +# Attributes: +# Language: Portable Operating System Interface (POSIX) Shell +# Machine: WCOSS-CRAY, Theia +################################################################################ + +# Set environment. +VERBOSE=${VERBOSE:-"YES"} +if [ $VERBOSE = "YES" ] ; then + echo $(date) EXECUTING $0 $* >&2 + set -x +fi + +# This should be in the script that calls this script, not here +machine=${machine:-"WCOSS_C"} +machine=$(echo $machine | tr '[a-z]' '[A-Z]') +if [ $machine = "WCOSS_C" ] ; then + . $MODULESHOME/init/sh 2>/dev/null + PRGENV=${PRGENV:-intel} + HUGEPAGES=${HUGEPAGES:-hugepages4M} + module unload prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null + module load prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null + module load intel/16.3.210 2>/dev/null + module use /usrx/local/dev/modulefiles + export IOBUF_PARAMS=${IOBUF_PARAMS:-'*:size=8M:verbose'} + export MPICH_GNI_COLL_OPT_OFF=${MPICH_GNI_COLL_OPT_OFF:-MPI_Alltoallv} + export MKL_CBWR=AVX2 + module use /gpfs/hps3/emc/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null + module load esmf/7.1.0bs34 2>/dev/null +elif [ $machine = "THEIA" ]; then + . $MODULESHOME/init/sh 2>/dev/null + module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null + module load esmf/7.1.0bs34 2>/dev/null +fi + +# Directories. +pwd=$(pwd) +DATA=${DATA:-$pwd/fv3tmp$$} # temporary running directory +SEND=${SEND:-"YES"} #move final result to rotating directory +KEEPDATA=${KEEPDATA:-"NO"} +NTASKS_FV3=${NTASKS_FV3:-$npe_fv3} + +#------------------------------------------------------- +set -ue +if [ ! -d $ROTDIR ]; then mkdir -p $ROTDIR; fi +if [ ! -d $DATA ]; then mkdir -p $DATA ;fi +mkdir -p $DATA/RESTART $DATA/INPUT +cd $DATA +set +ue + +#------------------------------------------------------- +# initial conditions +set -ue + +ACTOR=$( echo "$TASK_PATH" | sed 's,\.[^.]*$,,g' ) + +$HOMEcrow/crow_dataflow_deliver_sh.py -v -o "$DATA/INPUT/gfs_ctrl.nc" \ + "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=gfs_ctrl_nc + +$HOMEcrow/crow_dataflow_deliver_sh.py -v -m -o "$DATA/INPUT/{kind}.tile{tile}.nc" \ + "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=input_data_tiles +set +ue + +nfiles=$(ls -1 $DATA/INPUT/* | wc -l) +if [ $nfiles -le 0 ]; then + echo "Initial conditions must exist in $DATA/INPUT, ABORT!" + exit 1 +fi + +#-------------------------------------------------------------------------- +# Grid and orography data +for n in $(seq 1 $ntiles); do + $NLN $FIX_FV3/$CASE/${CASE}_grid.tile${n}.nc $DATA/INPUT/${CASE}_grid.tile${n}.nc + $NLN $FIX_FV3/$CASE/${CASE}_oro_data.tile${n}.nc $DATA/INPUT/oro_data.tile${n}.nc +done +$NLN $FIX_FV3/$CASE/${CASE}_mosaic.nc $DATA/INPUT/grid_spec.nc + +# GFS standard input data + +$NLN $FIX_AM/global_solarconstant_noaa_an.txt $DATA/solarconstant_noaa_an.txt +$NLN $FIX_AM/global_o3prdlos.f77 $DATA/INPUT/global_o3prdlos.f77 +$NLN $FIX_AM/global_sfc_emissivity_idx.txt $DATA/sfc_emissivity_idx.txt + +$NLN $FIX_AM/global_co2historicaldata_glob.txt $DATA/co2historicaldata_glob.txt +$NLN $FIX_AM/co2monthlycyc.txt $DATA/co2monthlycyc.txt +if [ $ICO2 -gt 0 ]; then + for file in $(ls $FIX_AM/fix_co2_proj/global_co2historicaldata*) ; do + $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") + done +fi + +$NLN $FIX_AM/global_climaeropac_global.txt $DATA/aerosol.dat +if [ $IAER -gt 0 ] ; then + for file in $(ls $FIX_AM/global_volcanic_aerosols*) ; do + $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") + done +fi + +#------------------------------------------------------------------ +# Namelists. + +set -eu + +CROW_TO_SH="$HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH" + +# Override stochastic physics seeds if requested: +if [ ${SET_STP_SEED:-"YES"} = "YES" ]; then + ISEED_SKEB=$((CDATE*1000 + MEMBER*10 + 1)) + ISEED_SHUM=$((CDATE*1000 + MEMBER*10 + 2)) + ISEED_SPPT=$((CDATE*1000 + MEMBER*10 + 3)) + CROW_TO_SH="$CROW_TO_SH apply:ISEED_SKEB=$ISEED_SKEB apply:ISEED_SHUM=$ISEED_SHUM apply:ISEED_SPPT=$ISEED_SPPT" +fi + +# Build the FMS diag_table with the experiment name and date stamp: +eval $( $CROW_TO_SH DIAG_TABLE=DIAG_TABLE ) +$CROW_TO_SH expand:diag_table_header > diag_table +cat diag_table +cat $DIAG_TABLE >> diag_table + +$NCP $DATA_TABLE data_table +$NCP $FIELD_TABLE field_table + +# NEMS and FV3 namelists: +$CROW_TO_SH expand:input_nml > input.nml +cat input.nml +$CROW_TO_SH expand:nems_configure > nems.configure +cat nems.configure +$CROW_TO_SH expand:model_configure > model_configure +cat model_configure + + +#------------------------------------------------------------------ +# setup the runtime environment and run the executable +cd $DATA +$CROW_TO_SH run:actual_fcst_resources + +#------------------------------------------------------------------ +if [ $SEND = "YES" ]; then + # Copy model output files + cd $DATA + + $HOMEcrow/crow_dataflow_deliver_sh.py -v -m -i '{kind}.tile{tile}.nc' \ + "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=untimed_tiles + + $HOMEcrow/crow_dataflow_deliver_sh.py -v -i \ + 'RESTART/coupler.res' \ + "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=coupler_res + + $HOMEcrow/crow_dataflow_deliver_sh.py -v -m -i \ + 'RESTART/{kind}.tile{tile}.nc' \ + "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=restart_time_tiles +fi + +#------------------------------------------------------------------ +# Clean up before leaving +if [ $KEEPDATA = "NO" ]; then rm -rf $DATA; fi diff --git a/systems/fv3gfs/scripts/exglobal_fcst_crow_io.sh b/systems/fv3gfs/scripts/exglobal_fcst_crow_io.sh new file mode 100755 index 0000000..c39f719 --- /dev/null +++ b/systems/fv3gfs/scripts/exglobal_fcst_crow_io.sh @@ -0,0 +1,189 @@ +#!/bin/ksh +################################################################################ +# UNIX Script Documentation Block +# Script name: exglobal_fcst_nemsfv3gfs.sh.ecf +# Script description: Runs a global FV3GFS model forecast +# +# Author: Fanglin Yang Org: NCEP/EMC Date: 2016-11-15 +# Abstract: This script runs a single GFS forecast with FV3 dynamical core. +# This script is created based on a C-shell script that GFDL wrote +# for the NGGPS Phase-II Dycore Comparison Project. +# +# Script history log: +# 2016-11-15 Fanglin Yang First Version. +# 2017-02-09 Rahul Mahajan Added warm start and restructured the code. +# 2017-03-10 Fanglin Yang Updated for running forecast on Cray. +# 2017-03-24 Fanglin Yang Updated to use NEMS FV3GFS with IPD4 +# 2017-05-24 Rahul Mahajan Updated for cycling with NEMS FV3GFS +# 2017-09-13 Fanglin Yang Updated for using GFDL MP and Write Component +# +# $Id$ +# +# Attributes: +# Language: Portable Operating System Interface (POSIX) Shell +# Machine: WCOSS-CRAY, Theia +################################################################################ + +# Set environment. +VERBOSE=${VERBOSE:-"YES"} +if [ $VERBOSE = "YES" ] ; then + echo $(date) EXECUTING $0 $* >&2 + set -x +fi + +# This should be in the script that calls this script, not here +machine=${machine:-"WCOSS_C"} +machine=$(echo $machine | tr '[a-z]' '[A-Z]') +if [ $machine = "WCOSS_C" ] ; then + . $MODULESHOME/init/sh 2>/dev/null + PRGENV=${PRGENV:-intel} + HUGEPAGES=${HUGEPAGES:-hugepages4M} + module unload prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null + module load prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null + module load intel/16.3.210 2>/dev/null + module use /usrx/local/dev/modulefiles + export IOBUF_PARAMS=${IOBUF_PARAMS:-'*:size=8M:verbose'} + export MPICH_GNI_COLL_OPT_OFF=${MPICH_GNI_COLL_OPT_OFF:-MPI_Alltoallv} + export MKL_CBWR=AVX2 + module use /gpfs/hps3/emc/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null + module load esmf/7.1.0bs34 2>/dev/null +elif [ $machine = "THEIA" ]; then + . $MODULESHOME/init/sh 2>/dev/null + module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null + module load esmf/7.1.0bs34 2>/dev/null +fi + +# Directories. +pwd=$(pwd) +DATA=${DATA:-$pwd/fv3tmp$$} # temporary running directory +SEND=${SEND:-"YES"} #move final result to rotating directory +KEEPDATA=${KEEPDATA:-"NO"} +NTASKS_FV3=${NTASKS_FV3:-$npe_fv3} + +#------------------------------------------------------- +set -ue +if [ ! -d $ROTDIR ]; then mkdir -p $ROTDIR; fi +if [ ! -d $DATA ]; then mkdir -p $DATA ;fi +mkdir -p $DATA/RESTART $DATA/INPUT +cd $DATA +set +ue + +#------------------------------------------------------- +# initial conditions +set -ue + +ACTOR=$( echo "$TASK_PATH" | sed 's,\.[^.]*$,,g' ) + +$HOMEcrow/crow_dataflow_deliver_sh.py -v -o "$DATA/INPUT/gfs_ctrl.nc" \ + "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=gfs_ctrl_nc + +$HOMEcrow/crow_dataflow_deliver_sh.py -v -m -o "$DATA/INPUT/{kind}.tile{tile}.nc" \ + "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=input_data_tiles +set +ue + +nfiles=$(ls -1 $DATA/INPUT/* | wc -l) +if [ $nfiles -le 0 ]; then + echo "Initial conditions must exist in $DATA/INPUT, ABORT!" + exit 1 +fi + +#-------------------------------------------------------------------------- +# Grid and orography data +for n in $(seq 1 $ntiles); do + $NLN $FIX_FV3/$CASE/${CASE}_grid.tile${n}.nc $DATA/INPUT/${CASE}_grid.tile${n}.nc + $NLN $FIX_FV3/$CASE/${CASE}_oro_data.tile${n}.nc $DATA/INPUT/oro_data.tile${n}.nc +done +$NLN $FIX_FV3/$CASE/${CASE}_mosaic.nc $DATA/INPUT/grid_spec.nc + +# GFS standard input data + +$NLN $FIX_AM/global_solarconstant_noaa_an.txt $DATA/solarconstant_noaa_an.txt +$NLN $FIX_AM/global_o3prdlos.f77 $DATA/INPUT/global_o3prdlos.f77 +$NLN $FIX_AM/global_sfc_emissivity_idx.txt $DATA/sfc_emissivity_idx.txt + +$NLN $FIX_AM/global_co2historicaldata_glob.txt $DATA/co2historicaldata_glob.txt +$NLN $FIX_AM/co2monthlycyc.txt $DATA/co2monthlycyc.txt +if [ $ICO2 -gt 0 ]; then + for file in $(ls $FIX_AM/fix_co2_proj/global_co2historicaldata*) ; do + $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") + done +fi + +$NLN $FIX_AM/global_climaeropac_global.txt $DATA/aerosol.dat +if [ $IAER -gt 0 ] ; then + for file in $(ls $FIX_AM/global_volcanic_aerosols*) ; do + $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") + done +fi + +#------------------------------------------------------------------ +# Namelists. + +CROW_TO_SH="$HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH" + +# Override stochastic physics seeds if requested: +if [ ${SET_STP_SEED:-"YES"} = "YES" ]; then + ISEED_SKEB=$((CDATE*1000 + MEMBER*10 + 1)) + ISEED_SHUM=$((CDATE*1000 + MEMBER*10 + 2)) + ISEED_SPPT=$((CDATE*1000 + MEMBER*10 + 3)) + CROW_TO_SH="$CROW_TO_SH apply:ISEED_SKEB=$ISEED_SKEB apply:ISEED_SHUM=$ISEED_SHUM apply:ISEED_SPPT=$ISEED_SPPT" +fi + +set -eu + +# Build the FMS diag_table with the experiment name and date stamp: +eval $( $CROW_TO_SH DIAG_TABLE=DIAG_TABLE ) +$CROW_TO_SH expand:diag_table_header > diag_table +cat diag_table +cat $DIAG_TABLE >> diag_table + +$NCP $DATA_TABLE data_table +$NCP $FIELD_TABLE field_table + +# NEMS and FV3 namelists: +$CROW_TO_SH expand:input_nml > input.nml +cat input.nml +$CROW_TO_SH expand:nems_configure > nems.configure +cat nems.configure +$CROW_TO_SH expand:model_configure > model_configure +cat model_configure + +set +eu + +#------------------------------------------------------------------ +# setup the runtime environment and run the executable +cd $DATA +$NCP $FCSTEXECDIR/$FCSTEXEC $DATA/. +export OMP_NUM_THREADS=$NTHREADS_FV3 +$APRUN_FV3 $DATA/$FCSTEXEC 1>&1 2>&2 +export ERR=$? +export err=$ERR +$ERRSCRIPT || exit $err + +#------------------------------------------------------------------ +if [ $SEND = "YES" ]; then + # Copy model output files + cd $DATA + + $HOMEcrow/crow_dataflow_deliver_sh.py -v -m -i '{kind}.tile{tile}.nc' \ + "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=untimed_tiles + + $HOMEcrow/crow_dataflow_deliver_sh.py -v -i \ + 'RESTART/coupler.res' \ + "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=coupler_res + + $HOMEcrow/crow_dataflow_deliver_sh.py -v -m -i \ + 'RESTART/{kind}.tile{tile}.nc' \ + "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=restart_time_tiles +fi + +#------------------------------------------------------------------ +# Clean up before leaving +if [ $KEEPDATA = "NO" ]; then rm -rf $DATA; fi + +#------------------------------------------------------------------ +set +x +if [ $VERBOSE = "YES" ] ; then + echo $(date) EXITING $0 with return code $err >&2 +fi +exit 0 diff --git a/systems/fv3gfs/scripts/exglobal_fcst_original.sh b/systems/fv3gfs/scripts/exglobal_fcst_original.sh new file mode 100755 index 0000000..b34230c --- /dev/null +++ b/systems/fv3gfs/scripts/exglobal_fcst_original.sh @@ -0,0 +1,911 @@ +#!/bin/ksh +################################################################################ +# UNIX Script Documentation Block +# Script name: exglobal_fcst_nemsfv3gfs.sh.ecf +# Script description: Runs a global FV3GFS model forecast +# +# Author: Fanglin Yang Org: NCEP/EMC Date: 2016-11-15 +# Abstract: This script runs a single GFS forecast with FV3 dynamical core. +# This script is created based on a C-shell script that GFDL wrote +# for the NGGPS Phase-II Dycore Comparison Project. +# +# Script history log: +# 2016-11-15 Fanglin Yang First Version. +# 2017-02-09 Rahul Mahajan Added warm start and restructured the code. +# 2017-03-10 Fanglin Yang Updated for running forecast on Cray. +# 2017-03-24 Fanglin Yang Updated to use NEMS FV3GFS with IPD4 +# 2017-05-24 Rahul Mahajan Updated for cycling with NEMS FV3GFS +# 2017-09-13 Fanglin Yang Updated for using GFDL MP and Write Component +# +# $Id$ +# +# Attributes: +# Language: Portable Operating System Interface (POSIX) Shell +# Machine: WCOSS-CRAY, Theia +################################################################################ + +# Set environment. +VERBOSE=${VERBOSE:-"YES"} +if [ $VERBOSE = "YES" ] ; then + echo $(date) EXECUTING $0 $* >&2 + set -x +fi + +# This should be in the script that calls this script, not here +machine=${machine:-"WCOSS_C"} +machine=$(echo $machine | tr '[a-z]' '[A-Z]') +if [ $machine = "WCOSS_C" ] ; then + . $MODULESHOME/init/sh 2>/dev/null + PRGENV=${PRGENV:-intel} + HUGEPAGES=${HUGEPAGES:-hugepages4M} + module unload prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null + module load prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null + module load intel/16.3.210 2>/dev/null + module use /usrx/local/dev/modulefiles + export IOBUF_PARAMS=${IOBUF_PARAMS:-'*:size=8M:verbose'} + export MPICH_GNI_COLL_OPT_OFF=${MPICH_GNI_COLL_OPT_OFF:-MPI_Alltoallv} + export MKL_CBWR=AVX2 + module use /gpfs/hps3/emc/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null + module load esmf/7.1.0bs34 2>/dev/null +elif [ $machine = "THEIA" ]; then + . $MODULESHOME/init/sh 2>/dev/null + module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null + module load esmf/7.1.0bs34 2>/dev/null +fi + +# Cycling and forecast hour specific parameters +CASE=${CASE:-C768} +CDATE=${CDATE:-2017032500} +CDUMP=${CDUMP:-gdas} +FHMIN=${FHMIN:-0} +FHMAX=${FHMAX:-9} +FHOUT=${FHOUT:-3} +FHZER=${FHZER:-6} +FHCYC=${FHCYC:-24} +FHMAX_HF=${FHMAX_HF:-0} +FHOUT_HF=${FHOUT_HF:-1} +NSOUT=${NSOUT:-"-1"} + +# Directories. +pwd=$(pwd) +NWPROD=${NWPROD:-${NWROOT:-$pwd}} +BASE_GSM=${BASE_GSM:-$NWPROD} +FIX_DIR=${FIX_DIR:-$BASE_GSM/fix} +FIX_AM=${FIX_AM:-$FIX_DIR/fix_am} +FIX_FV3=${FIX_FV3:-$FIX_DIR/fix_fv3} +DATA=${DATA:-$pwd/fv3tmp$$} # temporary running directory +ROTDIR=${ROTDIR:-$pwd} # rotating archive directory +ICSDIR=${ICSDIR:-$pwd} # cold start initial conditions +DMPDIR=${DMPDIR:-$pwd} # global dumps for seaice, snow and sst analysis + +# Model resolution specific parameters +DELTIM=${DELTIM:-225} +layout_x=${layout_x:-8} +layout_y=${layout_y:-16} +LEVS=${LEVS:-65} + +# Utilities +NCP=${NCP:-"/bin/cp -p"} +NLN=${NLN:-"/bin/ln -sf"} +NMV=${NMV:-"/bin/mv"} +SEND=${SEND:-"YES"} #move final result to rotating directory +ERRSCRIPT=${ERRSCRIPT:-'eval [[ $err = 0 ]]'} +NDATE=${NDATE:-$NWPROD/util/exec/ndate} +KEEPDATA=${KEEPDATA:-"NO"} + +# Other options +MEMBER=${MEMBER:-"-1"} # -1: control, 0: ensemble mean, >0: ensemble member $MEMBER +ENS_NUM=${ENS_NUM:-1} # Single executable runs multiple members (e.g. GEFS) + +# Model specific stuff +FCSTEXECDIR=${FCSTEXECDIR:-$BASE_GSM/sorc/fv3gfs.fd/BUILD/bin} +FCSTEXEC=${FCSTEXEC:-fv3_gfs.x} +PARM_FV3DIAG=${PARM_FV3DIAG:-$BASE_GSM/parm/parm_fv3diag} + +# Model config options +APRUN_FV3=${APRUN_FV3:-${APRUN_FCST:-${APRUN:-""}}} +NTHREADS_FV3=${NTHREADS_FV3:-${NTHREADS_FCST:-${nth_fv3:-1}}} +cores_per_node=${cores_per_node:-${npe_node_max:-24}} +ntiles=${ntiles:-6} +NTASKS_FV3=${NTASKS_FV3:-$npe_fv3} + +TYPE=${TYPE:-"nh"} # choices: nh, hydro +MONO=${MONO:-"non-mono"} # choices: mono, non-mono + +QUILTING=${QUILTING:-".true."} +OUTPUT_GRID=${OUTPUT_GRID:-"gaussian_grid"} +WRITE_NEMSIOFILE=${WRITE_NEMSIOFILE:-".true."} +WRITE_NEMSIOFLIP=${WRITE_NEMSIOFLIP:-".true."} + +rCDUMP=${rCDUMP:-$CDUMP} + +#------------------------------------------------------- +if [ ! -d $ROTDIR ]; then mkdir -p $ROTDIR; fi +if [ ! -d $DATA ]; then mkdir -p $DATA ;fi +mkdir -p $DATA/RESTART $DATA/INPUT +cd $DATA || exit 8 + +#------------------------------------------------------- +# member directory +if [ $MEMBER -lt 0 ]; then + prefix=$CDUMP + rprefix=$rCDUMP + memchar="" +else + prefix=enkf.$CDUMP + rprefix=enkf.$rCDUMP + memchar=mem$(printf %03i $MEMBER) +fi +cymd=$(echo $CDATE | cut -c1-8) +chh=$(echo $CDATE | cut -c9-10) +memdir=$ROTDIR/${prefix}.$cymd/$chh/$memchar +if [ ! -d $memdir ]; then mkdir -p $memdir; fi + +GDATE=$($NDATE -$assim_freq $CDATE) +gymd=$(echo $GDATE | cut -c1-8) +ghh=$(echo $GDATE | cut -c9-10) +gmemdir=$ROTDIR/${rprefix}.$gymd/$ghh/$memchar + +#------------------------------------------------------- +# initial conditions +warm_start=${warm_start:-".false."} +read_increment=${read_increment:-".false."} +increment_file=${increment_file:-$memdir/${CDUMP}.t${chh}z.atminc.nc} +restart_interval=${restart_interval:-0} + +if [ $warm_start = ".false." ]; then + if [ -d $ICSDIR/$CDATE/$CDUMP/$CASE/INPUT ]; then + $NCP $ICSDIR/$CDATE/$CDUMP/$CASE/INPUT/* $DATA/INPUT/. + else + for file in $memdir/INPUT/*.nc; do + file2=$(echo $(basename $file)) + fsuf=$(echo $file2 | cut -c1-3) + if [ $fsuf = "gfs" -o $fsuf = "sfc" ]; then + $NLN $file $DATA/INPUT/$file2 + fi + done + fi +else + if [ ${restart_test:-"NO"} = "YES" ]; then + # start from the end of last forecast run + $NLN $gmemdir/RESTART/* $DATA/INPUT/. + else + + # Link all (except sfc_data) restart files from $gmemdir + for file in $gmemdir/RESTART/${cymd}.${chh}0000.*.nc; do + file2=$(echo $(basename $file)) + file2=$(echo $file2 | cut -d. -f3-) # remove the date from file + fsuf=$(echo $file2 | cut -d. -f1) + if [ $fsuf != "sfc_data" ]; then + $NLN $file $DATA/INPUT/$file2 + fi + done + + # Link sfcanl_data restart files from $memdir + for file in $memdir/RESTART/${cymd}.${chh}0000.*.nc; do + file2=$(echo $(basename $file)) + file2=$(echo $file2 | cut -d. -f3-) # remove the date from file + fsufanl=$(echo $file2 | cut -d. -f1) + if [ $fsufanl = "sfcanl_data" ]; then + file2=$(echo $file2 | sed -e "s/sfcanl_data/sfc_data/g") + $NLN $file $DATA/INPUT/$file2 + fi + done + + # Handle coupler.res file for DA cycling + if [ ${USE_COUPLER_RES:-"YES"} = "YES" ]; then + # In DA, this is not really a "true restart", + # and the model start time is the analysis time + # The alternative is to replace + # model start time with current model time in coupler.res + file=$gmemdir/RESTART/${cymd}.${chh}0000.coupler.res + file2=$(echo $(basename $file)) + file2=$(echo $file2 | cut -d. -f3-) # remove the date from file + $NLN $file $DATA/INPUT/$file2 + fi + + if [ $read_increment = ".true." ]; then + if [ -f $increment_file ]; then + $NLN $increment_file $DATA/INPUT/fv3_increment.nc + else + read_increment=".false." + fi + fi + fi +fi +nfiles=$(ls -1 $DATA/INPUT/* | wc -l) +if [ $nfiles -le 0 ]; then + echo "Initial conditions must exist in $DATA/INPUT, ABORT!" + exit 1 +fi + +#-------------------------------------------------------------------------- +# Grid and orography data +for n in $(seq 1 $ntiles); do + $NLN $FIX_FV3/$CASE/${CASE}_grid.tile${n}.nc $DATA/INPUT/${CASE}_grid.tile${n}.nc + $NLN $FIX_FV3/$CASE/${CASE}_oro_data.tile${n}.nc $DATA/INPUT/oro_data.tile${n}.nc +done +$NLN $FIX_FV3/$CASE/${CASE}_mosaic.nc $DATA/INPUT/grid_spec.nc + +# GFS standard input data + +IALB=${IALB:-1} +IEMS=${IEMS:-1} +ISOL=${ISOL:-2} +IAER=${IAER:-111} +ICO2=${ICO2:-2} + +$NLN $FIX_AM/global_solarconstant_noaa_an.txt $DATA/solarconstant_noaa_an.txt +$NLN $FIX_AM/global_o3prdlos.f77 $DATA/INPUT/global_o3prdlos.f77 +$NLN $FIX_AM/global_sfc_emissivity_idx.txt $DATA/sfc_emissivity_idx.txt + +$NLN $FIX_AM/global_co2historicaldata_glob.txt $DATA/co2historicaldata_glob.txt +$NLN $FIX_AM/co2monthlycyc.txt $DATA/co2monthlycyc.txt +if [ $ICO2 -gt 0 ]; then + for file in $(ls $FIX_AM/fix_co2_proj/global_co2historicaldata*) ; do + $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") + done +fi + +$NLN $FIX_AM/global_climaeropac_global.txt $DATA/aerosol.dat +if [ $IAER -gt 0 ] ; then + for file in $(ls $FIX_AM/global_volcanic_aerosols*) ; do + $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") + done +fi +#------------------------------------------------------------------ +# changeable parameters +# dycore definitions +res=$(echo $CASE |cut -c2-5) +resp=$((res+1)) +npx=$resp +npy=$resp +npz=$((LEVS-1)) +io_layout="1,1" +#ncols=$(( (${npx}-1)*(${npy}-1)*3/2 )) + +# spectral truncation and regular grid resolution based on FV3 resolution +JCAP_CASE=$((2*res-2)) +LONB_CASE=$((4*res)) +LATB_CASE=$((2*res)) + +JCAP=${JCAP:-$JCAP_CASE} +LONB=${LONB:-$LONB_CASE} +LATB=${LATB:-$LATB_CASE} + +# Fix files +FNGLAC=${FNGLAC:-"$FIX_AM/global_glacier.2x2.grb"} +FNMXIC=${FNMXIC:-"$FIX_AM/global_maxice.2x2.grb"} +FNTSFC=${FNTSFC:-"$FIX_AM/RTGSST.1982.2012.monthly.clim.grb"} +FNSNOC=${FNSNOC:-"$FIX_AM/global_snoclim.1.875.grb"} +FNZORC=${FNZORC:-"igbp"} +FNALBC2=${FNALBC2:-"$FIX_AM/global_albedo4.1x1.grb"} +FNAISC=${FNAISC:-"$FIX_AM/CFSR.SEAICE.1982.2012.monthly.clim.grb"} +FNTG3C=${FNTG3C:-"$FIX_AM/global_tg3clim.2.6x1.5.grb"} +FNVEGC=${FNVEGC:-"$FIX_AM/global_vegfrac.0.144.decpercent.grb"} +FNMSKH=${FNMSKH:-"$FIX_AM/seaice_newland.grb"} +FNVMNC=${FNVMNC:-"$FIX_AM/global_shdmin.0.144x0.144.grb"} +FNVMXC=${FNVMXC:-"$FIX_AM/global_shdmax.0.144x0.144.grb"} +FNSLPC=${FNSLPC:-"$FIX_AM/global_slope.1x1.grb"} +FNALBC=${FNALBC:-"$FIX_AM/global_snowfree_albedo.bosu.t${JCAP}.${LONB}.${LATB}.rg.grb"} +FNVETC=${FNVETC:-"$FIX_AM/global_vegtype.igbp.t${JCAP}.${LONB}.${LATB}.rg.grb"} +FNSOTC=${FNSOTC:-"$FIX_AM/global_soiltype.statsgo.t${JCAP}.${LONB}.${LATB}.rg.grb"} +FNABSC=${FNABSC:-"$FIX_AM/global_mxsnoalb.uariz.t${JCAP}.${LONB}.${LATB}.rg.grb"} +FNSMCC=${FNSMCC:-"$FIX_AM/global_soilmgldas.t${JCAP}.${LONB}.${LATB}.grb"} + +# If the appropriate resolution fix file is not present, use the highest resolution available (T1534) +[[ ! -f $FNALBC ]] && FNALBC="$FIX_AM/global_snowfree_albedo.bosu.t1534.3072.1536.rg.grb" +[[ ! -f $FNVETC ]] && FNVETC="$FIX_AM/global_vegtype.igbp.t1534.3072.1536.rg.grb" +[[ ! -f $FNSOTC ]] && FNSOTC="$FIX_AM/global_soiltype.statsgo.t1534.3072.1536.rg.grb" +[[ ! -f $FNABSC ]] && FNABSC="$FIX_AM/global_mxsnoalb.uariz.t1534.3072.1536.rg.grb" +[[ ! -f $FNSMCC ]] && FNSMCC="$FIX_AM/global_soilmgldas.t1534.3072.1536.grb" + +# NSST Options +# nstf_name contains the NSST related parameters +# nstf_name(1) : 0 = NSSTM off, 1 = NSSTM on but uncoupled, 2 = NSSTM on and coupled +# nstf_name(2) : 0 = NSSTM spin up off, 1 = NSSTM spin up on, +# nstf_name(3) : 0 = NSSTM analysis off, 1 = NSST analysis on +# nstf_name(4) : zsea1 in mm +# nstf_name(5) : zsea2 in mm +# nst_anl : .true. or .false., NSST analysis over lake +nstf_name=${nstf_name:-"0,0,0,0,0"} +nst_anl=${nst_anl:-".false."} + + +# blocking factor used for threading and general physics performance +#nyblocks=`expr \( $npy - 1 \) \/ $layout_y ` +#nxblocks=`expr \( $npx - 1 \) \/ $layout_x \/ 32` +#if [ $nxblocks -le 0 ]; then nxblocks=1 ; fi +blocksize=${blocksize:-32} + +# the pre-conditioning of the solution +# =0 implies no pre-conditioning +# >0 means new adiabatic pre-conditioning +# <0 means older adiabatic pre-conditioning +na_init=${na_init:-1} +[[ $warm_start = ".true." ]] && na_init=0 + +# variables for controlling initialization of NCEP/NGGPS ICs +filtered_terrain=${filtered_terrain:-".true."} +gfs_dwinds=${gfs_dwinds:-".true."} + +# various debug options +no_dycore=${no_dycore:-".false."} +dycore_only=${adiabatic:-".false."} +chksum_debug=${chksum_debug:-".false."} +print_freq=${print_freq:-6} + +if [ ${TYPE} = "nh" ]; then # non-hydrostatic options + + hydrostatic=".false." + phys_hydrostatic=".false." # enable heating in hydrostatic balance in non-hydrostatic simulation + use_hydro_pressure=".false." # use hydrostatic pressure for physics + if [ $warm_start = ".true." ]; then + make_nh=".false." # restarts contain non-hydrostatic state + else + make_nh=".true." # re-initialize non-hydrostatic state + fi + +else # hydrostatic options + + hydrostatic=".true." + phys_hydrostatic=".false." # ignored when hydrostatic = T + use_hydro_pressure=".false." # ignored when hydrostatic = T + make_nh=".false." # running in hydrostatic mode + +fi + +# Conserve total energy as heat globally +consv_te=${consv_te:-1.} # range 0.-1., 1. will restore energy to orig. val. before physics + +# time step parameters in FV3 +k_split=${k_split:-2} +n_split=${n_split:-6} + +if [ $(echo $MONO | cut -c-4) = "mono" ]; then # monotonic options + + d_con=${d_con_mono:-"0."} + do_vort_damp=".false." + if [ ${TYPE} = "nh" ]; then # non-hydrostatic + hord_mt=${hord_mt_nh_mono:-"10"} + hord_xx=${hord_xx_nh_mono:-"10"} + else # hydrostatic + hord_mt=${hord_mt_hydro_mono:-"10"} + hord_xx=${hord_xx_hydro_mono:-"10"} + fi + +else # non-monotonic options + + d_con=${d_con_nonmono:-"1."} + do_vort_damp=".true." + if [ ${TYPE} = "nh" ]; then # non-hydrostatic + hord_mt=${hord_mt_nh_nonmono:-"5"} + hord_xx=${hord_xx_nh_nonmono:-"5"} + else # hydrostatic + hord_mt=${hord_mt_hydro_nonmono:-"10"} + hord_xx=${hord_xx_hydro_nonmono:-"10"} + fi + +fi + +if [ $(echo $MONO | cut -c-4) != "mono" -a $TYPE = "nh" ]; then + vtdm4=${vtdm4_nh_nonmono:-"0.06"} +else + vtdm4=${vtdm4:-"0.05"} +fi + +if [ $warm_start = ".true." ]; then # warm start from restart file + + nggps_ic=".false." + ncep_ic=".false." + external_ic=".false." + mountain=".true." + if [ $read_increment = ".true." ]; then # add increment on the fly to the restarts + res_latlon_dynamics="fv3_increment.nc" + else + res_latlon_dynamics='""' + fi + +else # CHGRES'd GFS analyses + + nggps_ic=${nggps_ic:-".true."} + ncep_ic=${ncep_ic:-".false."} + external_ic=".true." + mountain=".false." + read_increment=".false." + res_latlon_dynamics='""' + +fi + +# Stochastic Physics Options +if [ ${SET_STP_SEED:-"YES"} = "YES" ]; then + ISEED_SKEB=$((CDATE*1000 + MEMBER*10 + 1)) + ISEED_SHUM=$((CDATE*1000 + MEMBER*10 + 2)) + ISEED_SPPT=$((CDATE*1000 + MEMBER*10 + 3)) +else + ISEED=${ISEED:-0} +fi +DO_SKEB=${DO_SKEB:-"NO"} +DO_SPPT=${DO_SPPT:-"NO"} +DO_SHUM=${DO_SHUM:-"NO"} +JCAP_STP=${JCAP_STP:-$JCAP_CASE} +LONB_STP=${LONB_STP:-$LONB_CASE} +LATB_STP=${LATB_STP:-$LATB_CASE} + +# build the date for curr_date and diag_table from CDATE +SYEAR=$(echo $CDATE | cut -c1-4) +SMONTH=$(echo $CDATE | cut -c5-6) +SDAY=$(echo $CDATE | cut -c7-8) +SHOUR=$(echo $CDATE | cut -c9-10) +curr_date="${SYEAR},${SMONTH},${SDAY},${SHOUR},0,0" +rsecs=$((restart_interval*3600)) +restart_secs=${rsecs:-0} + +# copy over the tables +DIAG_TABLE=${DIAG_TABLE:-$PARM_FV3DIAG/diag_table} +DATA_TABLE=${DATA_TABLE:-$PARM_FV3DIAG/data_table} +FIELD_TABLE=${FIELD_TABLE:-$PARM_FV3DIAG/field_table} + +# build the diag_table with the experiment name and date stamp +cat > diag_table << EOF +FV3 Forecast +$SYEAR $SMONTH $SDAY $SHOUR 0 0 +EOF +cat $DIAG_TABLE >> diag_table + +$NCP $DATA_TABLE data_table +$NCP $FIELD_TABLE field_table + +#------------------------------------------------------------------ +rm -f nems.configure +cat > nems.configure < model_configure < input.nml <> input.nml +if [ $MEMBER -gt 0 ]; then + + cat >> input.nml << EOF +&nam_stochy + ntrunc = $JCAP_STP + lon_s = $LONB_STP + lat_s = $LATB_STP +EOF + + if [ $DO_SKEB = "YES" ]; then + cat >> input.nml << EOF + skeb = $SKEB + iseed_skeb = ${ISEED_SKEB:-$ISEED} + skeb_tau = ${SKEB_TAU:-"-999."} + skeb_lscale = ${SKEB_LSCALE:-"-999."} + skebnorm = ${SKEBNORM:-"1"} +EOF + fi + + if [ $DO_SHUM = "YES" ]; then + cat >> input.nml << EOF + shum = $SHUM + iseed_shum = ${ISEED_SHUM:-$ISEED} + shum_tau = ${SHUM_TAU:-"-999."} + shum_lscale = ${SHUM_LSCALE:-"-999."} +EOF + fi + + if [ $DO_SPPT = "YES" ]; then + cat >> input.nml << EOF + sppt = $SPPT + iseed_sppt = ${ISEED_SPPT:-$ISEED} + sppt_tau = ${SPPT_TAU:-"-999."} + sppt_lscale = ${SPPT_LSCALE:-"-999."} + sppt_logit = ${SPPT_LOGIT:-".true."} + sppt_sfclimit = ${SPPT_SFCLIMIT:-".true."} +EOF + fi + + cat >> input.nml << EOF + $nam_stochy_nml +/ +EOF + +else + + cat >> input.nml << EOF +&nam_stochy +/ +EOF + +fi + +#------------------------------------------------------------------ +# setup the runtime environment and run the executable +cd $DATA +$NCP $FCSTEXECDIR/$FCSTEXEC $DATA/. +export OMP_NUM_THREADS=$NTHREADS_FV3 +$APRUN_FV3 $DATA/$FCSTEXEC 1>&1 2>&2 +export ERR=$? +export err=$ERR +$ERRSCRIPT || exit $err + +#------------------------------------------------------------------ +if [ $SEND = "YES" ]; then + # Copy model output files + cd $DATA + if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then + $NCP ${CDUMP}.t${chh}z.atm*.nemsio $memdir/. + $NCP ${CDUMP}.t${chh}z.sfc*.nemsio $memdir/. + else + for n in $(seq 1 $ntiles); do + for file in *.tile${n}.nc; do + $NCP $file $memdir/. + done + done + fi + + # Copy model restart files + cd $DATA/RESTART + mkdir -p $memdir/RESTART + + # Add time-stamp to restart files at FHMAX (this should be done inside the model) + RDATE=$($NDATE +$FHMAX $CDATE) + rymd=$(echo $RDATE | cut -c1-8) + rhh=$(echo $RDATE | cut -c9-10) + for file in $(ls * | grep -v 0000); do + $NMV $file ${rymd}.${rhh}0000.$file + done + + # Only save restarts at single time in RESTART directory + # Either at FHMAX or at first time in restart_interval + if [ $restart_interval -eq 0 ]; then + RDATE=$($NDATE +$FHMAX $CDATE) + else + RDATE=$($NDATE +$restart_interval $CDATE) + fi + rymd=$(echo $RDATE | cut -c1-8) + rhh=$(echo $RDATE | cut -c9-10) + for file in ${rymd}.${rhh}0000.* ; do + $NCP $file $memdir/RESTART/$file + done + +fi + +#------------------------------------------------------------------ +# Clean up before leaving +if [ $KEEPDATA = "NO" ]; then rm -rf $DATA; fi + +#------------------------------------------------------------------ +set +x +if [ $VERBOSE = "YES" ] ; then + echo $(date) EXITING $0 with return code $err >&2 +fi +exit 0 diff --git a/systems/rocoto_style_fv3gfs/_main.yaml b/systems/rocoto_style_fv3gfs/_main.yaml new file mode 100644 index 0000000..d54ad0c --- /dev/null +++ b/systems/rocoto_style_fv3gfs/_main.yaml @@ -0,0 +1,10 @@ +include: + - schedulers.yaml + - settings.yaml + - resources.yaml + - validator.yaml + - post_manager.yaml + - task_template.yaml + - dump_waiter.yaml + - make_next_cycles.yaml + - suite_def.yaml diff --git a/systems/rocoto_style_fv3gfs/begin_ecflow_workflow.sh b/systems/rocoto_style_fv3gfs/begin_ecflow_workflow.sh new file mode 100755 index 0000000..ad9b7f2 --- /dev/null +++ b/systems/rocoto_style_fv3gfs/begin_ecflow_workflow.sh @@ -0,0 +1,117 @@ +#! /bin/bash + +set -ue + +# Get the directory in which this script resides. We'll assume the +# yaml files are there: +dir0=$( dirname "$0" ) +here=$( cd "$dir0" ; pwd -P ) + +export WORKTOOLS_VERBOSE=NO + +# Make sure this directory is in the python path so we find worktools.py: +export PYTHONPATH=$here:${PYTHONPATH:+:$PYTHONPATH} + +# Parse arguments: +if [[ "$1" == "-v" ]] ; then + export WORKTOOLS_VERBOSE=YES + shift 1 +fi +export CONFIGDIR="$1" + +if [[ ! -d /usrx/local || -e /etc/redhat-release ]] ; then + echo "ERROR: This script only runs on WCOSS Cray" 1>&2 + exit 1 +fi + +if ( ! which ecflow_client > /dev/null 2>&1 ) ; then + echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." + exit 1 +fi + +if [[ "${ECF_ROOT:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_ROOT" + exit 1 +fi + +if [[ "${ECF_HOME:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_HOME. I suggest \$ECF_ROOT/submit" + exit 1 +fi + +if [[ "${ECF_PORT:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_PORT. See /usrx/local/sys/ecflow/assigned_ports.txt" + exit 1 +fi + +export ECF_HOME="${ECF_HOME:-$ECF_ROOT/submit}" + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + echo "begin_ecflow_workflow.sh: verbose mode" + export redirect=" " +else + export redirect="> /dev/null 2>&1" +fi + +echo "ecFlow server port: $ECF_PORT" +echo "ecFlow server root: $ECF_ROOT" +echo "ecFlow server home: $ECF_HOME" + +set +e +if ( ! which python3 > /dev/null 2>&1 || \ + ! python3 -c 'import yaml ; f{"1+1"}' > /dev/null 2>&1 ) ; then + python36=/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/python/3.6.1-emc/bin/python3.6 +else + python36="$( which python3 )" +fi +set -e + +tmpfile=${TMPDIR:-/tmp}/find-expdir.$RANDOM.$RANDOM.$$ + +make_yaml_files() { + # NOTE: Sourcing config.base clobbers the ecflow variables, so we + # must do it in a subshell. + set +uex + source "$CONFIGDIR"/config.base $redirect + set -ue + + if [[ "$FHMAX_GFS" != 240 ]] ; then + echo "ERROR: This script requires FHMAX_GFS = 240" 1>&2 + exit 1 + fi + + if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + set -x + fi + + $python36 -c "import worktools ; worktools.make_yaml_files('$here','$EXPDIR')" + + echo "$EXPDIR" > "$tmpfile" +} + +if ( ! ( make_yaml_files ) ) ; then + echo "Failed to make YAML files" + exit 1 +fi + +EXPDIR=$( cat "$tmpfile" ) +rm -f "$tmpfile" + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + set -x +fi + +/ecf/devutils/server_check.sh "$ECF_ROOT" "$ECF_PORT" $redirect || true + +if ( ! ecflow_client --ping $redirect ) ; then + echo "Could not connect to ecflow server. Aborting." + exit 1 +fi + +$python36 -c "import worktools ; worktools.create_and_begin_ecflow_workflow('$EXPDIR')" + + + + + + diff --git a/systems/rocoto_style_fv3gfs/dump_waiter.yaml b/systems/rocoto_style_fv3gfs/dump_waiter.yaml new file mode 100644 index 0000000..21feca3 --- /dev/null +++ b/systems/rocoto_style_fv3gfs/dump_waiter.yaml @@ -0,0 +1,37 @@ +dump_waiter: &dump_waiter_task !Task + <<: *exclusive_task_template + manual: | + The dump_waiter task is a special job needed to run this + workflow outside of the production suite. It handles the + external dependency on the dump and the associated + (approximate) time dependency. + In ecflow: + The dump_waiter is started when the cycle is allowed to + begin, and waits for the dump to be available. It sets + the "updated_status" event when the dump is available. + In Rocoto: + The dump_waiter is never run; it is defined so that the + updated_status data event can be used as a data dependency + in the prep job. + + Disable: !calc metasched.type=='rocoto' + + resources: !calc doc.resources.run_dump_waiter + + updated_status: !DataEvent + file: !expand >- + {doc.settings.DUMPDIR}/@Y@m@d@H/{up.CDUMP}/{up.CDUMP}.t@Hz.updated.status.tm00.bufr_d + + # The batch_job_command is dumped into the ecf file where the + # J-Job would normally be called. This job is never run by + # Rocoto, so Rocoto never uses this code block. + ecflow_command: !expand | + setpdy.sh + source ./PDY + WAITFILE=%DUMPDIR%/${{PDY}}%CYC%/{CDUMP}/{CDUMP}.t%CYC%z.updated.status.tm00.bufr_d + while [[ ! -s "$WAITFILE" ]] ; do + echo "$WAITFILE: nope" + sleep 37 + done + echo "$WAITFILE: yup" + ecflow_client --event updated_status diff --git a/systems/rocoto_style_fv3gfs/envir-p1.h b/systems/rocoto_style_fv3gfs/envir-p1.h new file mode 120000 index 0000000..81dc6f5 --- /dev/null +++ b/systems/rocoto_style_fv3gfs/envir-p1.h @@ -0,0 +1 @@ +/ecf/ecfnets/include/envir-p1.h \ No newline at end of file diff --git a/systems/rocoto_style_fv3gfs/envir-p2.h b/systems/rocoto_style_fv3gfs/envir-p2.h new file mode 120000 index 0000000..aaddd00 --- /dev/null +++ b/systems/rocoto_style_fv3gfs/envir-p2.h @@ -0,0 +1 @@ +/ecf/ecfnets/include/envir-p2.h \ No newline at end of file diff --git a/systems/rocoto_style_fv3gfs/envir-xc40.h b/systems/rocoto_style_fv3gfs/envir-xc40.h new file mode 120000 index 0000000..4109d97 --- /dev/null +++ b/systems/rocoto_style_fv3gfs/envir-xc40.h @@ -0,0 +1 @@ +/ecf/ecfnets/include/envir-xc40.h \ No newline at end of file diff --git a/systems/rocoto_style_fv3gfs/head.h b/systems/rocoto_style_fv3gfs/head.h new file mode 120000 index 0000000..46423de --- /dev/null +++ b/systems/rocoto_style_fv3gfs/head.h @@ -0,0 +1 @@ +/ecf/ecfnets/include/head.h \ No newline at end of file diff --git a/systems/rocoto_style_fv3gfs/make-rocoto-xml.py b/systems/rocoto_style_fv3gfs/make-rocoto-xml.py new file mode 100755 index 0000000..adf86c8 --- /dev/null +++ b/systems/rocoto_style_fv3gfs/make-rocoto-xml.py @@ -0,0 +1,18 @@ +#! /usr/bin/env python3 +f'This script requires Python 3.6 or newer.' + +import os, io, sys +from crow.metascheduler import to_rocoto +from crow.config import from_dir, Suite + +if len(sys.argv) != 2: + sys.stderr.write('Syntax: make-ecflow-suite.py PSLOT\n') + sys.stderr.write('PSLOT must match what you gave setup_expt.py\n') + sys.exit(1) + +conf=from_dir('.') +conf.sys_argv_1=sys.argv[1] +suite=Suite(conf.suite) +with open('workflow.xml','wt') as fd: + print('workflow.xml') + fd.write(to_rocoto(suite)) diff --git a/systems/rocoto_style_fv3gfs/make_next_cycles.yaml b/systems/rocoto_style_fv3gfs/make_next_cycles.yaml new file mode 100644 index 0000000..3904d0a --- /dev/null +++ b/systems/rocoto_style_fv3gfs/make_next_cycles.yaml @@ -0,0 +1,64 @@ +make_next_cycles: &make_next_cycles_task !Task + <<: *exclusive_task_template + manual: | + The make_next_cycles task generates and begins the suites for the + next few cycles in the workflow. This job is only used when running + in ecflow. + + Disable: !calc metasched.type=='rocoto' + + resources: !calc doc.resources.run_make_next_cycles + + Trigger: !Depend gdas + + CDUMP: gdas # useless but required + + # The batch_job_command is dumped into the ecf file where the + # J-Job would normally be called. This job is never run by + # Rocoto, so Rocoto never uses this code block. + ecflow_command: !expand | + export WORKFLOW_FIRST_CYCLE=%WORKFLOW_FIRST_CYCLE:1970010100% + export WORKFLOW_LAST_CYCLE=%WORKFLOW_LAST_CYCLE:ETERNITY% + export WORKFLOW_CYCLES_TO_GENERATE=%WORKFLOW_CYCLES_TO_GENERATE:5% + export WORKFLOW_CROW_HOME=%WORKFLOW_CROW_HOME% + export WORKFLOW_EXPDIR=%WORKFLOW_EXPDIR% + export ECF_HOME=%ECF_HOME% + export ECF_ROOT=%ECF_ROOT:X% + + if [[ "$ECF_ROOT" == X ]] ; then + export ECF_ROOT=$( cd "$ECF_HOME" ; cd .. ; pwd -P ) + fi + + export PDY=%PDY% + export CYC=%CYC% + export cycle=t%CYC%z + setpdy.sh + source ./PDY + + module load prod_util + module load ecflow + + set -uex + + # Decide the range of cycles to start + first_cycle=$( $NDATE +6 "%PDY%%CYC%" ) + + if [[ "${{WORKFLOW_LAST_CYCLE:-ETERNITY}}" != ETERNITY && + "$first_cycle" -gt "$WORKFLOW_LAST_CYCLE" ]] ; then + postmsg "Last cycle reached. Not starting any new cycles." + fi + + last_cycle=$first_cycle + for istart in $( seq 1 5 ) ; do + if [[ "${{WORKFLOW_LAST_CYCLE:-ETERNITY}}" != ETERNITY && + "$last_cycle" -gt "$WORKFLOW_LAST_CYCLE" ]] ; then + break + fi + last_cycle=$( $NDATE +6 "$last_cycle" ) + done + + cd "$WORKFLOW_CROW_HOME" + postmsg "Start cycles $first_cycle through $last_cycle." + ./update_ecflow_workflow.sh "$WORKFLOW_EXPDIR" "$first_cycle" "$last_cycle" + + diff --git a/systems/rocoto_style_fv3gfs/model_ver.h b/systems/rocoto_style_fv3gfs/model_ver.h new file mode 120000 index 0000000..06c8e27 --- /dev/null +++ b/systems/rocoto_style_fv3gfs/model_ver.h @@ -0,0 +1 @@ +/ecf/ecfnets/include/model_ver.h \ No newline at end of file diff --git a/systems/rocoto_style_fv3gfs/post_manager.yaml b/systems/rocoto_style_fv3gfs/post_manager.yaml new file mode 100644 index 0000000..ea867ac --- /dev/null +++ b/systems/rocoto_style_fv3gfs/post_manager.yaml @@ -0,0 +1,23 @@ +post_manager_job_contents: &post_manager_job_contents !expand | + fhrs='anl {tools.join(up.Dimensions.fhr," ")}' + CDATE=%PDY%%CYC% + for fid in $fhrs ; do + if [[ "$fid" == anl ]] ; then + fhr3=000 + event=release_postanl + else + fhr3=$( printf %%03d $fid ) + fhr2=$( printf %%02d $fid ) + event=release_post$fhr2 + fi + + file=%COM%/$CDUMP.%PDY%/%CYC%/$CDUMP.t%CYC%z.logf$fhr3.nemsio + + while [[ ! -s "$file" ]] ; do + echo "$file: nope" + sleep 33 + done + echo "$file: yup" + ecflow_client --event "$event" + done + echo "done" diff --git a/systems/rocoto_style_fv3gfs/remake_ecflow_files_for.sh b/systems/rocoto_style_fv3gfs/remake_ecflow_files_for.sh new file mode 100755 index 0000000..1122aeb --- /dev/null +++ b/systems/rocoto_style_fv3gfs/remake_ecflow_files_for.sh @@ -0,0 +1,123 @@ +#! /bin/bash + +set -ue + +# Get the directory in which this script resides. We'll assume the +# yaml files are there: +dir0=$( dirname "$0" ) +here=$( cd "$dir0" ; pwd -P ) + +export WORKTOOLS_VERBOSE=NO + +# Make sure this directory is in the python path so we find worktools.py: +export PYTHONPATH=$here:${PYTHONPATH:+:$PYTHONPATH} + +# Parse arguments: +if [[ "$1" == "-v" ]] ; then + export WORKTOOLS_VERBOSE=YES + shift 1 +fi +export CONFIGDIR="$1" +export FIRST_CYCLE="$2" +export LAST_CYCLE="$3" + +if [[ ! -d /usrx/local || -e /etc/redhat-release ]] ; then + echo "ERROR: This script only runs on WCOSS Cray" 1>&2 + exit 1 +fi + +if ( ! which ecflow_client > /dev/null 2>&1 ) ; then + echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." + exit 1 +fi + +if [[ "${ECF_ROOT:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_ROOT" + exit 1 +fi + +if [[ "${ECF_HOME:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_HOME. I suggest \$ECF_ROOT/submit" + exit 1 +fi + +if [[ "${ECF_PORT:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_PORT. See /usrx/local/sys/ecflow/assigned_ports.txt" + exit 1 +fi + +export ECF_HOME="${ECF_HOME:-$ECF_ROOT/submit}" + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + echo "remake_ecflow_files_for.sh: verbose mode" + export redirect=" " +else + export redirect="> /dev/null 2>&1" +fi + +echo "ecFlow server port: $ECF_PORT" +echo "ecFlow server root: $ECF_ROOT" +echo "ecFlow server home: $ECF_HOME" + +set +e +if ( ! which python3 > /dev/null 2>&1 || \ + ! python3 -c 'import yaml ; f{"1+1"}' > /dev/null 2>&1 ) ; then + python36=/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/python/3.6.1-emc/bin/python3.6 +else + python36="$( which python3 )" +fi +set -e + +tmpfile=${TMPDIR:-/tmp}/find-expdir.$RANDOM.$RANDOM.$$ + +make_yaml_files() { + # NOTE: Sourcing config.base clobbers the ecflow variables, so we + # must do it in a subshell. + set +uex + source "$CONFIGDIR"/config.base $redirect + set -ue + + if [[ "$FHMAX_GFS" != 240 ]] ; then + echo "ERROR: This script requires FHMAX_GFS = 240" 1>&2 + exit 1 + fi + + if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + set -x + fi + + $python36 -c "import worktools ; worktools.make_yaml_files('$here','$EXPDIR')" + + echo "$EXPDIR" > "$tmpfile" +} + +if ( ! ( make_yaml_files ) ) ; then + echo "Failed to make YAML files" + exit 1 +fi + +EXPDIR=$( cat "$tmpfile" ) +rm -f "$tmpfile" + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + echo "remake_ecflow_files_for.sh: EXPDIR=$EXPDIR" + set -x +fi + +/ecf/devutils/server_check.sh "$ECF_ROOT" "$ECF_PORT" $redirect || true + +if ( ! ecflow_client --ping $redirect ) ; then + echo "Could not connect to ecflow server. Aborting." + exit 1 +fi + +$python36 -c "import worktools ; worktools.remake_ecflow_files_for_cycles( + '$EXPDIR', + '$FIRST_CYCLE', + '$LAST_CYCLE')" + + + + + + diff --git a/systems/rocoto_style_fv3gfs/resources_C192_C192.yaml b/systems/rocoto_style_fv3gfs/resources_C192_C192.yaml new file mode 100644 index 0000000..66b8eae --- /dev/null +++ b/systems/rocoto_style_fv3gfs/resources_C192_C192.yaml @@ -0,0 +1,190 @@ +resources: + + # From if[[...ecen]] block in config.resources: + run_ecen: !JobRequest + - memory: "254M" + walltime: !timedelta "00:10:00" + mpi_ranks: 84 + exe: placeholder + # max_ppn comes from THEIA.env: 84/12 = 7 + max_ppn: 12 + OMP_NUM_THREADS: 2 + + run_chgres: !JobRequest + - exe: time + OMP_NUM_THREADS: 12 + args: + - placeholder + + run_nothing: !JobRequest # Special placeholder for "do nothing" + - memory: "300M" + exe: placeholder + walltime: !timedelta "00:02:00" + exclusive: false + + run_dump_waiter: !JobRequest + - memory: "300M" + exe: placeholder + walltime: !FirstTrue + - when: !calc doc.settings.realtime + do: !timedelta "01:00:00" + - otherwise: !timedelta "00:05:00" + + run_make_next_cycles: !JobRequest + - memory: "300M" + exe: placeholder + walltime: !timedelta "00:15:00" + + run_eobs: !JobRequest + - memory: "3072M" + walltime: !timedelta "00:15:00" + mpi_ranks: !calc 12*6 + exe: placeholder + max_ppn: 6 + OMP_NUM_THREADS: 4 + + run_eomg: !JobRequest + - memory: "3072M" + walltime: !timedelta "01:20:00" + mpi_ranks: !calc 6*12 + exe: placeholder + max_ppn: 6 + OMP_NUM_THREADS: 2 + + run_eupd: !JobRequest + - memory: "3072M" + walltime: !timedelta "00:15:00" + mpi_ranks: !calc 10*12 + exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 2 + +# run_enkf: !JobRequest +# pi_ranks: !calc 10*12 +# resources: !JobRequest +# - exe: placeholder +# max_ppn: 12 +# OMP_NUM_THREADS: 4 + + run_efcs: !JobRequest + - walltime: !timedelta "00:45:00" + mpi_ranks: !calc >- + doc.settings.layout_x*doc.settings.layout_y*6 + + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP + max_ppn: 12 + memory: "254M" + + run_epos: !JobRequest + - memory: "254M" + mpi_ranks: 84 + walltime: !timedelta "00:10:00" + exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 2 + + run_prep: !JobRequest + - memory: "3072M" + walltime: !timedelta "00:15:00" + mpi_ranks: 12 + exe: placeholder + + run_anal: !JobRequest + - memory: "3072M" + mpi_ranks: 144 + walltime: !timedelta "0:40:00" + exe: placeholder + max_ppn: 6 + +# run_gsi: !JobRequest +# pi_ranks: !calc 24*6 +# resources: !JobRequest +# - exe: placeholder +# max_ppn: 6 +# OMP_NUM_THREADS: 4 + + run_gdasfcst: !JobRequest + - mpi_ranks: !calc >- + doc.settings.layout_x*doc.settings.layout_y*6 + + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP + walltime: !timedelta "00:10:00" + max_ppn: 12 + memory: "1024M" + + run_gdas_post_manager: !JobRequest + - memory: "300M" + exe: placeholder + walltime: !calc >- + doc.resources.run_gdasfcst[0].walltime + tools.to_timedelta('00:15:00') + + run_gfsfcst: !JobRequest + - mpi_ranks: !calc >- + doc.settings.layout_x*doc.settings.layout_y*6 + + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP + walltime: !timedelta "00:45:00" + max_ppn: 12 + memory: "1024M" + + run_gfs_post_manager: !JobRequest + - memory: "300M" + exe: placeholder + walltime: !calc >- + doc.resources.run_gfsfcst[0].walltime + tools.to_timedelta('00:15:00') + + run_gdaspost: !JobRequest + - memory: "3072M" + mpi_ranks: 72 + walltime: !timedelta "00:10:00" + exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 1 + + run_gfspost: !JobRequest + - memory: "3072M" + mpi_ranks: 72 + walltime: !timedelta "00:10:00" + exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 1 + + run_gfsvrfy: !JobRequest + - memory: "3072M" + mpi_ranks: 1 + walltime: !timedelta "02:00:00" + exe: placeholder + max_ppn: 1 + + run_gdasvrfy: !JobRequest + - memory: "3072M" + mpi_ranks: 1 + walltime: !timedelta "02:00:00" + exe: placeholder + max_ppn: 1 + + run_arch: !JobRequest + - memory: "3072M" + exclusive: false + mpi_ranks: 1 + walltime: !timedelta "01:00:00" + exe: placeholder + max_ppn: 1 + OMP_NUM_THREADS: 2 + + run_final: !JobRequest + - memory: "2M" + mpi_ranks: 1 + walltime: !timedelta "00:01:00" + exe: placeholder + max_ppn: 1 + OMP_NUM_THREADS: 2 + + run_earc: !JobRequest + - memory: "3072M" + mpi_ranks: 1 + walltime: !timedelta "01:00:00" + exe: placeholder + max_ppn: 1 + + run_fv3ic: !JobRequest + - memory: "3072M" + mpi_ranks: 24 + exe: placeholder diff --git a/systems/rocoto_style_fv3gfs/resources_C768_C384.yaml b/systems/rocoto_style_fv3gfs/resources_C768_C384.yaml new file mode 100644 index 0000000..121b4bc --- /dev/null +++ b/systems/rocoto_style_fv3gfs/resources_C768_C384.yaml @@ -0,0 +1,161 @@ +resources: + + run_test: !JobRequest + - exe: nothing + mpi_ranks: 1 + + # From if[[...ecen]] block in config.resources: + run_ecen: !JobRequest + - memory: "3072M" + walltime: 00:02:00 + mpi_ranks: 80 + exe: placeholder + # max_ppn comes from THEIA.env: 84/12 = 7 + max_ppn: 4 + OMP_NUM_THREADS: 2 + + run_chgres: !JobRequest + - exe: time + OMP_NUM_THREADS: 12 + args: + - placeholder + + run_nothing: !JobRequest # Special placeholder for "do nothing" + - memory: "300M" + walltime: 00:05:00 + exe: nothing + + run_eobs: !JobRequest + - memory: "3072M" + walltime: 00:02:00 + mpi_ranks: !calc 12*6 + exe: placeholder + max_ppn: 6 + OMP_NUM_THREADS: 4 + + run_eomg: !JobRequest + - memory: "3072M" + walltime: 00:02:00 + mpi_ranks: !calc 6*12 + exe: placeholder + max_ppn: 6 + OMP_NUM_THREADS: 2 + + run_eupd: !JobRequest + - memory: "3072M" + walltime: 00:02:00 + mpi_ranks: !calc 20*12 + exe: placeholder + max_ppn: 6 + OMP_NUM_THREADS: 2 + +# run_enkf: !JobRequest +# pi_ranks: !calc 10*12 +# resources: !JobRequest +# - exe: placeholder +# max_ppn: 12 +# OMP_NUM_THREADS: 4 + + run_efcs: !JobRequest + - walltime: 00:02:00 + mpi_ranks: !calc "doc.settings.layout_x*doc.settings.layout_y*6" + max_ppn: 12 + memory: "254M" + + run_epos: !JobRequest + - memory: "254M" + mpi_ranks: 80 + walltime: 00:02:00 + exe: placeholder + max_ppn: 4 + OMP_NUM_THREADS: 2 + + run_prep: !JobRequest + - memory: "3072M" + walltime: 00:02:00 + mpi_ranks: 6 + exe: placeholder + max_ppn: 2 + OMP_NUM_THREADS: max + + run_anal: !JobRequest + - memory: "3072M" + mpi_ranks: 360 + walltime: 00:02:00 + exe: placeholder + max_ppn: 6 + OMP_NUM_THREADS: 2 + +# run_gsi: !JobRequest +# pi_ranks: !calc 24*6 +# resources: !JobRequest +# - exe: placeholder +# max_ppn: 6 +# OMP_NUM_THREADS: 4 + + run_gdasfcst: !JobRequest + - mpi_ranks: !calc "doc.settings.layout_x*doc.settings.layout_y*6" + walltime: 00:02:00 + max_ppn: 12 + memory: "1024M" + + run_gfsfcst: !JobRequest + - mpi_ranks: !calc "doc.settings.layout_x*doc.settings.layout_y*6" + walltime: 00:02:00 + max_ppn: 12 + memory: "1024M" + + run_gdaspost: !JobRequest + - memory: "3072M" + mpi_ranks: 72 + walltime: 00:02:00 + exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 1 + + run_gfspost: !JobRequest + - memory: "3072M" + mpi_ranks: 72 + walltime: 00:02:00 + exe: placeholder + max_ppn: 12 + OMP_NUM_THREADS: 1 + + run_vrfy: !JobRequest + - memory: "3072M" + mpi_ranks: 1 + walltime: 00:02:00 + exe: placeholder + max_ppn: 1 + OMP_NUM_THREADS: 2 + + run_arch: !JobRequest + - memory: "3072M" + exclusive: false + mpi_ranks: 1 + walltime: 00:02:00 + exe: placeholder + max_ppn: 1 + OMP_NUM_THREADS: 2 + + run_final: !JobRequest + - memory: "2M" + mpi_ranks: 1 + walltime: 00:01:00 + exe: placeholder + max_ppn: 1 + OMP_NUM_THREADS: 2 + +# run_earc: !JobRequest +# emory: "3072M" +# mpi_ranks: 1 +# walltime: 00:15:00 +# resources: !JobRequest +# - exe: placeholder +# max_ppn: 1 +# OMP_NUM_THREADS: 2 + + run_fv3ic: !JobRequest + - memory: "3072M" + mpi_ranks: 24 + exe: placeholder diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.anal b/systems/rocoto_style_fv3gfs/sample-config/config.anal new file mode 100755 index 0000000..49ae4dd --- /dev/null +++ b/systems/rocoto_style_fv3gfs/sample-config/config.anal @@ -0,0 +1,34 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.anal ########## +# Analysis specific + +echo "BEGIN: config.anal" + +# Get task specific resources +. $EXPDIR/config.resources anal + +if [ $DONST = "YES" ]; then + . $EXPDIR/config.nsst +fi + +if [[ "$CDUMP" = "gfs" ]] ; then + #export USE_RADSTAT="NO" # This can be only used when bias correction is not-zero. + export GENDIAG="NO" +fi + +export ANALYSISSH="$HOMEgsi/scripts/exglobal_analysis_fv3gfs.sh.ecf" + +export npe_gsi=$npe_anal +export nth_gsi=4 + +export nth_cycle=12 + +echo "END: config.anal" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.arch b/systems/rocoto_style_fv3gfs/sample-config/config.arch new file mode 100755 index 0000000..706f887 --- /dev/null +++ b/systems/rocoto_style_fv3gfs/sample-config/config.arch @@ -0,0 +1,25 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.arch ########## +# Archive specific + +echo "BEGIN: config.arch" + +# Get task specific resources +. $EXPDIR/config.resources arch + +#--online archive of nemsio files for fit2obs verification +export FITSARC="NO" + +#--starting and ending hours of previous cycles to be removed from rotating directory +export RMOLDSTD=144 +export RMOLDEND=24 + +echo "END: config.arch" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.base b/systems/rocoto_style_fv3gfs/sample-config/config.base new file mode 100644 index 0000000..9cc679a --- /dev/null +++ b/systems/rocoto_style_fv3gfs/sample-config/config.base @@ -0,0 +1,252 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.base ########## +# Common to all steps + +echo "BEGIN: config.base" + +# Machine environment +export machine="WCOSS_C" + +# EMC parallel or NCO production +export RUN_ENVIR="emc" +export NET=gfs +export RUN=$CDUMP + +# Account, queue, etc. +if [ $machine = "THEIA" ]; then + + export ACCOUNT="fv3-cpu" + export QUEUE="batch" + export QUEUE_ARCH="service" + +elif [ $machine = "WCOSS_C" ]; then + + export ACCOUNT="FV3GFS-T2O" + export QUEUE="dev" + export QUEUE_ARCH="dev_transfer" + +fi + +# Project to use in mass store: +HPSS_PROJECT=emc-global + +# Directories relative to installation areas: +export HOMEgfs=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.v15.0.0 +export BASE_MODULES="$HOMEgfs/modulefiles" +export PARMgfs=$HOMEgfs/parm +export FIXgfs=$HOMEgfs/fix +export USHgfs=$HOMEgfs/ush +export EXECgfs=$HOMEgfs/exec + +######################################################################## + +# --- Load modules --- + +# Theia workaround. Reduce stack soft limit while running "module" to +# avoid runaway memory allocation: +ulimit_s=$( ulimit -S -s ) +ulimit -S -s 10000 + +# Find module command and purge: +source "$BASE_MODULES/module-setup.sh.inc" 2> /dev/null + +# Load our module: +module use "$BASE_MODULES" 2> /dev/null +module load module_base.$( echo $machine | tr A-Z a-z ) 2> /dev/null + +# Restore stack soft limit: +ulimit -S -s "$ulimit_s" +unset ulimit_s + +for exetest in hsi htar ; do + if ( ! which $exetest ) ; then + echo "$exetest: executable missing after \"module load\"" 1>&2 + exit 2 + fi +done + +######################################################################## + +# GLOBAL static environment parameters +if [ $machine = "THEIA" ]; then + + export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" + export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" + export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" + +elif [ $machine = "WCOSS_C" ]; then + + export NWPROD="/gpfs/hps/nco/ops/nwprod" + if [ -d /gpfs/tp1 ]; then + export SITE="LUNA" + export DMPDIR="/gpfs/tp1/emc/globaldump" + elif [ -d /gpfs/gp1 ]; then + export SITE="SURGE" + export DMPDIR="/gpfs/gp1/emc/globaldump" + fi + export RTMFIX=$CRTM_FIX + +fi + + +# Machine specific paths used everywhere +if [ $machine = "THEIA" ]; then + + # USER specific paths + export HOMEDIR="/scratch4/NCEPDEV/global/save/$USER" + export STMP="/scratch4/NCEPDEV/stmp3/$USER" + export PTMP="/scratch4/NCEPDEV/stmp4/$USER" + export NOSCRUB="/scratch4/NCEPDEV/global/noscrub/$USER" + + # Base directories for various builds + export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" + +elif [ $machine = "WCOSS_C" ]; then + + # USER specific paths + export HOMEDIR="/gpfs/hps3/emc/global/noscrub/$USER" + export STMP="/gpfs/hps2/stmp/$USER" + export PTMP="/gpfs/hps2/ptmp/$USER" + export NOSCRUB="/gpfs/hps3/emc/global/noscrub/$USER" + + # Base directories for various builds + export BASE_SVN="/gpfs/hps3/emc/global/noscrub/emc.glopara/svn" + +fi + +# Utilities needed in the scripts (mostly post) +if [ $machine = "THEIA" ]; then + + export NDATE="$NWPROD/util/exec/ndate" + export NHOUR="$NWPROD/util/exec/nhour" + export WGRIB="$NWPROD/util/exec/wgrib" + export WGRIB2="/scratch3/NCEPDEV/nwprod/utils/wgrib2.v2.0.6c/wgrib2/wgrib2" + export COPYGB="$NWPROD/util/exec/copygb" + export COPYGB2="$NWPROD/util/exec/copygb2" + export GRBINDEX="$NWPROD/util/exec/grbindex" + export GRB2INDEX="$NWPROD/util/exec/grb2index" + export GRBINDEX2="$NWPROD/util/exec/grb2index" + export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" + export CNVGRIB21_GFS=/apps/cnvgrib/1.4.0/bin/cnvgrib + export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" + +fi + +# ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ + +#################################################### +# DO NOT ADD MACHINE DEPENDENT STUFF BELOW THIS LINE +# IF YOU HAVE TO MAKE MACHINE SPECIFIC CHANGES BELOW +# FEEL FREE TO MOVE THEM ABOVE THIS LINE TO KEEP IT +# CLEAR +#################################################### +# Build paths relative to $HOMEgfs +export HOMEgsi="$HOMEgfs/sorc/gsi.fd" +export HOMEfv3gfs="$HOMEgfs/sorc/fv3gfs.fd" +export HOMEpost="$HOMEgfs" +export BASE_PREP="$BASE_SVN/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="$BASE_SVN/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_VERIF="$BASE_SVN/verif/global/tags/vsdb" + +#--will be removed after gsi reppo is updated +export BASE_GSM=$HOMEgfs +export BASE_GSI=$HOMEgsi + + +# CONVENIENT utility scripts and other environment parameters +export NCP="/bin/cp -p" +export NMV="/bin/mv" +export NLN="/bin/ln -sf" +export VERBOSE="YES" +export KEEPDATA="NO" +export NCO_NAMING_CONV="YES" +export CHGRP_CMD="chgrp rstprod" +export NEMSIOGET="$HOMEgfs/exec/nemsio_get" + +# Machine environment, jobs, and other utility scripts +export BASE_ENV="$HOMEgfs/env" +export BASE_JOB="$HOMEgfs/jobs/rocoto" + +# EXPERIMENT specific environment parameters +export SDATE=2018010500 +export EDATE=2018010506 +export assim_freq=6 +export PSLOT="crowmaster192" +export EXPDIR="/gpfs/hps3/emc/global/noscrub/$USER/$PSLOT" +export ROTDIR="/gpfs/hps2/ptmp/$USER/ROTDIRS_CROW/$PSLOT" +export RUNDIR="$STMP/RUNDIRS/$PSLOT" +export ARCDIR="$NOSCRUB/archive/$PSLOT" +export ATARDIR="/NCEPDEV/$HPSS_PROJECT/1year/$USER/$machine/scratch/$PSLOT" +export jlogfile="${RUNDIR}/jlogfile" + +# Resolution specific parameters +export LEVS=65 +export CASE="C192" +export CASE_ENKF="C192" + +# Surface cycle update frequency +export FHCYC=24 + +# Output frequency of the forecast model (for cycling) +export FHMIN=0 +export FHMAX=9 +export FHOUT=3 + +# GFS cycle info +export gfs_cyc=4 # 0: no GFS cycle, 1: 00Z only, 2: 00Z and 12Z only, 4: all 4 cycles. + +# GFS output and frequency +export FHMIN_GFS=0 +export FHMAX_GFS=240 +export FHOUT_GFS=6 +export FHMAX_HF_GFS=0 +export FHOUT_HF_GFS=1 + +# I/O QUILTING, true--use Write Component; false--use GFDL FMS +# if quilting=true, choose OUTPUT_GRID as cubed_sphere_grid in netcdf or gaussian_grid +# if gaussian_grid, set OUTPUT_FILE for nemsio or netcdf +export QUILTING=".true." +export OUTPUT_GRID="gaussian_grid" +export OUTPUT_FILE="nemsio" + +# Microphysics Options: 99-ZhaoCarr, 8-Thompson; 6-WSM6, 10-MG, 11-GFDL +export imp_physics=99 + +# Shared parameters +# Hybrid related +export DOHYBVAR="YES" +export NMEM_ENKF=20 +export SMOOTH_ENKF="YES" +export l4densvar=".false." +export lwrite4danl=".false." + +# EnKF output frequency +if [ $DOHYBVAR = "YES" ]; then + export FHMIN_ENKF=3 + export FHMAX_ENKF=9 + if [ $l4densvar = ".true." ]; then + export FHOUT=1 + export FHOUT_ENKF=1 + else + export FHOUT_ENKF=3 + fi +fi + +# Relocation related +export DO_RELOCATE="NO" + +# If YES, NSST is turned on in anal and/or fcst steps +export DONST="NO" + +# The switch to apply SST elevation correction or not +export nst_anl=.true. + +echo "END: config.base" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.base.default b/systems/rocoto_style_fv3gfs/sample-config/config.base.default new file mode 100755 index 0000000..d14f0a3 --- /dev/null +++ b/systems/rocoto_style_fv3gfs/sample-config/config.base.default @@ -0,0 +1,253 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.base ########## +# Common to all steps + +echo "BEGIN: config.base" + +# Machine environment +export machine="@MACHINE@" + +# EMC parallel or NCO production +export RUN_ENVIR="emc" +export NET=gfs +export RUN=$CDUMP + +# Account, queue, etc. +if [ $machine = "THEIA" ]; then + + export ACCOUNT="fv3-cpu" + export QUEUE="batch" + export QUEUE_ARCH="service" + +elif [ $machine = "WCOSS_C" ]; then + + export ACCOUNT="FV3GFS-T2O" + export QUEUE="dev" + export QUEUE_ARCH="dev_transfer" + +fi + +# Project to use in mass store: +HPSS_PROJECT=emc-global + +# Directories relative to installation areas: +export HOMEgfs=@HOMEgfs@ +export BASE_MODULES="$HOMEgfs/modulefiles" +export PARMgfs=$HOMEgfs/parm +export FIXgfs=$HOMEgfs/fix +export USHgfs=$HOMEgfs/ush +export EXECgfs=$HOMEgfs/exec + +######################################################################## + +# --- Load modules --- + +# Theia workaround. Reduce stack soft limit while running "module" to +# avoid runaway memory allocation: +ulimit_s=$( ulimit -S -s ) +ulimit -S -s 10000 + +# Find module command and purge: +source "$BASE_MODULES/module-setup.sh.inc" 2> /dev/null + +# Load our module: +module use "$BASE_MODULES" 2> /dev/null +module load module_base.$( echo $machine | tr A-Z a-z ) 2> /dev/null + +# Restore stack soft limit: +ulimit -S -s "$ulimit_s" +unset ulimit_s + +for exetest in hsi htar ; do + if ( ! which $exetest ) ; then + echo "$exetest: executable missing after \"module load\"" 1>&2 + exit 2 + fi +done + +######################################################################## + +# GLOBAL static environment parameters +if [ $machine = "THEIA" ]; then + + export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" + export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" + export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" + +elif [ $machine = "WCOSS_C" ]; then + + export NWPROD="/gpfs/hps/nco/ops/nwprod" + if [ -d /gpfs/tp1 ]; then + export SITE="LUNA" + export DMPDIR="/gpfs/tp1/emc/globaldump" + elif [ -d /gpfs/gp1 ]; then + export SITE="SURGE" + export DMPDIR="/gpfs/gp1/emc/globaldump" + fi + export RTMFIX=$CRTM_FIX + +fi + + +# Machine specific paths used everywhere +if [ $machine = "THEIA" ]; then + + # USER specific paths + export HOMEDIR="/scratch4/NCEPDEV/global/save/$USER" + export STMP="/scratch4/NCEPDEV/stmp3/$USER" + export PTMP="/scratch4/NCEPDEV/stmp4/$USER" + export NOSCRUB="/scratch4/NCEPDEV/global/noscrub/$USER" + + # Base directories for various builds + export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" + +elif [ $machine = "WCOSS_C" ]; then + + # USER specific paths + export HOMEDIR="/gpfs/hps3/emc/global/noscrub/$USER" + export STMP="/gpfs/hps2/stmp/$USER" + export PTMP="/gpfs/hps2/ptmp/$USER" + export NOSCRUB="/gpfs/hps3/emc/global/noscrub/$USER" + + # Base directories for various builds + export BASE_SVN="/gpfs/hps3/emc/global/noscrub/emc.glopara/svn" + +fi + +# Utilities needed in the scripts (mostly post) +if [ $machine = "THEIA" ]; then + + export NDATE="$NWPROD/util/exec/ndate" + export NHOUR="$NWPROD/util/exec/nhour" + export WGRIB="$NWPROD/util/exec/wgrib" + export WGRIB2="/scratch3/NCEPDEV/nwprod/utils/wgrib2.v2.0.6c/wgrib2/wgrib2" + export COPYGB="$NWPROD/util/exec/copygb" + export COPYGB2="$NWPROD/util/exec/copygb2" + export GRBINDEX="$NWPROD/util/exec/grbindex" + export GRB2INDEX="$NWPROD/util/exec/grb2index" + export GRBINDEX2="$NWPROD/util/exec/grb2index" + export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" + export CNVGRIB21_GFS=/apps/cnvgrib/1.4.0/bin/cnvgrib + export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" + +fi + +# ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ + +#################################################### +# DO NOT ADD MACHINE DEPENDENT STUFF BELOW THIS LINE +# IF YOU HAVE TO MAKE MACHINE SPECIFIC CHANGES BELOW +# FEEL FREE TO MOVE THEM ABOVE THIS LINE TO KEEP IT +# CLEAR +#################################################### +# Build paths relative to $HOMEgfs +export HOMEgsi="$HOMEgfs/sorc/gsi.fd" +export HOMEfv3gfs="$HOMEgfs/sorc/fv3gfs.fd" +export HOMEpost="$HOMEgfs" +export BASE_PREP="$BASE_SVN/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="$BASE_SVN/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_VERIF="$BASE_SVN/verif/global/tags/vsdb" + +#--will be removed after gsi reppo is updated +export BASE_GSM=$HOMEgfs +export BASE_GSI=$HOMEgsi + + +# CONVENIENT utility scripts and other environment parameters +export NCP="/bin/cp -p" +export NMV="/bin/mv" +export NLN="/bin/ln -sf" +export VERBOSE="YES" +export KEEPDATA="NO" +export NCO_NAMING_CONV="YES" +export CHGRP_CMD="chgrp rstprod" +export NEMSIOGET="$HOMEgfs/exec/nemsio_get" + +# Machine environment, jobs, and other utility scripts +export BASE_ENV="$HOMEgfs/env" +export BASE_JOB="$HOMEgfs/jobs/rocoto" + +# EXPERIMENT specific environment parameters +export SDATE=@SDATE@ +export EDATE=@EDATE@ +export assim_freq=6 +export PSLOT="@PSLOT@" +export EXPDIR="@EXPDIR@/$PSLOT" +export ROTDIR="@ROTDIR@/$PSLOT" +export RUNDIR="$STMP/RUNDIRS/$PSLOT" +export ARCDIR="$NOSCRUB/archive/$PSLOT" +export ICSDIR="@ICSDIR@" +export ATARDIR="/NCEPDEV/$HPSS_PROJECT/1year/$USER/$machine/scratch/$PSLOT" +export jlogfile="${RUNDIR}/jlogfile" + +# Resolution specific parameters +export LEVS=65 +export CASE="@CASECTL@" +export CASE_ENKF="@CASEENS@" + +# Surface cycle update frequency +export FHCYC=24 + +# Output frequency of the forecast model (for cycling) +export FHMIN=0 +export FHMAX=9 +export FHOUT=3 + +# GFS cycle info +export gfs_cyc=@gfs_cyc@ # 0: no GFS cycle, 1: 00Z only, 2: 00Z and 12Z only, 4: all 4 cycles. + +# GFS output and frequency +export FHMIN_GFS=0 +export FHMAX_GFS=24 +export FHOUT_GFS=6 +export FHMAX_HF_GFS=0 +export FHOUT_HF_GFS=1 + +# I/O QUILTING, true--use Write Component; false--use GFDL FMS +# if quilting=true, choose OUTPUT_GRID as cubed_sphere_grid in netcdf or gaussian_grid +# if gaussian_grid, set OUTPUT_FILE for nemsio or netcdf +export QUILTING=".true." +export OUTPUT_GRID="gaussian_grid" +export OUTPUT_FILE="nemsio" + +# Microphysics Options: 99-ZhaoCarr, 8-Thompson; 6-WSM6, 10-MG, 11-GFDL +export imp_physics=99 + +# Shared parameters +# Hybrid related +export DOHYBVAR="YES" +export NMEM_ENKF=@NMEM_ENKF@ +export SMOOTH_ENKF="YES" +export l4densvar=".false." +export lwrite4danl=".false." + +# EnKF output frequency +if [ $DOHYBVAR = "YES" ]; then + export FHMIN_ENKF=3 + export FHMAX_ENKF=9 + if [ $l4densvar = ".true." ]; then + export FHOUT=1 + export FHOUT_ENKF=1 + else + export FHOUT_ENKF=3 + fi +fi + +# Relocation related +export DO_RELOCATE="NO" + +# If YES, NSST is turned on in anal and/or fcst steps +export DONST="NO" + +# The switch to apply SST elevation correction or not +export nst_anl=.true. + +echo "END: config.base" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.base.nco b/systems/rocoto_style_fv3gfs/sample-config/config.base.nco new file mode 100755 index 0000000..6b95a75 --- /dev/null +++ b/systems/rocoto_style_fv3gfs/sample-config/config.base.nco @@ -0,0 +1,174 @@ +#!/bin/ksh +set -x + +export RUN_ENVIR="nco" +export machine="WCOSS_C" + +export ACCOUNT="GFS-OPS" +export QUEUE="prod" +export QUEUE_ARCH="dev_transfer" + +# Project to use in mass store: +HPSS_PROJECT=emc-global + +export gfs_ver=v15.0.0 +export HOMEgfs=$NWROOT/gfs.${gfs_ver} +export BASE_MODULES="$HOMEgfs/modulefiles" +export PARMgfs=$HOMEgfs/parm +export FIXgfs=$HOMEgfs/fix +export USHgfs=$HOMEgfs/ush +export EXECgfs=$HOMEgfs/exec + + +######################################################################## +# Theia workaround. Reduce stack soft limit while running "module" to +# avoid runaway memory allocation: +ulimit_s=$( ulimit -S -s ) +ulimit -S -s 10000 + +# Find module command and purge: +source "$BASE_MODULES/module-setup.sh.inc" 2> /dev/null + +# Load our module: +module use "$BASE_MODULES" 2> /dev/null +module load module_base.$( echo $machine | tr A-Z a-z ) 2> /dev/null + +# Restore stack soft limit: +ulimit -S -s "$ulimit_s" +unset ulimit_s + +for exetest in hsi htar ; do + if ( ! which $exetest ) ; then + echo "$exetest: executable missing after \"module load\"" 1>&2 + exit 2 + fi +done + +######################################################################## +# GLOBAL static environment parameters + +export NWPROD="/gpfs/hps/nco/ops/nwprod" +if [ -d /gpfs/tp1 ]; then + export SITE="LUNA" + export DMPDIR="/gpfs/tp1/emc/globaldump" +elif [ -d /gpfs/gp1 ]; then + export SITE="SURGE" + export DMPDIR="/gpfs/gp1/emc/globaldump" +fi +export RTMFIX=$CRTM_FIX + + +# Machine specific paths used everywhere + +# USER specific paths +export HOMEDIR="/gpfs/hps3/emc/global/noscrub/$USER" +export STMP="/gpfs/hps2/stmp/$USER" +export PTMP="/gpfs/hps2/ptmp/$USER" +export NOSCRUB="/gpfs/hps3/emc/global/noscrub/$USER" + +# Base directories for various builds +export BASE_SVN="/gpfs/hps3/emc/global/noscrub/emc.glopara/svn" + +# ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +# Build paths relative to $HOMEgfs +export HOMEgsi="$HOMEgfs/sorc/gsi.fd" +export HOMEfv3gfs="$HOMEgfs/sorc/fv3gfs.fd" +export HOMEpost="$HOMEgfs" +export BASE_PREP="$BASE_SVN/obsproc/releases/obsproc_prep_RB-4.0.0" +export BASE_PREP_GLOBAL="$BASE_SVN/obsproc/releases/obsproc_global_RB-3.0.0" +export BASE_VERIF="$BASE_SVN/verif/global/tags/vsdb" + +#--will be removed after gsi reppo is updated +export BASE_GSM=$HOMEgfs +export BASE_GSI=$HOMEgsi + + +# CONVENIENT utility scripts and other environment parameters +export NCP="/bin/cp -p" +export NMV="/bin/mv" +export NLN="/bin/ln -sf" +export VERBOSE="YES" +export KEEPDATA="NO" +export NCO_NAMING_CONV="YES" +export CHGRP_CMD="chgrp rstprod" +export NEMSIOGET="$HOMEgfs/exec/nemsio_get" + +# Machine environment, jobs, and other utility scripts +export BASE_ENV="$HOMEgfs/env" +export BASE_JOB="$HOMEgfs/jobs/rocoto" + +# EXPERIMENT specific environment parameters +export SDATE=2018020100 +export EDATE=2018020300 + +export assim_freq=6 +export PSLOT="nco_prod" +export EXPDIR="/gpfs/hps3/ptmp/$USER/$PSLOT" +export ROTDIR="$COMROOT/gfs/prod" +export RUNDIR="$STMP/$PSLOT" +export ARCDIR="$NOSCRUB/archive/$PSLOT" +export ICSDIR="$ICSDIR" +export ATARDIR="/NCEPDEV/$HPSS_PROJECT/1year/$USER/$machine/scratch/$PSLOT" + +# Resolution specific parameters +export LEVS=65 +export CASE=768 +export CASE_ENKF=384 + +# Surface cycle update frequency +export FHCYC=24 + +# Output frequency of the forecast model (for cycling) +export FHMIN=0 +export FHMAX=9 +export FHOUT=1 + +# GFS cycle info +export gfs_cyc=4 + +# GFS output and frequency +export FHMIN_GFS=0 +export FHMAX_GFS=384 +export FHOUT_GFS=3 +export FHMAX_HF_GFS=120 +export FHOUT_HF_GFS=1 + +# I/O QUILTING, true--use Write Component; false--use GFDL FMS +# if quilting=true, choose OUTPUT_GRID as cubed_sphere_grid in netcdf or gaussian_grid +# if gaussian_grid, set OUTPUT_FILE for nemsio or netcdf +export QUILTING=".true." +export OUTPUT_GRID="gaussian_grid" +export OUTPUT_FILE="nemsio" + +# Microphysics Options: 99-ZhaoCarr, 8-Thompson; 6-WSM6, 10-MG, 11-GFDL +export imp_physics=11 + +# Shared parameters +# Hybrid related +export DOHYBVAR="YES" +export NMEM_ENKF=80 +export SMOOTH_ENKF="YES" +export l4densvar=".true." +export lwrite4danl=".false." + +# EnKF output frequency +if [ $DOHYBVAR = "YES" ]; then + export FHMIN_ENKF=3 + export FHMAX_ENKF=9 + if [ $l4densvar = ".true." ]; then + export FHOUT=1 + export FHOUT_ENKF=1 + else + export FHOUT_ENKF=3 + fi +fi + +# Relocation related +export DO_RELOCATE="NO" + +# If YES, NSST is turned on in anal and/or fcst steps +export DONST="YES" + +# The switch to apply SST elevation correction or not +export nst_anl=.true. + diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.earc b/systems/rocoto_style_fv3gfs/sample-config/config.earc new file mode 100755 index 0000000..74690ec --- /dev/null +++ b/systems/rocoto_style_fv3gfs/sample-config/config.earc @@ -0,0 +1,22 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.earc ########## +# Ensemble archive specific + +echo "BEGIN: config.earc" + +# Get task specific resources +. $EXPDIR/config.resources earc + +export NMEM_EARCGRP=10 + +export EARC_CYC="00" # Archive ensemble restarts at EARC_CYC only; can be "00 06 12 18" + +echo "END: config.earc" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.ecen b/systems/rocoto_style_fv3gfs/sample-config/config.ecen new file mode 100755 index 0000000..a6d5940 --- /dev/null +++ b/systems/rocoto_style_fv3gfs/sample-config/config.ecen @@ -0,0 +1,24 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.ecen ########## +# Ensemble recentering specific + +echo "BEGIN: config.ecen" + +# Get task specific resources +. $EXPDIR/config.resources ecen + +export ENKFRECENSH="$HOMEgsi/scripts/EnKF/scripts_ncep/exglobal_enkf_recenter_fv3gfs.sh.ecf" +export nth_ecen=2 + +export CHGRESEXEC="$HOMEgfs/exec/chgres_recenter.exe" +export nth_chgres=12 + +echo "END: config.ecen" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.efcs b/systems/rocoto_style_fv3gfs/sample-config/config.efcs new file mode 100755 index 0000000..9f7c184 --- /dev/null +++ b/systems/rocoto_style_fv3gfs/sample-config/config.efcs @@ -0,0 +1,61 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.efcs ########## +# Ensemble forecast specific, dependency: config.fcst + +echo "BEGIN: config.efcs" + +# Source model specific information that is resolution dependent +. $EXPDIR/config.fv3 $CASE_ENKF + +# Get task specific resources +. $EXPDIR/config.resources efcs + +export npe_fv3=$npe_efcs +export nth_fv3=1 + +if [ $QUILTING = ".true." ]; then + export npe_fv3=$(echo " $npe_fv3 + $WRITE_GROUP * $WRTTASK_PER_GROUP" | bc) + export npe_efcs=$npe_fv3 +fi + +export ENKFFCSTSH="$HOMEgsi/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" +export NMEM_EFCSGRP=10 +export RERUN_EFCSGRP="NO" + +# Stochastic physics parameters (only for ensemble forecasts) +export DO_SKEB="NO" +export SKEB=-999. +export SKEB_TAU=21600. +export SKEB_LSCALE=500000. +export SKEBNORM=1 +export DO_SHUM="YES" +export SHUM=0.006 +export SHUM_TAU=21600. +export SHUM_LSCALE=500000. +export DO_SPPT="YES" +export SPPT=0.5 +export SPPT_TAU=21600. +export SPPT_LSCALE=500000. +export SPPT_LOGIT=".true." +export SPPT_SFCLIMIT=".true." + +if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then + export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_da" +else + export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_da_orig" +fi + +# FV3 model namelist parameters to over-ride +export restart_interval=6 +export k_split=1 # model is unstable with k_split=2, n_split=6 and stochastic physics +export n_split=12 # make the model stable with k_split=1, n_split=12 + +echo "END: config.efcs" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.eobs b/systems/rocoto_style_fv3gfs/sample-config/config.eobs new file mode 100755 index 0000000..910bffe --- /dev/null +++ b/systems/rocoto_style_fv3gfs/sample-config/config.eobs @@ -0,0 +1,30 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.eobs config.eomg ########## +# Ensemble innovation specific, dependency config.anal + +echo "BEGIN: config.eobs" + +# Get task specific resources +. $EXPDIR/config.resources eobs + +export INVOBSSH="$HOMEgsi/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" +export ENKFINVOBSSH="$HOMEgsi/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" +export NMEM_EOMGGRP=10 +export RERUN_EOMGGRP="YES" +#export USE_RADSTAT="NO" # This can be only used when bias correction is non-zero. +export npe_gsi=$npe_eobs +export nth_gsi=4 + +# GSI namelist options related to observer for EnKF +export OBSINPUT_INVOBS="dmesh(1)=225.0,dmesh(2)=225.0" +export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" + +echo "END: config.eobs" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.epos b/systems/rocoto_style_fv3gfs/sample-config/config.epos new file mode 100755 index 0000000..47e2fe6 --- /dev/null +++ b/systems/rocoto_style_fv3gfs/sample-config/config.epos @@ -0,0 +1,21 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.epos ########## +# Ensemble post processing specific + +echo "BEGIN: config.epos" + +# Get task specific resources +. $EXPDIR/config.resources epos + +export ENKFPOSTSH="$HOMEgsi/scripts/EnKF/scripts_ncep/exglobal_enkf_post_fv3gfs.sh.ecf" +export nth_epos=2 + +echo "END: config.epos" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.eupd b/systems/rocoto_style_fv3gfs/sample-config/config.eupd new file mode 100755 index 0000000..a5cc8f9 --- /dev/null +++ b/systems/rocoto_style_fv3gfs/sample-config/config.eupd @@ -0,0 +1,22 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.eupd ########## +# Ensemble update specific, dependency config.anal + +echo "BEGIN: config.eupd" + +# Get task specific resources +. $EXPDIR/config.resources eupd + +export ENKFUPDSH="$HOMEgsi/scripts/EnKF/scripts_ncep/exglobal_enkf_update_fv3gfs.sh.ecf" +export npe_enkf=$npe_eupd +export nth_enkf=4 + +echo "END: config.eupd" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.fcst b/systems/rocoto_style_fv3gfs/sample-config/config.fcst new file mode 100755 index 0000000..0d999ee --- /dev/null +++ b/systems/rocoto_style_fv3gfs/sample-config/config.fcst @@ -0,0 +1,140 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.fcst ########## +# Forecast specific + +echo "BEGIN: config.fcst" + +# Source model specific information that is resolution dependent +. $EXPDIR/config.fv3 $CASE + +# Get task specific resources +. $EXPDIR/config.resources fcst + +if [ $DONST = "YES" ]; then + . $EXPDIR/config.nsst +fi + +export FCSTMODSDIR="$HOMEfv3gfs/modulefiles" +export FORECASTSH="$HOMEgfs/scripts/exglobal_fcst_nemsfv3gfs.sh" +#export FCSTEXECDIR="$HOMEfv3gfs/NEMS/exe" +export FCSTEXECDIR="$HOMEgfs/exec" +export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" +export npe_fv3=$npe_fcst # This is model resolution dependent, see note above + +if [ $QUILTING = ".true." ]; then + export npe_fv3=$(echo " $npe_fv3 + $WRITE_GROUP * $WRTTASK_PER_GROUP" | bc) + export npe_fcst=$npe_fv3 +fi + +# Model configuration +export TYPE="nh" +export MONO="non-mono" + +# Use stratosphere h2o physics +export h2o_phys=".false." + +# Options of stratosphere O3 physics reaction coefficients +export new_o3forc=NO + +# Microphysics configuration +export dnats=0 +export cal_pre=".true." +export do_sat_adj=".false." +export random_clds=".true." +export cnvcld=".true." + +if [ $imp_physics -eq 99 ]; then # ZhaoCarr + export ncld=1 + export FIELD_TABLE="$HOMEgfs/parm/parm_fv3diag/field_table_zhaocarr" + export nwat=2 + +elif [ $imp_physics -eq 6 ]; then # WSM6 + export ncld=2 + export FIELD_TABLE="$HOMEgfs/parm/parm_fv3diag/field_table_wsm6" + export nwat=6 + +elif [ $imp_physics -eq 8 ]; then # Thompson + export ncld=2 + export FIELD_TABLE="$HOMEgfs/parm/parm_fv3diag/field_table_thompson" + export nwat=6 + +elif [ $imp_physics -eq 11 ]; then # GFDL + export ncld=5 + export FIELD_TABLE="$HOMEgfs/parm/parm_fv3diag/field_table_gfdl" + export nwat=6 + export dnats=1 + export cal_pre=".false." + export do_sat_adj=".true." + export random_clds=".false." + export cnvcld=".false." + + export hord_mt_nh_nonmono=6 + export hord_xx_nh_nonmono=6 + export vtdm4_nh_nonmono=0.02 + export nord=2 + export dddmp=0.1 + export d4_bg=0.12 + +else + echo "Unknown microphysics option, ABORT!" + +fi +#--------------------------------------------------------------------- + + +# Disable the use of coupler.res; get model start time from model_configure +export USE_COUPLER_RES="NO" + +if [[ "$CDUMP" == "gdas" ]] ; then # GDAS cycle specific parameters + + # Variables used in DA cycling + if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then + export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_da" + else + export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_da_orig" + fi + + # Write restart files at next assimilation time + export restart_interval=6 + +elif [[ "$CDUMP" == "gfs" ]] ; then # GFS cycle specific parameters + + # Write more variables to output + if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then + export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table" + else + export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_orig" + fi + +fi + +# Regrid tiles to global Gaussian grid in NEMSIO +export REGRID_NEMSIO_SH="$HOMEgfs/ush/fv3gfs_regrid_nemsio.sh" +if [ $DONST = YES ]; then + export REGRID_NEMSIO_TBL="$HOMEgfs/parm/parm_fv3diag/variable_table_da.txt" +else + export REGRID_NEMSIO_TBL="$HOMEgfs/parm/parm_fv3diag/variable_table_da_nonsst.txt" +fi + +# Remap tiles to global latlon grid in NetCDF +export REMAPSH="$HOMEgfs/ush/fv3gfs_remap.sh" +export master_grid="0p25deg" # 1deg 0p5deg 0p25deg 0p125deg etc +export npe_remap=$((npe_fcst < 240 ? npe_fcst : 240)) +export nth_remap=2 + +# Global latlon NetCDF to nemsio utility parameters +export NC2NEMSIOSH="$HOMEgfs/ush/fv3gfs_nc2nemsio.sh" + +# Remember config.efcs will over-ride these values for ensemble forecasts +# if these variables are re-defined there. +# Otherwise, the ensemble forecast will inherit from config.fcst + +echo "END: config.fcst" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.fv3 b/systems/rocoto_style_fv3gfs/sample-config/config.fv3 new file mode 100755 index 0000000..91d9c1d --- /dev/null +++ b/systems/rocoto_style_fv3gfs/sample-config/config.fv3 @@ -0,0 +1,112 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.fv3 ########## +# FV3 model resolution specific parameters +# e.g. time-step, processor layout, physics and dynamics parameters +# This config sets default variables for FV3 for a given resolution +# User can over-ride after sourcing this config file + +if [ $# -ne 1 ]; then + + echo "Must specify an input resolution argument to set variables!" + echo "argument can be any one of the following:" + echo "C48 C96 C192 C384 C768 C1152 C3072" + exit 1 + +fi + +case_in=$1 + +echo "BEGIN: config.fv3" + +# (Standard) Model resolution dependent variables +case $case_in in + "C48") + export DELTIM=450 + export layout_x=2 + export layout_y=4 + export npe_node_fcst=24 + export nth_fv3=1 + export cdmbgwd="0.062,3.5" # mountain blocking and gravity wave drag + export WRITE_GROUP=1 + export WRTTASK_PER_GROUP=24 + export WRTIOBUF="4M" + ;; + "C96") + export DELTIM=450 + export layout_x=4 + export layout_y=4 + export npe_node_fcst=24 + export nth_fv3=1 + export cdmbgwd="0.125,3.0" # mountain blocking and gravity wave drag + export WRITE_GROUP=1 + export WRTTASK_PER_GROUP=24 + export WRTIOBUF="4M" + ;; + "C192") + export DELTIM=450 + export layout_x=4 + export layout_y=6 + export npe_node_fcst=12 + export nth_fv3=2 + export cdmbgwd="0.2,2.5" # mountain blocking and gravity wave drag + export WRITE_GROUP=2 + export WRTTASK_PER_GROUP=24 + export WRTIOBUF="8M" + ;; + "C384") + export DELTIM=300 + export layout_x=4 + export layout_y=8 + export npe_node_fcst=12 + export nth_fv3=2 + export cdmbgwd="1.0,1.2" # mountain blocking and gravity wave drag + export WRITE_GROUP=3 + export WRTTASK_PER_GROUP=24 + export WRTIOBUF="16M" + ;; + "C768") + export DELTIM=225 + export layout_x=8 + export layout_y=16 + export npe_node_fcst=12 + export nth_fv3=2 + export cdmbgwd="3.5,0.25" # mountain blocking and gravity wave drag + export WRITE_GROUP=4 + export WRTTASK_PER_GROUP=60 + export WRTIOBUF="32M" + ;; + "C1152") + export DELTIM=150 + export layout_x=8 + export layout_y=16 + export npe_node_fcst=6 + export nth_fv3=4 + export WRITE_GROUP=4 + export WRTTASK_PER_GROUP=84 + export WRTIOBUF="48M" + ;; + "C3072") + export DELTIM=90 + export layout_x=16 + export layout_y=32 + export npe_node_fcst=6 + export nth_fv3=4 + export WRITE_GROUP=4 + export WRTTASK_PER_GROUP=120 + export WRTIOBUF="64M" + ;; + *) + echo "grid $case_in not supported, ABORT!" + exit 1 + ;; +esac + +echo "END: config.fv3" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.fv3ic b/systems/rocoto_style_fv3gfs/sample-config/config.fv3ic new file mode 100755 index 0000000..8f1f497 --- /dev/null +++ b/systems/rocoto_style_fv3gfs/sample-config/config.fv3ic @@ -0,0 +1,20 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.fv3ic ########## +# Convert GFS initial conditions into FV3 initial conditions + +echo "BEGIN: config.fv3ic" + +# Task and thread configuration +export wtime_fv3ic="00:30:00" +export npe_fv3ic=24 +export npe_node_fv3ic=24 + +echo "END: config.fv3ic" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.getic b/systems/rocoto_style_fv3gfs/sample-config/config.getic new file mode 100755 index 0000000..c30167e --- /dev/null +++ b/systems/rocoto_style_fv3gfs/sample-config/config.getic @@ -0,0 +1,27 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.getic ########## +# Fetching GFS initial conditions specific + +echo "BEGIN: config.getic" + +# Get task specific resources +. $EXPDIR/config.resources getic + +# We should just be supporting the OPSGFS only +export ics_from="opsgfs" # initial conditions from opsgfs or pargfs + +# Provide a parallel experiment name and path to HPSS archive +if [ $ics_from = "pargfs" ]; then + export parexp="prnemsrn" + export HPSS_PAR_PATH="/5year/NCEPDEV/emc-global/emc.glopara/WCOSS_C/$parexp" +fi + +echo "END: config.getic" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.nsst b/systems/rocoto_style_fv3gfs/sample-config/config.nsst new file mode 100755 index 0000000..f18862f --- /dev/null +++ b/systems/rocoto_style_fv3gfs/sample-config/config.nsst @@ -0,0 +1,40 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date: 2017-09-23 02:48:49 +0000 (Sat, 23 Sep 2017) $ +# $Revision: 97753 $ +# $Author: fanglin.yang@noaa.gov $ +# $Id: config.nsst 97753 2017-09-23 02:48:49Z fanglin.yang@noaa.gov $ +############################################################### + +########## config.nsst ########## +# NSST specific + +echo "BEGIN: config.nsst" + +# NSST parameters contained within nstf_name + +# nstf_name(1) : NST_MODEL (NSST Model) : 0 = OFF, 1 = ON but uncoupled, 2 = ON and coupled +export NST_MODEL=2 + +# nstf_name(2) : NST_SPINUP : 0 = OFF, 1 = ON, +export NST_SPINUP=0 +if [[ "$CDATE" = $SDATE ]]; then + export NST_SPINUP=1 +fi + +# nstf_name(3) : NST_RESV (Reserved, NSST Analysis) : 0 = OFF, 1 = ON +export NST_RESV=0 + +# nstf_name(4,5) : ZSEA1, ZSEA2 the two depths to apply vertical average (bias correction) +export ZSEA1=0 +export ZSEA2=0 + +export NST_GSI=3 # default 0: No NST info at all; + # 1: Input NST info but not used in GSI; + # 2: Input NST info, used in CRTM simulation, no Tr analysis + # 3: Input NST info, used in both CRTM simulation and Tr analysis +export NSTINFO=0 # number of elements added in obs. data array (default = 0) +if [ $NST_GSI -gt 0 ]; then export NSTINFO=4; fi + +echo "END: config.nsst" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.post b/systems/rocoto_style_fv3gfs/sample-config/config.post new file mode 100755 index 0000000..d7259b1 --- /dev/null +++ b/systems/rocoto_style_fv3gfs/sample-config/config.post @@ -0,0 +1,44 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.post ########## +# Post specific + +echo "BEGIN: config.post" + +# Get task specific resources +. $EXPDIR/config.resources post + +# Convert nemsio files to grib files using post job +#------------------------------------------- + +# No. of concurrent post jobs [0 implies sequential] +export NPOSTGRP=5 + +# Post driver job that calls global_nceppost.sh and downstream jobs +export POSTJJOBSH="$HOMEpost/jobs/JGLOBAL_NCEPPOST" +export GFSDOWNSH="$HOMEpost/ush/fv3gfs_downstream_nems.sh" +export GFSDWNSH="$HOMEpost/ush/fv3gfs_dwn_nems.sh" + +export POSTGPSH="$HOMEpost/ush/global_nceppost.sh" +export POSTGPEXEC="$HOMEgfs/exec/gfs_ncep_post" +export GOESF=NO # goes image +export GTGF=NO # gtg icing product +export FLXF=YES # grib2 flux file written by post +export PGB1F=YES + +export npe_postgp=$npe_post +export nth_postgp=1 + +export GFS_DOWNSTREAM="YES" +export downset=1 +export npe_dwn=24 +export nth_dwn=1 + +echo "END: config.post" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.prep b/systems/rocoto_style_fv3gfs/sample-config/config.prep new file mode 100755 index 0000000..c2f5928 --- /dev/null +++ b/systems/rocoto_style_fv3gfs/sample-config/config.prep @@ -0,0 +1,21 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.prep ########## +# Prep step specific + +echo "BEGIN: config.prep" + +# Get task specific resources +. $EXPDIR/config.resources prep + +export DO_MAKEPREPBUFR="YES" # if NO, will copy prepbufr from globaldump +export DRIVE_MAKEPREPBUFRSH="$HOMEgfs/ush/drive_makeprepbufr.sh" + +echo "END: config.prep" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.prepbufr b/systems/rocoto_style_fv3gfs/sample-config/config.prepbufr new file mode 100755 index 0000000..6ebc3a1 --- /dev/null +++ b/systems/rocoto_style_fv3gfs/sample-config/config.prepbufr @@ -0,0 +1,94 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.prepbufr ########## +# PREPBUFR specific configuration + +echo "BEGIN: config.prepbufr" + +# Get task specific resources +. $EXPDIR/config.resources prepbufr + +# What to turn ON or OFF +export SYNDATA="YES" # Execute program SYNDAT_SYNDATA +export PREPACQC="YES" # Execute program PREPOBS_PREPACQC +export PROCESS_ACQC="YES" # Execute program PREPOBS_PREPACQC +export PROCESS_ACPF="NO" # Execute program PREPOBS_PREPACPF +export PROFCQC="YES" # Execute program PREPOBS_PROFCQC +export CQCVAD="YES" # Execute program PREPOBS_CQCVAD +export CQCBUFR="YES" # Execute program PREPOBS_CQCBUFR +export OIQCBUFR="NO" # Execute program PREPOBS_OIQCBUFR +export PREPDATA="YES" # Execute program PREPOBS_MPCOPYBUFR, + # PREPOBS_PREPDATA, + # PREPOBS_LISTHEADERS, + # PREPOBS_MONOPREPBUFR +export GETGUESS="YES" # Encode first guess (background) values interpolated to + # observation locations in the PREPBUFR file for use by + # the q.c. programs. This guess is always from a global + # guess file valid at the center PREPBUFR processing date/time. +export DO_QC="YES" # IF NO, programs PREPOBS_PREPACQC, PREPOBS_ACARSQC, + # PREPOBS_PROFCQC, PREPOBS_CQCVAD, PREPOBS_CQCBUFR and + # PREPOBS_OIQCBUFR will NEVER execute regardless of + # switches above - + # should be set to NO only as a last resort!!! + +# BUFR data types to process +export BUFRLIST="adpupa proflr aircar aircft satwnd adpsfc sfcshp vadwnd wdsatr ascatw rassda gpsipw" + +# MAKEPREPBUFR script and options +export MAKEPREPBUFRSH="$BASE_PREP/ush/prepobs_makeprepbufr.sh" +export NSPLIT=4 # execute in parallel + +# These variable largely eliminate the need for explicitly setting +# USH directories, FIX files, PARM files, EXECutables below +# The USER can overwrite components that they wish +# e.g. PRVT is used from the GSI +export HOMEobsproc_prep=$BASE_PREP +export EXECPREP="$BASE_PREP/exec" +export FIXPREP="$BASE_PREP/fix" +export HOMEobsproc_network=$BASE_PREP_GLOBAL +export PARMPREP="$BASE_PREP_GLOBAL/parm" + +# Directories +#export USHSYND="$BASE_PREP/ush" +#export USHPREV="$BASE_PREP/ush" +#export USHCQC="$BASE_PREP/ush" +#export USHPQC="$BASE_PREP/ush" +#export USHVQC="$BASE_PREP/ush" +#export USHAQC="$BASE_PREP/ush" +#export USHOIQC="$BASE_PREP/ush" + +# Fix files +#export CQCS="$BASE_PREP/fix/prepobs_cqc_statbge" +#export LANDC="$BASE_PREP/fix/prepobs_landc" +#export PRPT="$BASE_PREP/fix/prepobs_prep.bufrtable" +export PRVT="$HOMEgsi/fix/prepobs_errtable.global" +#export OIQCT="$BASE_PREP_GLOBAL/fix/prepobs_oiqc.oberrs" + +# parm files +#export AQCC="$BASE_PREP_GLOBAL/parm/prepobs_prepacqc.${CDUMP}.parm" +#export CQCC="$BASE_PREP_GLOBAL/parm/prepobs_cqcbufr.gdas.parm" +#export PRPC="$BASE_PREP_GLOBAL/parm/prepobs_prepdata.${CDUMP}.parm" +#export PQCC="$BASE_PREP_GLOBAL/parm/prepobs_profcqc.gdas.parm" +#export SYNDC="$BASE_PREP_GLOBAL/parm/syndat_syndata.gdas.parm" + +# Executables +#export PRPX="$BASE_PREP/exec/prepobs_prepdata" +#export PREX="$BASE_PREP/exec/prepobs_prevents" +#export AQCX="$BASE_PREP/exec/prepobs_prepacqc" +#export PQCX="$BASE_PREP/exec/prepobs_profcqc" +#export CQCX="$BASE_PREP/exec/prepobs_cqcbufr" +#export SYNDX="$BASE_PREP/exec/syndat_syndata" +#export MPCOPYX="$BASE_PREP/exec/prepobs_mpcopybufr" +#export LISTHDX="$BASE_PREP/exec/prepobs_listheaders" +#export MONOBFRX="$BASE_PREP/exec/prepobs_monoprepbufr" +#export VQCX="$BASE_PREP/exec/prepobs_cqcvad" +#export OIQCX="$BASE_PREP/exec/prepobs_oiqcbufr" + +echo "END: config.prepbufr" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.resources b/systems/rocoto_style_fv3gfs/sample-config/config.resources new file mode 100755 index 0000000..eadc424 --- /dev/null +++ b/systems/rocoto_style_fv3gfs/sample-config/config.resources @@ -0,0 +1,127 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.resources ########## +# Set resource information for job tasks +# e.g. walltime, node, cores per node, memory etc. + +if [ $# -ne 1 ]; then + + echo "Must specify an input task argument to set resource variables!" + echo "argument can be any one of the following:" + echo "anal fcst post vrfy arch" + echo "eobs eomg eupd ecen efcs epos earc" + exit 1 + +fi + +step=$1 + +echo "BEGIN: config.resources" + +if [ $step = "prep" -o $step = "prepbufr" ]; then + + eval "export wtime_$step='00:15:00'" + eval "export npe_$step=12" + eval "export npe_node_$step=12" + +elif [ $step = "anal" ]; then + + export wtime_anal="01:30:00" + export npe_anal=144 + export npe_node_anal=6 + export memory_anal="3072M" + +elif [ $step = "fcst" ]; then + + export wtime_fcst="00:15:00" + export wtime_fcst_gfs="06:00:00" + export npe_fcst=$(echo "$layout_x * $layout_y * 6" | bc) + export npe_node_fcst=${npe_node_fcst:-12} + export memory_fcst="1024M" + +elif [ $step = "post" ]; then + + export wtime_post="00:30:00" + export wtime_post_gfs="01:00:00" + export npe_post=72 + export npe_node_post=12 + export npe_node_dwn=24 + if [[ "$machine" == THEIA ]]; then + export memory_post="16384M" + else + export memory_post="3072M" + fi + +elif [ $step = "vrfy" ]; then + + export wtime_vrfy="03:00:00" + export wtime_vrfy_gfs="06:00:00" + export npe_vrfy=1 + export npe_node_vrfy=1 + if [[ "$machine" == THEIA ]]; then + export memory_vrfy="16384M" + else + export memory_vrfy="3072M" + # Memory on cray is for the batch node, not the compute node. + # Tasks on the compute node have no memory limit. 16GB is + # unneeded, and is beyond the queue maximum anyway (the job is + # rejected). + fi + +elif [ $step = "arch" -o $step = "earc" -o $step = "getic" ]; then + + eval "export wtime_$step='06:00:00'" + eval "export npe_$step=1" + eval "export npe_node_$step=1" + +elif [ $step = "eobs" -o $step = "eomg" ]; then + + export wtime_eobs="00:45:00" + export wtime_eomg="01:00:00" + export npe_eobs=72 + export npe_node_eobs=6 + export memory_eobs="3072M" + +elif [ $step = "eupd" ]; then + + export wtime_eupd="00:30:00" + export npe_eupd=120 + export npe_node_eupd=12 + export memory_eupd="3072M" + +elif [ $step = "ecen" ]; then + + export wtime_ecen="00:30:00" + export npe_ecen=84 + export npe_node_ecen=12 + export memory_ecen="3072M" + +elif [ $step = "efcs" ]; then + + export wtime_efcs="01:00:00" + export npe_efcs=$(echo "$layout_x * $layout_y * 6" | bc) + export npe_node_efcs=${npe_node_fcst:-12} + export memory_efcs="254M" + +elif [ $step = "epos" ]; then + + export wtime_epos="00:30:00" + export npe_epos=84 + export npe_node_epos=12 + export memory_epos="254M" + +else + + echo "Invalid step = $step, ABORT!" + exit 2 + +fi + +echo "END: config.resources" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.vrfy b/systems/rocoto_style_fv3gfs/sample-config/config.vrfy new file mode 100755 index 0000000..ee8fa61 --- /dev/null +++ b/systems/rocoto_style_fv3gfs/sample-config/config.vrfy @@ -0,0 +1,176 @@ +#!/bin/ksh -x +############################################################### +# < next few lines under version control, D O N O T E D I T > +# $Date$ +# $Revision$ +# $Author$ +# $Id$ +############################################################### + +########## config.vrfy ########## +# Verification step specific + +echo "BEGIN: config.vrfy" + +# Get task specific resources +. $EXPDIR/config.resources vrfy + +export VDUMP="gfs" # verifying dump +export CDUMPFCST="gdas" # Fit-to-obs with GDAS/GFS prepbufr +export CDFNL="gdas" # Scores verification against GDAS/GFS analysis + +export VSDB_STEP1="YES" # populate VSDB database +export VSDB_STEP2="NO" +export VRFYG2OBS="YES" # Grid to observations, see note below if turning ON +export VRFYFITS="YES" # Fit to observations +export VRFYPRCP="YES" # Precip threat scores +export VRFYMINMON="YES" # GSI minimization monitoring +export VRFYRAD="YES" # Radiance data assimilation monitoring +export VRFYOZN="YES" # Ozone data assimilation monitoring +export VRFYTRAK="YES" # Hurricane track forecasts +export VRFYGENESIS="YES" # Cyclone genesis +export VRFYGMPK="NO" # Gempak verification + +# Fit to Observations +if [ $VRFYFITS = "YES" ]; then + + if [ $machine = "WCOSS_C" ]; then + export fitdir="$BASE_SVN/verif/global/parafits.fv3nems/batrun" + export PREPQFITSH="$fitdir/subfits_cray_nems" + elif [ $machine = "THEIA" ]; then + export fitdir="$BASE_SVN/verif/global/parafits.fv3nems/batrun" + export PREPQFITSH="$fitdir/subfits_theia_nems" + fi + +fi + +# VSDB STEP1, Verify Precipipation and Grid To Obs options +# All these call $VSDBSH +if [[ "$CDUMP" = "gfs" ]] ; then + ddd=`echo $CDATE |cut -c 1-8` + #if [ $ddd -eq 5 -o $ddd -eq 10 ]; then export VSDB_STEP2 = "YES" ;fi + + if [ $VSDB_STEP1 = "YES" -o $VSDB_STEP2 = "YES" -o $VRFYPRCP = "YES" -o $VRFYG2OBS = "YES" ]; then + export BACKDATEVSDB=24 # execute vsdbjob for the previous day + export VBACKUP_PRCP=24 # back up for QPF verification data + export vsdbsave="$NOSCRUB/archive/vsdb_data" # place to save vsdb database + export vsdbhome=$BASE_VERIF # location of global verification scripts + export VSDBSH="$vsdbhome/vsdbjob.sh" # VSDB job script + export vlength=$FHMAX_GFS # verification length + export vhr_rain=$FHMAX_GFS # verification length for precip + export ftyplist="pgbq" # verif. files used for computing QPF ETS scores + export anltype="gfs" # default=gfs, analysis type (gfs or gdas) for verification + + export VSDB_START_DATE="$SDATE" # starting date for vsdb maps + export webhost="emcrzdm.ncep.noaa.gov" # webhost(rzdm) computer + export webhostid="$USER" # webhost(rzdm) user name + export SEND2WEB="NO" # whether or not to send maps to webhost + export WEBDIR="/home/people/emc/www/htdocs/gmb/${webhostid}/vsdb/$PSLOT" + export mdlist="gfs $PSLOT " # exps (up to 10) to compare in maps + fi +fi + + +# Minimization, Radiance and Ozone Monitoring +if [ $VRFYRAD = "YES" -o $VRFYMINMON = "YES" -o $VRFYOZN = "YES" ]; then + + export HOMEgfs="$HOMEgfs" + export envir="para" + + # Radiance Monitoring + if [[ "$VRFYRAD" == "YES" && "$CDUMP" == "$CDFNL" ]] ; then + + export HOMEradmon="$HOMEgfs" + export RADMON_SUFFIX=$PSLOT + export TANKverf="$NOSCRUB/radmon" + if [ $machine = "WCOSS_C" -o $machine = "THEIA" ]; then + export VRFYRADSH="$HOMEgfs/jobs/JGDAS_VERFRAD" + else + echo "WARNING: Radiance monitoring is not enabled on $machine!" + export VRFYRAD="NO" + fi + + fi + + # Minimization Monitoring + if [[ "$VRFYMINMON" = "YES" ]] ; then + + export HOMEgfs="$HOMEgfs" + export HOMEminmon=$HOMEgfs + export MINMON_SUFFIX=$PSLOT + export M_TANKverf="$NOSCRUB/minmon" + if [[ "$machine" == "WCOSS_C" || "$machine" == "THEIA" ]] ; then + if [[ "$CDUMP" = "gdas" ]] ; then + export VRFYMINSH="$HOMEgfs/jobs/JGDAS_VMINMON" + elif [[ "$CDUMP" = "gfs" ]] ; then + export VRFYMINSH="$HOMEgfs/jobs/JGFS_VMINMON" + fi + else + echo "WARNING: Minimization monitoring is not enabled on $machine!" + export VRFYMINMON="NO" + fi + + fi + + # Ozone Monitoring + if [[ "$VRFYOZN" == "YES" && "$CDUMP" == "$CDFNL" ]] ; then + + export HOMEoznmon="$HOMEgfs" + export OZNMON_SUFFIX=$PSLOT + export TANKverf_ozn="$NOSCRUB/oznmon" + if [ $machine = "WCOSS_C" -o $machine = "THEIA" ]; then + export VRFYOZNSH="$HOMEgfs/jobs/JGDAS_VERFOZN" + else + echo "WARNING: Ozone monitoring is not enabled on $machine!" + export VRFYOZN="NO" + fi + + fi + +fi + +# Cyclone track verification +if [ $VRFYTRAK = "YES" ]; then + + export TRACKERSH="$HOMEgfs/ush/global_tracker.sh" + export PARATRKR="$HOMEgfs/ush/global_extrkr.sh" + export GETTRKEXEC="$HOMEgfs/exec/gettrk" + export GETTX=$GETTRKEXEC + export SUPVX="$HOMEgfs/exec/supvit" + export HOMERELO=$HOMEgfs + export homesyndir=$HOMEgfs + export prep_step="$NWPROD/prod_util.v1.0.15/ush/prep_step" + if [[ "$CDUMP" = "gfs" ]] ; then + export FHOUT=$FHOUT_GFS + export FHMAX=$FHMAX_GFS + export FHMAX2=${FHMAX2_GFS:-$FHMAX_GFS} # We don't run FCST2 + fi + if [ $machine = "THEIA" ]; then + export COMROOTp1="/scratch4/NCEPDEV/rstprod/com" + export archsyndir="$COMROOTp1/arch/prod/syndat" + fi +fi + +# Cyclone genesis verification +if [ $VRFYGENESIS = "YES" ]; then + + if [ $machine = "WCOSS_C" ] ; then + export NWROOTGENESIS="/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/gfs/q3fy17" + elif [ $machine = "THEIA" ] ; then + export NWROOTGENESIS="/scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17" + export UTILROOT="/scratch4/NCEPDEV/global/save/glopara/nwpara/prod_util.v1.0.15" + export GETTX_GEN="$NWROOTGENESIS/ens_tracker.v2.0.1/exec/gettrk_gen_g2_theia" + fi + if [ $machine = "WCOSS_C" -o $machine = "THEIA" ]; then + export GENESISSH="$NWROOTGENESIS/ens_tracker.v2.0.1/gfs_genesis_para_fv3gfs.sh" + else + echo "WARNING: Genesis verification is not enabled on $machine!" + export VRFYGENESIS="NO" + export GENESISSH="" + fi + + export GETTX_GEN="$NWROOTGENESIS/ens_tracker.v2.0.1/exec/gettrk_gen_g2" + +fi + +echo "END: config.vrfy" diff --git a/systems/rocoto_style_fv3gfs/schedulers.yaml b/systems/rocoto_style_fv3gfs/schedulers.yaml new file mode 100644 index 0000000..532eaeb --- /dev/null +++ b/systems/rocoto_style_fv3gfs/schedulers.yaml @@ -0,0 +1,23 @@ + +wcoss_cray_scheduler_settings: &wcoss_cray_scheduler_settings + name: LSFAlps + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + +theia_scheduler_settings: &theia_scheduler_settings + name: MoabTorque + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + +# Magic block to automatically detect your machine +automatic_scheduler_settings: &automatic_scheduler_settings !FirstTrue + - when: !calc tools.isdir("/gpfs") and tools.isdir("/usrx/local") + do: *wcoss_cray_scheduler_settings + - when: !calc tools.isdir("/scratch4") and tools.isdir("/scratch3") + do: *theia_scheduler_settings + - otherwise: !error "You are not on Theia or WCOSS" + +scheduler_settings: *automatic_scheduler_settings + diff --git a/systems/rocoto_style_fv3gfs/settings.yaml b/systems/rocoto_style_fv3gfs/settings.yaml new file mode 100644 index 0000000..196d960 --- /dev/null +++ b/systems/rocoto_style_fv3gfs/settings.yaml @@ -0,0 +1,64 @@ + +## initial_directory: location from which the setup script was run. +initial_directory: !calc ( tools.realpath(tools.abspath(".")) ) + +config_base: + # These are initialized from config.base: + FHMAX_GFS: !Immediate [ !calc int(tools.env("FHMAX_GFS")) ] + PSLOT: !Immediate [ !calc tools.env("PSLOT") ] + EXPDIR: !Immediate [ !calc tools.env("EXPDIR") ] + ROTDIR: !Immediate [ !calc tools.env("ROTDIR") ] + RUNDIR: !Immediate [ !calc tools.env("RUNDIR") ] + HOMEgfs: !Immediate [ !calc tools.env("HOMEgfs") ] + CASE: !Immediate [ !calc tools.env("CASE") ] + CASE_ENKF: !Immediate [ !calc tools.env("CASE_ENKF") ] + SDATE: !Immediate [ !calc tools.env("SDATE") ] + EDATE: !Immediate [ !calc tools.env("EDATE") ] + +settings: + resource_file: !expand >- + resources_{doc.config_base.CASE}_{doc.config_base.CASE_ENKF}.yaml + + HOMEgfs: !calc doc.config_base.HOMEgfs + COM: !calc doc.config_base.ROTDIR + EXPDIR: !calc doc.config_base.EXPDIR + DATAROOT: !calc doc.config_base.RUNDIR + experiment_name: !calc doc.config_base.PSLOT + + NMEM_ENKF: 20 + ENKF_GROUPS: 2 + NMEM_EOMGGRP: 4 + NMEM_EFCSGRP: 10 + NMEM_EARCGRP: 10 + + ENKF_INNOVATE_GROUPS: !calc ( NMEM_ENKF // NMEM_EOMGGRP ) + ENKF_FORECAST_GROUPS: !calc ( NMEM_ENKF // NMEM_EFCSGRP ) + ENKF_ARCHIVE_GROUPS: !calc ( NMEM_ENKF // NMEM_EARCGRP ) + + ECF_HOME: !calc ( tools.env('ECF_HOME') ) + ROCOTO_HOME: !calc ( doc.config_base.EXPDIR ) + layout_x: 4 + layout_y: 6 + WRITE_GROUP: 2 + WRTTASK_PER_GROUP: 24 + QUEUE: dev + PROJECT: FV3GFS-T2O + QUEUESERV: dev_transfer + QUEUESHARED: dev_shared + + DUMPDIR: "/gpfs/tp1/emc/globaldump" + + start_date: !calc tools.strptime(doc.config_base.SDATE,"%Y%m%d%H") + end_date: !calc tools.strptime(doc.config_base.EDATE,"%Y%m%d%H") + start_date_gfs: !calc ( start_date+tools.to_timedelta('6:00:00') ) + end_date_gfs: !calc end_date + interval_gfs: !timedelta "6:00:00" + #forecast_hours: !calc tools.seq(0,120,1)+tools.seq(123,240,3)+tools.seq(240,384,12) + forecast_hours: !calc tools.seq(0,doc.config_base.FHMAX_GFS,6) + gdas_hours: !calc tools.seq(0,9,3) + + max_job_tries: 1 + realtime: True + archive_to_hpss: True + +######################################################################## diff --git a/systems/rocoto_style_fv3gfs/suite_def.yaml b/systems/rocoto_style_fv3gfs/suite_def.yaml new file mode 100644 index 0000000..aed45fe --- /dev/null +++ b/systems/rocoto_style_fv3gfs/suite_def.yaml @@ -0,0 +1,374 @@ +scheduler: !calc | + tools.get_scheduler(doc.scheduler_settings.name, + doc.scheduler_settings) +suite: !Cycle + Clock: !Clock + start: !calc doc.settings.start_date + end: !calc doc.settings.end_date + step: !timedelta "6:00:00" + + Alarms: + first: !Clock + start: !calc suite.Clock.start + end: !calc suite.Clock.start + step: !calc suite.Clock.step + gdas: !Clock + start: !calc suite.Clock.start + end: !calc suite.Clock.end + step: !calc suite.Clock.step + gfs: !Clock + start: !calc doc.settings.start_date_gfs + end: !calc doc.settings.end_date_gfs + step: !calc doc.settings.interval_gfs + + ecFlow: + suite_def_filename: !expand "{doc.settings.experiment_name}_%Y%m%d%H.def" + suite_name: !expand "{doc.settings.experiment_name}_%Y%m%d%H" + scheduler: !calc doc.scheduler + dates_in_time_dependencies: true + + # write_cycles/analyze_cycles: Use these to generate a subset of + # the cycles in ecFlow. Make sure analyze_cycles starts at least + # one cycle before write_cycles so that dependencies are + # processed. These are overridden by update_ecflow_workflow.py + # during its execution. + + # write_cycles: !Clock + # start: 2018-01-02T00:00:00 + # end: 2018-01-02T18:00:00 + # step: !timedelta "6:00:00" + # analyze_cycles: !Clock + # start: 2018-01-02T00:00:00 + # end: 2018-01-02T18:00:00 + # step: !timedelta "6:00:00" + + ecflow_def: !expand | + # This ecflow suite definition is automatically generated. + # Changes will be overwritten. Please edit suite_def.yaml instead. + + # Repeat vs. autocancel. + # + # - Use "repeat day 1" if you are generating four cycles: 00, 06, 12, 18 + # as is done in operations + # + # - Use "autocancel" if you are generating one suite for each cycle. + # This ensures the server is not filled up with cycles. + + #repeat day 1 + autocancel +5 + + edit ECF_TRIES '{doc.settings.max_job_tries}' + #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' + #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' + #edit ECF_KILL_CMD 'lkill %ECF_NAME% %ECF_JOBOUT%' + edit ECF_HOME '{doc.settings.ECF_HOME}' + edit MACHINE 'xc40-dev' + #edit ECF_HOME '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3' + edit CYC '{tools.strftime(suite.Clock.now,"%H")}' + edit PDY '{tools.strftime(suite.Clock.now,"%Y%m%d")}' + edit ENVIR 'prod' + edit E 'jecffv3' + #edit QUEUE 'dev' + edit EMCPEN '{doc.settings.experiment_name}' + edit DATAROOT '{doc.settings.DATAROOT}' + edit ECF_FILES '{doc.settings.ECF_HOME}' + #edit ECF_JOBOUT '%ECF_HOME%/%ECF_NAME%.%ECF_TRYNO%' + edit ECF_OUT '{doc.settings.COM}/logs' + edit ECF_LOG '{doc.settings.EXPDIR}/ecf.log' + edit MODEL_NAME 'gfs' + + edit DUMPDIR '{doc.settings.DUMPDIR}' + {common_metasched_vars} + + common_metasched_vars: !expand | + {metasched.defvar("QUEUE", doc.settings.QUEUE)} + {metasched.defvar("HOMEgfs", doc.settings.HOMEgfs)} + {metasched.defvar("QUEUESHARED", doc.settings.QUEUESHARED)} + {metasched.defvar("COM", doc.settings.COM)} + {metasched.defvar("QUEUESERV", doc.settings.QUEUESERV)} + {metasched.defvar("PROJECT", doc.settings.PROJECT)} + + Rocoto: + scheduler: !calc doc.scheduler + max_tries: !calc doc.settings.max_job_tries + workflow_xml: !expand | + + + + + + + + {tools.indent(" ",suite.common_metasched_vars)} + ]> + + + &LOG_DIR;/rocoto_@Y@m@d@H.log + {to_rocoto.make_time_xml(indent=1)} + {to_rocoto.make_task_xml(indent=1)} + + + gdas: !Family + AlarmName: gdas + + ecflow_def: | + edit MODEL_NAME 'gdas' + + dump_waiter: !Task + <<: *dump_waiter_task + ecflow_def: !FirstTrue + - when: !calc doc.settings.realtime + do: "edit ECF_TRIES 72" + - otherwise: "" + Trigger: !Depend up.gdas.post.at('-6:00:00') + Complete: !Depend ~ suite.has_cycle('-6:00:00') + + prep: !Task + <<: *exclusive_task_template + Trigger: !Depend up.gdas.post.at('-6:00:00') & dump_waiter.updated_status + Complete: !Depend ~ suite.has_cycle('-6:00:00') + resources: !calc ( doc.resources.run_prep ) + + anal: !Task + <<: *exclusive_task_template + Trigger: !Depend ( prep & enkf.epos.at('-6:00:00') ) + Complete: !Depend ~ suite.has_cycle('-6:00:00') + resources: !calc ( doc.resources.run_anal ) + + fcst: !Task + <<: *exclusive_task_template + Trigger: !Depend anal | ~ suite.has_cycle('-6:00:00') + resources: !calc ( doc.resources.run_gdasfcst ) + + post: !TaskArray + Dimensions: + fhr: !calc doc.settings.gdas_hours #tools.seq(0,9,1) + post_manager_el: !TaskElement + <<: *exclusive_task_template + Trigger: !Depend up.fcst.is_running() + Complete: !Depend up.fcst + Disable: !calc metasched.type=='rocoto' + Foreach: [] + J_JOB: post_manager + ecflow_command: *post_manager_job_contents + Name: post_manager + resources: !calc doc.resources.run_gdas_post_manager + release_postanl: !DataEvent + file: !expand >- + {metasched.varref("COM")}/{up.CDUMP}.{metasched.datestring("%Y%m%d/%H/")}{up.CDUMP}.t{metasched.datestring("%H")}z.logf000.nemsio + release_post_fhr: !DataEventElement + Name: !expand "release_post{dimval.fhr:02d}" + Foreach: [ fhr ] + file: !expand > + {metasched.varref("COM")}/{up.CDUMP}.{metasched.datestring("%Y%m%d/%H/")}{up.CDUMP}.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio + # NOTE: the above files must match post_manager.yaml + + post_el: !TaskElement + <<: *exclusive_task_template + Foreach: [ fhr ] + J_JOB: post.sh + Name: !expand "g{dimidx.fhr:03d}" + FHRGRP: !expand "{dimidx.fhr:03d}" + FHRLST: !FirstTrue + - when: !calc dimidx.fhr==0 + do: anl + - otherwise: !expand "f{(dimidx.fhr-1)*3:03d}" + more_vars: [ FHRGRP, FHRLST ] + release_id: !FirstTrue + - when: !calc dimidx.fhr==0 + do: "anl" + - otherwise: !expand "{(dimidx.fhr-1)*3:02d}" + Trigger: !Depend post_manager.depend("release_post{F}",F=[release_id]) | up.fcst + resources: !calc doc.resources.run_gdaspost + + vrfy: !Task + <<: *exclusive_task_template + Trigger: !Depend post + resources: !calc ( doc.resources.run_gdasvrfy ) + + enkf: !Family + eobs: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.prep & epos.at('-6:00:00') ) + Complete: !Depend ~ suite.has_cycle('-6:00:00') + resources: !calc ( doc.resources.run_eobs ) + + eomg: !TaskArray + Trigger: !Depend eobs + Complete: !Depend ~ suite.has_cycle('-6:00:00') + Dimensions: + groupid: !calc tools.seq(1,doc.settings.ENKF_INNOVATE_GROUPS,1) + grp: !TaskElement + <<: *exclusive_task_template + resources: !calc ( doc.resources.run_eomg ) + J_JOB: eomg.sh + Foreach: [ groupid ] + Name: !expand "grp{dimval.groupid}" + ENSGRP: !expand "{dimval.groupid:02d}" + more_vars: [ ENSGRP ] + + eupd: !Task + <<: *exclusive_task_template + Trigger: !Depend eomg + Complete: !Depend ~ suite.has_cycle('-6:00:00') + resources: !calc ( doc.resources.run_eupd ) + + ecen: !Task + <<: *exclusive_task_template + Trigger: !Depend ( eupd & up.anal ) + Complete: !Depend ~ suite.has_cycle('-6:00:00') + resources: !calc ( doc.resources.run_ecen ) + + efcs: !TaskArray + Trigger: !Depend ( ecen | ~ suite.has_cycle('-6:00:00') ) + Dimensions: + groupid: !calc tools.seq(1,doc.settings.ENKF_FORECAST_GROUPS,1) + grp: !TaskElement + <<: *exclusive_task_template + resources: !calc ( doc.resources.run_efcs ) + J_JOB: efcs.sh + Foreach: [ groupid ] + Name: !expand "grp{dimval.groupid}" + ENSGRP: !expand "{dimval.groupid:02d}" + more_vars: [ ENSGRP ] + + epos: !Task + <<: *exclusive_task_template + Trigger: !Depend efcs + resources: !calc ( doc.resources.run_epos ) + + gfs: !Family + Complete: !Depend ~ suite.has_cycle('-6:00:00') + AlarmName: gfs + ecflow_def: | + edit MODEL_NAME 'gfs' + + dump_waiter: !Task + <<: *dump_waiter_task + ecflow_def: !FirstTrue + - when: !calc doc.settings.realtime + do: "edit ECF_TRIES 72" + - otherwise: "" + Trigger: !Depend up.gdas.post.at('-6:00:00') + + prep: !Task + <<: *exclusive_task_template + resources: !calc ( doc.resources.run_prep ) + Trigger: !Depend up.gdas.post.at('-6:00:00') & dump_waiter.updated_status + + anal: !Task + <<: *exclusive_task_template + Trigger: !Depend ( prep & up.gdas.enkf.epos.at('-6:00:00') ) + resources: !calc ( doc.resources.run_anal ) + + fcst: !Task + <<: *exclusive_task_template + Trigger: !Depend anal + resources: !calc ( doc.resources.run_gfsfcst ) + + post: !TaskArray + Dimensions: + fhr: !calc doc.settings.forecast_hours + + post_manager_el: !TaskElement + <<: *exclusive_task_template + Disable: !calc metasched.type == 'rocoto' + Trigger: !Depend up.fcst.is_running() + Complete: !Depend up.fcst + Foreach: [ ] + ecflow_command: *post_manager_job_contents + J_JOB: post_manager + Name: post_manager + resources: !calc doc.resources.run_gfs_post_manager + release_postanl: !DataEvent + file: !expand >- + {metasched.varref("COM")}/{up.CDUMP}.{metasched.datestring("%Y%m%d/%H/")}{up.CDUMP}.t{metasched.datestring("%H")}z.logf000.nemsio + release_post_fhr: !DataEventElement + Name: !expand "release_post{dimval.fhr:02d}" + Foreach: [ fhr ] + file: !expand >- + {metasched.varref("COM")}/{up.CDUMP}.{metasched.datestring("%Y%m%d/%H/")}{up.CDUMP}.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio + # NOTE: the above files must match post_manager.yaml + + post_el: !TaskElement + <<: *exclusive_task_template + Foreach: [ fhr ] + resources: !calc doc.resources.run_gfspost + Name: !expand "grp{dimidx.fhr:03d}" + FHRGRP: !expand "{dimidx.fhr:03d}" + J_JOB: post.sh + FHRLST: !FirstTrue + - when: !calc dimidx.fhr==0 + do: anl + - otherwise: !expand "f{(dimidx.fhr-1)*6:03d}" + release_id: !FirstTrue + - when: !calc dimidx.fhr==0 + do: "00" + - otherwise: !expand "{(dimidx.fhr-1)*6:02d}" + more_vars: [ FHRGRP, FHRLST ] + Trigger: !Depend post_manager.depend("release_post{F}",F=[release_id]) | up.fcst + + vrfy: !Task + <<: *exclusive_task_template + Trigger: !Depend post + resources: !calc ( doc.resources.run_gfsvrfy ) + + make_next_cycles: !Task + <<: *make_next_cycles_task + Trigger: !Depend gdas + ecflow_def: !expand | + # These variables are used by the make_next_cycles job to generate + # suites for later cycles once earlier cycles have finished. + edit WORKFLOW_FIRST_CYCLE '{tools.strftime(suite.Clock.start,"%Y%m%d%H")}' + edit WORKFLOW_LAST_CYCLE '{tools.strftime(suite.Clock.end,"%Y%m%d%H")}' + edit WORKFLOW_CYCLES_TO_GENERATE '5' + edit WORKFLOW_CROW_HOME '{doc.initial_directory}' + edit WORKFLOW_EXPDIR '{doc.settings.EXPDIR}' + + archive: !Family + ecflow_def: + edit ECF_TRIES '3' + gdasarch: !Task + <<: *service_task_template + AlarmName: gdas + Trigger: !Depend up.gdas.vrfy + resources: !calc ( doc.resources.run_arch ) + Disable: !calc not doc.settings.archive_to_hpss + J_JOB: arch.sh + CDUMP: gdas + + earc: !TaskArray + AlarmName: gdas + Trigger: !Depend up.gdas.enkf.epos + Dimensions: + groupid: !calc tools.seq(0,doc.settings.ENKF_ARCHIVE_GROUPS-1,1) + grp: !TaskElement + <<: *service_task_template + resources: !calc ( doc.resources.run_arch ) + J_JOB: earc.sh + Foreach: [ groupid ] + Name: !expand "grp{dimval.groupid:02d}" + more_vars: [ ENSGRP ] + ENSGRP: !expand "{dimval.groupid:02d}" + CDUMP: gdas + + gfsarch: !Task + <<: *service_task_template + Complete: !Depend ~ suite.has_cycle('-6:00:00') + AlarmName: gfs + Trigger: !Depend up.gfs.vrfy + resources: !calc ( doc.resources.run_arch ) + Disable: !calc not doc.settings.archive_to_hpss + J_JOB: arch.sh + CDUMP: gfs + + final: !Task + <<: *service_task_template + resources: !calc (doc.resources.run_nothing) + rocoto_command: /bin/true + CDUMP: gfs # useless but required diff --git a/systems/rocoto_style_fv3gfs/tail.h b/systems/rocoto_style_fv3gfs/tail.h new file mode 120000 index 0000000..79d1a3b --- /dev/null +++ b/systems/rocoto_style_fv3gfs/tail.h @@ -0,0 +1 @@ +/ecf/ecfnets/include/tail.h \ No newline at end of file diff --git a/systems/rocoto_style_fv3gfs/task_template.yaml b/systems/rocoto_style_fv3gfs/task_template.yaml new file mode 100644 index 0000000..422aead --- /dev/null +++ b/systems/rocoto_style_fv3gfs/task_template.yaml @@ -0,0 +1,149 @@ +task_template: &task_template + + Template: *task_validator + + rocoto_command: !expand "&HOMEgfs;/jobs/rocoto/{J_JOB}" + ecflow_command: !expand "${{HOMEgfs}}/jobs/rocoto/{J_JOB}" + + Rocoto: !expand | + {rocoto_command} + {sched.rocoto_accounting(accounting,jobname=task_path_var, + outerr="&LOG_DIR;/@Y@m@d/@H/&PSLOT;_"+task_path_var+".log")} + {sched.rocoto_resources(resources)} + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMP{CDUMP} + {rocoto_more_vars} + + CDUMP: !calc task_path_list[0] + + # Default resources for a job are serial + #resources: !calc doc.resources.run_nothing + + ecf_dev_overrides: !expand | + # Set data and logs to locations specified in the suite definition. + # This is needed when running without write access to the NCO com areas. + export DATAROOT=%DATAROOT% + export jlogfile=%ECF_OUT%/jlogfile + export COMROOT=%COM% + export cycle=t%CYC%z + export CDATE=%PDY%%CYC% + export EXPDIR="{doc.settings.EXPDIR}" + + ecf_more_exports: "" + + more_vars: [] + + ecf_more_exports: !calc | + "\n".join([ tools.expand("export {VAR}=%{VAR}%\n",VAR=V) for V in more_vars ]) + + rocoto_more_vars: !calc | + "\n".join([ metasched.defenvar(VAR,this[VAR]) for VAR in more_vars ]) + + ecflow_def_more_vars: !calc | + "\n".join([ metasched.defenvar(VAR,this[VAR]) for VAR in more_vars ]) + + ecflow_def: !calc ecflow_def_more_vars + + ecf_file: !expand | + #! /bin/sh + {ecf_batch_resources} + {ecf_dev_overrides} + %include + %include + + set -x + + {ecf_resource_more} + + export HOMEgfs=%HOMEgfs% + export model=%MODEL_NAME% + export CDUMP=$model + {ecf_more_exports} + ## don't include + + ############################################################ + # Load modules + ############################################################ + #. $MODULESHOME/init/sh + {ecf_module_commands} + #module list + + ############################################################# + # WCOSS environment settings + ############################################################# + + {ecf_environment_settings} + + ########################################################### + export cyc=%CYC% + + # CALL executable job script here + {ecflow_command} + + %include + %manual + {ecf_manual} + %end + + ecf_manual: | + # FIXME: Insert manual for this job. + + ecf_module_commands: |- + # Add any "module" commands here (switch, load, use, etc.) + + ecf_environment_settings: |- + # Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. + + ecf_batch_resources: !expand |- + {sched.batch_accounting(accounting,jobname=task_path_var,outerr="%ECF_OUT%/%EMCPEN%_%PDY%%CYC%_"+task_path_var+".log")} + {sched.batch_resources(resources)} + + ecf_resource_more: !expand |- + {ecf_maybe_ntasks} + {ecf_maybe_ptile} + {ecf_maybe_threads} + + ecf_maybe_ntasks: !FirstTrue + - when: !calc resources.total_ranks()>0 + do: !expand 'export ntasks={resources.total_ranks()}' + - otherwise: "# No MPI in use, so I am not setting $ntasks." + + ecf_maybe_threads: !FirstTrue + - when: !calc resources.has_threads() + do: !expand 'export threads={resources[0]["OMP_NUM_THREADS"]}' + - otherwise: "# No OpenMP in use, so I am not setting $threads" + + ecf_maybe_ptile: !FirstTrue + - when: !calc resources.has_threads() or resources.total_ranks()>0 + do: !expand 'export ptile={sched.max_ranks_per_node(resources)}' + - otherwise: "# Neither OpenMP nor MPI are in use, so I am not setting $ptile" + + + +shared_accounting: &shared_accounting + queue: !calc metasched.varref('QUEUESHARED') + project: !calc metasched.varref('PROJECT') + +service_accounting: &service_accounting + queue: !calc metasched.varref('QUEUESERV') + project: !calc metasched.varref('PROJECT') + +exclusive_accounting: &exclusive_accounting + queue: !calc metasched.varref('QUEUE') + project: !calc metasched.varref('PROJECT') + +shared_task_template: &shared_task_template + <<: *task_template + accounting: *shared_accounting + J_JOB: !expand '{task_path_list[-1]}.sh' + +service_task_template: &service_task_template + <<: *task_template + accounting: *service_accounting + J_JOB: !expand '{task_path_list[-1]}.sh' + +exclusive_task_template: &exclusive_task_template + <<: *task_template + accounting: *exclusive_accounting + J_JOB: !expand '{task_path_list[-1]}.sh' diff --git a/systems/rocoto_style_fv3gfs/update_ecflow_workflow.sh b/systems/rocoto_style_fv3gfs/update_ecflow_workflow.sh new file mode 100755 index 0000000..7d85131 --- /dev/null +++ b/systems/rocoto_style_fv3gfs/update_ecflow_workflow.sh @@ -0,0 +1,94 @@ +#! /bin/bash + +set -ue + +# Get the directory in which this script resides. We'll assume the +# yaml files are there: +dir0=$( dirname "$0" ) +here=$( cd "$dir0" ; pwd -P ) + +export WORKTOOLS_VERBOSE=NO + +# Make sure this directory is in the python path so we find worktools.py: +export PYTHONPATH=$here:${PYTHONPATH:+:$PYTHONPATH} + +# Parse arguments: +if [[ "$1" == "-v" ]] ; then + export WORKTOOLS_VERBOSE=YES + shift 1 +fi +export EXPDIR="$1" +export FIRST_CYCLE="$2" +export LAST_CYCLE="$3" + +if [[ ! -d /usrx/local || -e /etc/redhat-release ]] ; then + echo "ERROR: This script only runs on WCOSS Cray" 1>&2 + exit 1 +fi + +if ( ! which ecflow_client > /dev/null 2>&1 ) ; then + echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." + exit 1 +fi + +if [[ "${ECF_ROOT:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_ROOT" + exit 1 +fi + +if [[ "${ECF_HOME:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_HOME. I suggest \$ECF_ROOT/submit" + exit 1 +fi + +if [[ "${ECF_PORT:-Q}" == Q ]] ; then + echo "ERROR: You need to set \$ECF_PORT. See /usrx/local/sys/ecflow/assigned_ports.txt" + exit 1 +fi + +export ECF_HOME="${ECF_HOME:-$ECF_ROOT/submit}" + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + echo "begin_ecflow_workflow.sh: verbose mode" + export redirect=" " +else + export redirect="> /dev/null 2>&1" +fi + +echo "ecFlow server port: $ECF_PORT" +echo "ecFlow server root: $ECF_ROOT" +echo "ecFlow server home: $ECF_HOME" + +set +e +if ( ! which python3 > /dev/null 2>&1 || \ + ! python3 -c 'import yaml ; f{"1+1"}' > /dev/null 2>&1 ) ; then + python36=/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/python/3.6.1-emc/bin/python3.6 +else + python36="$( which python3 )" +fi +set -e + +if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then + set -x +fi + +/ecf/devutils/server_check.sh "$ECF_ROOT" "$ECF_PORT" $redirect || true + +if ( ! ecflow_client --ping $redirect ) ; then + echo "Could not connect to ecflow server. Aborting." + exit 1 +fi + +$python36 -c " +import worktools ; +worktools.add_cycles_to_running_ecflow_workflow_at( + '$EXPDIR', + '$FIRST_CYCLE', + '$LAST_CYCLE' +)" + + + + + + diff --git a/systems/rocoto_style_fv3gfs/validator.yaml b/systems/rocoto_style_fv3gfs/validator.yaml new file mode 100644 index 0000000..7242181 --- /dev/null +++ b/systems/rocoto_style_fv3gfs/validator.yaml @@ -0,0 +1,80 @@ +task_validator: &task_validator !Template + + Rocoto: + description: >- + XML to insert in the task definition, excluding the task tag + itself, and the dependencies. + type: string + + ecf_file: + description: >- + Contents of the ecf file that will be run for this task + type: string + + CDUMP: + description: "Model name: gfs or gdas" + allowed: [ gfs, gdas ] + type: string + + rocoto_more_vars: + description: >- + Additional text to include after other envar tags in Rocoto task + definition. This is referenced by the Rocoto variable. + type: string + default: "" + + ecflow_command: + description: >- + Command to execute for this task when run in ecflow. This is + inserted into the ecf file. + type: string + + rocoto_command: + description: >- + Command to execute for this task when run in rocoto. This is + inserted into the rocoto command tag for the task. + type: string + + more_vars: + description: >- + List of variables that should be added to Rocoto envar blocks, + ecflow suite definition edits, and ecf file exports. Overriding + ecflow_def will prevent this from being passed through as edits + in the suite definition. + type: string list + + ecf_environment_settings: + description: "Tuning variables like KMP_AFFINITY and OMP_STACKSIZE." + type: string + default: "" + + ecf_module_commands: + description: >- + Any "module" commands: module load, module switch, etc. + type: string + default: "" + + ecf_resource_more: + description: >- + Generates additional resource specification variables such as + ntasks, threads, and ptile. + type: string + default: "" + + ecf_maybe_ntasks: + description: "Sets the $ntasks variable (number of MPI ranks) if needed" + type: string + default: "" + + ecf_maybe_threads: + description: "Sets the $threads variable (number of OpenMP threads) if needed" + type: string + default: "" + + ecf_maybe_ptile: + description: >- + Sets the $ptile variable (maximum number of MPI ranks per node) if needed + type: string + default: "" + + diff --git a/systems/rocoto_style_fv3gfs/workflow.xml b/systems/rocoto_style_fv3gfs/workflow.xml new file mode 100644 index 0000000..bab8975 --- /dev/null +++ b/systems/rocoto_style_fv3gfs/workflow.xml @@ -0,0 +1,1728 @@ + + + + + + + + + + + + + +]> + + + &LOG_DIR;/rocoto_@Y@m@d@H.log + 201801050000 201801050000 06:00:00 + 201801050000 201801050600 06:00:00 + 201801050600 201801050600 12:00:00 + 201801050600 201801050600 12:00:00 + + + DUMMY_VALUE + + &HOMEgfs;/jobs/rocoto/prep.sh + &QUEUE; + &PROJECT; + gdas.prep + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.prep.log + + 0:15:00 + 3072M + 1:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgdas + + + + + /gpfs/tp1/emc/globaldump/@Y@m@d@H/gdas/gdas.t@Hz.updated.status.tm00.bufr_d + + + + + + &HOMEgfs;/jobs/rocoto/anal.sh + &QUEUE; + &PROJECT; + gdas.anal + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.anal.log + + 1:30:00 + 3072M + 24:ppn=6 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgdas + + + + + + + + + + + + + + + + &HOMEgfs;/jobs/rocoto/fcst.sh + &QUEUE; + &PROJECT; + gdas.fcst + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.fcst.log + + 0:15:00 + 1024M + 16:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgdas + + + + + + + + + + + + DUMMY_VALUE + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gdas.post.g000 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.post.g000.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgdas + FHRGRP000 + FHRLSTanl + + &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf000.nemsio + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gdas.post.g001 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.post.g001.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgdas + FHRGRP001 + FHRLSTf000 + + &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf000.nemsio + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gdas.post.g002 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.post.g002.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgdas + FHRGRP002 + FHRLSTf003 + + &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf003.nemsio + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gdas.post.g003 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.post.g003.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgdas + FHRGRP003 + FHRLSTf006 + + &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf006.nemsio + + + + + &HOMEgfs;/jobs/rocoto/vrfy.sh + &QUEUE; + &PROJECT; + gdas.vrfy + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.vrfy.log + + 3:00:00 + 3072M + 1:ppn=1 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgdas + + + + + + + DUMMY_VALUE + + &HOMEgfs;/jobs/rocoto/eobs.sh + &QUEUE; + &PROJECT; + gdas.enkf.eobs + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.eobs.log + + 0:45:00 + 3072M + 12:ppn=6 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgdas + + + + + + + + + + + + + + + + DUMMY_VALUE + + &HOMEgfs;/jobs/rocoto/eomg.sh + &QUEUE; + &PROJECT; + gdas.enkf.eomg.grp1 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.eomg.grp1.log + + 2:00:00 + 3072M + 12:ppn=6 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgdas + ENSGRP01 + + + + + + + + + + + + + + &HOMEgfs;/jobs/rocoto/eomg.sh + &QUEUE; + &PROJECT; + gdas.enkf.eomg.grp2 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.eomg.grp2.log + + 2:00:00 + 3072M + 12:ppn=6 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgdas + ENSGRP02 + + + + + + + + + + + + + + + &HOMEgfs;/jobs/rocoto/eupd.sh + &QUEUE; + &PROJECT; + gdas.enkf.eupd + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.eupd.log + + 0:30:00 + 3072M + 10:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgdas + + + + + + + + + + &HOMEgfs;/jobs/rocoto/ecen.sh + &QUEUE; + &PROJECT; + gdas.enkf.ecen + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.ecen.log + + 0:30:00 + 254M + 7:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgdas + + + + + + + + + + + + + + + + + + DUMMY_VALUE + + &HOMEgfs;/jobs/rocoto/efcs.sh + &QUEUE; + &PROJECT; + gdas.enkf.efcs.grp1 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.efcs.grp1.log + + 1:00:00 + 254M + 16:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgdas + ENSGRP01 + + + + + + + + + + + &HOMEgfs;/jobs/rocoto/efcs.sh + &QUEUE; + &PROJECT; + gdas.enkf.efcs.grp2 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.efcs.grp2.log + + 1:00:00 + 254M + 16:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgdas + ENSGRP02 + + + + + + + + + + + + &HOMEgfs;/jobs/rocoto/epos.sh + &QUEUE; + &PROJECT; + gdas.enkf.epos + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.epos.log + + 0:30:00 + 254M + 7:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgdas + + + + + + + + + DUMMY_VALUE + + &HOMEgfs;/jobs/rocoto/prep.sh + &QUEUE; + &PROJECT; + gfs.prep + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.prep.log + + 0:15:00 + 3072M + 1:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + + + + + /gpfs/tp1/emc/globaldump/@Y@m@d@H/gfs/gfs.t@Hz.updated.status.tm00.bufr_d + + + + + + &HOMEgfs;/jobs/rocoto/anal.sh + &QUEUE; + &PROJECT; + gfs.anal + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.anal.log + + 1:30:00 + 3072M + 24:ppn=6 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + + + + + + + + + + + &HOMEgfs;/jobs/rocoto/fcst.sh + &QUEUE; + &PROJECT; + gfs.fcst + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.fcst.log + + 1:30:00 + 1024M + 16:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + + + + + + + + + + DUMMY_VALUE + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp000 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp000.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP000 + FHRLSTanl + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf000.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp001 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp001.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP001 + FHRLSTf000 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf000.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp002 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp002.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP002 + FHRLSTf006 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf006.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp003 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp003.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP003 + FHRLSTf012 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf012.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp004 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp004.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP004 + FHRLSTf018 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf018.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp005 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp005.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP005 + FHRLSTf024 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf024.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp006 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp006.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP006 + FHRLSTf030 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf030.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp007 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp007.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP007 + FHRLSTf036 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf036.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp008 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp008.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP008 + FHRLSTf042 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf042.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp009 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp009.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP009 + FHRLSTf048 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf048.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp010 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp010.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP010 + FHRLSTf054 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf054.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp011 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp011.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP011 + FHRLSTf060 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf060.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp012 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp012.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP012 + FHRLSTf066 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf066.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp013 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp013.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP013 + FHRLSTf072 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf072.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp014 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp014.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP014 + FHRLSTf078 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf078.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp015 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp015.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP015 + FHRLSTf084 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf084.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp016 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp016.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP016 + FHRLSTf090 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf090.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp017 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp017.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP017 + FHRLSTf096 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf096.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp018 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp018.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP018 + FHRLSTf102 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf102.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp019 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp019.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP019 + FHRLSTf108 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf108.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp020 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp020.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP020 + FHRLSTf114 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf114.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp021 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp021.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP021 + FHRLSTf120 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf120.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp022 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp022.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP022 + FHRLSTf126 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf126.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp023 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp023.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP023 + FHRLSTf132 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf132.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp024 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp024.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP024 + FHRLSTf138 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf138.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp025 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp025.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP025 + FHRLSTf144 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf144.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp026 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp026.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP026 + FHRLSTf150 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf150.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp027 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp027.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP027 + FHRLSTf156 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf156.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp028 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp028.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP028 + FHRLSTf162 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf162.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp029 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp029.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP029 + FHRLSTf168 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf168.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp030 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp030.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP030 + FHRLSTf174 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf174.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp031 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp031.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP031 + FHRLSTf180 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf180.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp032 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp032.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP032 + FHRLSTf186 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf186.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp033 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp033.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP033 + FHRLSTf192 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf192.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp034 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp034.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP034 + FHRLSTf198 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf198.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp035 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp035.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP035 + FHRLSTf204 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf204.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp036 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp036.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP036 + FHRLSTf210 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf210.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp037 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp037.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP037 + FHRLSTf216 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf216.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp038 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp038.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP038 + FHRLSTf222 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf222.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp039 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp039.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP039 + FHRLSTf228 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf228.nemsio + + + + + + &HOMEgfs;/jobs/rocoto/post.sh + &QUEUE; + &PROJECT; + gfs.post.grp040 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp040.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + FHRGRP040 + FHRLSTf234 + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf234.nemsio + + + + + + + &HOMEgfs;/jobs/rocoto/vrfy.sh + &QUEUE; + &PROJECT; + gfs.vrfy + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.vrfy.log + + 6:00:00 + 3072M + 1:ppn=1 + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + + + + + + + + + + + DUMMY_VALUE + + &HOMEgfs;/jobs/rocoto/arch.sh + &QUEUESERV; + &PROJECT; + archive.gdasarch + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_archive.gdasarch.log + + 6:00:00 + 3072M + 1 + + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgdas + + + + + + + DUMMY_VALUE + + &HOMEgfs;/jobs/rocoto/earc.sh + &QUEUESERV; + &PROJECT; + archive.earc.grp00 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_archive.earc.grp00.log + + 6:00:00 + 3072M + 1 + + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgdas + ENSGRP00 + + + + + + &HOMEgfs;/jobs/rocoto/earc.sh + &QUEUESERV; + &PROJECT; + archive.earc.grp01 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_archive.earc.grp01.log + + 6:00:00 + 3072M + 1 + + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgdas + ENSGRP01 + + + + + + &HOMEgfs;/jobs/rocoto/earc.sh + &QUEUESERV; + &PROJECT; + archive.earc.grp02 + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_archive.earc.grp02.log + + 6:00:00 + 3072M + 1 + + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgdas + ENSGRP02 + + + + + + + &HOMEgfs;/jobs/rocoto/arch.sh + &QUEUESERV; + &PROJECT; + archive.gfsarch + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_archive.gfsarch.log + + 6:00:00 + 3072M + 1 + + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + + + + + + + + + + + + + + /bin/true + &QUEUESERV; + &PROJECT; + final_no_alarm + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_final_no_alarm.log + + 0:02:00 + 300M + 1 + + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + + + + + + + + + + + + + + + + + + /bin/true + &QUEUESERV; + &PROJECT; + final_for_gfs + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_final_for_gfs.log + + 0:02:00 + 300M + 1 + + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + + + + + + + + + + + + + + + + + + + + /bin/true + &QUEUESERV; + &PROJECT; + final_for_gdas + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_final_for_gdas.log + + 0:02:00 + 300M + 1 + + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + /bin/true + &QUEUESERV; + &PROJECT; + final + &LOG_DIR;/@Y@m@d/@H/&PSLOT;_final.log + + 0:02:00 + 300M + 1 + + + CDATE@Y@m@d@H + EXPDIR&EXPDIR; + CDUMPgfs + + + + + + + + + + + + + + + + + + + + + diff --git a/systems/rocoto_style_fv3gfs/worktools.py b/systems/rocoto_style_fv3gfs/worktools.py new file mode 100644 index 0000000..47aeb34 --- /dev/null +++ b/systems/rocoto_style_fv3gfs/worktools.py @@ -0,0 +1,220 @@ +#! /usr/bin/env python3 +f'This python module requires python 3.6 or newer' + +import logging, os, io, sys, datetime, glob, shutil, subprocess +from collections import OrderedDict +from copy import copy +logger=logging.getLogger('crow.model.fv3gfs') + +try: + import crow +except ImportError as ie: + thisdir=os.path.dirname(os.path.abspath(__file__)) + topdir=os.path.realpath(os.path.join(thisdir,"../..")) + sys.path.append(topdir) + del thisdir, topdir + +level=logging.WARNING +if os.environ.get('WORKTOOLS_VERBOSE','NO') == 'YES': + level=logging.INFO +logging.basicConfig(stream=sys.stderr,level=level) + +import crow.tools +from crow.metascheduler import to_ecflow, to_rocoto +from crow.config import from_dir, Suite, from_file, to_yaml +from crow.tools import Clock + +ECFNETS_INCLUDE = "/ecf/ecfnets/include" +SIX_HOURS = datetime.timedelta(seconds=6*3600) + +def read_yaml_suite(dir): + logger.info(f'{dir}: read yaml files specified in _main.yaml') + conf=from_dir(dir) + suite=Suite(conf.suite) + return conf,suite + +def make_yaml_files(srcdir,tgtdir): + if not os.path.exists(tgtdir): + logger.info(f'{tgtdir}: make directory') + os.makedirs(tgtdir) + logger.info(f'{tgtdir}: send yaml files to here') + logger.info(f'{srcdir}: get yaml files from here') + for srcfile in glob.glob(f'{srcdir}/*.yaml'): + srcbase=os.path.basename(srcfile) + if srcbase.startswith('resources'): continue + if srcbase.startswith('settings'): continue + tgtfile=os.path.join(tgtdir,srcbase) + logger.info(f'{srcbase}: copy yaml file') + shutil.copyfile(srcfile,tgtfile) + + # Deal with the settings: + doc=from_file(f"{srcdir}/settings.yaml") + settings_yaml=os.path.join(tgtdir,'settings.yaml') + logger.info(f'{settings_yaml}: generate file') + with open(f'{tgtdir}/settings.yaml','wt') as fd: + fd.write('# This file is automatically generated from:\n') + fd.write(f'# {srcdir}/settings.yaml') + fd.write('# Changes to this file may be overwritten.\n\n') + fd.write(to_yaml(doc)) + + # Now the resources: + resource_basename=doc.settings.resource_file + resource_srcfile=os.path.join(srcdir,resource_basename) + resource_tgtfile=os.path.join(tgtdir,'resources.yaml') + logger.info(f'{resource_srcfile}: use this resource yaml file') + shutil.copyfile(resource_srcfile,resource_tgtfile) + logger.info(f'{tgtdir}: yaml files created here') + +def loudly_make_dir_if_missing(dirname): + if dirname and not os.path.exists(dirname): + logger.info(f'{dirname}: make directory') + os.makedirs(dirname) + +def make_parent_dir(filename): + loudly_make_dir_if_missing(os.path.dirname(filename)) + +def make_clocks_for_cycle_range(suite,first_cycle,last_cycle,surrounding_cycles): + suite_clock=copy(suite.Clock) + logger.info(f'cycles to write: {first_cycle:%Ft%T} - {last_cycle:%Ft%T}') + suite.ecFlow.write_cycles = Clock( + start=first_cycle,end=last_cycle,step=SIX_HOURS) + first_analyzed=max(suite_clock.start,first_cycle-surrounding_cycles*SIX_HOURS) + last_analyzed=min(suite_clock.end,last_cycle+surrounding_cycles*SIX_HOURS) + logger.info(f'cycles to analyze: {first_analyzed:%Ft%T} - {last_analyzed:%Ft%T}') + suite.ecFlow.analyze_cycles=Clock( + start=first_analyzed,end=last_analyzed,step=SIX_HOURS) + +def generate_ecflow_suite_in_memory(suite,first_cycle,last_cycle,surrounding_cycles): + logger.info(f'make suite for cycles: {first_cycle:%Ft%T} - {last_cycle:%Ft%T}') + make_clocks_for_cycle_range(suite,first_cycle,last_cycle,surrounding_cycles) + suite_defs, ecf_files = to_ecflow(suite) + return suite_defs, ecf_files + +def write_ecflow_suite_to_disk(targetdir, suite_defs, ecf_files): + written_suite_defs=OrderedDict() + logger.info(f'{targetdir}: write suite here') + for deffile in suite_defs.keys(): + defname = suite_defs[deffile]['name'] + defcontents = suite_defs[deffile]['def'] + #print(f'=== contents of suite def {defname}\n{suite_defs[defname]}') + filename=os.path.realpath(os.path.join(targetdir,'defs',deffile)) + make_parent_dir(filename) + logger.info(f'{defname}: {filename}: write suite definition') + with open(os.path.join(targetdir,filename),'wt') as fd: + fd.write(defcontents) + written_suite_defs[defname]=filename + for setname in ecf_files: + logger.info(f'{defname}: write ecf file set {setname}') + for filename in ecf_files[setname]: + full_fn=os.path.realpath(os.path.join(targetdir,defname,filename)+'.ecf') + logger.debug(f'{defname}: {setname}: write ecf file {full_fn}') + make_parent_dir(full_fn) + with open(full_fn,'wt') as fd: + fd.write(ecf_files[setname][filename]) + return written_suite_defs + +def get_target_dir_and_check_ecflow_env(): + ECF_HOME=os.environ.get('ECF_HOME',None) + + if not ECF_HOME: + logger.error('Set $ECF_HOME to location where your ecflow files should reside.') + return None + elif not os.environ.get('ECF_PORT',None): + logger.error('Set $ECF_PORT to the port number of your ecflow server.') + return None + elif not os.path.isdir(ECF_HOME): + logger.error('Directory $ECF_HOME={ECF_HOME} does not exist. You need to set up your account for ecflow before you can run any ecflow workflows.') + return None + + for file in [ 'head.h', 'tail.h', 'envir-xc40.h' ]: + yourfile=os.path.join(ECF_HOME,file) + if not os.path.exists(yourfile): + logger.warning(f'{yourfile}: does not exist. I will get one for you.') + os.symlink(os.path.join(ECFNETS_INCLUDE,file),yourfile) + else: + logger.info(f'{yourfile}: exists.') + + return ECF_HOME + +def create_new_ecflow_workflow(suite,surrounding_cycles=5): + ECF_HOME=get_target_dir_and_check_ecflow_env() + if not ECF_HOME: return None,None,None,None + first_cycle=suite.Clock.start + last_cycle=min(suite.Clock.end,first_cycle+suite.Clock.step*2) + suite_defs, ecf_files = generate_ecflow_suite_in_memory( + suite,first_cycle,last_cycle,surrounding_cycles) + suite_def_files = write_ecflow_suite_to_disk( + ECF_HOME,suite_defs,ecf_files) + return ECF_HOME, suite_def_files, first_cycle, last_cycle + +def update_existing_ecflow_workflow(suite,first_cycle,last_cycle, + surrounding_cycles=5): + ECF_HOME=get_target_dir_and_check_ecflow_env() + suite_defs, ecf_files = generate_ecflow_suite_in_memory( + suite,first_cycle,last_cycle,surrounding_cycles) + suite_def_files = write_ecflow_suite_to_disk( + ECF_HOME,suite_defs,ecf_files) + return ECF_HOME, suite_def_files + +def load_and_begin_ecflow_suites(ECF_HOME,suite_def_files): + logger.info(f'{ECF_HOME}: write files for suites: ' + f'{", ".join(suite_def_files.keys())}') + with crow.tools.chdir(ECF_HOME): + for suite, file in suite_def_files.items(): + cmd=f'ecflow_client --load {file}' + logger.info(cmd) + subprocess.run(cmd,check=False,shell=True) + cmd=f'ecflow_client --begin {suite}' + logger.info(cmd) + subprocess.run(cmd,check=False,shell=True) + +######################################################################## + +# These functions are called directly from scripts, and can be thought +# of as "main programs." + +def remake_ecflow_files_for_cycles( + yamldir,first_cycle_str,last_cycle_str, + surrounding_cycles=5): + ECF_HOME=get_target_dir_and_check_ecflow_env() + conf,suite=read_yaml_suite(yamldir) + loudly_make_dir_if_missing(f'{conf.settings.COM}/log') + + first_cycle=datetime.datetime.strptime(first_cycle_str,'%Y%m%d%H') + first_cycle=max(suite.Clock.start,first_cycle) + + last_cycle=datetime.datetime.strptime(last_cycle_str,'%Y%m%d%H') + last_cycle=max(first_cycle,min(suite.Clock.end,last_cycle)) + + suite_defs, ecf_files = generate_ecflow_suite_in_memory( + suite,first_cycle,last_cycle,surrounding_cycles) + written_suite_defs = write_ecflow_suite_to_disk( + ECF_HOME, suite_defs, ecf_files) + print(f'''Suite definition files and ecf files have been written to: + + {ECF_HOME} + +If all you wanted to do was update the ecf files, then you're done. + +If you want to update the suite (cycle) definitions, or add suites +(cycles), you will need to call ecflow_client's --load, --begin, +--replace, or --delete commands.''') + +def create_and_begin_ecflow_workflow(yamldir,surrounding_cycles=5): + conf,suite=read_yaml_suite(yamldir) + loudly_make_dir_if_missing(f'{conf.settings.COM}/log') + ECF_HOME, suite_def_files, first_cycle, last_cycle = \ + create_new_ecflow_workflow(suite,surrounding_cycles) + if not ECF_HOME: + logger.error('Could not create workflow files. See prior errors for details.') + return False + load_and_begin_ecflow_suites(ECF_HOME,suite_def_files) + +def add_cycles_to_running_ecflow_workflow_at( + yamldir,first_cycle_str,last_cycle_str,surrounding_cycles=5): + conf,suite=read_yaml_suite(yamldir) + first_cycle=datetime.datetime.strptime(first_cycle_str,'%Y%m%d%H') + last_cycle=datetime.datetime.strptime(last_cycle_str,'%Y%m%d%H') + ECF_HOME, suite_def_files = update_existing_ecflow_workflow( + suite,first_cycle,last_cycle,surrounding_cycles) + load_and_begin_ecflow_suites(ECF_HOME,suite_def_files) From 4e4a34adfbee00395af75cad02e4a03e79e16cf4 Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Tue, 18 Dec 2018 20:35:59 +0000 Subject: [PATCH 438/487] renaming 'model' --- README | 326 -- TODO.txt | 290 -- crow_dataflow_check_sh.py | 79 - crow_dataflow_cycle_sh.py | 50 - crow_dataflow_deliver_sh.py | 177 - crow_dataflow_find_sh.py | 78 - model/ecflow_fv3gfs/_main.yaml | 8 - model/ecflow_fv3gfs/config_files.yaml | 53 - model/ecflow_fv3gfs/config_locations.yaml | 7 - model/ecflow_fv3gfs/dump_waiter.yaml | 42 - model/ecflow_fv3gfs/ecf_file.yaml | 76 - model/ecflow_fv3gfs/load_ecflow_workflow.sh | 75 - model/ecflow_fv3gfs/make_next_cycles.yaml | 69 - model/ecflow_fv3gfs/make_rocoto_xml_for.sh | 53 - model/ecflow_fv3gfs/post_manager.yaml | 23 - .../ecflow_fv3gfs/remake_ecflow_files_for.sh | 67 - model/ecflow_fv3gfs/resources_C192_C192.yaml | 216 -- model/ecflow_fv3gfs/resources_C768_C384.yaml | 198 -- model/ecflow_fv3gfs/rocoto.yaml | 34 - model/ecflow_fv3gfs/schedulers.yaml | 23 - model/ecflow_fv3gfs/settings.yaml | 46 - model/ecflow_fv3gfs/settings_validator.yaml | 131 - model/ecflow_fv3gfs/suite_def.yaml | 850 ----- model/ecflow_fv3gfs/task.yaml | 161 - model/ecflow_fv3gfs/task_validator.yaml | 75 - model/ecflow_fv3gfs/totality_limit.def | 3 - model/ecflow_fv3gfs/update_ecflow_workflow.sh | 69 - model/ecflow_fv3gfs/workflow.xml | 872 ----- model/ecflow_fv3gfs/worktools.py | 256 -- model/ecflow_fv3gfs/worktools.sh.inc | 94 - model/fv3gfs/exp/_main.yaml | 11 - model/fv3gfs/exp/actions/_main.yaml | 4 - model/fv3gfs/exp/actions/anal.yaml | 64 - model/fv3gfs/exp/actions/arch.yaml | 33 - model/fv3gfs/exp/actions/base.yaml | 44 - model/fv3gfs/exp/actions/crow_fcst_sh.yaml | 495 --- model/fv3gfs/exp/actions/earc.yaml | 26 - model/fv3gfs/exp/actions/ecen.yaml | 43 - model/fv3gfs/exp/actions/efcs.yaml | 70 - model/fv3gfs/exp/actions/eobs.yaml | 31 - model/fv3gfs/exp/actions/eomg.yaml | 35 - model/fv3gfs/exp/actions/epos.yaml | 23 - model/fv3gfs/exp/actions/eupd.yaml | 28 - model/fv3gfs/exp/actions/fcst.yaml | 85 - model/fv3gfs/exp/actions/final.yaml | 7 - model/fv3gfs/exp/actions/ics.yaml | 38 - model/fv3gfs/exp/actions/post.yaml | 56 - model/fv3gfs/exp/actions/prep.yaml | 49 - model/fv3gfs/exp/actions/vrfy.yaml | 74 - .../August2017_GFS@C384_ENKF@C192+20MEM.yaml | 71 - .../exp/cases/CROW_exe_Cindy_GFS@C384.yaml | 53 - .../exp/cases/CROW_io_Cindy_GFS@C384.yaml | 53 - .../exp/cases/CROW_sh_Cindy_GFS@C384.yaml | 51 - .../exp/cases/CROW_sh_df_Cindy_GFS@C384.yaml | 53 - model/fv3gfs/exp/cases/Cindy_GFS@C384.yaml | 49 - model/fv3gfs/exp/cases/Harvey_GFS@C192.yaml | 55 - model/fv3gfs/exp/create_comrot.py | 57 - model/fv3gfs/exp/defaults/fv3_enkf.yaml | 34 - model/fv3gfs/exp/defaults/no.yaml | 17 - model/fv3gfs/exp/defaults/places.yaml | 88 - model/fv3gfs/exp/defaults/settings.yaml | 2 - model/fv3gfs/exp/platforms/_main.yaml | 3 - model/fv3gfs/exp/platforms/choice.yaml | 11 - model/fv3gfs/exp/platforms/jet.yaml | 57 - model/fv3gfs/exp/platforms/theia.yaml | 87 - model/fv3gfs/exp/platforms/wcoss_cray.yaml | 59 - model/fv3gfs/exp/resources/resources.yaml | 188 -- model/fv3gfs/exp/runtime/_main.yaml | 4 - .../exp/runtime/crow_exe_fcst_workflow.yaml | 92 - .../exp/runtime/crow_sh_df_fcst_workflow.yaml | 92 - .../exp/runtime/crow_sh_fcst_workflow.yaml | 46 - model/fv3gfs/exp/runtime/cycled_workflow.yaml | 427 --- .../exp/runtime/gfs_forecast_workflow.yaml | 46 - model/fv3gfs/exp/runtime/rocoto.yaml | 117 - model/fv3gfs/exp/runtime/runtime.yaml | 28 - model/fv3gfs/exp/setup_case.py | 109 - model/fv3gfs/exp/test_sections.py | 26 - model/fv3gfs/exp/user.yaml.default | 14 - model/fv3gfs/exp/validation/_main.yaml | 4 - model/fv3gfs/exp/validation/accounting.yaml | 12 - model/fv3gfs/exp/validation/case.yaml | 57 - model/fv3gfs/exp/validation/chgres.yaml | 10 - .../exp/validation/data_assimilation.yaml | 46 - model/fv3gfs/exp/validation/fv3.yaml | 250 -- model/fv3gfs/exp/validation/fv3_forecast.yaml | 530 --- model/fv3gfs/exp/validation/obsproc.yaml | 119 - model/fv3gfs/exp/validation/output.yaml | 52 - model/fv3gfs/exp/validation/vrfy.yaml | 353 -- model/fv3gfs/exp/validation/workflow.yaml | 30 - model/fv3gfs/jobs/anal.sh | 110 - model/fv3gfs/jobs/arch.sh | 217 -- model/fv3gfs/jobs/drive_makeprepbufr.sh | 108 - model/fv3gfs/jobs/earc.sh | 214 -- model/fv3gfs/jobs/ecen.sh | 53 - model/fv3gfs/jobs/efcs.sh | 88 - model/fv3gfs/jobs/eobs.sh | 114 - model/fv3gfs/jobs/eomg.sh | 98 - model/fv3gfs/jobs/epos.sh | 48 - model/fv3gfs/jobs/eupd.sh | 53 - model/fv3gfs/jobs/fcst.sh | 105 - model/fv3gfs/jobs/fcst_df.sh | 105 - model/fv3gfs/jobs/fv3ic.sh | 55 - model/fv3gfs/jobs/fv3ic_df.sh | 81 - model/fv3gfs/jobs/getic.sh | 183 - model/fv3gfs/jobs/job_wrapper.sh | 36 - model/fv3gfs/jobs/post.sh | 85 - model/fv3gfs/jobs/prep.sh | 56 - model/fv3gfs/jobs/vrfy.sh | 127 - .../theia/obsproc/exglobal_dump.sh.ecf | 1732 ---------- .../obsproc/exglobal_makeprepbufr.sh.ecf | 578 ---- .../theia/obsproc/getges.sh | 1385 -------- .../theia/obsproc/getges_sig.sh | 3000 ----------------- .../theia/obsproc/prepobs_cqcbufr.sh | 127 - .../theia/obsproc/prepobs_cqcvad.sh | 101 - .../theia/obsproc/prepobs_makeprepbufr.sh | 2466 -------------- .../theia/obsproc/prepobs_oiqcbufr.sh | 152 - .../theia/obsproc/prepobs_prepacqc.sh | 211 -- .../theia/obsproc/prepobs_prevents.sh | 118 - .../theia/obsproc/prepobs_profcqc.sh | 97 - .../theia/obsproc/prepobs_syndata.sh | 209 -- .../theia/post/global_nceppost.sh | 501 --- .../theia/post/global_nceppost.txt | 2 - .../outofcontrol_scripts/theia/prep/getges.sh | 1385 -------- .../theia/prep/getges.txt | 1 - .../theia/prep/prepobs_makeprepbufr.sh | 2466 -------------- .../theia/prep/prepobs_makeprepbufr.txt | 1 - .../wcoss_c/dump/exglobal_dump.sh.ecf | 1732 ---------- .../wcoss_c/dump/exglobal_dump.sh.ecf.txt | 1 - .../obsproc/exglobal_makeprepbufr.sh.ecf | 578 ---- .../obsproc/exglobal_makeprepbufr.sh.ecf.txt | 1 - .../wcoss_c/obsproc/getges.sh | 1385 -------- .../wcoss_c/obsproc/getges.txt | 1 - .../wcoss_c/obsproc/getges_sig.sh | 3000 ----------------- .../wcoss_c/obsproc/prepobs_cqcvad.sh | 101 - .../wcoss_c/obsproc/prepobs_cqcvad.txt | 1 - .../wcoss_c/obsproc/prepobs_makeprepbufr.sh | 2466 -------------- .../wcoss_c/obsproc/prepobs_makeprepbufr.txt | 1 - .../wcoss_c/obsproc/repobs_makeprepbufr.txt | 1 - .../wcoss_c/post/global_nceppost.sh | 501 --- .../wcoss_c/post/global_nceppost.txt | 1 - .../wcoss_c/prep/getges.sh | 1385 -------- .../wcoss_c/prep/getges.txt | 1 - .../wcoss_c/prep/prepobs_cqcbufr.sh | 127 - .../wcoss_c/prep/prepobs_cqcbufr.txt | 1 - .../wcoss_c/prep/prepobs_cqcvad.sh | 101 - .../wcoss_c/prep/prepobs_cqcvad.txt | 1 - .../wcoss_c/prep/prepobs_makeprepbufr.sh | 2466 -------------- .../wcoss_c/prep/prepobs_makeprepbufr.txt | 1 - .../wcoss_c/prep/prepobs_oiqcbufr.sh | 152 - .../wcoss_c/prep/prepobs_oiqcbufr.txt | 1 - .../wcoss_c/prep/prepobs_prepacqc.sh | 211 -- .../wcoss_c/prep/prepobs_prepacqc.txt | 1 - .../wcoss_c/prep/prepobs_prepdata.txt | 1 - .../wcoss_c/prep/prepobs_prevents.txt | 1 - .../wcoss_c/prep/prepobs_profcqc.txt | 1 - .../wcoss_c/prep/prepobs_syndata.sh | 209 -- .../wcoss_c/prep/prepobs_syndata.txt | 1 - .../wcoss_c/vrfy/gfs_genesis_para_fv3gfs.sh | 108 - .../wcoss_c/vrfy/gfs_genesis_para_fv3gfs.txt | 1 - .../wcoss_c/vrfy/global_extrkr.sh | 1703 ---------- .../wcoss_c/vrfy/global_extrkr.txt | 1 - .../wcoss_c/vrfy/global_tracker.sh | 115 - .../wcoss_c/vrfy/global_tracker.txt | 1 - .../wcoss_c/vrfy/vsdbjob.sh | 356 -- .../wcoss_c/vrfy/vsdbjob.txt | 1 - model/fv3gfs/scripts/exglobal_fcst_crow.sh | 288 -- model/fv3gfs/scripts/exglobal_fcst_crow_df.sh | 236 -- .../fv3gfs/scripts/exglobal_fcst_crow_exe.sh | 176 - model/fv3gfs/scripts/exglobal_fcst_crow_io.sh | 189 -- .../fv3gfs/scripts/exglobal_fcst_original.sh | 911 ----- model/rocoto_style_fv3gfs/_main.yaml | 10 - .../begin_ecflow_workflow.sh | 117 - model/rocoto_style_fv3gfs/dump_waiter.yaml | 37 - model/rocoto_style_fv3gfs/envir-p1.h | 1 - model/rocoto_style_fv3gfs/envir-p2.h | 1 - model/rocoto_style_fv3gfs/envir-xc40.h | 1 - model/rocoto_style_fv3gfs/head.h | 1 - model/rocoto_style_fv3gfs/make-rocoto-xml.py | 18 - .../rocoto_style_fv3gfs/make_next_cycles.yaml | 64 - model/rocoto_style_fv3gfs/model_ver.h | 1 - model/rocoto_style_fv3gfs/post_manager.yaml | 23 - .../remake_ecflow_files_for.sh | 123 - .../resources_C192_C192.yaml | 190 -- .../resources_C768_C384.yaml | 161 - .../sample-config/config.anal | 34 - .../sample-config/config.arch | 25 - .../sample-config/config.base | 252 -- .../sample-config/config.base.default | 253 -- .../sample-config/config.base.nco | 174 - .../sample-config/config.earc | 22 - .../sample-config/config.ecen | 24 - .../sample-config/config.efcs | 61 - .../sample-config/config.eobs | 30 - .../sample-config/config.epos | 21 - .../sample-config/config.eupd | 22 - .../sample-config/config.fcst | 140 - .../sample-config/config.fv3 | 112 - .../sample-config/config.fv3ic | 20 - .../sample-config/config.getic | 27 - .../sample-config/config.nsst | 40 - .../sample-config/config.post | 44 - .../sample-config/config.prep | 21 - .../sample-config/config.prepbufr | 94 - .../sample-config/config.resources | 127 - .../sample-config/config.vrfy | 176 - model/rocoto_style_fv3gfs/schedulers.yaml | 23 - model/rocoto_style_fv3gfs/settings.yaml | 64 - model/rocoto_style_fv3gfs/suite_def.yaml | 374 -- model/rocoto_style_fv3gfs/tail.h | 1 - model/rocoto_style_fv3gfs/task_template.yaml | 149 - .../update_ecflow_workflow.sh | 94 - model/rocoto_style_fv3gfs/validator.yaml | 80 - model/rocoto_style_fv3gfs/workflow.xml | 1728 ---------- model/rocoto_style_fv3gfs/worktools.py | 220 -- notes/aprun-examples.txt | 85 - notes/terry-explanation.txt | 71 - parm/hippie.i | 1337 -------- 217 files changed, 50847 deletions(-) delete mode 100644 README delete mode 100644 TODO.txt delete mode 100644 crow_dataflow_check_sh.py delete mode 100755 crow_dataflow_cycle_sh.py delete mode 100755 crow_dataflow_deliver_sh.py delete mode 100755 crow_dataflow_find_sh.py delete mode 100644 model/ecflow_fv3gfs/_main.yaml delete mode 100644 model/ecflow_fv3gfs/config_files.yaml delete mode 100644 model/ecflow_fv3gfs/config_locations.yaml delete mode 100644 model/ecflow_fv3gfs/dump_waiter.yaml delete mode 100644 model/ecflow_fv3gfs/ecf_file.yaml delete mode 100755 model/ecflow_fv3gfs/load_ecflow_workflow.sh delete mode 100644 model/ecflow_fv3gfs/make_next_cycles.yaml delete mode 100755 model/ecflow_fv3gfs/make_rocoto_xml_for.sh delete mode 100644 model/ecflow_fv3gfs/post_manager.yaml delete mode 100755 model/ecflow_fv3gfs/remake_ecflow_files_for.sh delete mode 100644 model/ecflow_fv3gfs/resources_C192_C192.yaml delete mode 100644 model/ecflow_fv3gfs/resources_C768_C384.yaml delete mode 100644 model/ecflow_fv3gfs/rocoto.yaml delete mode 100644 model/ecflow_fv3gfs/schedulers.yaml delete mode 100644 model/ecflow_fv3gfs/settings.yaml delete mode 100644 model/ecflow_fv3gfs/settings_validator.yaml delete mode 100644 model/ecflow_fv3gfs/suite_def.yaml delete mode 100644 model/ecflow_fv3gfs/task.yaml delete mode 100644 model/ecflow_fv3gfs/task_validator.yaml delete mode 100644 model/ecflow_fv3gfs/totality_limit.def delete mode 100755 model/ecflow_fv3gfs/update_ecflow_workflow.sh delete mode 100644 model/ecflow_fv3gfs/workflow.xml delete mode 100644 model/ecflow_fv3gfs/worktools.py delete mode 100644 model/ecflow_fv3gfs/worktools.sh.inc delete mode 100644 model/fv3gfs/exp/_main.yaml delete mode 100644 model/fv3gfs/exp/actions/_main.yaml delete mode 100644 model/fv3gfs/exp/actions/anal.yaml delete mode 100644 model/fv3gfs/exp/actions/arch.yaml delete mode 100644 model/fv3gfs/exp/actions/base.yaml delete mode 100644 model/fv3gfs/exp/actions/crow_fcst_sh.yaml delete mode 100644 model/fv3gfs/exp/actions/earc.yaml delete mode 100644 model/fv3gfs/exp/actions/ecen.yaml delete mode 100644 model/fv3gfs/exp/actions/efcs.yaml delete mode 100644 model/fv3gfs/exp/actions/eobs.yaml delete mode 100644 model/fv3gfs/exp/actions/eomg.yaml delete mode 100644 model/fv3gfs/exp/actions/epos.yaml delete mode 100644 model/fv3gfs/exp/actions/eupd.yaml delete mode 100644 model/fv3gfs/exp/actions/fcst.yaml delete mode 100644 model/fv3gfs/exp/actions/final.yaml delete mode 100644 model/fv3gfs/exp/actions/ics.yaml delete mode 100644 model/fv3gfs/exp/actions/post.yaml delete mode 100644 model/fv3gfs/exp/actions/prep.yaml delete mode 100644 model/fv3gfs/exp/actions/vrfy.yaml delete mode 100644 model/fv3gfs/exp/cases/August2017_GFS@C384_ENKF@C192+20MEM.yaml delete mode 100644 model/fv3gfs/exp/cases/CROW_exe_Cindy_GFS@C384.yaml delete mode 100644 model/fv3gfs/exp/cases/CROW_io_Cindy_GFS@C384.yaml delete mode 100644 model/fv3gfs/exp/cases/CROW_sh_Cindy_GFS@C384.yaml delete mode 100644 model/fv3gfs/exp/cases/CROW_sh_df_Cindy_GFS@C384.yaml delete mode 100644 model/fv3gfs/exp/cases/Cindy_GFS@C384.yaml delete mode 100644 model/fv3gfs/exp/cases/Harvey_GFS@C192.yaml delete mode 100644 model/fv3gfs/exp/create_comrot.py delete mode 100644 model/fv3gfs/exp/defaults/fv3_enkf.yaml delete mode 100644 model/fv3gfs/exp/defaults/no.yaml delete mode 100644 model/fv3gfs/exp/defaults/places.yaml delete mode 100644 model/fv3gfs/exp/defaults/settings.yaml delete mode 100644 model/fv3gfs/exp/platforms/_main.yaml delete mode 100644 model/fv3gfs/exp/platforms/choice.yaml delete mode 100644 model/fv3gfs/exp/platforms/jet.yaml delete mode 100644 model/fv3gfs/exp/platforms/theia.yaml delete mode 100644 model/fv3gfs/exp/platforms/wcoss_cray.yaml delete mode 100644 model/fv3gfs/exp/resources/resources.yaml delete mode 100644 model/fv3gfs/exp/runtime/_main.yaml delete mode 100644 model/fv3gfs/exp/runtime/crow_exe_fcst_workflow.yaml delete mode 100644 model/fv3gfs/exp/runtime/crow_sh_df_fcst_workflow.yaml delete mode 100644 model/fv3gfs/exp/runtime/crow_sh_fcst_workflow.yaml delete mode 100644 model/fv3gfs/exp/runtime/cycled_workflow.yaml delete mode 100644 model/fv3gfs/exp/runtime/gfs_forecast_workflow.yaml delete mode 100644 model/fv3gfs/exp/runtime/rocoto.yaml delete mode 100644 model/fv3gfs/exp/runtime/runtime.yaml delete mode 100755 model/fv3gfs/exp/setup_case.py delete mode 100755 model/fv3gfs/exp/test_sections.py delete mode 100644 model/fv3gfs/exp/user.yaml.default delete mode 100644 model/fv3gfs/exp/validation/_main.yaml delete mode 100644 model/fv3gfs/exp/validation/accounting.yaml delete mode 100644 model/fv3gfs/exp/validation/case.yaml delete mode 100644 model/fv3gfs/exp/validation/chgres.yaml delete mode 100644 model/fv3gfs/exp/validation/data_assimilation.yaml delete mode 100644 model/fv3gfs/exp/validation/fv3.yaml delete mode 100644 model/fv3gfs/exp/validation/fv3_forecast.yaml delete mode 100644 model/fv3gfs/exp/validation/obsproc.yaml delete mode 100644 model/fv3gfs/exp/validation/output.yaml delete mode 100644 model/fv3gfs/exp/validation/vrfy.yaml delete mode 100644 model/fv3gfs/exp/validation/workflow.yaml delete mode 100755 model/fv3gfs/jobs/anal.sh delete mode 100755 model/fv3gfs/jobs/arch.sh delete mode 100755 model/fv3gfs/jobs/drive_makeprepbufr.sh delete mode 100755 model/fv3gfs/jobs/earc.sh delete mode 100755 model/fv3gfs/jobs/ecen.sh delete mode 100755 model/fv3gfs/jobs/efcs.sh delete mode 100755 model/fv3gfs/jobs/eobs.sh delete mode 100755 model/fv3gfs/jobs/eomg.sh delete mode 100755 model/fv3gfs/jobs/epos.sh delete mode 100755 model/fv3gfs/jobs/eupd.sh delete mode 100755 model/fv3gfs/jobs/fcst.sh delete mode 100755 model/fv3gfs/jobs/fcst_df.sh delete mode 100755 model/fv3gfs/jobs/fv3ic.sh delete mode 100755 model/fv3gfs/jobs/fv3ic_df.sh delete mode 100755 model/fv3gfs/jobs/getic.sh delete mode 100755 model/fv3gfs/jobs/job_wrapper.sh delete mode 100755 model/fv3gfs/jobs/post.sh delete mode 100755 model/fv3gfs/jobs/prep.sh delete mode 100755 model/fv3gfs/jobs/vrfy.sh delete mode 100755 model/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_dump.sh.ecf delete mode 100755 model/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_makeprepbufr.sh.ecf delete mode 100755 model/fv3gfs/outofcontrol_scripts/theia/obsproc/getges.sh delete mode 100755 model/fv3gfs/outofcontrol_scripts/theia/obsproc/getges_sig.sh delete mode 100755 model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcbufr.sh delete mode 100755 model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcvad.sh delete mode 100755 model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_makeprepbufr.sh delete mode 100755 model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_oiqcbufr.sh delete mode 100755 model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prepacqc.sh delete mode 100755 model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prevents.sh delete mode 100755 model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_profcqc.sh delete mode 100755 model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_syndata.sh delete mode 100755 model/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.sh delete mode 100644 model/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.txt delete mode 100755 model/fv3gfs/outofcontrol_scripts/theia/prep/getges.sh delete mode 100644 model/fv3gfs/outofcontrol_scripts/theia/prep/getges.txt delete mode 100755 model/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.sh delete mode 100644 model/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.txt delete mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf delete mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf.txt delete mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf delete mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf.txt delete mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.sh delete mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.txt delete mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges_sig.sh delete mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.sh delete mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.txt delete mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.sh delete mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.txt delete mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/repobs_makeprepbufr.txt delete mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.sh delete mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.txt delete mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.sh delete mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.txt delete mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.sh delete mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.txt delete mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.sh delete mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.txt delete mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.sh delete mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.txt delete mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.sh delete mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.txt delete mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.sh delete mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.txt delete mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepdata.txt delete mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prevents.txt delete mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_profcqc.txt delete mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.sh delete mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.txt delete mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/gfs_genesis_para_fv3gfs.sh delete mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/gfs_genesis_para_fv3gfs.txt delete mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_extrkr.sh delete mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_extrkr.txt delete mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_tracker.sh delete mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_tracker.txt delete mode 100755 model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/vsdbjob.sh delete mode 100644 model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/vsdbjob.txt delete mode 100755 model/fv3gfs/scripts/exglobal_fcst_crow.sh delete mode 100755 model/fv3gfs/scripts/exglobal_fcst_crow_df.sh delete mode 100755 model/fv3gfs/scripts/exglobal_fcst_crow_exe.sh delete mode 100755 model/fv3gfs/scripts/exglobal_fcst_crow_io.sh delete mode 100755 model/fv3gfs/scripts/exglobal_fcst_original.sh delete mode 100644 model/rocoto_style_fv3gfs/_main.yaml delete mode 100755 model/rocoto_style_fv3gfs/begin_ecflow_workflow.sh delete mode 100644 model/rocoto_style_fv3gfs/dump_waiter.yaml delete mode 120000 model/rocoto_style_fv3gfs/envir-p1.h delete mode 120000 model/rocoto_style_fv3gfs/envir-p2.h delete mode 120000 model/rocoto_style_fv3gfs/envir-xc40.h delete mode 120000 model/rocoto_style_fv3gfs/head.h delete mode 100755 model/rocoto_style_fv3gfs/make-rocoto-xml.py delete mode 100644 model/rocoto_style_fv3gfs/make_next_cycles.yaml delete mode 120000 model/rocoto_style_fv3gfs/model_ver.h delete mode 100644 model/rocoto_style_fv3gfs/post_manager.yaml delete mode 100755 model/rocoto_style_fv3gfs/remake_ecflow_files_for.sh delete mode 100644 model/rocoto_style_fv3gfs/resources_C192_C192.yaml delete mode 100644 model/rocoto_style_fv3gfs/resources_C768_C384.yaml delete mode 100755 model/rocoto_style_fv3gfs/sample-config/config.anal delete mode 100755 model/rocoto_style_fv3gfs/sample-config/config.arch delete mode 100644 model/rocoto_style_fv3gfs/sample-config/config.base delete mode 100755 model/rocoto_style_fv3gfs/sample-config/config.base.default delete mode 100755 model/rocoto_style_fv3gfs/sample-config/config.base.nco delete mode 100755 model/rocoto_style_fv3gfs/sample-config/config.earc delete mode 100755 model/rocoto_style_fv3gfs/sample-config/config.ecen delete mode 100755 model/rocoto_style_fv3gfs/sample-config/config.efcs delete mode 100755 model/rocoto_style_fv3gfs/sample-config/config.eobs delete mode 100755 model/rocoto_style_fv3gfs/sample-config/config.epos delete mode 100755 model/rocoto_style_fv3gfs/sample-config/config.eupd delete mode 100755 model/rocoto_style_fv3gfs/sample-config/config.fcst delete mode 100755 model/rocoto_style_fv3gfs/sample-config/config.fv3 delete mode 100755 model/rocoto_style_fv3gfs/sample-config/config.fv3ic delete mode 100755 model/rocoto_style_fv3gfs/sample-config/config.getic delete mode 100755 model/rocoto_style_fv3gfs/sample-config/config.nsst delete mode 100755 model/rocoto_style_fv3gfs/sample-config/config.post delete mode 100755 model/rocoto_style_fv3gfs/sample-config/config.prep delete mode 100755 model/rocoto_style_fv3gfs/sample-config/config.prepbufr delete mode 100755 model/rocoto_style_fv3gfs/sample-config/config.resources delete mode 100755 model/rocoto_style_fv3gfs/sample-config/config.vrfy delete mode 100644 model/rocoto_style_fv3gfs/schedulers.yaml delete mode 100644 model/rocoto_style_fv3gfs/settings.yaml delete mode 100644 model/rocoto_style_fv3gfs/suite_def.yaml delete mode 120000 model/rocoto_style_fv3gfs/tail.h delete mode 100644 model/rocoto_style_fv3gfs/task_template.yaml delete mode 100755 model/rocoto_style_fv3gfs/update_ecflow_workflow.sh delete mode 100644 model/rocoto_style_fv3gfs/validator.yaml delete mode 100644 model/rocoto_style_fv3gfs/workflow.xml delete mode 100644 model/rocoto_style_fv3gfs/worktools.py delete mode 100644 notes/aprun-examples.txt delete mode 100644 notes/terry-explanation.txt delete mode 100644 parm/hippie.i diff --git a/README b/README deleted file mode 100644 index e7bd72b..0000000 --- a/README +++ /dev/null @@ -1,326 +0,0 @@ -Basic aspects of front-end: - - # Read YAML files: - config=crow.config.from_files('file1.yaml','file2.yaml',...) - - # Convert back to YAML - text=crow.config.to_yaml(config) - - # Read YAML from a string: - config2=crow.config.from_string(text) - - # Should match - assert(config=config2) - -Calculations: - - !calc a+b+c - Perform a calculation in local scope - eval('a+b+c',...) - - !expand {tools.realpath(dir1)}/file - Literal string extrapolation in local scope - - eval( f'{tools.realpath(dir1)}/file' ,...) - - - Perl: "${VARNAME}${VARNAME2}" - Shell: "${VARNAME}${VARNAME2}" - Shell: "$( echo $VARNAME$VARNAME2 )" - Python 3.6: f'{VARNAME + VARNAME2}' - Ruby: "#{VARNAME + VARNAME2}" - - Python 3.6 and Ruby can embed arbitrary code - - The !expand is a simple wrapper around this. - -Globals within !calc and !expand: - - doc = top-level object read from YAML - tools = crow.config.tools.CONFIG_TOOLS. A set of utilities for simple calculations. - -Conditionals: - - Choose the first item that is the maximum - scrub: !FirstMax - - when: !calc tools.panasas_gb(do) - do: /scratch3/NCEPDEV/stmp1 - - when: !calc tools.panasas_gb(do) - do: /scratch3/NCEPDEV/stmp2 - - do: /scratch4/NCEPDEV/stmp3 - when: !calc tools.panasas_gb(do) - - do: /scratch4/NCEPDEV/stmp4 - when: !calc tools.panasas_gb(do) - - Also existing: - !FirstMax - !FirstMin - !LastTrue - !FirstTrue - suitable for if/elif/else - -!Platform: represents a computing environment - - theia: &theia !Platform - ... - detect: !calc tools.isdir('/scratch4') and tools.isdir('/scratch3') - - wcoss_cray: &wcoss_cray !Platform - ... - detect: !calc tools.isdir('/gpfs/hps') and tools.isfile('/etc/SuSE-release') - - platform: !FirstTrue - - do: *wcoss_cray - when: !calc do.detect - - do: *theia - when: !calc do.detect - -!Action: represents an action to perform, such as the post.sh - - fcst: &fcst !Action - Template: *fcst_vars - do_vort_damp: true - consv_te: 0. - int_array: [ 1, 2, 3, 4, 5 ] - string_array: [ a, b, c, d, e ] - mp_phys: !calc 5+5 - -!Template: validation logic for dictionary (mapping) types - Checks if variables match requirements. Substitutes defaults if missing. - crow/config/template.py - - fcst_vars: &fcst_vars !Template - int_array: - type: int list - string_array: - type: string list - bool_array: - type: bool list - default: [ true, false, true ] - mp_phys: - # validation information for mp_phys variable - type: int - allowed: [ 10, 20, 30 ] - description: "MIcrophysics scheme selection" - if_present: !FirstTrue - - when: !calc mp_phys==10 - do: - b: - type: int - description: 'Sample integer b' - dog: - type: string - default: 'brown' - -Special if_present: is executed if the variable is present. If a==10, -then the more_vars are added to the validation. - ------------------------------------------------------------------------- - -!Task, !Family, !Cycle - for defining workflows - -Terminology and functionality is based on ecFlow - -A !Task is a batch job. -A !Family is a group of families and tasks -A !Cycle is the top level. It must contain a !Clock, and at least one !Task or !Family - -sim_length: !timedelta 12d18:00:00 - -my_fancy_workflow: !Cycle - Clock: - start: 2017-02-14t18:00:00 - end: !calc start+doc.sim_length - step: !timedelta 06:00 - - gdas: !Family - Trigger: !depend gdas.at('-6:00') - prep: !Task - Perform: *gfsprep - fcst: !Task - Perform: null - Trigger: !Depend anal - Time: !timedelta 3:15 - Complete: !Depend suite.has_cycle('-6:00') | ~ prep.at('-6:00') - other_fcst: !Task - Perform: 5 - Trigger: !Depend anal - Time: !timedelta 3:15 - Complete: !Depend suite.has_cycle('-6:00') | ~ prep.at('-6:00') - -!Clock - defines cycles to run. Every task and family in the !Cycle -exists at every time defined by the !Clock. Has a start datetime, an -end datetime, and a step timedelta. - - start: 2017-02-14t18:00:00 - end: !calc start+doc.sim_length - step: !timedelta 06:00 - -Contents of a !Task or !Family: - - Perform - can be any object. Meaning is user-defined. - Trigger: !Depend - do not start task or family until this dependency is met - Complete: !Depend - task or family is considered complete (never run) - if this condition is met - Time: !timedelta - task or family is not met until this time, relative to the - clock time for this cycle - -Dependencies for a !Task include dependencies for all ancestor families. - ------------------------------------------------------------------------- - -Rocoto workflows - -Bare minimum required. Will generate an empty workflow: - -Rocotp XML comes from suite.Rocoto.workflow_xml which can be any -string, or any expression that generates a string. This is the -simplest possible workflow. It will generate an empty workflow (no - tags) - -my_fancy_workflow: !Cycle - Rocoto: - scheduler: - name: MoabTorque - physical_cores_per_node: 24 - logical_cpus_per_core: 2 - hyperthreading_allowed: true - indent_text: " " - workflow_install: /tmp - workflow_xml: !expand | - - - ]> - - - &WORKFLOW_INSTALL;/logs/@Y@m@d@H.log - - - Clock: - start: 2017-02-14t18:00:00 - end: 2017-02-19t12:00:00 - step: !timedelta 06:00 - -To generate a useful workflow (one with tasks), you need to include -logic for that in your workflow_xml: - - indent_text: " " - workflow_xml: !expand | - - - ]> - - - &WORKFLOW_INSTALL;/logs/@Y@m@d@H.log - - {to_rocoto.make_time_xml(indent=2)} - {to_rocoto.make_task_xml(indent=2)} - - -The to_rocoto is a global variable that exists only within a suite -definition that is being converted to Rocoto. It corresponds to an -instance of this class: - - crow.metascheduler.rocoto.ToRocoto - -These two functions know how to walk the YAML object tree to generate -the cycledefs and tasks: - - {to_rocoto.make_time_xml(indent=2)} - {to_rocoto.make_task_xml(indent=2)} - -The indent=2 just tells the indentation level (spaces) of each line. -The indentation is indent*indent_text - -The make_time_xml uses the !Clock declaration - -The make_task_xml uses the Rocoto: blocks inside each task - -my_fancy_workflow: !Cycle - Rocoto: - scheduler: - name: MoabTorque - physical_cores_per_node: 24 - logical_cpus_per_core: 2 - hyperthreading_allowed: true - ... - gdas: !Family - prep: !Task - Complete: !Depend ~ suite.has_cycle('-6:00') - Perform: *gfsprep - Rocoto: !expand | - /bin/true - {sched.rocoto_accounting(suite.Rocoto.accounting)} - {sched.rocoto_resources(Perform.resources)} - -The contents of the becomes: - - - ... contents generated by Task's Rocoto variable ... - - ... contents generated from Trigger, Complete, Time - dependencies within !Families and !Tasks ... - - - -Families are generated via s because that is the only -straightforward way in Rocoto. Each !Family corresponds to one - - ------------------------------------------------------------------------- - -Notice this bit. It generates the , , etc. for each task. - - {sched.rocoto_accounting(suite.Rocoto.accounting)} - {sched.rocoto_resources(Perform.resources)} - -The sched is a special global variable referring to the scheduler. For example, - - crow.sysenv.schedulers.MoabTorque.Scheduler - -This is specified in the suite definition here: - -my_fancy_workflow: !Cycle - Rocoto: - scheduler: - name: MoabTorque - physical_cores_per_node: 24 - logical_cpus_per_core: 2 - hyperthreading_allowed: true - indent_text: " " - -Notice "Perform.resources" is passed to rocoto_resources. That is a -JobResourceSpec from here: - -fcst: &fcst !Action - resources: # Definition of a serial, openmp, or MPI program - # Each list item is a block of identical MPI ranks. - # FOr a serial or pure openmp program, use one list item - - mpi_ranks: 12 # number of MPI ranks in this block - OMP_NUM_THREADS: 1 # number of threads per rank - exe: atmos # executable to run - HydraIMPI_extra: '-envall' # special value specific to IMPI in Hydra - - mpi_ranks: 48 # number of ranks for this second block - exe: ocean # executable for second block - -Note that the system is designed for MPMD by default. SPMD is a -special case: provide the same exe for every block of ranks. - -The contents of "resources:" is turned into a -crow.sysenv.jobs.JobResourceSpec, sent into -crow.sysenv.schedulers.MoabTorque.Scheduler.rocoto_resources, and -dumped into the XML for that task. - ------------------------------------------------------------------------- - -Suggested way to handle top-level options for users who don't want to -look at the contents. - -options: - Template: *options_verification - fcst_length: !timedelta 12d18:00:00 - ensemble_members: 80 - project: fv3-cpu \ No newline at end of file diff --git a/TODO.txt b/TODO.txt deleted file mode 100644 index 2f0f915..0000000 --- a/TODO.txt +++ /dev/null @@ -1,290 +0,0 @@ -TO DO LIST - -For python scripting and python configuration. - ------------------------------------------------------------------------- -CONNECT SHELL EXGLOBAL FORECAST SCRIPT TO CROW - -* Move all configurable variables to YAML level -* Generate namelists via to_sh.py expand:... -* Get all configurable variables from to_sh.py VAR=expr -* Execute NEMS.x via to_sh.py - -Prerequisites: - REPLACE FV3GFS WORKFLOW - GENERATION OF MPI/OPENMP LAUNCHERS (can rewrite other parts of - script while waiting for this) - WORKFLOW ON CRAY (can work on Theia while waiting for this) - NAMELIST GENERATION (or suitable workaround via tools.fort(...)) - ------------------------------------------------------------------------- -REPLACE SHELL FORECAST SCRIPT WITH PYTHON - -After the "CONNECT SHELL EXGLOBAL FORECAST SCRIPT TO CROW" is done, -the script should be very short. Mostly, it will be a few file -creations/modifications, executing a few programs, and calling -to_sh.py a bunch of times. Such actions can be expressed concisely -in Python. - -There may be more complicated problems, like a big grep/sed/awk -pipeline, which will require special care. - -Prerequisite: CONNECT SHELL EXGLOBAL FORECAST SCRIPT TO CROW - ------------------------------------------------------------------------- -ACTUAL WORKFLOW IN YAML - -Modify examples/workflow to generate the actual Rocoto XML for the gfs -workflow, rather than a fake system. - -1. The dependencies need to be updated. -2. The resource requirements need to be updated. -3. The shell commands need to be replaced with the actual job script names. - ------------------------------------------------------------------------- -REPLACE FV3GFS WORKFLOW - -- Replace the config.* files with wrappers around the YAML system. - -- Update the jobs to use the to_sh.py to get the relevant variables. - -- Replace fv3gfs/ush/setup*py with new scripts that are wrapped around - YAML files. - -- Find a way to embed the CROW repository within the fv3gfs repository - OR create a modulefile and install tags of CROW in a standard area. - -Prerequisites: - ACTUAL WORKFLOW IN YAML - WORKFLOW ON CRAY - DELAYED VALIDATION (or suitable workaround in setup*py scripts) - ------------------------------------------------------------------------- -EVANT/DATA DEPENDENCIES IN WORKFLOW SUITE - -The GFS workflow has two types of event dependencies: - -1. The post job for hour X must wait to start until the forecast data -is available for hour X. - -2. The prep must wait for observations. These observations come from -outside the workflow. - -In Rocoto, such problems are dealt with via data dependencies. This -would require placing disk location awareness at the workflow suite -level. The ecFlow approach is to use events. This allows a clean -separation between the workflow and dataflow. In Rocoto, events can -be implemented via flag files. Either way, we need a dataflow system -or a temporary kludge to replace one. - -A solution must be implemented soon (~1 week) to support real-time -parallels and running the post in parallel with the forecast. - ------------------------------------------------------------------------- -WORKFLOW ON CRAY - -Extend the mpi/openmp launcher work to the WCOSS Cray. Extend the -Rocoto generation to WCOSS Cray. - ------------------------------------------------------------------------- -SUPPORT FOR THEIA MPICH - -Add something in crow.sysenv.parallelize to support MPICH on Theia. -Only IMPI is supported right now. - ------------------------------------------------------------------------- -SET LAZY EVALUATION (set_eval) - -Lists, dicts, and most other types use lazy evaluation for embedded -calculations. Sets calculate at parse time, which is bad for a number -of reasons. This needs to be fixed. The fix is to add a set_eval -class in eval_tools that stores the pre-calculation data in an -internal list (in self.__child) and generates the actual set (in -self.__cache) only when it is needed. The set_eval would need to be -immutable, unless it invalidates the cache after any change to the -set. - - - ------------------------------------------------------------------------- -DELAYED VALIDATION - -when a mapping (dict_eval) validates itself via a !Template, any -calculation referred to by the !Template is done during validation. -That means, for example, if you want a date calculation to be done -inside the batch job, that calculation would need to be ommitted -entirely from the YAML that is sent to the setup_expt script. In -order to avoid that, we need to add a way to delay the validation of -such variables until runtime. There are two ways I can think of to -handle that: - -1. Have a two-stage validation process. The first stage, run just -after parsing, does not validate anything that requires a calculation. -The second stage can be requested by explicitly running some function, -like crow.config.validate(dict_eval) - -2. Allow the YAML to customize the validation for each mapping -somehow. For example, you could update the validation code so that a -"null" value for a key in the template means the variable should not -be validated. This would be more error-prone, but it is trivial to -implement. - - fcst: - fix_path: !calc ENV["FIX_PATH"] - crtm_fix_path: !expand {fix_path}/crtm-{crtm_version} - Template: - <<: *fcst_vars - # Do not validate crtm_fix_path - crtm_fix_path: null - - - ------------------------------------------------------------------------- -NAMELIST GENERATION - -Presently, you can easily do string expansion via !expand: - - block: - a: 5 - b: 6 - my_text: !expand | - a is {a} - b is {b} - -That will produce: - -a is 5 -b is 6 - -but it is not so easy to generate namelists because the default string -representation of everything is the Python representation. I added a -tools.fort() function to generate a fortran namelist syntax, but it -makes the text long: - - block: - list1: [ 5, 6, 7 ] - list2: [ a, b, c ] - my_namelist: !expand| - &my_namelist - list1: {tools.fort(list1)} - list2: {tools.fort(list2)} - / - -That will produce: - -&my_namelist - list1: 5, 6, 7 - list2: 'a', 'b', 'c' - -I see a few ways of resolving this: - -Option 1: - -Generate from YAML code. This is the easiest way, and -is reasonably powerful. If the user needs something more -sophisticated, they can use !expand or !calc to generate a fancy -namelist. - - block: - my_namelist: !Namelist - list1: [ 5, 6, 7 ] - list2: [ a, b, c ] - -Note that we cannot refer to list1 and list2 at the block level from -within my_namelist because my_namelist is in a different scope. This -reduces the power of the feature. - -Option 2: - -The issue in Option 1 can be resolved by using an omap and having the -!Namelist evaluate all expressions in the context of the parent scope. -This would be non-trivial to implement, but feasible. - - block: - list1: [ 5, 6, 7 ] - list2: [ a, b, c ] - my_namelist: !Namelist - # Note: the calculations refer to the parent scope; the - # block-level list1 and list2. - - list1: !calc list1 - - list2: !calc list2 - -However, that means that the namelist will be evaluated in the scope -in which it was originally declared. That is not a terminal problem -because the YAML can always copy the namelist instead of pointing to -it, if it is needed more than once. - -Option 3: - -Implicitly add tools.fort() around everything in a {} - - block: - list1: [ 5, 6, 7 ] - list2: [ a, b, c ] - my_namelist: !to_namelist | - list1 = {list1} - list2 = {list2} - -There are a few problems with that: - -1. This is very hard to implement. It will require complicated -manipulation of the text within the my_namelist. The {} contents are -Python expressions. Python expressions cannot be parsed with a single -regular expression (re) because they contain balanced, nested, -parenthesis. (It is provably impossible to parse nested parentheses -with a regular expression.) Alternatively, you could write a custom -parser of the string, which would be easier to implement but less -powerful. - -2. There is no standard fortran way of expressing datetimes or -timedeltas. Hence, they will be impossible to express directly in a -!to_namelist block. - -3. The user cannot specify numeric or date formatting information -because the result of tools.fort() is already converted to a string. - - - - ------------------------------------------------------------------------- - -TASK ARRAYS (TaskArray) - -Add the capability of having an array of nearly-identical tasks in a -suite. - - enkf: !TaskArray - Index: imem - Values: !calc tools.seq(1,80) ] - Name: !expand mem{imem:03d} - emem: !Task - Perform: *gdasenkf - Rocoto: *my_enkf_template - Trigger: !anal - -That would expand out to 80 "emem" tasks with imem set to a number -from 1 to 80 for each one. - -One way to implement this is: - -1. TaskArrayYAML in from_yaml.py, TaskArray in tasks.py and -to_yaml.py, associated reading/converting logic. - -2. When a SuiteView sees a TaskArray, it needs to automatically -replace it with a Family with one Family for each imem. - -3. During the replacement process (#2), the Task, Family, and -TaskArray objects underneath must be duplicated, with "imem" set in -each one. This must be a shallow copy, so we don't duplicate the -vast YAML object tree. - -4. As in #3, all direct children of a Task, Family, and TaskArray must -be copied (shallow) and imem set in each copy. - -That is computationally expensive and will use up lots of memory if -there is a large number of tasks. - -There may be some more clever way to implement this while avoiding -duplicating anything. I suspect a TaskArrayView subclass of SuiteView -may be able to do it, if it is implemented in a clever way. I don't -know what that clever way is though. diff --git a/crow_dataflow_check_sh.py b/crow_dataflow_check_sh.py deleted file mode 100644 index e5b05cc..0000000 --- a/crow_dataflow_check_sh.py +++ /dev/null @@ -1,79 +0,0 @@ -#! /usr/bin/env python3.6 -import logging, sys -from getopt import getopt -from crow.dataflow import Dataflow -from crow.tools import shell_to_python_type - -def usage(why): - sys.stderr.write('''Format: crow_dataflow_find_sh.py [-v] (I|O) [ search parameters ] - -v = verbose - I = input slot - O = output slot - actor=path.to.actor = actor producing or consuming data - slot=slot_name = name of input or output slot - other=other = slot property\n''') - sys.stderr.write(why+'\n') - exit(1) - -def main(): - (optval,args) = getopt(sys.argv[1:],'v') - options=dict(optval) - if len(args)<2: - usage('specify database file and flow') - - level=logging.DEBUG if '-v' in options else logging.INFO - logging.basicConfig(stream=sys.stderr,level=level) - logger=logging.getLogger('crow_dataflow_sh') - - logger.info('top of script') - - dbfile, flow = args[0:2] - - if flow not in 'OI': - usage(f"flow must be O (output) or I (input) not {flow}") - - primary={ 'flow':flow, 'actor':None, 'slot':None } - meta={} - for arg in args[2:]: - split=arg.split('=',1) - if len(split)!=2: - usage(f'{arg}: arguments must be var=value') - ( var, strvalue ) = split - value=shell_to_python_type(strvalue) - if var in primary: - primary[var]=value - else: - meta[var]=value - - logger.info(f'{dbfile}: open sqlite3 database') - db=Dataflow(dbfile) - if flow == 'O': - find=db.find_output_slot - message='find output slots' - else: - find=db.find_input_slot - message='find input slots' - - if primary['actor']: - message+=f' actor={primary["actor"]}' - else: - message+=' for all actors' - if primary['slot']: message+=f' slot={primary["slot"]}' - if meta: - message+=' meta: ' - for k,v in meta: - message+=f' {k}={v}' - - logger.info(message) - db.dump(sys.stderr) - for slot in find(primary['actor'],primary['slot'],meta): - localmeta=slot.get_meta() - sys.stderr.write(f'{slot} meta = {localmeta}\n') - if localmeta: - metas=[ f'{k}={v}' for k,v in localmeta.items() ] - print(f'{slot.flow} {slot.actor} {slot.slot} {" ".join(metas)}') - else: - print(f'{slot.flow} {slot.actor} {slot.slot}') - -if __name__ == '__main__': - main() diff --git a/crow_dataflow_cycle_sh.py b/crow_dataflow_cycle_sh.py deleted file mode 100755 index b49c85c..0000000 --- a/crow_dataflow_cycle_sh.py +++ /dev/null @@ -1,50 +0,0 @@ -#! /usr/bin/env python3.6 - - -import sys, logging, shutil -from getopt import getopt -from contextlib import suppress -from crow.dataflow import Dataflow -from datetime import datetime - -ALLOWED_DATE_FORMATS=[ '%Y-%m-%dt%H:%M:%S', '%Y-%m-%dT%H:%M:%S', - '%Y-%m-%d %H:%M:%S', '%Y%m%d%H', '%Y%m%d%H%M' ] -def usage(why): - sys.stderr.write('''Format: crow_dataflow_cycle_sh.py [-v] file.db (add|del) cycle --v = be verbose -file.db = sqlite3 database with state information -add = start the cycle by copying template output records to cycle-specific ones -del = delete all output records for this cycle -cycle = cycle in posix format: YYYY-MM-DDtHH:MM:SS -''') - sys.stderr.write(why+'\n') - exit(1) - -def main(): - (optval, args) = getopt(sys.argv[1:],'o:i:vm') - options=dict(optval) - - level=logging.DEBUG if '-v' in options else logging.INFO - logging.basicConfig(stream=sys.stderr,level=level) - logger=logging.getLogger('crow_dataflow_sh') - - if len(args) != 3: usage("give exactly three non-option arguments") - - dbfile, adddel, cyclestr = args[0:3] - if adddel not in [ 'add', 'del' ]: usage('Specify "add" or "del"') - - cycle=None - for fmt in ALLOWED_DATE_FORMATS: - with suppress(ValueError): - cycle=datetime.strptime(cyclestr,fmt) - break - if cycle is None: usage(f'unknown cycle format: {cyclestr}') - - db=Dataflow(dbfile) - logger.info(f'{dbfile}: {adddel} cycle {cycle:%Y-%m-%dt%H:%M:%S}') - - if adddel=='add': db.add_cycle(cycle) - else: db.del_cycle(cycle) - -if __name__ == '__main__': - main() diff --git a/crow_dataflow_deliver_sh.py b/crow_dataflow_deliver_sh.py deleted file mode 100755 index a3a9d58..0000000 --- a/crow_dataflow_deliver_sh.py +++ /dev/null @@ -1,177 +0,0 @@ -#! /usr/bin/env python3.6 - -import sys, logging, shutil -from getopt import getopt -from contextlib import suppress -from crow.dataflow import Dataflow -from datetime import datetime -from crow.tools import shell_to_python_type - -ALLOWED_DATE_FORMATS=[ '%Y-%m-%dt%H:%M:%S', '%Y-%m-%dT%H:%M:%S', - '%Y-%m-%d %H:%M:%S', '%Y%m%d%H', '%Y%m%d%H%M' ] - -USAGE='''Format: crow_dataflow_sh.py [-v] [-m] ( -i input | -o output ) \\ - dataflow.db cycle actor var=value [var=value [...]] - -c = just check for files; don't deliver them - -m = expect multiple matches; -i or -o are formats instead of paths - -v = verbose (set logging level to logging.DEBUG) - -i input = local file to deliver to an output slot or "-" for stdin - -o output = local file to receive data from an input slot or "-" for stdout - dataflow.db = sqlite3 database file with state information - cycle = forecast cycle in ISO format: 2019-08-15t13:08:14 - actor = actor (job) producing the data (period-separated: path.to.actor) - slot=slotname = name of slot that produces or consumes the data - var=type::value = specify type of value: int, float, bool, str -''' - -def usage(why): - sys.stderr.write(USAGE) - sys.stderr.write(why+'\n') - exit(1) - -def deliver_by_name(logger,flow,local,message,check): - logger.debug(f'{message.actor}.{message.slot} (meta={locals}): deliver by name from {local}') - if check: - strloc=local - if local == '-' and flow=='O': strloc='(stdin)' - if local == '-' and flow=='I': strloc='(stdout)' - avail=message.availability_time() - when='0' - if avail: - when=datetime.fromtimestamp(avail).strftime('%Y-%m-%dt%H:%M:%S') - localmeta=message.get_meta() - if localmeta: - metas=[ f'{k}={v}' for k,v in localmeta.items() ] - print(f'{bool(avail)} ({when}) - {message.flow} {message.actor} ' - f'{message.slot} {" ".join(metas)}') - else: - print(f'{bool(avail)} ({when}) - {message.flow} {message.actor} ' - f'{message.slot}') - elif local != '-': - if flow == 'O': - message.deliver(local) - else: - message.obtain(local) - elif flow=='I': - with message.open('rb') as in_fd: - shutil.copyfileobj(in_fd,sys.stdout.buffer) - elif flow=='O': - with message.open('wb') as out_fd: - data=sys.stdin.buffer.read() - logger.info(f'write {data}') - #shutil.copyfileobj(sys.stdin.buffer,out_fd) - out_fd.write(data) - -def slot_meta_iter(slot,meta): - for k,v in meta.items(): - if isinstance(v,list): - for item in v: - newmeta=dict(meta) - newmeta[k]=item - for s,m in slot_meta_iter(slot,newmeta): - yield s,m - return - yield slot,meta - -def deliver_by_format(logger,flow,format,message,check): - if "'''" in format: - raise ValueError(f"{format}: cannot contain three single quotes " - "in a row '''") - globals={ 'actor':message.actor, 'slot':message.slot, 'flow':message.flow, - 'cycle':message.cycle } - for slot,meta in slot_meta_iter(message,message.get_meta()): - logger.debug(f'{message.actor}.{message.slot} (meta={meta}): filename format {format}') - local_file=eval("f'''"+format+"'''",globals,meta) - logger.debug(f'{message.actor}.{message.slot} (meta={meta}): deliver by format from {local_file}') - deliver_by_name(logger,flow,local_file,message,check) - -def has_meta_lists(slot): - meta=slot.get_meta() - for k,v in meta.items(): - if isinstance(v,list): return True - return False - -def main(): - (optval, args) = getopt(sys.argv[1:],'o:i:vmc') - options=dict(optval) - - level=logging.DEBUG if '-v' in options else logging.INFO - logging.basicConfig(stream=sys.stderr,level=level) - logger=logging.getLogger('crow_dataflow_sh') - - if ( '-i' in options ) == ( '-o' in options ): - usage('specify exactly one of -o and -i') - - flow = 'O' if '-i' in options else 'I' - - if len(args)<4: - usage('specify dataflow db file, cycle, actor, and at least one var=value') - - ( dbfile, cyclestr, actor ) = args[0:3] - cycle=None - for fmt in ALLOWED_DATE_FORMATS: - with suppress(ValueError): - cycle=datetime.strptime(cyclestr,fmt) - break - if cycle is None: usage(f'unknown cycle format: {cyclestr}') - - slot=None - meta={} - for arg in args[3:]: - split=arg.split('=',1) - if len(split)!=2: - usage(f'{arg}: arguments must be var=value') - ( var, strvalue ) = split - value=shell_to_python_type(strvalue) - if var=='slot': - slot=value - elif var=='flow': - usage(f'{arg}: cannot set flow; that is set automatically via -i or -o') - elif var=='actor': - usage(f'{arg}: cannot set actor; that is set via a positional argument') - else: - meta[var]=value - - db=Dataflow(dbfile) - if flow=='I': - logger.info(f'{dbfile}: find input slot actor={actor} slot={slot} ' - f'meta={meta}') - matches=iter(db.find_input_slot(actor,slot,meta)) - local=options['-o'] - else: - logger.info(f'{dbfile}: find output slot actor={actor} slot={slot} ' - f'meta={meta}') - matches=iter(db.find_output_slot(actor,slot,meta)) - local=options['-i'] - - slots = [ slot for slot in matches ] - any_have_meta_lists=False - for slot in slots: - logger.info(str(slot)) - if has_meta_lists(slot): - any_have_meta_lists=True - logger.info('... has metadata lists') - #any_have_meta_lists = any([ has_meta_lists(slot) for slot in slots ]) - multi = len(slots)>1 or any_have_meta_lists - - slot1, slot2 = None, None - with suppress(StopIteration): - slot1=next(matches) - slot2=next(matches) - - if not slots: - logger.error('No match for query. Such a slot does not exist.') - exit(1) - elif multi and '-m' not in options: - logger.error('Multiple matches, and -m not specified. Abort.') - exit(1) - elif not multi and '-m' in options: - logger.error('Single match but -m was specified. Abort.') - exit(1) - - for slot in slots: - deliver_by_format(logger,flow,local,slot.at(cycle),'-c' in options) - - -if __name__ == '__main__': - main() diff --git a/crow_dataflow_find_sh.py b/crow_dataflow_find_sh.py deleted file mode 100755 index c99af2e..0000000 --- a/crow_dataflow_find_sh.py +++ /dev/null @@ -1,78 +0,0 @@ -#! /usr/bin/env python3.6 -import logging, sys -from getopt import getopt -from crow.dataflow import Dataflow -from crow.tools import shell_to_python_type - -def usage(why): - sys.stderr.write('''Format: crow_dataflow_find_sh.py [-v] (I|O) [ search parameters ] - -v = verbose - I = input slot - O = output slot - actor=path.to.actor = actor producing or consuming data - slot=slot_name = name of input or output slot - other=other = slot property\n''') - sys.stderr.write(why+'\n') - exit(1) - -def main(): - (optval,args) = getopt(sys.argv[1:],'v') - options=dict(optval) - if len(args)<2: - usage('specify database file and flow') - - level=logging.DEBUG if '-v' in options else logging.INFO - logging.basicConfig(stream=sys.stderr,level=level) - logger=logging.getLogger('crow_dataflow_sh') - - logger.info('top of script') - - dbfile, flow = args[0:2] - - if flow not in 'OI': - usage(f"flow must be O (output) or I (input) not {flow}") - - primary={ 'flow':flow, 'actor':None, 'slot':None } - meta={} - for arg in args[2:]: - split=arg.split('=',1) - if len(split)!=2: - usage(f'{arg}: arguments must be var=value') - ( var, strvalue ) = split - value=shell_to_python_type(strvalue) - if var in primary: - primary[var]=value - else: - meta[var]=value - - logger.info(f'{dbfile}: open sqlite3 database') - db=Dataflow(dbfile) - if flow == 'O': - find=db.find_output_slot - message='find output slots' - else: - find=db.find_input_slot - message='find input slots' - - if primary['actor']: - message+=f' actor={primary["actor"]}' - else: - message+=' for all actors' - if primary['slot']: message+=f' slot={primary["slot"]}' - if meta: - message+=' meta: ' - for k,v in meta: - message+=f' {k}={v}' - - logger.info(message) - - for slot in find(primary['actor'],primary['slot'],meta): - localmeta=slot.get_meta() - if localmeta: - metas=[ f'{k}={v}' for k,v in localmeta.items() ] - print(f'{slot.flow} {slot.actor} {slot.slot} {" ".join(metas)}') - else: - print(f'{slot.flow} {slot.actor} {slot.slot}') - -if __name__ == '__main__': - main() diff --git a/model/ecflow_fv3gfs/_main.yaml b/model/ecflow_fv3gfs/_main.yaml deleted file mode 100644 index 561f10a..0000000 --- a/model/ecflow_fv3gfs/_main.yaml +++ /dev/null @@ -1,8 +0,0 @@ -include: - - "config*.yaml" - - "*_validator.yaml" - - schedulers.yaml - - rocoto.yaml - - task.yaml - - "*.yaml" - - suite_def.yaml diff --git a/model/ecflow_fv3gfs/config_files.yaml b/model/ecflow_fv3gfs/config_files.yaml deleted file mode 100644 index 63d5a8b..0000000 --- a/model/ecflow_fv3gfs/config_files.yaml +++ /dev/null @@ -1,53 +0,0 @@ -# Variables taken from config.* files: -config_files: - - # config.base: - FHMAX_GFS: !Immediate [ !calc ' int(tools.env("FHMAX_GFS"),10) ' ] - FHMIN_GFS: !Immediate [ !calc ' int(tools.env("FHMIN_GFS"),10) ' ] - FHOUT_GFS: !Immediate [ !calc ' int(tools.env("FHOUT_GFS"),10) ' ] - FHMAX: !Immediate [ !calc ' int(tools.env("FHMAX"),10) ' ] - FHMIN: !Immediate [ !calc ' int(tools.env("FHMIN"),10) ' ] - FHOUT: !Immediate [ !calc ' int(tools.env("FHOUT"),10) ' ] - FHMAX_HF_GFS: !Immediate [ !calc ' int(tools.env("FHMAX_HF_GFS"),10) ' ] - FHOUT_HF_GFS: !Immediate [ !calc ' int(tools.env("FHOUT_HF_GFS"),10) ' ] - PSLOT: !Immediate [ !calc tools.env("PSLOT") ] - EXPDIR: !Immediate [ !calc tools.env("EXPDIR") ] - ROTDIR: !Immediate [ !calc tools.env("ROTDIR") ] - RUNDIR: !Immediate [ !calc tools.env("RUNDIR") ] - HOMEgfs: !Immediate [ !calc tools.env("HOMEgfs") ] - CASE: !Immediate [ !calc tools.env("CASE") ] - CASE_ENKF: !Immediate [ !calc tools.env("CASE_ENKF") ] - SDATE: !Immediate [ !calc tools.env("SDATE") ] - EDATE: !Immediate [ !calc tools.env("EDATE") ] - NMEM_ENKF: !Immediate [ !calc ' int( tools.env("NMEM_ENKF"),10 )'] - DMPDIR: !Immediate [ !calc tools.env("DMPDIR") ] - QUEUE: !Immediate [ !calc tools.env("QUEUE") ] - QUEUE_ARCH: !Immediate [ !calc tools.env("QUEUE_ARCH") ] - ACCOUNT: !Immediate [ !calc tools.env("ACCOUNT") ] - machine: !Immediate [ !calc tools.env("machine") ] - - # config.eobs: - NMEM_EOMGGRP: !Immediate [ !calc ' int(tools.env("NMEM_EOMGGRP"),10) ' ] - - # config.efcs: - NMEM_EFCSGRP: !Immediate [ !calc ' int(tools.env("NMEM_EFCSGRP"),10) ' ] - - # config.earc: - NMEM_EARCGRP: !Immediate [ !calc ' int(tools.env("NMEM_EARCGRP"),10) ' ] - - # config.fcst / config.fv3: - layout_x: !Immediate [ !calc ' int(tools.env("layout_x"),10) ' ] - layout_y: !Immediate [ !calc ' int(tools.env("layout_y"),10) ' ] - WRITE_GROUP: !Immediate [ !calc ' int(tools.env("WRITE_GROUP"),10) ' ] - WRTTASK_PER_GROUP: !Immediate - - !calc int(tools.env("WRTTASK_PER_GROUP"),10) - - # config.efcs / config.fv3: - ENKF_layout_x: !Immediate - - !calc ' int(tools.env("ENKF_layout_x"),10) ' - ENKF_layout_y: !Immediate - - !calc ' int(tools.env("ENKF_layout_y"),10) ' - ENKF_WRITE_GROUP: !Immediate - - !calc ' int(tools.env("ENKF_WRITE_GROUP"),10) ' - ENKF_WRTTASK_PER_GROUP: !Immediate - - !calc int(tools.env("ENKF_WRTTASK_PER_GROUP"),10) diff --git a/model/ecflow_fv3gfs/config_locations.yaml b/model/ecflow_fv3gfs/config_locations.yaml deleted file mode 100644 index f6c12b9..0000000 --- a/model/ecflow_fv3gfs/config_locations.yaml +++ /dev/null @@ -1,7 +0,0 @@ -## initial_directory: location from which the setup script was run. -initial_directory: !Immediate [ !calc "tools.realpath(tools.abspath('.'))" ] - -# pyYAML cannot correctly write out a document unless it contains -# at least one mapping -workaround_for_bug_in_pyyaml: - xyz: 123 diff --git a/model/ecflow_fv3gfs/dump_waiter.yaml b/model/ecflow_fv3gfs/dump_waiter.yaml deleted file mode 100644 index d02ea96..0000000 --- a/model/ecflow_fv3gfs/dump_waiter.yaml +++ /dev/null @@ -1,42 +0,0 @@ -dump_waiter: &dump_waiter_task !Task - <<: *exclusive_task_template - manual: | - The dump_waiter task is a special job needed to run this - workflow outside of the production suite. It handles the - external dependency on the dump and the associated - (approximate) time dependency. - In ecflow: - The dump_waiter is started when the cycle is allowed to - begin, and waits for the dump to be available. It sets - the "updated_status" event when the dump is available. - In Rocoto: - The dump_waiter is never run; it is defined so that the - updated_status data event can be used as a data dependency - in the prep job. - - Disable: !calc metasched.type=='rocoto' - - resources: !calc doc.resources.run_dump_waiter - - updated_status: !DataEvent - file: !expand >- - {doc.settings.DMPDIR}/@Y@m@d@H/{up.CDUMP}/{up.CDUMP}.t@Hz.updated.status.tm00.bufr_d - - # The batch_job_command is dumped into the ecf file where the - # J-Job would normally be called. This job is never run by - # Rocoto, so Rocoto never uses this code block. - ecflow_command: !expand | - workdir=/tmp/$USER.dump_waiter.$$.$RANDOM - mkdir -p "$workdir" - cd "$workdir" - setpdy.sh - source ./PDY - WAITFILE=%DUMPDIR%/${{PDY}}%CYC%/{CDUMP}/{CDUMP}.t%CYC%z.updated.status.tm00.bufr_d - while [[ ! -s "$WAITFILE" ]] ; do - echo "$WAITFILE: nope" - sleep 37 - done - echo "$WAITFILE: yup" - ecflow_client --event updated_status - cd / - rm -rf "$workdir" diff --git a/model/ecflow_fv3gfs/ecf_file.yaml b/model/ecflow_fv3gfs/ecf_file.yaml deleted file mode 100644 index 7c90640..0000000 --- a/model/ecflow_fv3gfs/ecf_file.yaml +++ /dev/null @@ -1,76 +0,0 @@ -ecf_file_logic: &ecf_file_logic - - # Default resources for a job are serial - resources: !calc doc.resource_demo.run_nothing - - ecf_file: !expand | - #! /bin/sh - {ecf_batch_resources} - %include - %include - - set -x - - {ecf_resource_more} - - export model=%MODEL_NAME% - %include - - ############################################################ - # Load modules - ############################################################ - . $MODULESHOME/init/sh - {ecf_module_commands} - module list - - ############################################################# - # WCOSS environment settings - ############################################################# - - {ecf_environment_settings} - - ########################################################### - export cyc=%CYC% - - # CALL executable job script here - echo ${{HOMEgfs}}/jobs/{J_JOB} - - %include - %manual - {ecf_manual} - %end - - ecf_manual: | - # FIXME: Insert manual for this job. - - ecf_module_commands: |- - # Add any "module" commands here (switch, load, use, etc.) - - ecf_environment_settings: |- - # Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - - ecf_batch_resources: !expand |- - {sched.batch_accounting(accounting,jobname=task_path_var,outerr="%ECF_OUT%/"+task_path_var+"_t"+"%CYC%"+"z.log")} - {sched.batch_resources(resources)} - - ecf_resource_more: !expand |- - {ecf_maybe_ntasks} - {ecf_maybe_ptile} - {ecf_maybe_threads} - - ecf_maybe_ntasks: !FirstTrue - - when: !calc resources.total_ranks()>0 - do: !expand 'export ntasks={resources.total_ranks()}' - - otherwise: "# No MPI in use, so I am not setting $ntasks." - - ecf_maybe_threads: !FirstTrue - - when: !calc resources.has_threads() - do: !expand 'export threads={resources[0]["OMP_NUM_THREADS"]}' - - otherwise: "# No OpenMP in use, so I am not setting $threads" - - ecf_maybe_ptile: !FirstTrue - - when: !calc resources.has_threads() or resources.total_ranks()>0 - do: !expand 'export ptile={sched.max_ranks_per_node(resources)}' - - otherwise: "# Neither OpenMP nor MPI are in use, so I am not setting $ptile" - - diff --git a/model/ecflow_fv3gfs/load_ecflow_workflow.sh b/model/ecflow_fv3gfs/load_ecflow_workflow.sh deleted file mode 100755 index bf9af77..0000000 --- a/model/ecflow_fv3gfs/load_ecflow_workflow.sh +++ /dev/null @@ -1,75 +0,0 @@ -#! /bin/bash - -set -ue - -# Get the directory in which this script resides. We'll assume the -# yaml files are there: -dir0=$( dirname "$0" ) -here=$( cd "$dir0" ; pwd -P ) - -export WORKTOOLS_VERBOSE=NO - -crowdir=$( cd ../../ ; pwd -P ) - -# Make sure this directory is in the python path so we find worktools.py: -export PYTHONPATH=$here:$crowdir:${PYTHONPATH:+:$PYTHONPATH} - -source "$dir0/worktools.sh.inc" - -# Parse arguments: -if [[ "$1" == "-v" ]] ; then - export WORKTOOLS_VERBOSE=YES - shift 1 -fi -export CONFIGDIR="$1" - -if [[ ! -d /usrx/local || -e /etc/redhat-release ]] ; then - echo "ERROR: This script only runs on WCOSS Cray" 1>&2 - exit 1 -fi - -if ( ! which ecflow_client > /dev/null 2>&1 ) ; then - echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." - exit 1 -fi - -check_ecf_variables - -if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - echo "load_ecflow_workflow.sh: verbose mode" -fi - -echo 'ecFlow server settings:' -echo " port: $ECF_PORT" -echo " root: $ECF_ROOT" -echo " home: $ECF_HOME" -echo " host: $ECF_HOST" - -set +e -find_python36 -set -e - -tmpfile=${TMPDIR:-/tmp}/find-expdir.$RANDOM.$RANDOM.$$ - -if ( ! ( make_yaml_files ) ) ; then - echo "Failed to make YAML files" - exit 1 -fi - -source "$tmpfile" -rm -f "$tmpfile" - -if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - set -x -fi - -if ( ! ecflow_client --ping ) ; then - echo "Could not connect to ecflow server. Aborting." - exit 1 -fi - -if ( ! ecflow_client --get=/totality_limit > /dev/null 2>&1 ) ; then - ecflow_client --load ./totality_limit.def -fi - -$python36 -c "import worktools ; worktools.create_and_load_ecflow_workflow('$EXPDIR',begin=False)" diff --git a/model/ecflow_fv3gfs/make_next_cycles.yaml b/model/ecflow_fv3gfs/make_next_cycles.yaml deleted file mode 100644 index 5f4eb47..0000000 --- a/model/ecflow_fv3gfs/make_next_cycles.yaml +++ /dev/null @@ -1,69 +0,0 @@ -make_next_cycles: &make_next_cycles_task !Task - <<: *exclusive_task_template - manual: | - The make_next_cycles task generates and begins the suites for the - next few cycles in the workflow. This job is only used when running - in ecflow. - - Disable: !calc metasched.type=='rocoto' - - resources: !calc doc.resources.run_make_next_cycles - - Trigger: !Depend gdas - - CDUMP: gdas # useless but required - - # The batch_job_command is dumped into the ecf file where the - # J-Job would normally be called. This job is never run by - # Rocoto, so Rocoto never uses this code block. - ecflow_command: !expand | - workdir=/tmp/$USER.dump_waiter.$$.$RANDOM - mkdir -p "$workdir" - cd "$workdir" - export WORKFLOW_FIRST_CYCLE=%WORKFLOW_FIRST_CYCLE:1970010100% - export WORKFLOW_LAST_CYCLE=%WORKFLOW_LAST_CYCLE:ETERNITY% - export WORKFLOW_CYCLES_TO_GENERATE=%WORKFLOW_CYCLES_TO_GENERATE:5% - export WORKFLOW_CROW_HOME=%WORKFLOW_CROW_HOME% - export WORKFLOW_EXPDIR=%WORKFLOW_EXPDIR% - export ECF_HOME=%ECF_HOME% - export ECF_ROOT=%ECF_ROOT:X% - - if [[ "$ECF_ROOT" == X ]] ; then - export ECF_ROOT=$( cd "$ECF_HOME" ; cd .. ; pwd -P ) - fi - - export PDY=%PDY% - export CYC=%CYC% - export cycle=t%CYC%z - setpdy.sh - source ./PDY - - module load prod_util - module load ecflow - - set -uex - - # Decide the range of cycles to start - first_cycle=$( $NDATE +6 "%PDY%%CYC%" ) - - if [[ "${{WORKFLOW_LAST_CYCLE:-ETERNITY}}" != ETERNITY && - "$first_cycle" -gt "$WORKFLOW_LAST_CYCLE" ]] ; then - postmsg "Last cycle reached. Not starting any new cycles." - fi - - last_cycle=$first_cycle - for istart in $( seq 1 5 ) ; do - if [[ "${{WORKFLOW_LAST_CYCLE:-ETERNITY}}" != ETERNITY && - "$last_cycle" -gt "$WORKFLOW_LAST_CYCLE" ]] ; then - break - fi - last_cycle=$( $NDATE +6 "$last_cycle" ) - done - - cd "$WORKFLOW_CROW_HOME" - postmsg "Start cycles $first_cycle through $last_cycle." - ./update_ecflow_workflow.sh "$WORKFLOW_EXPDIR" "$first_cycle" "$last_cycle" - - - cd / - rm -rf "$workdir" diff --git a/model/ecflow_fv3gfs/make_rocoto_xml_for.sh b/model/ecflow_fv3gfs/make_rocoto_xml_for.sh deleted file mode 100755 index 6cab9bd..0000000 --- a/model/ecflow_fv3gfs/make_rocoto_xml_for.sh +++ /dev/null @@ -1,53 +0,0 @@ -#! /bin/bash - -set -ue - -# Get the directory in which this script resides. We'll assume the -# yaml files are there: -dir0=$( dirname "$0" ) -here=$( cd "$dir0" ; pwd -P ) - -export WORKTOOLS_VERBOSE=NO - -crowdir=$( cd ../../ ; pwd -P ) - -# Make sure this directory is in the python path so we find worktools.py: -export PYTHONPATH=$here:$crowdir:${PYTHONPATH:+:$PYTHONPATH} - -source "$dir0/worktools.sh.inc" - -# Parse arguments: -if [[ "$1" == "-v" ]] ; then - export WORKTOOLS_VERBOSE=YES - shift 1 -fi -export CONFIGDIR="$1" - -if [[ ! ( -d /scratch4 && -d /scratch3 || \ - -d /usrx/local && ! -e /etc/redhat-release ) \ - ]] ; then - echo "ERROR: This script only runs on WCOSS Cray and Theia" 1>&2 - exit 1 -fi - -set +e -find_python36 -set -e - -tmpfile=${TMPDIR:-/tmp}/find-expdir.$RANDOM.$RANDOM.$$ - -if ( ! ( make_yaml_files ) ) ; then - echo "Failed to make YAML files" - exit 1 -fi - -source "$tmpfile" -rm -f "$tmpfile" - -if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - echo "make_rocoto_xml_for.sh: EXPDIR=$EXPDIR" - set -x -fi - -$python36 -c "import worktools ; worktools.make_rocoto_xml_for( - '$EXPDIR')" diff --git a/model/ecflow_fv3gfs/post_manager.yaml b/model/ecflow_fv3gfs/post_manager.yaml deleted file mode 100644 index ea867ac..0000000 --- a/model/ecflow_fv3gfs/post_manager.yaml +++ /dev/null @@ -1,23 +0,0 @@ -post_manager_job_contents: &post_manager_job_contents !expand | - fhrs='anl {tools.join(up.Dimensions.fhr," ")}' - CDATE=%PDY%%CYC% - for fid in $fhrs ; do - if [[ "$fid" == anl ]] ; then - fhr3=000 - event=release_postanl - else - fhr3=$( printf %%03d $fid ) - fhr2=$( printf %%02d $fid ) - event=release_post$fhr2 - fi - - file=%COM%/$CDUMP.%PDY%/%CYC%/$CDUMP.t%CYC%z.logf$fhr3.nemsio - - while [[ ! -s "$file" ]] ; do - echo "$file: nope" - sleep 33 - done - echo "$file: yup" - ecflow_client --event "$event" - done - echo "done" diff --git a/model/ecflow_fv3gfs/remake_ecflow_files_for.sh b/model/ecflow_fv3gfs/remake_ecflow_files_for.sh deleted file mode 100755 index 180a3d6..0000000 --- a/model/ecflow_fv3gfs/remake_ecflow_files_for.sh +++ /dev/null @@ -1,67 +0,0 @@ -#! /bin/bash - -set -ue - -# Get the directory in which this script resides. We'll assume the -# yaml files are there: -dir0=$( dirname "$0" ) -here=$( cd "$dir0" ; pwd -P ) - -export WORKTOOLS_VERBOSE=NO - -crowdir=$( cd ../../ ; pwd -P ) - -# Make sure this directory is in the python path so we find worktools.py: -export PYTHONPATH=$here:$crowdir:${PYTHONPATH:+:$PYTHONPATH} - -source "$dir0/worktools.sh.inc" - -# Parse arguments: -if [[ "$1" == "-v" ]] ; then - export WORKTOOLS_VERBOSE=YES - shift 1 -fi -export CONFIGDIR="$1" -export FIRST_CYCLE="$2" -export LAST_CYCLE="$3" - -if [[ ! -d /usrx/local || -e /etc/redhat-release ]] ; then - echo "ERROR: This script only runs on WCOSS Cray" 1>&2 - exit 1 -fi - -check_ecf_variables - -if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - echo "remake_ecflow_files_for.sh: verbose mode" -fi - -echo 'ecFlow server settings:' -echo " port: $ECF_PORT" -echo " root: $ECF_ROOT" -echo " home: $ECF_HOME" -echo " host: $ECF_HOST" - -set +e -find_python36 -set -e - -tmpfile=${TMPDIR:-/tmp}/find-expdir.$RANDOM.$RANDOM.$$ - -if ( ! ( make_yaml_files ) ) ; then - echo "Failed to make YAML files" - exit 1 -fi - -source "$tmpfile" -rm -f "$tmpfile" - -if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - echo "remake_ecflow_files_for.sh: EXPDIR=$EXPDIR" - set -x -fi - -$python36 -c "import worktools ; worktools.remake_ecflow_files_for_cycles( - '$EXPDIR', - '$FIRST_CYCLE', - '$LAST_CYCLE')" diff --git a/model/ecflow_fv3gfs/resources_C192_C192.yaml b/model/ecflow_fv3gfs/resources_C192_C192.yaml deleted file mode 100644 index f6dd244..0000000 --- a/model/ecflow_fv3gfs/resources_C192_C192.yaml +++ /dev/null @@ -1,216 +0,0 @@ -resources: - - memory_3072M_on_wcoss_cray: !FirstTrue - - when: !calc doc.settings.fv3gfs_machine != 'WCOSS_C' - do: null - - otherwise: "3072M" - - memory_1024M_on_wcoss_cray: !FirstTrue - - when: !calc doc.settings.fv3gfs_machine != 'WCOSS_C' - do: null - - otherwise: "1024M" - - memory_254M_on_wcoss_cray: !FirstTrue - - when: !calc doc.settings.fv3gfs_machine != 'WCOSS_C' - do: null - - otherwise: "254M" - - # From if[[...ecen]] block in config.resources: - run_ecen: !JobRequest - - memory: !calc doc.resources.memory_3072M_on_wcoss_cray - walltime: !timedelta "00:10:00" - mpi_ranks: 84 - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 2 - - run_chgres: !JobRequest - - exe: time - OMP_NUM_THREADS: 12 - args: - - placeholder - - run_nothing: !JobRequest # Special placeholder for "do nothing" - - memory: "300M" - exe: placeholder - walltime: !timedelta "00:02:00" - exclusive: false - - run_one_hour_exclusive: !JobRequest # Placeholder for one node jobs - - memory: "300M" - exe: placeholder - mpi_ranks: 0 - walltime: !timedelta "00:02:00" - exclusive: true - - run_dump_waiter: !JobRequest - - memory: "300M" - exe: placeholder - walltime: !FirstTrue - - when: !calc doc.settings.realtime - do: !timedelta "01:00:00" - - otherwise: !timedelta "00:05:00" - - run_make_next_cycles: !JobRequest - - memory: "300M" - exe: placeholder - walltime: !timedelta "00:15:00" - - run_eobs: !JobRequest - - memory: !calc doc.resources.memory_3072M_on_wcoss_cray - walltime: !timedelta "00:30:00" - mpi_ranks: !calc 10*max_ppn - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 2 - - run_eomg: !JobRequest - - memory: !calc doc.resources.memory_3072M_on_wcoss_cray - walltime: !timedelta "03:00:00" - mpi_ranks: !calc 12*12 - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 2 - - run_eupd: !JobRequest - - memory: !calc doc.resources.memory_3072M_on_wcoss_cray - walltime: !timedelta "00:30:00" - mpi_ranks: !calc 40*6 - exe: placeholder - max_ppn: 6 - OMP_NUM_THREADS: 4 - -# run_enkf: !JobRequest -# pi_ranks: !calc 10*12 -# resources: !JobRequest -# - exe: placeholder -# max_ppn: 12 -# OMP_NUM_THREADS: 4 - - run_efcs: !JobRequest - - memory: !calc doc.resources.memory_254M_on_wcoss_cray - walltime: !timedelta "03:00:00" - mpi_ranks: !calc doc.settings.ENKF_layout_x*doc.settings.ENKF_layout_y*6 - max_ppn: 12 - - run_epos: !JobRequest - - memory: !calc doc.resources.memory_254M_on_wcoss_cray - mpi_ranks: 84 - walltime: !timedelta "00:10:00" - exe: placeholder - max_ppn: 12 - - run_prep: !JobRequest - - memory: !calc doc.resources.memory_3072M_on_wcoss_cray - walltime: !timedelta "00:15:00" - max_ppn: 12 - mpi_ranks: 12 - exe: placeholder - - run_anal: !JobRequest - - memory: !calc doc.resources.memory_3072M_on_wcoss_cray - mpi_ranks: !calc 144 - walltime: !timedelta "1:30:00" - exe: placeholder - max_ppn: 6 - -# run_gsi: !JobRequest -# pi_ranks: !calc 24*6 -# resources: !JobRequest -# - exe: placeholder -# max_ppn: 6 -# OMP_NUM_THREADS: 4 - - run_gdasfcst: !JobRequest - - memory: !calc doc.resources.memory_1024M_on_wcoss_cray - mpi_ranks: !calc >- - doc.settings.layout_x*doc.settings.layout_y*6 - + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP - walltime: !timedelta "01:00:00" - max_ppn: 12 - - run_gdas_post_manager: !JobRequest - - memory: "300M" - exe: placeholder - walltime: !calc >- - doc.resources.run_gdasfcst[0].walltime + tools.to_timedelta('00:15:00') - - run_gfsfcst: !JobRequest - - memory: !calc doc.resources.memory_1024M_on_wcoss_cray - mpi_ranks: !calc >- - doc.settings.layout_x*doc.settings.layout_y*6 - + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP - walltime: !timedelta "06:00:00" - max_ppn: 12 - - run_gfs_post_manager: !JobRequest - - memory: "300M" - exe: placeholder - walltime: !calc >- - doc.resources.run_gfsfcst[0].walltime + tools.to_timedelta('00:15:00') - - run_gdaspost: !JobRequest - - memory: !calc doc.resources.memory_3072M_on_wcoss_cray - mpi_ranks: !calc 6*max_ppn - walltime: !timedelta "00:30:00" - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 1 - - run_gfspost: !JobRequest - - memory: !calc doc.resources.memory_3072M_on_wcoss_cray - mpi_ranks: !calc 6*max_ppn - walltime: !timedelta "00:10:00" - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 1 - - run_gfsvrfy: !JobRequest - - memory: !FirstTrue - - when: !calc doc.settings.fv3gfs_machine == 'THEIA' - do: "16384M" - - otherwise: "3072M" - mpi_ranks: 1 - walltime: !timedelta "03:00:00" - exe: placeholder - max_ppn: 1 - - run_gdasvrfy: !JobRequest - - memory: !FirstTrue - - when: !calc doc.settings.fv3gfs_machine == 'THEIA' - do: "16384M" - - otherwise: "3072M" - mpi_ranks: 1 - walltime: !timedelta "01:30:00" - exe: placeholder - max_ppn: 1 - - run_arch: !JobRequest - - memory: !calc doc.resources.memory_3072M_on_wcoss_cray - exclusive: false - mpi_ranks: 1 - walltime: !timedelta "06:00:00" - exe: placeholder - max_ppn: 1 - OMP_NUM_THREADS: 2 - - run_final: !JobRequest - - memory: "1024M" - mpi_ranks: 1 - walltime: !timedelta "00:01:00" - exe: placeholder - max_ppn: 1 - OMP_NUM_THREADS: 2 - - run_earc: !JobRequest - - memory: !calc doc.resources.memory_3072M_on_wcoss_cray - mpi_ranks: 1 - walltime: !timedelta "06:00:00" - exe: placeholder - max_ppn: 1 - exclusive: false - - run_fv3ic: !JobRequest - - memory: !calc doc.resources.memory_3072M_on_wcoss_cray - mpi_ranks: 24 - exe: placeholder diff --git a/model/ecflow_fv3gfs/resources_C768_C384.yaml b/model/ecflow_fv3gfs/resources_C768_C384.yaml deleted file mode 100644 index 656c338..0000000 --- a/model/ecflow_fv3gfs/resources_C768_C384.yaml +++ /dev/null @@ -1,198 +0,0 @@ -resources: - - # From if[[...ecen]] block in config.resources: - run_ecen: !JobRequest - - memory: "254M" - walltime: !timedelta "00:30:00" - mpi_ranks: 80 - exe: placeholder - max_ppn: 4 - OMP_NUM_THREADS: 2 - - run_chgres: !JobRequest - - exe: time - OMP_NUM_THREADS: 12 - args: - - placeholder - - run_nothing: !JobRequest # Special placeholder for "do nothing" - - memory: "300M" - exe: placeholder - walltime: !timedelta "00:02:00" - exclusive: false - - run_one_hour_exclusive: !JobRequest # Placeholder for one node jobs - - memory: "2000M" - exe: placeholder - mpi_ranks: 0 - walltime: !timedelta "00:02:00" - exclusive: true - - run_dump_waiter: !JobRequest - - memory: "300M" - exe: placeholder - walltime: !FirstTrue - - when: !calc doc.settings.realtime - do: !timedelta "01:00:00" - - otherwise: !timedelta "00:05:00" - - run_make_next_cycles: !JobRequest - - memory: "300M" - exe: placeholder - walltime: !timedelta "00:15:00" - - run_eobs: !JobRequest - - memory: "3072M" - walltime: !timedelta "00:30:00" - mpi_ranks: !calc 12*12 - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 2 - - run_eomg: !JobRequest - - memory: "3072M" - walltime: !timedelta "03:00:00" - mpi_ranks: !calc 12*12 - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 2 - - run_eupd: !JobRequest - - memory: "3072M" - walltime: !timedelta "00:30:00" - mpi_ranks: !calc 40*6 - exe: placeholder - max_ppn: 6 - OMP_NUM_THREADS: 4 - -# run_enkf: !JobRequest -# pi_ranks: !calc 10*12 -# resources: !JobRequest -# - exe: placeholder -# max_ppn: 12 -# OMP_NUM_THREADS: 4 - - run_efcs: !JobRequest - - walltime: !timedelta "03:00:00" - mpi_ranks: !calc >- - doc.settings.ENKF_layout_x*doc.settings.ENKF_layout_y*6 - + doc.settings.ENKF_WRITE_GROUP*doc.settings.ENKF_WRTTASK_PER_GROUP - max_ppn: 12 - memory: "254M" - - run_epos: !JobRequest - - memory: "254M" - mpi_ranks: 80 - walltime: !timedelta "02:00:00" - exe: placeholder - max_ppn: 1 - OMP_NUM_THREADS: 24 - - run_prep: !JobRequest - - memory: "3072M" - walltime: !timedelta "00:15:00" - max_ppn: 2 - mpi_ranks: 6 - exe: placeholder - - run_anal: !JobRequest - - memory: "3072M" - mpi_ranks: !calc 60*6 - walltime: !timedelta "1:30:00" - exe: placeholder - max_ppn: 6 - -# run_gsi: !JobRequest -# pi_ranks: !calc 24*6 -# resources: !JobRequest -# - exe: placeholder -# max_ppn: 6 -# OMP_NUM_THREADS: 4 - - run_gdasfcst: !JobRequest - - mpi_ranks: !calc >- - doc.settings.layout_x*doc.settings.layout_y*6 - + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP - walltime: !timedelta "01:00:00" - max_ppn: 12 - memory: "1024M" - - run_gdas_post_manager: !JobRequest - - memory: "300M" - exe: placeholder - walltime: !calc >- - doc.resources.run_gdasfcst[0].walltime + tools.to_timedelta('00:15:00') - - run_gfsfcst: !JobRequest - - mpi_ranks: !calc >- - doc.settings.layout_x*doc.settings.layout_y*6 - + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP - walltime: !timedelta "06:00:00" - max_ppn: 12 - memory: "1024M" - - run_gfs_post_manager: !JobRequest - - memory: "300M" - exe: placeholder - walltime: !calc >- - doc.resources.run_gfsfcst[0].walltime + tools.to_timedelta('00:15:00') - - run_gdaspost: !JobRequest - - memory: "3072M" - mpi_ranks: !calc 6*max_ppn - walltime: !timedelta "00:45:00" - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 1 - - run_gfspost: !JobRequest - - memory: "3072M" - mpi_ranks: !calc 6*max_ppn - walltime: !timedelta "00:30:00" - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 1 - - run_gfsvrfy: !JobRequest - - memory: "3072M" - mpi_ranks: 1 - walltime: !timedelta "04:00:00" - exe: placeholder - max_ppn: 1 - - run_gdasvrfy: !JobRequest - - memory: "3072M" - mpi_ranks: 1 - walltime: !timedelta "02:00:00" - exe: placeholder - max_ppn: 1 - - run_arch: !JobRequest - - memory: "3072M" - exclusive: false - mpi_ranks: 1 - walltime: !timedelta "06:00:00" - exe: placeholder - max_ppn: 1 - OMP_NUM_THREADS: 2 - - run_final: !JobRequest - - memory: "2M" - mpi_ranks: 1 - walltime: !timedelta "00:01:00" - exe: placeholder - max_ppn: 1 - OMP_NUM_THREADS: 2 - - run_earc: !JobRequest - - memory: "3072M" - mpi_ranks: 1 - walltime: !timedelta "06:00:00" - exe: placeholder - max_ppn: 1 - exclusive: false - - run_fv3ic: !JobRequest - - memory: "3072M" - mpi_ranks: 24 - exe: placeholder diff --git a/model/ecflow_fv3gfs/rocoto.yaml b/model/ecflow_fv3gfs/rocoto.yaml deleted file mode 100644 index c8db2fe..0000000 --- a/model/ecflow_fv3gfs/rocoto.yaml +++ /dev/null @@ -1,34 +0,0 @@ -Rocoto: &Rocoto - scheduler: !calc doc.scheduler - max_tries: 1 - - workflow_xml: !expand | - - - - - - - - - - - {suite.common_metasched_vars} - ]> - - &LOG_DIR;/rocoto_@Y@m@d@H.log - {to_rocoto.make_time_xml(indent=1)} - {to_rocoto.make_task_xml(indent=1)} - - -rocoto_task_template: &rocoto_task_template !expand | - {doc.settings.ROCOTO_HOMEgfs}/jobs/{J_JOB} - {sched.rocoto_accounting(accounting, - jobname=task_path_var, - outerr="&LOG_DIR;/"+task_path_var+"_t"+"@H"+"z.log")} - {sched.rocoto_resources(resources)} - CDATE@Y@m@d@H diff --git a/model/ecflow_fv3gfs/schedulers.yaml b/model/ecflow_fv3gfs/schedulers.yaml deleted file mode 100644 index 532eaeb..0000000 --- a/model/ecflow_fv3gfs/schedulers.yaml +++ /dev/null @@ -1,23 +0,0 @@ - -wcoss_cray_scheduler_settings: &wcoss_cray_scheduler_settings - name: LSFAlps - physical_cores_per_node: 24 - logical_cpus_per_core: 2 - hyperthreading_allowed: true - -theia_scheduler_settings: &theia_scheduler_settings - name: MoabTorque - physical_cores_per_node: 24 - logical_cpus_per_core: 2 - hyperthreading_allowed: true - -# Magic block to automatically detect your machine -automatic_scheduler_settings: &automatic_scheduler_settings !FirstTrue - - when: !calc tools.isdir("/gpfs") and tools.isdir("/usrx/local") - do: *wcoss_cray_scheduler_settings - - when: !calc tools.isdir("/scratch4") and tools.isdir("/scratch3") - do: *theia_scheduler_settings - - otherwise: !error "You are not on Theia or WCOSS" - -scheduler_settings: *automatic_scheduler_settings - diff --git a/model/ecflow_fv3gfs/settings.yaml b/model/ecflow_fv3gfs/settings.yaml deleted file mode 100644 index b84f646..0000000 --- a/model/ecflow_fv3gfs/settings.yaml +++ /dev/null @@ -1,46 +0,0 @@ -settings: - Template: *settings_validator - - # Import certain variables from doc.config_files: - Inherit: !Inherit - - [ 'doc.config_files','FH.*|QUEUE|.*layout.*|.*WRITE_GROUP|.*WRT.*|HOME.*' ] - - # NOTE: Additional files are set by the validator. See validator.yaml. - - resource_file: !expand >- - resources_{doc.config_files.CASE}_{doc.config_files.CASE_ENKF}.yaml - - DMPDIR: !calc doc.config_files.DMPDIR - COM: !calc doc.config_files.ROTDIR - EXPDIR: !calc doc.config_files.EXPDIR - DATAROOT: !calc doc.config_files.RUNDIR - experiment_name: !calc doc.config_files.PSLOT - - PROJECT: !calc ( doc.config_files.ACCOUNT ) - QUEUESERV: !calc ( doc.config_files.QUEUE_ARCH ) - - ENKF_INNOVATE_GROUPS: !calc >- - doc.config_files.NMEM_ENKF // doc.config_files.NMEM_EOMGGRP - - ENKF_FORECAST_GROUPS: !calc >- - doc.config_files.NMEM_ENKF // doc.config_files.NMEM_EFCSGRP - - ENKF_ARCHIVE_GROUPS: !calc >- - doc.config_files.NMEM_ENKF // doc.config_files.NMEM_EARCGRP - - start_date: !calc tools.strptime(doc.config_files.SDATE,"%Y%m%d%H") - end_date: !calc tools.strptime(doc.config_files.EDATE,"%Y%m%d%H") - - gfs_forecast_hours: !calc >- - tools.uniq(sorted( - tools.seq(FHMIN_GFS,FHMAX_HF_GFS,FHOUT_HF_GFS) + - tools.seq(FHMIN_GFS,FHMAX_GFS, FHOUT_GFS))) - gdas_forecast_hours: !calc tools.seq(FHMIN,FHMAX,FHOUT) - wafs_last_hour: !calc 120 - - realtime: True - archive_to_hpss: True - four_cycle_mode: False - ecflow_machine: xc40-prod - - fv3gfs_machine: !calc doc.config_files.machine diff --git a/model/ecflow_fv3gfs/settings_validator.yaml b/model/ecflow_fv3gfs/settings_validator.yaml deleted file mode 100644 index c52cfd6..0000000 --- a/model/ecflow_fv3gfs/settings_validator.yaml +++ /dev/null @@ -1,131 +0,0 @@ -settings_validator: &settings_validator !Template - - ENKF_INNOVATE_GROUPS: - description: ENKF innovate will be split into this many jobs - type: int - - ENKF_FORECAST_GROUPS: - description: ENKF forecast will be split into this many jobs - type: int - - ENKF_ARCHIVE_GROUPS: - description: ENKF archiving will be split into this many jobs - type: int - - gfs_forecast_hours: - description: >- - Monotonically increasing list of lead times for which the GFS forecast outputs - type: int list - - gdas_forecast_hours: - description: >- - Monotonically increasing list of lead times for which the GDAS forecast outputs - type: int list - - resource_file: - description: >- - Name of the file that will be copied to resources.yaml. This - should contain the declaration of the "resources" map with - resources per-job referred to from suite_def.yaml. - type: string - - DMPDIR: - description: Location of the global dump for gfs and gdas - type: string - - max_job_tries: - description: Maximum number of times to try most jobs - type: int - default: 1 - - realtime: - description: Is this a real-time simulation (true), or retrospective (false)? - type: bool - - archive_to_hpss: - description: Should the simulation outputs be archived to tape (HPSS)? - type: bool - - four_cycle_mode: - description: >- - When ecFlow is used, should there be suites for only four cycles - in the workflow, as in NCEP Operations, or should there be one - suite per cycle. This variable is ignored in Rocoto mode. - type: bool - - experiment_name: - description: >- - An alphanumeric identifier for this workflow. This is used to - decide output and scrub file locations, and the ecFlow suite - name. - type: string - - start_date: - description: >- - Date and time of the first cycle of the workflow. In most - cases, this cycle will be a half cycle. - type: datetime - - end_date: - description: >- - Date and time of the last cycle of the workflow. - type: datetime - - start_date_gfs: - description: Date and time of the first GFS cycle in this workflow - type: datetime - default: !calc ( start_date+tools.to_timedelta('6:00:00') ) - - end_date_gfs: - description: Date and time of the last GFS cycle in this workflow - type: datetime - default: !calc end_date - - interval_gfs: - description: >- - Time between cycles for which GFS is run. Must be a multiple of six hours. - type: timedelta - default: !timedelta "6:00:00" - - COM: - description: Location of the top-level output directory for this workflow - type: string - - EXPDIR: - description: Location where config and yaml files reside for this workflow - type: string - - DATAROOT: - description: Location where per-task scrub areas reside for this workflow - type: string - - ECF_HOME: - description: >- - The $ECF_HOME setting for the ecFlow server. Not used in Rocoto mode. - type: string - default: !calc ( tools.env('ECF_HOME','localhost') ) - - ROCOTO_HOME: - description: >- - Directory in which to write the Rocoto XML document. - Not used in ecFlow mode. Default is to use EXPDIR. - type: string - default: !calc EXPDIR - - QUEUE: - description: >- - Batch queue in which to run jobs that take more than one processor. - type: string - - QUEUESERV: - description: >- - Batch queue in which to run jobs that have to transfer files to - or from some outside location such as a tape archiving system or website. - type: string - - QUEUESHARED: - description: >- - Batch queue in which to run single processor jobs. Default is to use - the same queue as multi-processor jobs. - type: string - default: !calc QUEUE diff --git a/model/ecflow_fv3gfs/suite_def.yaml b/model/ecflow_fv3gfs/suite_def.yaml deleted file mode 100644 index 5393f4c..0000000 --- a/model/ecflow_fv3gfs/suite_def.yaml +++ /dev/null @@ -1,850 +0,0 @@ -scheduler: !calc | - tools.get_scheduler(doc.scheduler_settings.name, - doc.scheduler_settings) -suite: !Cycle - Clock: !Clock - start: !calc doc.settings.start_date - end: !calc doc.settings.end_date - step: !timedelta "6:00:00" - - Alarms: - first: !Clock - start: !calc suite.Clock.start - end: !calc suite.Clock.start - step: !calc suite.Clock.step - gdas: !Clock - start: !calc suite.Clock.start - end: !calc suite.Clock.end - step: !calc suite.Clock.step - gfs: !Clock - start: !calc doc.settings.start_date_gfs - end: !calc doc.settings.end_date_gfs - step: !calc doc.settings.interval_gfs - - ecFlow: - suite_def_filename: !expand "{doc.settings.experiment_name}_%Y%m%d%H.def" - suite_def_filename: !FirstTrue - - when: !calc doc.settings.four_cycle_mode - do: !expand "{doc.settings.experiment_name}%H.def" - - otherwise: !expand "{doc.settings.experiment_name}_%Y%m%d%H.def" - suite_name: !FirstTrue - - when: !calc doc.settings.four_cycle_mode - do: !expand "{doc.settings.experiment_name}%H" - - otherwise: !expand "{doc.settings.experiment_name}_%Y%m%d%H" - scheduler: !calc doc.scheduler - dates_in_time_dependencies: true - - # write_cycles/analyze_cycles: Use these to generate a subset of - # the cycles in ecFlow. Make sure analyze_cycles starts at least - # one cycle before write_cycles so that dependencies are - # processed. These are overridden by update_ecflow_workflow.py - # during its execution. - - # write_cycles: !Clock - # start: 2018-01-02T00:00:00 - # end: 2018-01-02T18:00:00 - # step: !timedelta "6:00:00" - # analyze_cycles: !Clock - # start: 2018-01-02T00:00:00 - # end: 2018-01-02T18:00:00 - # step: !timedelta "6:00:00" - - ecflow_cycling_logic: !FirstTrue - - when: !calc doc.settings.four_cycle_mode - do: "repeat day 1" - - otherwise: !expand | - autocancel 5 - edit PDY '{tools.strftime(suite.Clock.now,"%Y%m%d")}' - - Rocoto: *Rocoto - - before_suite_def: - extern /totality_limit:TOTALITY - - ecflow_def: !expand | - {ecflow_cycling_logic} - edit ECF_TRIES '{doc.settings.max_job_tries}' - edit ECF_HOME '{doc.settings.ECF_HOME}' - edit CYC '{tools.strftime(suite.Clock.now,"%H")}' - edit MACHINE '{doc.settings.ecflow_machine}' - edit ENVIR 'prod' - edit E 'jecffv3' - edit EMCPEN '{doc.settings.experiment_name}' - edit DATAROOT '{doc.settings.DATAROOT}' - edit ECF_OUT '{doc.settings.ECF_HOME}/output' - edit ECF_LOG '{doc.settings.EXPDIR}/ecf.log' - edit RUN 'gfs' - edit NET 'gfs' - {common_metasched_vars} - inlimit /totality_limit:TOTALITY - - common_metasched_vars: !expand | - {metasched.defvar("QUEUE", doc.settings.QUEUE)} - {metasched.defvar("HOMEgfs", doc.settings.HOMEgfs)} - {metasched.defvar("QUEUESHARED", doc.settings.QUEUESHARED)} - {metasched.defvar("COM", doc.settings.COM)} - {metasched.defvar("QUEUESERV", doc.settings.QUEUESERV)} - {metasched.defvar("PROJENVIR", doc.settings.PROJECT)} - {metasched.defvar("PROJ", doc.settings.PROJECT)} - - gfs: !Family - Complete: !Depend ~ suite.has_cycle('-6:00:00') - AlarmName: gfs - RUN: 'gfs' - ecflow_def: !expand | - edit RUN '{RUN}' - - dump_waiter: !Task - <<: *dump_waiter_task - Disable: !calc metasched.type != 'ecflow' - realtime_logic: !FirstTrue - - when: !calc doc.settings.realtime - do: "edit ECF_TRIES 72" - - otherwise: "" - ecflow_def: !expand | - {realtime_logic} - edit DUMPDIR '{doc.settings.DMPDIR}' - Trigger: !Depend up.gdas.post.at('-6:00:00') - - dump: !Family - Dummy: true ## <-- Node is not implemented yet - Complete: !Depend ~ suite.has_cycle('-6:00:00') - jgfs_tropcy_qc_reloc: !Task - <<: *exclusive_task_template - Trigger: !Depend jgfs_dump - jtwc_bull_email: !DataEvent {file="/dev/null"} - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - #Replaced by emc version of dump job - #This dump job should be using NCO version when delivery to NCO - jgfs_dump: !Task - <<: *exclusive_task_template - release_sfcprep: !DataEvent {file="/dev/null"} - Time: !FirstTrue - - when: !calc doc.settings.four_cycle_mode - do: !timedelta +3:50:00 - - otherwise: null - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - prep: !Family - Trigger: !Depend dump_waiter.updated_status - Complete: !Depend ~ suite.has_cycle('-6:00:00') - jgfs_emcsfc_sfc_prep: !Task - <<: *exclusive_task_template - Dummy: true ## <-- Node is not implemented yet - Trigger: !Depend up.dump.jgfs_dump.release_sfcprep - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgfs_prep: !Task - <<: *exclusive_task_template - Trigger: !Depend up.dump - #Trigger: !Depend ( up.dump.jgfs_dump & up.dump.jgfs_tropcy_qc_reloc - resources: !calc ( doc.resources.run_prep ) - J_JOB: rocoto/prep.sh - - jgfs_prep_post: !Task - <<: *exclusive_task_template - Dummy: true ## <-- Node is not implemented yet - Trigger: !Depend up.jgfs_analysis - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgfs_analysis: !Task - <<: *exclusive_task_template - Trigger: !Depend ( prep.jgfs_prep & prep.jgfs_emcsfc_sfc_prep & up.gdas.enkf.jgdas_enkf_post.at('-6:00:00') ) - #Trigger: !Depend ( prep.jgfs_prep & prep.jgfs_emcsfc_sfc_prep ) - resources: !calc ( doc.resources.run_anal ) - J_JOB: JGLOBAL_ANALYSIS - Complete: !Depend ~ suite.has_cycle('-6:00:00') - - jgfs_vminmon: !Task - <<: *exclusive_task_template - Dummy: true ## <-- Node is not implemented yet - Trigger: !Depend jgfs_analysis - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - forecast: !Family - Complete: !Depend ~ suite.has_cycle('-6:00:00') - jgfs_forecast_high: !Task - <<: *exclusive_task_template - Trigger: !Depend up.jgfs_analysis - resources: !calc ( doc.resources.run_gfsfcst ) - J_JOB: JGLOBAL_FORECAST - - jgfs_forecast_low: !Task - <<: *exclusive_task_template - Dummy: true ## <-- Node is not implemented yet - Trigger: !Depend jgfs_forecast_high - resources: !calc ( doc.resources.run_gfsfcst ) - J_JOB: JGLOBAL_FORECAST - - sminit_guam: !Family - Dummy: true ## <-- Node is not implemented yet - jgfs_sminit_guam_even: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.post.jgfs_post_anl.is_running() | up.post.jgfs_post_anl ) - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgfs_sminit_guam_odd: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.post.jgfs_post_anl.is_running() | up.post.jgfs_post_anl ) - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - post_processing: !Family - Dummy: true ## <-- Node is not implemented yet - fax: !Family - jgfs_fax_f00: !Task - ecflow_def: | - edit FCSTHR '00' - <<: *exclusive_task_template - Trigger: !Depend ( up.up.prdgen.jgfs_pgrb2_f00 & up.up.prdgen.jgfs_pgrb2_anl ) - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgfs_fax_anl: !Task - ecflow_def: | - edit FCSTHR 'anl' - <<: *exclusive_task_template - Trigger: !Depend up.up.prdgen.jgfs_pgrb2_anl - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgfs_fax_wafs_f12: !Task - ecflow_def: | - edit FCSTHR '12' - <<: *exclusive_task_template - Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f12 - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgfs_fax_wafs_f24: !Task - ecflow_def: | - edit FCSTHR '24' - <<: *exclusive_task_template - Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f24 - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgfs_fax_wafs_f36: !Task - ecflow_def: | - edit FCSTHR '36' - <<: *exclusive_task_template - Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f36 - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - grib_wafs: !TaskArray - Dimensions: - fhr: !calc tools.seq(0,doc.settings.wafs_last_hour,6) - jgfs_wafs: !TaskElement - <<: *exclusive_task_template - Name: !expand 'jgfs_wafs_f{dimval.fhr:02d}' - Trigger: !FirstTrue - - when: !calc dimval.fhr == 0 - do: !Depend ( up.up.prdgen.jgfs_pgrb2_f00 & up.up.prdgen.jgfs_pgrb2_f120 & up.grib2_wafs.jgfs_wafs_grib2 ) - - otherwise: !Depend >- - up.up.prdgen.depend("jgfs_pgrb2_f{N:02d}",N=[ dimval.fhr ]) - & up.grib_wafs.depend("jgfs_wafs_f{N:02d}",N=[ dimval.fhr-6 ]) - resources: !calc ( doc.resources.run_one_hour_exclusive ) - ecflow_def: !expand "edit FCSTHR '{dimval.fhr:02d}'" - - bufr_sounding: !Family - jgfs_postsnd: !Task - <<: *exclusive_task_template - Trigger: !Depend up.up.post.jgfs_post_manager.release_post00 - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - bulletins: !Family - jgfs_fbwind: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.up.post.jgfs_post_f06 & up.up.post.jgfs_post_f12 & up.up.post.jgfs_post_f24 ) - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgfs_cyclone_tracker: !Task - <<: *exclusive_task_template - Trigger: !Depend >- - up.up.post.depend("jgfs_post_f{N:02d}",N=tools.seq(0,180,6)) - & up.up.prdgen.depend("jgfs_pgrb2_f{N:02d}",N=tools.seq(0,180,6)) - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - grib2_wafs: !Family - jgfs_wafs_grib2: !Task - <<: *exclusive_task_template - Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f00 - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgfs_wafs_blending: !Task - <<: *exclusive_task_template - Trigger: !Depend jgfs_wafs_grib2 - Time: !FirstTrue - - when: !calc doc.settings.four_cycle_mode - do: !timedelta +4:33:00 - - otherwise: null - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - grib_awips: !TaskArray - Dimensions: - fhr: !calc doc.settings.gfs_forecast_hours - # tasks every 6 hours till f240 - jgfs_awips: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - Name: !expand "jgfs_awips_f{dimval.fhr:02d}" - Trigger: !Depend up.up.prdgen.depend('jgfs_pgrb2_f{N:02d}',N=dimval.fhr) - resources: !calc ( doc.resources.run_one_hour_exclusive ) - ecflow_def: !expand | - edit FCSTHR '{dimval.fhr:02d}' - - awips_1p0deg: !TaskArray - Dimensions: - fhr: !calc doc.settings.gfs_forecast_hours - ecflow_def: !expand | - edit RES '1p0deg' - edit RESC '1P0DEG' - #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - - jgfs_awips: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - Name: !expand "jgfs_awips_f{dimval.fhr:03d}" - Trigger: !Depend up.up.prdgen.depend('jgfs_pgrb2_f{N:02d}',N=dimval.fhr) - resources: !calc ( doc.resources.run_one_hour_exclusive ) - ecflow_def: !expand | - edit FCSTHR '{dimval.fhr:03d}' - - awips_20km: !TaskArray - Dimensions: - fhr: !calc doc.settings.gfs_forecast_hours - ecflow_def: !expand | - edit RES '20km' - edit RESC '20KM' - #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - # tasks every 3 hours till f084, then every 6 hours till f240 - jgfs_awips: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - Name: !expand "jgfs_awips_f{dimval.fhr:03d}" - Trigger: !Depend up.up.prdgen.depend('jgfs_pgrb2_f{N:02d}',N=dimval.fhr) - ecflow_def: !expand | - edit FCSTHR '{dimval.fhr:03d}' - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - #endfamily post_processing - - post: !TaskArray - RUN: !calc up.RUN - Dimensions: - fhr: !calc doc.settings.gfs_forecast_hours - jgfs_post_manager_el: !TaskElement - <<: *exclusive_task_template - RUN: !calc up.RUN - Disable: !calc metasched.type == 'rocoto' - Trigger: !Depend up.forecast.is_running() - Complete: !Depend up.forecast - Foreach: [ ] - ecflow_command: *post_manager_job_contents - J_JOB: post_manager - Name: jgfs_post_manager - resources: !calc doc.resources.run_gfs_post_manager - release_postanl: !DataEvent - file: !expand >- - {metasched.varref("COM")}/gfs.{metasched.datestring("%Y%m%d/%H/")}gfs.t{metasched.datestring("%H")}z.logf000.nemsio - release_post_fhr: !DataEventElement - Name: !expand "release_post{dimval.fhr:02d}" - Foreach: [ fhr ] - file: !expand >- - {metasched.varref("COM")}/gfs.{metasched.datestring("%Y%m%d/%H/")}gfs.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio - # NOTE: the above files must match post_manager.yaml - - jgfs_post_anl: !Task - <<: *exclusive_task_template - RUN: !calc up.RUN - FHRGRP: '000' - FHRLST: anl - more_vars: [ FHRGRP, FHRLST, FHR, HR ] - FHR: !expand 'anl' - HR: !expand 'anl' - Trigger: !Depend jgfs_post_manager.release_postanl | up.forecast - release_pgrb2_anl: !DataEvent {file="/dev/null"} - resources: !calc ( doc.resources.run_gfspost ) - J_JOB: JGLOBAL_NCEPPOST - ecflow_command: !expand | - export post_times=anl FHRLST={FHRLST} FHRGRP={FHRGRP} - $HOMEgfs/jobs/{J_JOB} - rocoto_command: !expand >- - {rocoto_load_modules} ; - /usr/bin/env post_times=anl &HOMEgfs;/jobs/{J_JOB} - more_vars: [ FHRGRP, FHRLST ] - - jgfs_post_fhr_el: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - resources: !calc doc.resources.run_gfspost - Name: !expand jgfs_post_f{dimval.fhr:02d} - FHRGRP: !expand "{dimidx.fhr+1:03d}" - FHRLST: !expand "f{dimval.fhr:03d}" - FHR: !expand 'f{dimval.fhr:02d}' - HR: !expand '{dimval.fhr:02d}' - more_vars: [ FHRGRP, FHRLST, FHR, HR ] - J_JOB: JGLOBAL_NCEPPOST - Trigger: !Depend jgfs_post_manager.depend("release_post{F:02d}",F=[dimval.fhr]) | up.forecast - ecflow_command: !expand | - export post_times={dimval.fhr:03d} FHRLST={FHRLST} FHRGRP={FHRGRP} - $HOMEgfs/jobs/{J_JOB} - rocoto_command: !expand >- - {rocoto_load_modules} ; - /usr/bin/env post_times={dimval.fhr:03d} &HOMEgfs;/jobs/{J_JOB} - - jgfs_pgrb2_spec_post: !FirstTrue - - when: !calc max(doc.settings.gfs_forecast_hours)>=384 - do: !Task - <<: *exclusive_task_template - Dummy: true ## <-- Node is not implemented yet - Trigger: !Depend ( jgfs_post_f336 & jgfs_post_f348 & jgfs_post_f360 & jgfs_post_f372 & jgfs_post_f384 ) - resources: !calc ( doc.resources.run_gfspost ) - - otherwise: null - #endfamily post - - prdgen: !TaskArray - Dummy: true ## <-- Node is not implemented yet - Dimensions: - fhr: !calc doc.settings.gfs_forecast_hours - - jgfs_pgrb2_manager_el: !TaskElement - <<: *exclusive_task_template - Foreach: [] - Name: jgfs_pgrb2_manager - Trigger: !Depend ( up.post ) - #release_postanl: !DataEvent {file="/dev/null"} - resources: !calc ( doc.resources.run_one_hour_exclusive ) - ecflow_first_event_number: 2 - release_post_fhr: !DataEventElement - Name: !expand "release_pgrb2_{dimval.fhr:02d}" - Foreach: [ fhr ] - file: "/dev/null" - - jgfs_npoess_pgrb2_0p5deg: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.post ) - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgfs_pgrb2_anl: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.post.jgfs_post_anl.release_pgrb2_anl ) - resources: !calc ( doc.resources.run_one_hour_exclusive ) - J_JOB: JGLOBAL_POST - ecflow_def: !expand | - edit FHR 'anl' - edit HR 'anl' - - pgrb2_f: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - Name: !expand "jgfs_pgrb2_f{dimval.fhr:02d}" - Trigger: !Depend >- - jgfs_pgrb2_manager.depend('release_pgrb2_{N:02d}',N=dimval.fhr) - & up.post.depend('jgfs_post_f{N:02d}',N=dimval.fhr) - resources: !calc ( doc.resources.run_one_hour_exclusive ) - J_JOB: JGLOBAL_POST - ecflow_def: !expand | - edit FHR '{dimval.fhr:02d}' - edit HR '{dimval.fhr:02d}' - #endfamily prdgen - - gempak: !Family - Dummy: true ## <-- Node is not implemented yet - jgfs_gempak_upapgif: !Task - <<: *exclusive_task_template - Trigger: !Depend up.dump.jgfs_dump - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgfs_gempak_ncdc: !Task - <<: *exclusive_task_template - #Trigger: !Depend jgfs_gempak.is_running() - Trigger: !Depend jgfs_gempak.is_running() | jgfs_gempak.is_completed() - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgfs_gempak: !Task - <<: *exclusive_task_template - Trigger: !Depend up.jgfs_analysis - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgfs_gempak_meta: !Task - <<: *exclusive_task_template - Trigger: !Depend up.jgfs_analysis - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgfs_pgrb2_spec_gempak: !Task - <<: *exclusive_task_template - Trigger: !Depend up.post.jgfs_pgrb2_spec_post - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgfs_emc_vrfy: !Task - <<: *exclusive_task_template - Trigger: !Depend post - resources: !calc ( doc.resources.run_gfsvrfy ) - J_JOB: rocoto/vrfy.sh - ecf_module_commands: "# vrfy.sh will load modules instead" - - #endfamily gempak - #endfamily gfs - - ###################################################################### - ## GDAS FAMILY ####################################################### - ###################################################################### - - gdas: !Family - RUN: gdas - ecflow_def: !expand | - edit RUN '{RUN}' - - jgdas_verfrad: !Task - <<: *exclusive_task_template - Dummy: true ## <-- Node is not implemented yet - Trigger: !Depend enkf - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgdas_vminmon: !Task - <<: *exclusive_task_template - Dummy: true ## <-- Node is not implemented yet - Trigger: !Depend analysis.jgdas_analysis_high - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - dump_waiter: !Task - <<: *dump_waiter_task - Disable: !calc metasched.type != 'ecflow' - realtime_logic: !FirstTrue - - when: !calc doc.settings.realtime - do: "edit ECF_TRIES 72" - - otherwise: "" - ecflow_def: !expand | - {realtime_logic} - edit DUMPDIR '{doc.settings.DMPDIR}' - Trigger: !Depend post.at('-6:00:00') - - dump: !Family - Dummy: true ## <-- Node is not implemented yet - jgdas_ics: !Task - <<: *exclusive_task_template - release_gdas00_ics: !DataEvent {file="/dev/null"} - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - #jgdas_dump_post: !Task - # Trigger: !Depend jgdas_dump - # release_sfcprep: !DataEvent {file="/dev/null"} - # release_gdas00_dump_alert: !DataEvent {file="/dev/null"} - # ecf_file: *ecf_file_template - # resources: !calc ( doc.resources.run_nothing ) - # accounting: *exclusive_accounting - # J_JOB: nothing - - jgdas_tropcy_qc_reloc: !Task - <<: *exclusive_task_template - Trigger: !Depend jgdas_dump - Time: !FirstTrue - - when: !calc doc.settings.four_cycle_mode - do: !timedelta +5:50:00 - - otherwise: null - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - #Replaced by emc version of dump job - #This dump job should be using NCO version when delivery to NCO - jgdas_dump: !Task - <<: *exclusive_task_template - release_sfcprep: !DataEvent {file="/dev/null"} - Time: !FirstTrue - - when: !calc doc.settings.four_cycle_mode - do: !timedelta +6:20:00 - - otherwise: null - resources: !calc ( doc.resources.run_one_hour_exclusive ) - #endfamily dump - - prep: !Family - Trigger: !Depend dump_waiter.updated_status - Complete: !Depend ( ~ suite.has_cycle('-6:00:00') ) - jgdas_emcsfc_sfc_prep: !Task - <<: *exclusive_task_template - Dummy: true ## <-- Node is not implemented yet - Trigger: !Depend up.dump.jgdas_dump.release_sfcprep - resources: !calc ( doc.resources.run_prep ) - - jgdas_prep: !Task - <<: *exclusive_task_template - Trigger: !Depend (up.dump.jgdas_dump & up.dump.jgdas_tropcy_qc_reloc & up.up.gdas.post.at('-6:00:00') ) - #Trigger: !Depend ( up.dump.jgdas_dump & up.dump.jgdas_tropcy_qc_reloc ) - resources: !calc ( doc.resources.run_prep ) - J_JOB: rocoto/prep.sh - ecf_module_commands: "# prep.sh will load modules instead" - - - jgdas_prep_post: !Task - <<: *exclusive_task_template - Dummy: true ## <-- Node is not implemented yet - Trigger: !Depend up.analysis.jgdas_analysis_high - resources: !calc ( doc.resources.run_prep ) - - analysis: !Family - Complete: !Depend ( ~ suite.has_cycle('-6:00:00') ) - jgdas_analysis_high: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.prep.jgdas_prep & up.prep.jgdas_emcsfc_sfc_prep & up.enkf.jgdas_enkf_post.at('-6:00:00') ) - #Trigger: !Depend ( up.prep.jgdas_prep & up.prep.jgdas_emcsfc_sfc_prep ) - #release_fcst: !DataEvent {file="/dev/null"} - resources: !calc ( doc.resources.run_anal ) - J_JOB: JGLOBAL_ANALYSIS - - forecast: !Family - jgdas_forecast_high: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.analysis.jgdas_analysis_high & up.enkf.innovate ) | ~ suite.has_cycle('-6:00:00') - #release_fcst: !DataEvent {file="/dev/null"} - resources: !calc ( doc.resources.run_gdasfcst ) - J_JOB: JGLOBAL_FORECAST - - jgdas_forecast: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.analysis.jgdas_analysis_high & up.enkf.innovate ) | ~ suite.has_cycle('-6:00:00') - #release_fcst: !DataEvent {file="/dev/null"} - #resources: !calc ( doc.resources.run_gdasfcst ) - resources: !calc ( doc.resources.run_nothing ) - J_JOB: JGLOBAL_FORECAST - Dummy: true ## <-- Node is not implemented yet - - post_processing: !Family - Dummy: true ## <-- Node is not implemented yet - Complete: !Depend ~ suite.has_cycle('-6:00:00') - bulletins: !Family - jgdas_mknavybulls: !Task - <<: *exclusive_task_template - #Trigger: !Depend ( up.up.dump.jgdas_dump_post ) - Trigger: !Depend ( up.up.dump.jgdas_dump ) - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - gempak: !Family - Dummy: true ## <-- Node is not implemented yet - Complete: !Depend ~ suite.has_cycle('-6:00:00') - jgdas_gempak: !Task - <<: *exclusive_task_template - Trigger: !Depend up.forecast.jgdas_forecast - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgdas_gempak_meta: !Task - <<: *exclusive_task_template - Trigger: !Depend jgdas_gempak - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgdas_gempak_ncdc: !Task - <<: *exclusive_task_template - Trigger: !Depend jgdas_gempak - resources: !calc ( doc.resources.run_one_hour_exclusive ) - #endfamily gempak - - - post: !TaskArray - RUN: !calc up.RUN - Dimensions: - fhr: !calc doc.settings.gdas_forecast_hours - post_manager_el: !TaskElement - <<: *exclusive_task_template - RUN: !calc up.RUN - Trigger: !Depend up.forecast.is_running() - Complete: !Depend up.forecast - Disable: !calc metasched.type=='rocoto' - Foreach: [] - J_JOB: post_manager - ecflow_command: *post_manager_job_contents - Name: jgdas_post_manager - resources: !calc doc.resources.run_gdas_post_manager - release_postanl: !DataEvent - file: !expand >- - {metasched.varref("COM")}/gdas.{metasched.datestring("%Y%m%d/%H/")}gdas.t{metasched.datestring("%H")}z.logf000.nemsio - release_post_fhr: !DataEventElement - Name: !expand "release_post{dimval.fhr:02d}" - Foreach: [ fhr ] - file: !expand > - {metasched.varref("COM")}/gdas.{metasched.datestring("%Y%m%d/%H/")}gdas.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio - # NOTE: the above files must match post_manager.yaml - - jgdas_post_anl: !Task - <<: *exclusive_task_template - FHR: 'anl' - HR: 'anl' - FHRGRP: '000' - FHRLST: 'anl' - more_vars: [ FHRGRP, FHRLST, HR, FHR ] - Trigger: !Depend jgdas_post_manager.release_postanl | up.forecast - release_pgrb2_anl: !DataEvent {file="/dev/null"} - resources: !calc ( doc.resources.run_gdaspost ) - J_JOB: JGLOBAL_NCEPPOST - ecflow_command: !expand | - export post_times=anl FHRLST={FHRLST} FHRGRP={FHRGRP} - $HOMEgfs/jobs/{J_JOB} - rocoto_command: !expand >- - {rocoto_load_modules} ; - /usr/bin/env post_times=anl &HOMEgfs;/jobs/{J_JOB} - - jgdas_post_fhr_el: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - resources: !calc doc.resources.run_gdaspost - Name: !expand jgdas_post_f{dimval.fhr:02d} - FHRGRP: !expand '{dimidx.fhr+1:03d}' - FHRLST: !expand 'f{dimval.fhr:03d}' - FHR: !expand 'f{dimval.fhr:02d}' - HR: !expand '{dimval.fhr:02d}' - J_JOB: JGLOBAL_NCEPPOST - ecflow_command: !expand | - export post_times={dimval.fhr:03d} FHRLST={FHRLST} FHRGRP={FHRGRP} - $HOMEgfs/jobs/{J_JOB} - rocoto_command: !expand >- - {rocoto_load_modules} ; - /usr/bin/env post_times={dimval.fhr:03d} &HOMEgfs;/jobs/{J_JOB} - Trigger: !Depend jgdas_post_manager.depend("release_post{F:02d}",F=[dimval.fhr]) | up.forecast - more_vars: [ FHR, HR, FHRGRP, FHRLST ] - #endfamily post - - jgdas_emc_vrfy: !Task - <<: *exclusive_task_template - Complete: !Depend ( ~ suite.has_cycle('-6:00:00') ) - Trigger: !Depend post - resources: !calc ( doc.resources.run_gdasvrfy ) - J_JOB: rocoto/vrfy.sh - ecf_module_commands: "# vrfy.sh will load modules instead" - - enkf: !Family - jgdas_enkf_select_obs: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.prep.jgdas_prep & jgdas_enkf_post.at('-6:00:00') ) - #Trigger: !Depend ( up.prep.jgdas_prep ) - resources: !calc ( doc.resources.run_eobs ) - Complete: !Depend ~ suite.has_cycle('-6:00:00') - J_JOB: JGDAS_ENKF_SELECT_OBS - - innovate: !TaskArray - Trigger: !Depend jgdas_enkf_select_obs - Complete: !Depend ~ suite.has_cycle('-6:00:00') - Dimensions: - groupid: !calc tools.seq(1,doc.settings.ENKF_INNOVATE_GROUPS,1) - jgdas_enkf_innovate_obs_grp: !TaskElement - <<: *exclusive_task_template - Foreach: [ groupid ] - Name: !expand "jgdas_enkf_innovate_obs_grp{dimval.groupid}" - resources: !calc ( doc.resources.run_eomg ) - J_JOB: JGDAS_ENKF_INNOVATE_OBS - ENSGRP: !expand "{dimval.groupid:02d}" - more_vars: [ ENSGRP ] - - jgdas_enkf_update: !Task - <<: *exclusive_task_template - ecflow_def: | - edit ECF_PASS 'FREE' - Trigger: !Depend innovate - Complete: !Depend ~ suite.has_cycle('-6:00:00') - resources: !calc ( doc.resources.run_eupd ) - J_JOB: JGDAS_ENKF_UPDATE - - jgdas_enkf_inflate_recenter: !Task - <<: *exclusive_task_template - Trigger: !Depend ( jgdas_enkf_update & up.analysis.jgdas_analysis_high ) - resources: !calc ( doc.resources.run_ecen ) - J_JOB: JGDAS_ENKF_RECENTER - Complete: !Depend ~ suite.has_cycle('-6:00:00') - - forecast: !TaskArray - - Trigger: !Depend up.enkf.jgdas_enkf_inflate_recenter | ~ suite.has_cycle('-6:00:00') - Dimensions: - groupid: !calc tools.seq(1,doc.settings.ENKF_FORECAST_GROUPS,1) - jgdas_enkf_fcst_grp: !TaskElement - <<: *exclusive_task_template - Foreach: [ groupid ] - Name: !expand "jgdas_enkf_fcst_grp{dimval.groupid}" - resources: !calc ( doc.resources.run_efcs ) - J_JOB: JGDAS_ENKF_FCST - ENSGRP: !expand "{dimval.groupid:02d}" - more_vars: [ ENSGRP ] - - jgdas_enkf_post: !Task - <<: *exclusive_task_template - Trigger: !Depend forecast - resources: !calc ( doc.resources.run_epos ) - J_JOB: JGDAS_ENKF_POST - #endfamily enkf - #endfamily gdas - - cycle_end: !Task - <<: *exclusive_task_template - ecflow_def: !expand | - edit ECF_PASS 'FREE' - Dummy: true ## <-- Node is not implemented yet - Disable: !calc >- - not metasched.type=="ecflow" - or not doc.settings.four_cycle_mode - Time: !FirstTrue - - when: !calc doc.settings.four_cycle_mode - do: !timedelta +23:00:00 - - otherwise: null - resources: !calc ( doc.resources.run_nothing ) - - make_next_cycles: !Task - <<: *make_next_cycles_task - Disable: !calc not metasched.type=="ecflow" - Trigger: !Depend gdas - ecflow_def: !expand | - # These variables are used by the make_next_cycles job to generate - # suites for later cycles once earlier cycles have finished. - edit WORKFLOW_FIRST_CYCLE '{tools.strftime(suite.Clock.start,"%Y%m%d%H")}' - edit WORKFLOW_LAST_CYCLE '{tools.strftime(suite.Clock.end,"%Y%m%d%H")}' - edit WORKFLOW_CYCLES_TO_GENERATE '5' - edit WORKFLOW_CROW_HOME '{doc.initial_directory}' - edit WORKFLOW_EXPDIR '{doc.settings.EXPDIR}' - - archive: !Family - ecflow_def: - edit ECF_TRIES '3' - jgdas_archive: !Task - <<: *service_task_template - AlarmName: gdas - Trigger: !Depend up.gdas - #Trigger: !Depend up.gdas.jgdas_emc_vrfy - resources: !calc ( doc.resources.run_arch ) - Disable: !calc not doc.settings.archive_to_hpss - J_JOB: rocoto/arch.sh - ecf_module_commands: "# arch.sh will load modules instead" - RUN: gdas - ecflow_def: - time +00:10 - - jgdas_enkf_archive: !TaskArray - AlarmName: gdas - Trigger: !Depend up.gdas.enkf.jgdas_enkf_post - Dimensions: - groupid: !calc tools.seq(0,doc.settings.ENKF_ARCHIVE_GROUPS-1,1) - grp: !TaskElement - <<: *service_task_template - resources: !calc ( doc.resources.run_arch ) - J_JOB: rocoto/earc.sh - ecf_module_commands: "# arch.sh will load modules instead" - Foreach: [ groupid ] - Name: !expand "grp{dimval.groupid:02d}" - RUN: gdas - ecflow_def: !expand | - time +00:10 - edit ENSGRP '{dimval.groupid:02d}' - - jgfs_archive: !Task - <<: *service_task_template - Complete: !Depend ~ suite.has_cycle('-6:00:00') - AlarmName: gfs - Trigger: !Depend up.gfs.jgfs_emc_vrfy - resources: !calc ( doc.resources.run_arch ) - Disable: !calc not doc.settings.archive_to_hpss - J_JOB: rocoto/arch.sh - ecf_module_commands: "# arch.sh will load modules instead" - RUN: gfs - ecflow_def: - time +00:10 - - final: !Task - <<: *service_task_template - Disable: !calc not metasched.type=="rocoto" - resources: !calc (doc.resources.run_nothing) - rocoto_command: /bin/true - RUN: gfs # useless but required - diff --git a/model/ecflow_fv3gfs/task.yaml b/model/ecflow_fv3gfs/task.yaml deleted file mode 100644 index 9979507..0000000 --- a/model/ecflow_fv3gfs/task.yaml +++ /dev/null @@ -1,161 +0,0 @@ -task_template: &task_template - - Template: *task_validator - - rocoto_load_modules: !expand >- - source &HOMEgfs;/ush/load_fv3gfs_modules.sh ; - module list - rocoto_command: !expand >- - {rocoto_load_modules} ; - &HOMEgfs;/jobs/{J_JOB} - ecflow_command: !expand "${{HOMEgfs}}/jobs/{J_JOB}" - - Rocoto: !expand | - sh -c '{rocoto_command}' - {sched.rocoto_accounting(accounting,jobname=task_path_var, - outerr="&LOG_DIR;/@Y@m@d/@H/&PSLOT;_"+task_path_var+".log")} - {sched.rocoto_resources(resources)} - CDATE@Y@m@d@H - PDY@Y@m@d - cyc@H - EXPDIR&EXPDIR; - CDUMP{CDUMP} - RUN_ENVIRemc - HOMEgfs&HOMEgfs; - {rocoto_more_vars} - - CDUMP: !calc task_path_list[0] - - # Default resources for a job are serial - #resources: !calc doc.resources.run_nothing - - ecf_dev_overrides: !expand | - # Set data and logs to locations specified in the suite definition. - # This is needed when running without write access to the NCO com areas. - export DATAROOT=%DATAROOT% - export jlogfile=%ECF_OUT%/jlogfile - export COMROOT=%COM% - export cycle=t%CYC%z - export CDATE=%PDY%%CYC% - export EXPDIR="{doc.settings.EXPDIR}" - - ecf_more_exports: "" - - more_vars: [] - - ecf_more_exports: !calc | - "\n".join([ tools.expand("export {VAR}=%{VAR}%\n",VAR=V) for V in more_vars ]) - - rocoto_more_vars: !calc | - "\n".join([ metasched.defenvar(VAR,this[VAR]) for VAR in more_vars ]) - - ecflow_def_more_vars: !calc | - "\n".join([ metasched.defenvar(VAR,this[VAR]) for VAR in more_vars ]) - - ecflow_def: !calc ecflow_def_more_vars - - ecf_file: !expand | - #! /bin/sh - {ecf_batch_resources} - {ecf_dev_overrides} - %include - %include - - set -x - - {ecf_resource_more} - - # Workaround for vrfy bug - mkdir -p %COM%/logs/%PDY%%CYC%/ - - export HOMEgfs=%HOMEgfs% - export CDUMP=%RUN% - {ecf_more_exports} - ## don't include - - ############################################################ - # Load modules - ############################################################ - #. $MODULESHOME/init/sh - {ecf_module_commands} - module load ecflow - module list - - ############################################################# - # WCOSS environment settings - ############################################################# - - {ecf_environment_settings} - - ########################################################### - export cyc=%CYC% - - # CALL executable job script here - {ecflow_command} - - %include - %manual - {ecf_manual} - %end - - ecf_manual: | - # FIXME: Insert manual for this job. - - ecf_module_commands: |- - source "$HOMEgfs/ush/load_fv3gfs_modules.sh" - - ecf_environment_settings: |- - # Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - - ecf_batch_resources: !expand |- - {sched.batch_accounting(accounting,jobname=task_path_var,outerr="%ECF_OUT%/%EMCPEN%_%PDY%%CYC%_"+task_path_var+".log")} - {sched.batch_resources(resources)} - - ecf_resource_more: !expand |- - {ecf_maybe_ntasks} - {ecf_maybe_ptile} - {ecf_maybe_threads} - - ecf_maybe_ntasks: !FirstTrue - - when: !calc resources.total_ranks()>0 - do: !expand 'export ntasks={resources.total_ranks()}' - - otherwise: "# No MPI in use, so I am not setting $ntasks." - - ecf_maybe_threads: !FirstTrue - - when: !calc resources.has_threads() - do: !expand 'export threads={resources[0]["OMP_NUM_THREADS"]}' - - otherwise: "# No OpenMP in use, so I am not setting $threads" - - ecf_maybe_ptile: !FirstTrue - - when: !calc resources.has_threads() or resources.total_ranks()>0 - do: !expand 'export ptile={sched.max_ranks_per_node(resources)}' - - otherwise: "# Neither OpenMP nor MPI are in use, so I am not setting $ptile" - - - -shared_accounting: &shared_accounting - queue: !calc metasched.varref('QUEUESHARED') - project: !calc metasched.varref('PROJ') - -service_accounting: &service_accounting - queue: !calc metasched.varref('QUEUESERV') - project: !calc metasched.varref('PROJ') - -exclusive_accounting: &exclusive_accounting - queue: !calc metasched.varref('QUEUE') - project: !calc metasched.varref('PROJ') - -shared_task_template: &shared_task_template - <<: *task_template - accounting: *shared_accounting - J_JOB: !expand '{task_path_list[-1]}.sh' - -service_task_template: &service_task_template - <<: *task_template - accounting: *service_accounting - J_JOB: !expand '{task_path_list[-1]}.sh' - -exclusive_task_template: &exclusive_task_template - <<: *task_template - accounting: *exclusive_accounting - J_JOB: !expand '{task_path_list[-1]}.sh' diff --git a/model/ecflow_fv3gfs/task_validator.yaml b/model/ecflow_fv3gfs/task_validator.yaml deleted file mode 100644 index c11e936..0000000 --- a/model/ecflow_fv3gfs/task_validator.yaml +++ /dev/null @@ -1,75 +0,0 @@ -task_validator: &task_validator !Template - - Rocoto: - description: >- - XML to insert in the task definition, excluding the task tag - itself, and the dependencies. - type: string - - ecf_file: - description: >- - Contents of the ecf file that will be run for this task - type: string - - rocoto_more_vars: - description: >- - Additional text to include after other envar tags in Rocoto task - definition. This is referenced by the Rocoto variable. - type: string - default: "" - - ecflow_command: - description: >- - Command to execute for this task when run in ecflow. This is - inserted into the ecf file. - type: string - - rocoto_command: - description: >- - Command to execute for this task when run in rocoto. This is - inserted into the rocoto command tag for the task. - type: string - - more_vars: - description: >- - List of variables that should be added to Rocoto envar blocks, - ecflow suite definition edits, and ecf file exports. Overriding - ecflow_def will prevent this from being passed through as edits - in the suite definition. - type: string list - - ecf_environment_settings: - description: "Tuning variables like KMP_AFFINITY and OMP_STACKSIZE." - type: string - default: "" - - ecf_module_commands: - description: >- - Any "module" commands: module load, module switch, etc. - type: string - default: "" - - ecf_resource_more: - description: >- - Generates additional resource specification variables such as - ntasks, threads, and ptile. - type: string - default: "" - - ecf_maybe_ntasks: - description: "Sets the $ntasks variable (number of MPI ranks) if needed" - type: string - default: "" - - ecf_maybe_threads: - description: "Sets the $threads variable (number of OpenMP threads) if needed" - type: string - default: "" - - ecf_maybe_ptile: - description: >- - Sets the $ptile variable (maximum number of MPI ranks per node) if needed - type: string - default: "" - - diff --git a/model/ecflow_fv3gfs/totality_limit.def b/model/ecflow_fv3gfs/totality_limit.def deleted file mode 100644 index 2eb08e4..0000000 --- a/model/ecflow_fv3gfs/totality_limit.def +++ /dev/null @@ -1,3 +0,0 @@ -suite totality_limit - limit TOTALITY 12 -endsuite \ No newline at end of file diff --git a/model/ecflow_fv3gfs/update_ecflow_workflow.sh b/model/ecflow_fv3gfs/update_ecflow_workflow.sh deleted file mode 100755 index 645cb53..0000000 --- a/model/ecflow_fv3gfs/update_ecflow_workflow.sh +++ /dev/null @@ -1,69 +0,0 @@ -#! /bin/bash - -set -ue - -# Get the directory in which this script resides. We'll assume the -# yaml files are there: -dir0=$( dirname "$0" ) -here=$( cd "$dir0" ; pwd -P ) - -export WORKTOOLS_VERBOSE=NO - -# Make sure this directory is in the python path so we find worktools.py: -export PYTHONPATH=$here:${PYTHONPATH:+:$PYTHONPATH} - -source "$dir0/worktools.sh.inc" - -# Parse arguments: -if [[ "$1" == "-v" ]] ; then - export WORKTOOLS_VERBOSE=YES - shift 1 -fi -export EXPDIR="$1" -export FIRST_CYCLE="$2" -export LAST_CYCLE="$3" - -if [[ ! -d /usrx/local || -e /etc/redhat-release ]] ; then - echo "ERROR: This script only runs on WCOSS Cray" 1>&2 - exit 1 -fi - -if ( ! which ecflow_client > /dev/null 2>&1 ) ; then - echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." - exit 1 -fi - -check_ecf_host=NO -check_ecf_variables - -if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - echo "begin_ecflow_workflow.sh: verbose mode" - export redirect=" " -else - export redirect="> /dev/null 2>&1" -fi - -echo "ecFlow server port: $ECF_PORT" -echo "ecFlow server root: $ECF_ROOT" -echo "ecFlow server home: $ECF_HOME" - -set +e -find_python36 -set -e - -if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - set -x -fi - -if ( ! ecflow_client --ping $redirect ) ; then - echo "Could not connect to ecflow server. Aborting." - exit 1 -fi - -$python36 -c " -import worktools ; -worktools.add_cycles_to_running_ecflow_workflow_at( - '$EXPDIR', - '$FIRST_CYCLE', - '$LAST_CYCLE' -)" diff --git a/model/ecflow_fv3gfs/workflow.xml b/model/ecflow_fv3gfs/workflow.xml deleted file mode 100644 index f3b3aed..0000000 --- a/model/ecflow_fv3gfs/workflow.xml +++ /dev/null @@ -1,872 +0,0 @@ - - - - - - - - -]> - - &LOG_DIR;/rocoto_@Y@m@d@H.log - 201801010000 201801011800 06:00:00 - - - DUMMY_VALUE - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_VERFRAD - &QUEUE; - &PROJECT; - gdas.jgdas_verfrad - &LOG_DIR;/gdas.jgdas_verfrad_t@Hz.log - - 300M - 1:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_VMINMON - &QUEUE; - &PROJECT; - gdas.jgdas_vminmon - &LOG_DIR;/gdas.jgdas_vminmon_t@Hz.log - - 300M - 1:ppn=24 - CDATE@Y@m@d@H - - - - - - DUMMY_VALUE - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_ICS - &QUEUE; - &PROJECT; - gdas.dump.jgdas_ics - &LOG_DIR;/gdas.dump.jgdas_ics_t@Hz.log - - 300M - 1:ppn=24 - CDATE@Y@m@d@H - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_TROPCY_QC_RELOC - &QUEUE; - &PROJECT; - gdas.dump.jgdas_tropcy_qc_reloc - &LOG_DIR;/gdas.dump.jgdas_tropcy_qc_reloc_t@Hz.log - - 300M - 1:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_DUMP - &QUEUE; - &PROJECT; - gdas.dump.jgdas_dump - &LOG_DIR;/gdas.dump.jgdas_dump_t@Hz.log - - 300M - 1:ppn=24 - CDATE@Y@m@d@H - - - - - DUMMY_VALUE - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_EMCSFC_SFC_PREP - &QUEUE; - &PROJECT; - gdas.prep.jgdas_emcsfc_sfc_prep - &LOG_DIR;/gdas.prep.jgdas_emcsfc_sfc_prep_t@Hz.log - - 300M - 1:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_PREP - &QUEUE; - &PROJECT; - gdas.prep.jgdas_prep - &LOG_DIR;/gdas.prep.jgdas_prep_t@Hz.log - - 0:02:00 - 3072M - 3:ppn=24 - CDATE@Y@m@d@H - - - - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_PREP_POST - &QUEUE; - &PROJECT; - gdas.prep.jgdas_prep_post - &LOG_DIR;/gdas.prep.jgdas_prep_post_t@Hz.log - - 300M - 1:ppn=24 - CDATE@Y@m@d@H - - - - - - - DUMMY_VALUE - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_ENKF_SELECT_OBS - &QUEUE; - &PROJECT; - gdas.enkf.jgdas_enkf_select_obs - &LOG_DIR;/gdas.enkf.jgdas_enkf_select_obs_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - - - - - - - - - DUMMY_VALUE - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate - &QUEUE; - &PROJECT; - gdas.enkf.innovate.grp1 - &LOG_DIR;/gdas.enkf.innovate.grp1_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate - &QUEUE; - &PROJECT; - gdas.enkf.innovate.grp2 - &LOG_DIR;/gdas.enkf.innovate.grp2_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate - &QUEUE; - &PROJECT; - gdas.enkf.innovate.grp3 - &LOG_DIR;/gdas.enkf.innovate.grp3_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate - &QUEUE; - &PROJECT; - gdas.enkf.innovate.grp4 - &LOG_DIR;/gdas.enkf.innovate.grp4_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate - &QUEUE; - &PROJECT; - gdas.enkf.innovate.grp5 - &LOG_DIR;/gdas.enkf.innovate.grp5_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate - &QUEUE; - &PROJECT; - gdas.enkf.innovate.grp6 - &LOG_DIR;/gdas.enkf.innovate.grp6_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate - &QUEUE; - &PROJECT; - gdas.enkf.innovate.grp7 - &LOG_DIR;/gdas.enkf.innovate.grp7_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate - &QUEUE; - &PROJECT; - gdas.enkf.innovate.grp8 - &LOG_DIR;/gdas.enkf.innovate.grp8_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_ENKF_UPDATE - &QUEUE; - &PROJECT; - gdas.enkf.jgdas_enkf_update - &LOG_DIR;/gdas.enkf.jgdas_enkf_update_t@Hz.log - - 0:02:00 - 3072M - 40:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_ENKF_INFLATE_RECENTER - &QUEUE; - &PROJECT; - gdas.enkf.jgdas_enkf_inflate_recenter - &LOG_DIR;/gdas.enkf.jgdas_enkf_inflate_recenter_t@Hz.log - - 0:02:00 - 3072M - 20:ppn=24 - CDATE@Y@m@d@H - - - - - - - - - DUMMY_VALUE - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST - &QUEUE; - &PROJECT; - gdas.enkf.forecast.grp1 - &LOG_DIR;/gdas.enkf.forecast.grp1_t@Hz.log - - 0:02:00 - 254M - 64:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST - &QUEUE; - &PROJECT; - gdas.enkf.forecast.grp2 - &LOG_DIR;/gdas.enkf.forecast.grp2_t@Hz.log - - 0:02:00 - 254M - 64:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST - &QUEUE; - &PROJECT; - gdas.enkf.forecast.grp3 - &LOG_DIR;/gdas.enkf.forecast.grp3_t@Hz.log - - 0:02:00 - 254M - 64:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST - &QUEUE; - &PROJECT; - gdas.enkf.forecast.grp4 - &LOG_DIR;/gdas.enkf.forecast.grp4_t@Hz.log - - 0:02:00 - 254M - 64:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST - &QUEUE; - &PROJECT; - gdas.enkf.forecast.grp5 - &LOG_DIR;/gdas.enkf.forecast.grp5_t@Hz.log - - 0:02:00 - 254M - 64:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST - &QUEUE; - &PROJECT; - gdas.enkf.forecast.grp6 - &LOG_DIR;/gdas.enkf.forecast.grp6_t@Hz.log - - 0:02:00 - 254M - 64:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST - &QUEUE; - &PROJECT; - gdas.enkf.forecast.grp7 - &LOG_DIR;/gdas.enkf.forecast.grp7_t@Hz.log - - 0:02:00 - 254M - 64:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST - &QUEUE; - &PROJECT; - gdas.enkf.forecast.grp8 - &LOG_DIR;/gdas.enkf.forecast.grp8_t@Hz.log - - 0:02:00 - 254M - 64:ppn=24 - CDATE@Y@m@d@H - - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_ENKF_POST - &QUEUE; - &PROJECT; - gdas.enkf.jgdas_enkf_post - &LOG_DIR;/gdas.enkf.jgdas_enkf_post_t@Hz.log - - 0:02:00 - 254M - 20:ppn=24 - CDATE@Y@m@d@H - - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_ANALYSIS - &QUEUE; - &PROJECT; - gdas.jgdas_analysis - &LOG_DIR;/gdas.jgdas_analysis_t@Hz.log - - 0:02:00 - 3072M - 60:ppn=24 - CDATE@Y@m@d@H - - - - - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST - &QUEUE; - &PROJECT; - gdas.jgdas_forecast - &LOG_DIR;/gdas.jgdas_forecast_t@Hz.log - - 0:02:00 - 1024M - 64:ppn=24 - CDATE@Y@m@d@H - - - - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/post - &QUEUE; - &PROJECT; - gdas.post - &LOG_DIR;/gdas.post_t@Hz.log - - 0:02:00 - 3072M - 6:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/vrfy - &QUEUE; - &PROJECT; - gdas.vrfy - &LOG_DIR;/gdas.vrfy_t@Hz.log - - 0:02:00 - 3072M - 1:ppn=24 - CDATE@Y@m@d@H - - - - - - - DUMMY_VALUE - - DUMMY_VALUE - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_TROPCY_QC_RELOC - &QUEUE; - &PROJECT; - gfs.dump.jgfs_tropcy_qc_reloc - &LOG_DIR;/gfs.dump.jgfs_tropcy_qc_reloc_t@Hz.log - - 300M - 1:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_DUMP - &QUEUE; - &PROJECT; - gfs.dump.jgfs_dump - &LOG_DIR;/gfs.dump.jgfs_dump_t@Hz.log - - 300M - 1:ppn=24 - CDATE@Y@m@d@H - - - - - DUMMY_VALUE - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_EMCSFC_SFC_PREP - &QUEUE; - &PROJECT; - gfs.prep.jgfs_emcsfc_sfc_prep - &LOG_DIR;/gfs.prep.jgfs_emcsfc_sfc_prep_t@Hz.log - - 300M - 1:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_PREP - &QUEUE; - &PROJECT; - gfs.prep.jgfs_prep - &LOG_DIR;/gfs.prep.jgfs_prep_t@Hz.log - - 0:02:00 - 3072M - 3:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_PREP_POST - &QUEUE; - &PROJECT; - gfs.prep.jgfs_prep_post - &LOG_DIR;/gfs.prep.jgfs_prep_post_t@Hz.log - - 300M - 1:ppn=24 - CDATE@Y@m@d@H - - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_ANALYSIS - &QUEUE; - &PROJECT; - gfs.jgfs_analysis - &LOG_DIR;/gfs.jgfs_analysis_t@Hz.log - - 0:02:00 - 3072M - 60:ppn=24 - CDATE@Y@m@d@H - - - - - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_VMINMON - &QUEUE; - &PROJECT; - gfs.jgfs_vminmon - &LOG_DIR;/gfs.jgfs_vminmon_t@Hz.log - - 300M - 1:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST - &QUEUE; - &PROJECT; - gfs.jgfs_forecast - &LOG_DIR;/gfs.jgfs_forecast_t@Hz.log - - 0:02:00 - 1024M - 64:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_POST - &QUEUE; - &PROJECT; - gfs.jgfs_post - &LOG_DIR;/gfs.jgfs_post_t@Hz.log - - 0:02:00 - 3072M - 6:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_VRFY - &QUEUE; - &PROJECT; - gfs.jgfs_vrfy - &LOG_DIR;/gfs.jgfs_vrfy_t@Hz.log - - 0:02:00 - 3072M - 1:ppn=24 - CDATE@Y@m@d@H - - - - - - - DUMMY_VALUE - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/gdasarch - &QUEUESERV; - &PROJECT; - archive.gdasarch - &LOG_DIR;/archive.gdasarch_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/gfsarch - &QUEUESERV; - &PROJECT; - archive.gfsarch - &LOG_DIR;/archive.gfsarch_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - - - - - - DUMMY_VALUE - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc - &QUEUESERV; - &PROJECT; - archive.earc.grp1 - &LOG_DIR;/archive.earc.grp1_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc - &QUEUESERV; - &PROJECT; - archive.earc.grp2 - &LOG_DIR;/archive.earc.grp2_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc - &QUEUESERV; - &PROJECT; - archive.earc.grp3 - &LOG_DIR;/archive.earc.grp3_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc - &QUEUESERV; - &PROJECT; - archive.earc.grp4 - &LOG_DIR;/archive.earc.grp4_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc - &QUEUESERV; - &PROJECT; - archive.earc.grp5 - &LOG_DIR;/archive.earc.grp5_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc - &QUEUESERV; - &PROJECT; - archive.earc.grp6 - &LOG_DIR;/archive.earc.grp6_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc - &QUEUESERV; - &PROJECT; - archive.earc.grp7 - &LOG_DIR;/archive.earc.grp7_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc - &QUEUESERV; - &PROJECT; - archive.earc.grp8 - &LOG_DIR;/archive.earc.grp8_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - - - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/L - &QUEUESERV; - &PROJECT; - final - &LOG_DIR;/final_t@Hz.log - - 300M - 1:ppn=24 - CDATE@Y@m@d@H - - - - - - - - - - diff --git a/model/ecflow_fv3gfs/worktools.py b/model/ecflow_fv3gfs/worktools.py deleted file mode 100644 index d922ce3..0000000 --- a/model/ecflow_fv3gfs/worktools.py +++ /dev/null @@ -1,256 +0,0 @@ -#! /usr/bin/env python3 -f'This python module requires python 3.6 or newer' - -import logging, os, io, sys, datetime, glob, shutil, subprocess, re -from collections import OrderedDict -from copy import copy -logger=logging.getLogger('crow.model.fv3gfs') - -try: - import crow -except ImportError as ie: - thisdir=os.path.dirname(os.path.abspath(__file__)) - topdir=os.path.realpath(os.path.join(thisdir,"../..")) - sys.path.append(topdir) - del thisdir, topdir - -level=logging.WARNING -if os.environ.get('WORKTOOLS_VERBOSE','NO') == 'YES': - level=logging.INFO -logging.basicConfig(stream=sys.stderr,level=level) - -import crow.tools, crow.config -from crow.metascheduler import to_ecflow, to_rocoto -from crow.config import from_dir, Suite, from_file, to_yaml -from crow.tools import Clock - -ECFNETS_INCLUDE = "/ecf/ecfnets/include" -SIX_HOURS = datetime.timedelta(seconds=6*3600) - -def read_yaml_suite(dir): - logger.info(f'{dir}: read yaml files specified in _main.yaml') - conf=from_dir(dir) - crow.config.validate(conf.settings) - suite=Suite(conf.suite) - return conf,suite - -def make_yaml_files(srcdir,tgtdir): - if not os.path.exists(tgtdir): - logger.info(f'{tgtdir}: make directory') - os.makedirs(tgtdir) - logger.info(f'{tgtdir}: send yaml files to here') - logger.info(f'{srcdir}: get yaml files from here') - for srcfile in glob.glob(f'{srcdir}/*.yaml'): - srcbase=os.path.basename(srcfile) - if srcbase.startswith('resources'): continue - if srcbase.startswith('config'): continue - tgtfile=os.path.join(tgtdir,srcbase) - logger.info(f'{srcbase}: copy yaml file') - shutil.copyfile(srcfile,tgtfile) - del srcbase,tgtfile - - readme=[ os.path.join(srcdir,'settings_validator.yaml') ] - - # Deal with the config files: - for srcfile in glob.glob(f'{srcdir}/config*.yaml'): - logger.info(f'{srcfile}: read file') - doc=from_file(srcfile) - tgtfile=os.path.join(tgtdir,os.path.basename(srcfile)) - yaml=to_yaml(doc) - anchor=os.path.basename(srcfile)[:-5] - anchored=re.sub(r'\A([a-zA-Z][a-zA-Z0-9_]*):', - r'\1: &'+anchor,yaml) - logger.info(f'{tgtfile}: generate file') - with open(tgtfile,'wt') as fd: - fd.write('# This file is automatically generated from:\n') - fd.write(f'# {srcfile}') - fd.write('# Changes to this file may be overwritten.\n\n') - fd.write(yaml) - readme.insert(0,tgtfile) - del doc,tgtfile - - # Read the settings file - readme.append('settings.yaml') - logger.info(f'Read files: {", ".join(readme)}') - doc=from_file(*readme) - - # Now the resources: - resource_basename=doc.settings.resource_file - resource_srcfile=os.path.join(srcdir,resource_basename) - resource_tgtfile=os.path.join(tgtdir,'resources.yaml') - logger.info(f'{resource_srcfile}: use this resource yaml file') - shutil.copyfile(resource_srcfile,resource_tgtfile) - logger.info(f'{tgtdir}: yaml files created here') - -def loudly_make_dir_if_missing(dirname): - if dirname and not os.path.exists(dirname): - logger.info(f'{dirname}: make directory') - os.makedirs(dirname) - -def make_parent_dir(filename): - loudly_make_dir_if_missing(os.path.dirname(filename)) - -def make_clocks_for_cycle_range(suite,first_cycle,last_cycle,surrounding_cycles): - suite_clock=copy(suite.Clock) - logger.info(f'cycles to write: {first_cycle:%Ft%T} - {last_cycle:%Ft%T}') - suite.ecFlow.write_cycles = Clock( - start=first_cycle,end=last_cycle,step=SIX_HOURS) - first_analyzed=max(suite_clock.start,first_cycle-surrounding_cycles*SIX_HOURS) - last_analyzed=min(suite_clock.end,last_cycle+surrounding_cycles*SIX_HOURS) - logger.info(f'cycles to analyze: {first_analyzed:%Ft%T} - {last_analyzed:%Ft%T}') - suite.ecFlow.analyze_cycles=Clock( - start=first_analyzed,end=last_analyzed,step=SIX_HOURS) - -def generate_ecflow_suite_in_memory(suite,first_cycle,last_cycle,surrounding_cycles): - logger.info(f'make suite for cycles: {first_cycle:%Ft%T} - {last_cycle:%Ft%T}') - make_clocks_for_cycle_range(suite,first_cycle,last_cycle,surrounding_cycles) - suite_defs, ecf_files = to_ecflow(suite) - return suite_defs, ecf_files - -def write_ecflow_suite_to_disk(targetdir, suite_defs, ecf_files): - written_suite_defs=OrderedDict() - logger.info(f'{targetdir}: write suite here') - for deffile in suite_defs.keys(): - defname = suite_defs[deffile]['name'] - defcontents = suite_defs[deffile]['def'] - filename=os.path.realpath(os.path.join(targetdir,'defs',deffile)) - make_parent_dir(filename) - logger.info(f'{defname}: {filename}: write suite definition') - with open(os.path.join(targetdir,filename),'wt') as fd: - fd.write(defcontents) - written_suite_defs[defname]=filename - for setname in ecf_files: - logger.info(f'{defname}: write ecf file set {setname}') - for filename in ecf_files[setname]: - full_fn=os.path.realpath(os.path.join(targetdir,defname,filename)+'.ecf') - logger.debug(f'{defname}: {setname}: write ecf file {full_fn}') - make_parent_dir(full_fn) - with open(full_fn,'wt') as fd: - fd.write(ecf_files[setname][filename]) - return written_suite_defs - -def get_target_dir_and_check_ecflow_env(): - ECF_HOME=os.environ.get('ECF_HOME',None) - - if not ECF_HOME: - logger.error('Set $ECF_HOME to location where your ecflow files should reside.') - return None - elif not os.environ.get('ECF_PORT',None): - logger.error('Set $ECF_PORT to the port number of your ecflow server.') - return None - elif not os.path.isdir(ECF_HOME): - logger.error('Directory $ECF_HOME={ECF_HOME} does not exist. You need to set up your account for ecflow before you can run any ecflow workflows.') - return None - - for file in [ 'head.h', 'tail.h', 'envir-xc40.h' ]: - yourfile=os.path.join(ECF_HOME,file) - if not os.path.exists(yourfile): - logger.warning(f'{yourfile}: does not exist. I will get one for you.') - os.symlink(os.path.join(ECFNETS_INCLUDE,file),yourfile) - else: - logger.info(f'{yourfile}: exists.') - - return ECF_HOME - -def create_new_ecflow_workflow(suite,surrounding_cycles=1): - ECF_HOME=get_target_dir_and_check_ecflow_env() - if not ECF_HOME: return None,None,None,None - first_cycle=suite.Clock.start - last_cycle=min(suite.Clock.end,first_cycle+suite.Clock.step*2) - suite_defs, ecf_files = generate_ecflow_suite_in_memory( - suite,first_cycle,last_cycle,surrounding_cycles) - suite_def_files = write_ecflow_suite_to_disk( - ECF_HOME,suite_defs,ecf_files) - return ECF_HOME, suite_def_files, first_cycle, last_cycle - -def update_existing_ecflow_workflow(suite,first_cycle,last_cycle, - surrounding_cycles=1): - ECF_HOME=get_target_dir_and_check_ecflow_env() - suite_defs, ecf_files = generate_ecflow_suite_in_memory( - suite,first_cycle,last_cycle,surrounding_cycles) - suite_def_files = write_ecflow_suite_to_disk( - ECF_HOME,suite_defs,ecf_files) - return ECF_HOME, suite_def_files - -def load_ecflow_suites(ECF_HOME,suite_def_files): - logger.info(f'{ECF_HOME}: load suites: ' - f'{", ".join(suite_def_files.keys())}') - with crow.tools.chdir(ECF_HOME): - for file in suite_def_files.values(): - cmd=f'ecflow_client --load {file}' - logger.info(cmd) - subprocess.run(cmd,check=False,shell=True) - -def begin_ecflow_suites(ECF_HOME,suite_def_files): - logger.info(f'{ECF_HOME}: begin suites: ' - f'{", ".join(suite_def_files.keys())}') - with crow.tools.chdir(ECF_HOME): - for suite in suite_def_files.keys(): - cmd=f'ecflow_client --begin {suite}' - logger.info(cmd) - subprocess.run(cmd,check=False,shell=True) - -def make_rocoto_xml(suite,filename): - with open(filename,'wt') as fd: - logger.info(f'{filename}: create Rocoto XML document') - fd.write(to_rocoto(suite)) - print(f'{filename}: Rocoto XML document created here.') - -######################################################################## - -# These functions are called directly from scripts, and can be thought -# of as "main programs." - -def remake_ecflow_files_for_cycles( - yamldir,first_cycle_str,last_cycle_str, - surrounding_cycles=1): - ECF_HOME=get_target_dir_and_check_ecflow_env() - conf,suite=read_yaml_suite(yamldir) - loudly_make_dir_if_missing(f'{conf.settings.COM}/log') - - first_cycle=datetime.datetime.strptime(first_cycle_str,'%Y%m%d%H') - first_cycle=max(suite.Clock.start,first_cycle) - - last_cycle=datetime.datetime.strptime(last_cycle_str,'%Y%m%d%H') - last_cycle=max(first_cycle,min(suite.Clock.end,last_cycle)) - - suite_defs, ecf_files = generate_ecflow_suite_in_memory( - suite,first_cycle,last_cycle,surrounding_cycles) - written_suite_defs = write_ecflow_suite_to_disk( - ECF_HOME, suite_defs, ecf_files) - print(f'''Suite definition files and ecf files have been written to: - - {ECF_HOME} - -If all you wanted to do was update the ecf files, then you're done. - -If you want to update the suite (cycle) definitions, or add suites -(cycles), you will need to call ecflow_client's --load, --begin, ---replace, or --delete commands.''') - -def create_and_load_ecflow_workflow(yamldir,surrounding_cycles=1,begin=False): - conf,suite=read_yaml_suite(yamldir) - loudly_make_dir_if_missing(f'{conf.settings.COM}/log') - ECF_HOME, suite_def_files, first_cycle, last_cycle = \ - create_new_ecflow_workflow(suite,surrounding_cycles) - if not ECF_HOME: - logger.error('Could not create workflow files. See prior errors for details.') - return False - load_ecflow_suites(ECF_HOME,suite_def_files) - if begin: - begin_ecflow_suites(ECF_HOME,suite_def_files) - -def add_cycles_to_running_ecflow_workflow_at( - yamldir,first_cycle_str,last_cycle_str,surrounding_cycles=1): - conf,suite=read_yaml_suite(yamldir) - first_cycle=datetime.datetime.strptime(first_cycle_str,'%Y%m%d%H') - last_cycle=datetime.datetime.strptime(last_cycle_str,'%Y%m%d%H') - ECF_HOME, suite_def_files = update_existing_ecflow_workflow( - suite,first_cycle,last_cycle,surrounding_cycles) - load_ecflow_suites(ECF_HOME,suite_def_files) - begin_ecflow_suites(ECF_HOME,suite_def_files) - -def make_rocoto_xml_for(yamldir): - conf,suite=read_yaml_suite(yamldir) - loudly_make_dir_if_missing(f'{conf.settings.COM}/log') - make_rocoto_xml(suite,f'{yamldir}/workflow.xml') diff --git a/model/ecflow_fv3gfs/worktools.sh.inc b/model/ecflow_fv3gfs/worktools.sh.inc deleted file mode 100644 index 7e4ad20..0000000 --- a/model/ecflow_fv3gfs/worktools.sh.inc +++ /dev/null @@ -1,94 +0,0 @@ -check_ecf_variables() { - if ( ! which ecflow_client > /dev/null 2>&1 ) ; then - echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." - exit 1 - fi - - if [[ "${ECF_ROOT:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_ROOT" - exit 1 - fi - - if [[ "${ECF_HOME:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_HOME. I suggest \$ECF_ROOT/submit" - exit 1 - fi - - if [[ "${check_ecf_host:-YES}" == YES ]] ; then - if [[ "${ECF_HOST:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_HOST." - exit 1 - fi - fi - - if [[ "${ECF_PORT:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_PORT. See /usrx/local/sys/ecflow/assigned_ports.txt" - exit 1 - fi - - export ECF_HOME="${ECF_HOME:-$ECF_ROOT/submit}" -} - -maybe_verbose_source() { - if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - echo "$1: source" - source "$1" - else - source "$1" > /dev/null 2>&1 - fi -} - -find_python36() { - if ( ! which python3 > /dev/null 2>&1 || \ - ! python3 -c 'import yaml ; f{"1+1"}' > /dev/null 2>&1 ) ; then - python36=/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/python/3.6.1-emc/bin/python3.6 - if [[ ! -x "$python36" ]] ; then - module load intelpython/3.6.1.0 - python36="$( which python3 )" - fi - else - python36="$( which python3 )" - fi -} - -make_yaml_files() { - if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - set -x - fi - - # NOTE: Sourcing config.base clobbers the ecflow variables, so we - # must do it in a subshell. - set +ue - maybe_verbose_source "$CONFIGDIR"/config.base - set -ue - - if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - set -x - fi - - echo "EXPDIR=\"$EXPDIR\"" > "$tmpfile" - - mkdir -p "$EXPDIR"/logs - - set +ue - ( maybe_verbose_source "$CONFIGDIR"/config.earc ; - echo "export NMEM_EARCGRP=\"$NMEM_EARCGRP\"" >> "$tmpfile" ) - ( maybe_verbose_source "$CONFIGDIR"/config.efcs ; - echo "export NMEM_EFCSGRP=\"$NMEM_EFCSGRP\"" >> "$tmpfile" ; - echo "export ENKF_layout_x=\"$layout_x\"" >> "$tmpfile" ; - echo "export ENKF_layout_y=\"$layout_y\"" >> "$tmpfile" ; - echo "export ENKF_WRITE_GROUP=\"$WRITE_GROUP\"" >> "$tmpfile" ; - echo "export ENKF_WRTTASK_PER_GROUP=\"$WRTTASK_PER_GROUP\"" >> "$tmpfile" ) - ( maybe_verbose_source "$CONFIGDIR"/config.eobs ; - echo "export NMEM_EOMGGRP=\"$NMEM_EOMGGRP\"" >> "$tmpfile" ) - ( maybe_verbose_source "$CONFIGDIR"/config.fcst ; - echo "export layout_x=\"$layout_x\"" >> "$tmpfile" ; - echo "export layout_y=\"$layout_y\"" >> "$tmpfile" ; - echo "export WRITE_GROUP=\"$WRITE_GROUP\"" >> "$tmpfile" ; - echo "export WRTTASK_PER_GROUP=\"$WRTTASK_PER_GROUP\"" >> "$tmpfile" ) - set -ue - - source "$tmpfile" - - $python36 -c "import worktools ; worktools.make_yaml_files('$here','$EXPDIR')" -} diff --git a/model/fv3gfs/exp/_main.yaml b/model/fv3gfs/exp/_main.yaml deleted file mode 100644 index d77c3b8..0000000 --- a/model/fv3gfs/exp/_main.yaml +++ /dev/null @@ -1,11 +0,0 @@ -case_yaml: null # replaced by setup_case.py -user_yaml: null # replaced by setup_case.py -include: - - resources - - platforms - - validation - - defaults - - !calc doc.user_yaml - - !calc doc.case_yaml - - actions - - runtime diff --git a/model/fv3gfs/exp/actions/_main.yaml b/model/fv3gfs/exp/actions/_main.yaml deleted file mode 100644 index e0e6044..0000000 --- a/model/fv3gfs/exp/actions/_main.yaml +++ /dev/null @@ -1,4 +0,0 @@ -include: - - base.yaml - - "*.yaml" - - final.yaml diff --git a/model/fv3gfs/exp/actions/anal.yaml b/model/fv3gfs/exp/actions/anal.yaml deleted file mode 100644 index c314aa4..0000000 --- a/model/fv3gfs/exp/actions/anal.yaml +++ /dev/null @@ -1,64 +0,0 @@ -anal_base: &anal_base !Action - <<: *action_base - - J_JOB: anal - walltime: !timedelta 02:00:00 - resources: !calc run_anal.resources - anal_resources: !calc run_anal.resources - memory: !calc run_anal.memory - gsi_resources: !calc run_gsi.resources - accounting: !calc doc.platform.parallel_accounting - assim_freq: 6 - - # FIXME: temporary kludge until gsi scripts are updated: - APRUN_CALCINC: !FirstTrue - - when: !calc doc.platform.name=="THEIA" - do: "mpirun -np $ncmd" - - when: !calc doc.platform.name=="WCOSS_C" - do: !expand "aprun -j 1 -n $ncmd -N 1 -d {NTHREADS_CALCINC} -cc depth" - - otherwise: !error "No APRUN_CALCINC for unknown platform {doc.platform.name}" - APRUN_CYCLE: !FirstTrue - - when: !calc doc.platform.name=="THEIA" - do: time - - when: !calc doc.platform.name=="WCOSS_C" - do: !expand "aprun -j 1 -n $ncmd -N 1 -d {NTHREADS_CYCLE} -cc depth" - - otherwise: !error "No APRUN_CALCINC for unknown platform {doc.platform.name}" - NTHREADS_CYCLE: 12 - NTHREADS_CALCINC: 1 - - APRUN_GSI: !calc tools.command_without_exe(par,run_gsi.resources,"placeholder") - ANALYSISSH: !expand "{doc.places.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" - NTHREADS_GSI: !calc nodes.omp_threads_for(run_gsi.resources[0]) - CASE_ENKF: !calc doc.fv3_enkf_settings.CASE - - VERBOSE: YES - - shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "sys_tp", "l.*4d.*" ] - -anal_gdas_action: &anal_gdas_action !Action - <<: *anal_base - CDUMP: gdas - Template: null - Inherit: !Inherit - - [ doc.platform.general_env, ".*" ] - - [ doc.fv3_gdas_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.platform.mpi_tuning, '.*' ] - - [ doc.fv3_enkf_settings, "FHCYC" ] - - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc" ] - -anal_gfs_action: &anal_gfs_action !Action - <<: *anal_base - CDUMP: gfs - Template: null - Inherit: !Inherit - - [ doc.platform.general_env, ".*" ] - - [ doc.fv3_gfs_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.platform.mpi_tuning, '.*' ] - - [ doc.fv3_enkf_settings, "FHCYC" ] - - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc" ] diff --git a/model/fv3gfs/exp/actions/arch.yaml b/model/fv3gfs/exp/actions/arch.yaml deleted file mode 100644 index 46b5704..0000000 --- a/model/fv3gfs/exp/actions/arch.yaml +++ /dev/null @@ -1,33 +0,0 @@ -arch_base: &arch_base !Action - <<: *action_base - J_JOB: arch - walltime: !timedelta 06:00:00 - resources: !calc run_arch.resources - memory: !calc run_arch.memory - accounting: !calc doc.platform.transfer_accounting - - CASE_ENKF: !calc doc.fv3_enkf_settings.case - CASE_ENKF: "C96" - WRITE_NEMSIOFILE: YES - - shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "sys_tp", "l.*4d.*" ] - -arch_gfs_action: &arch_gfs_action !Action - <<: [ *fv3_gfs_settings, *data_assimilation, *arch_base ] - CDUMP: gfs - Inherit: !Inherit - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.platform.general_env, ".*" ] - - [ doc.platform.mpi_tuning, ".*" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - -arch_gdas_action: &arch_gdas_action !Action - <<: [ *fv3_gdas_settings, *data_assimilation, *arch_base ] - CDUMP: gdas - Inherit: !Inherit - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.platform.general_env, ".*" ] - - [ doc.platform.mpi_tuning, ".*" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] diff --git a/model/fv3gfs/exp/actions/base.yaml b/model/fv3gfs/exp/actions/base.yaml deleted file mode 100644 index 8418d5e..0000000 --- a/model/fv3gfs/exp/actions/base.yaml +++ /dev/null @@ -1,44 +0,0 @@ -action_base: &action_base - <<: [ *resource_defaults, *places ] - KEEPDATA: NO - - # These are probably just needed by vrfy: - ACCOUNT: !calc doc.accounting.cpu_project - QUEUE: !calc doc.platform.parallel_accounting.queue - QUEUE_ARCH: !calc doc.platform.transfer_accounting.queue - - DONST: NO - BASE_NEMSfv3gfs: !calc doc.places.BASE_NEMSfv3gfs - - # FIXME: This should never be used in the scripts. - PSLOT: !calc doc.case.experiment_name - - # Variables to import in shell: - shell_vars: [ "[A-Z][A-Z0-9_]*$" ] - - # Used to convert resources to shell commands: - accounting: !calc doc.platform.parallel_accounting - par: !calc doc.platform.parallelism - nodes: !calc doc.platform.nodes - - sys_tp: !FirstTrue - - when: !calc doc.platform.name=="THEIA" - do: "Cray-CS400" - - when: !calc doc.platform.name=="WCOSS_C" - do: "Cray-XC40" - - otherwise: !error Do not know sys_tp for platform {doc.platform.name} - - NCO_NAMING_CONV: !calc doc.gfs_output_settings.NCO_NAMING_CONV - - # FIXME: temporary kludge until scripts are updated to use mpiserial - # on all platforms: - APRUNCFP: !FirstTrue - - when: USE_CFP == 'NO' - do: null - - when: !calc doc.platform.name=='THEIA' - do: "mpirun -np $ncmd" - - when: !calc doc.platform.name=='WCOSS_C' - do: !expand > - aprun -j 1 -n $ncmd -N 1 -d - {resources.total_ranks()} -cc depth - - otherwise: !error "I don't know how to run cfp on {doc.platform.name}" diff --git a/model/fv3gfs/exp/actions/crow_fcst_sh.yaml b/model/fv3gfs/exp/actions/crow_fcst_sh.yaml deleted file mode 100644 index 5b37c2b..0000000 --- a/model/fv3gfs/exp/actions/crow_fcst_sh.yaml +++ /dev/null @@ -1,495 +0,0 @@ -crow_sh_fcst_base: &crow_sh_fcst_base !Action - <<: *action_base - J_JOB: fcst - fcst_resources: !JobRequest - - exe: placeholder - mpi_ranks: !calc "layout_x*layout_y*6" - OMP_NUM_THREADS: 2 - resources: !calc fcst_resources - memory: !calc run_fcst.memory - resources_regrid_nemsio: !JobRequest - - exe: placeholder - mpi_ranks: !calc doc.fv3_settings.LEVS - max_ppn: !calc nodes.max_ranks_per_node(fcst_resources[0]) - true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE', 'WRITE_NEMSIOFLIP' ] - shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*" ] - - APRUN_REGRID_NEMSIO: !calc | - tools.command_without_exe( - par,resources_regrid_nemsio,'placeholder') - APRUN_FV3: !calc tools.command_without_exe(par,fcst_resources,'placeholder') - APRUN_REMAP: !calc APRUN_FV3 - ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" - - CASE_ENKF: !calc doc.fv3_enkf_settings.CASE - - FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" - - KEEPDATA: NO - - NTHREADS_FV3: !calc | - nodes.omp_threads_for(resources[0]) - NTHREADS_REGRID_NEMSIO: !calc | - nodes.omp_threads_for(resources_regrid_nemsio[0]) - NTHREADS_REMAP: !calc NTHREADS_FV3 - - # Keep: - NTASKS_FV3: !calc resources.total_ranks() - - PSLOT: !calc doc.case.experiment_name - PARM_FV3DIAG: !calc doc.places.PARM_FV3DIAG - REMAPSH: !expand "{doc.places.BASE_GSM}/ush/fv3gfs_remap.sh" - TYPE: "nh" - USE_COUPLER_RES: NO - VERBOSE: YES - WRITE_NEMSIOFILE: YES - - true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE', 'nst_anl', 'zhao_mic' ] - - # Variables to import in shell: - shell_vars: [ "[A-Z][A-Z0-9_]*$", 'restart_interval', 'ntiles' ] - - cores_per_node: !calc nodes.cores_per_node - restart_interval: 6 - - USE_COUPLER_RES: NO - - restart_interval: !FirstTrue - - when: !calc CDUMP=="gdas" - do: 6 - - otherwise: 0 - - master_grid: !calc doc.case.master_grid - - CDATE: !calc doc.clock.now - - diag_table_header: !expand | - FV3 Forecast - {CDATE:%Y %m %d %H} 0 0 - - nems_configure: | - EARTH_component_list: ATM - ATM_model: fv3 - runSeq:: - ATM - :: - - model_configure: !expand | - total_member: {ENS_NUM} - print_esmf: {tools.fort(print_esmf)} - PE_MEMBER01: {NTASKS_FV3} - start_year: {CDATE:%Y} - start_month: {CDATE:%m} - start_day: {CDATE:%d} - start_hour: {CDATE:%H} - start_minute: 0 - start_second: 0 - nhours_fcst: {FHMAX} - RUN_CONTINUE: {tools.fort(RUN_CONTINUE)} - ENS_SPS: {tools.fort(ENS_SPS)} - - dt_atmos: {DELTIM} - calendar: {tools.fort(calendar)} - memuse_verbose: {tools.fort(memuse_verbose)} - atmos_nthreads: {NTHREADS_FV3} - use_hyper_thread: {tools.fort(hyperthread)} - ncores_per_node: {cores_per_node} - restart_interval: {restart_interval} - - quilting: {tools.fort(QUILTING)} - write_groups: {WRITE_GROUP} - write_tasks_per_group: {WRTTASK_PER_GROUP} - num_files: {NUM_FILES} - filename_base: {filename_base} - output_grid: {tools.fort(OUTPUT_GRID)} - write_nemsiofile: {tools.fort(WRITE_NEMSIOFILE)} - write_nemsioflip: {tools.fort(WRITE_NEMSIOFLIP)} - imo: {LONB} - jmo: {LATB} - - nfhout: {FHOUT} - nfhmax_hf: {FHMAX_HF} - nfhout_hf: {FHOUT_HF} - nsout: {NSOUT} - - input_nml: !expand | - &amip_interp_nml - interp_oi_sst = .true. - use_ncep_sst = .true. - use_ncep_ice = .false. - no_anom_sst = .false. - data_set = 'reynolds_oi' - date_out_of_range = 'climo' - {amip_interp_nml} - / - - &atmos_model_nml - blocksize = {blocksize} - chksum_debug = {chksum_debug} - dycore_only = {dycore_only} - {atmos_model_nml} - / - - &diag_manager_nml - prepend_date = .false. - {diag_manager_nml} - / - - &fms_io_nml - checksum_required = .false. - max_files_r = 100 - max_files_w = 100 - {fms_io_nml} - / - - &fms_nml - clock_grain = 'ROUTINE' - domains_stack_size = {domains_stack_size} - print_memory_usage = {print_memory_usage} - {fms_nml} - / - - &fv_core_nml - layout = {layout_x},{layout_y} - io_layout = {io_layout} - npx = {npx} - npy = {npy} - ntiles = {ntiles} - npz = {npz} - grid_type = -1 - make_nh = {make_nh} - fv_debug = {fv_debug} - range_warn = {range_warn} - reset_eta = .false. - n_sponge = {n_sponge} - nudge_qv = {nudge_qv} - nudge_dz = {nudge_dz} - tau = {tau} - rf_cutoff = {rf_cutoff} - d2_bg_k1 = {d2_bg_k1} - d2_bg_k2 = {d2_bg_k2} - kord_tm = {kord_tm} - kord_mt = {kord_mt} - kord_wz = {kord_wz} - kord_tr = {kord_tr} - hydrostatic = {hydrostatic} - phys_hydrostatic = {phys_hydrostatic} - use_hydro_pressure = {use_hydro_pressure} - beta = 0. - a_imp = 1. - p_fac = 0.1 - k_split = {k_split} - n_split = {n_split} - nwat = {nwat} - na_init = {na_init} - d_ext = 0. - dnats = {dnats} - fv_sg_adj = {fv_sg_adj} - d2_bg = 0. - nord = {nord} - dddmp = {dddmp} - d4_bg = {d4_bg} - vtdm4 = {vtdm4} - delt_max = {delt_max} - ke_bg = 0. - do_vort_damp = {do_vort_damp} - external_ic = {external_ic} - external_eta = {external_eta} - gfs_phil = {gfs_phil} - nggps_ic = {nggps_ic} - mountain = {mountain} - ncep_ic = {ncep_ic} - d_con = {d_con} - hord_mt = {hord_mt} - hord_vt = {hord_xx} - hord_tm = {hord_xx} - hord_dp = {hord_dp} - hord_tr = {hord_tr} - adjust_dry_mass = {adjust_dry_mass} - consv_te = {consv_te} - do_sat_adj = {do_sat_adj} - consv_am = .false. - fill = .true. - dwind_2d = .false. - print_freq = {print_freq} - warm_start = {warm_start} - no_dycore = {no_dycore} - z_tracer = .true. - agrid_vel_rst = {agrid_vel_rst} - read_increment = {read_increment} - res_latlon_dynamics = {tools.fort(res_latlon_dynamics)} - {fv_core_nml} - / - - &external_ic_nml - filtered_terrain = {filtered_terrain} - levp = {LEVS} - gfs_dwinds = {gfs_dwinds} - checker_tr = .false. - nt_checker = 0 - {external_ic_nml} - / - - &gfs_physics_nml - fhzero = {FHZER} - ldiag3d = {ldiag3d} - fhcyc = {FHCYC} - use_ufo = {use_ufo} - pre_rad = {pre_rad} - ncld = {ncld} - zhao_mic = {tools.fort(zhao_mic)} - pdfcld = {pdfcld} - fhswr = {FHSWR} - fhlwr = {FHLWR} - ialb = {IALB} - iems = {IEMS} - iaer = {IAER} - ico2 = {ICO2} - isubc_sw = {isubc_sw} - isubc_lw = {isubc_lw} - isol = {ISOL} - lwhtr = {lwhtr} - swhtr = {swhtr} - cnvgwd = {cnvgwd} - shal_cnv = {shal_cnv} - cal_pre = {cal_pre} - redrag = {redrag} - dspheat = {dspheat} - hybedmf = {hybedmf} - random_clds = {random_clds} - trans_trac = {trans_trac} - cnvcld = {cnvcld} - imfshalcnv = {imfshalcnv} - imfdeepcnv = {imfdeepcnv} - cdmbgwd = {cdmbgwd} - prslrd0 = {prslrd0} - ivegsrc = {ivegsrc} - isot = {isot} - debug = {gfs_phys_debug} - nstf_name = {nstf_name} - nst_anl = {tools.fort(nst_anl)} - psautco = {psautco} - prautco = {prautco} - {gfs_physics_nml} - / - - &gfdl_cloud_microphysics_nml - sedi_transport = .true. - do_sedi_heat = .false. - rad_snow = .true. - rad_graupel = .true. - rad_rain = .true. - const_vi = .F. - const_vs = .F. - const_vg = .F. - const_vr = .F. - vi_max = 1. - vs_max = 2. - vg_max = 12. - vr_max = 12. - qi_lim = 1. - prog_ccn = .false. - do_qa = .true. - fast_sat_adj = .true. - tau_l2v = 300. - tau_l2v = 225. - tau_v2l = 150. - tau_g2v = 900. - rthresh = 10.e-6 ! This is a key parameter for cloud water - dw_land = 0.16 - dw_ocean = 0.10 - ql_gen = 1.0e-3 - ql_mlt = 1.0e-3 - qi0_crt = 8.0E-5 - qs0_crt = 1.0e-3 - tau_i2s = 1000. - c_psaci = 0.05 - c_pgacs = 0.01 - rh_inc = 0.30 - rh_inr = 0.30 - rh_ins = 0.30 - ccn_l = 300. - ccn_o = 100. - c_paut = 0.5 - c_cracw = 0.8 - use_ppm = .false. - use_ccn = .true. - mono_prof = .true. - z_slope_liq = .true. - z_slope_ice = .true. - de_ice = .false. - fix_negative = .true. - icloud_f = 1 - mp_time = 150. - / - - &nggps_diag_nml - fdiag = {fdiag} - {nggps_diag_nml} - / - - &interpolator_nml - interp_method = 'conserve_great_circle' - {interpolator_nml} - / - - &namsfc - FNGLAC = {tools.fort(FNGLAC)} - FNMXIC = {tools.fort(FNMXIC)} - FNTSFC = {tools.fort(FNTSFC)} - FNSNOC = {tools.fort(FNSNOC)} - FNZORC = {tools.fort(FNZORC)} - FNALBC = {tools.fort(FNALBC)} - FNALBC2 = {tools.fort(FNALBC2)} - FNAISC = {tools.fort(FNAISC)} - FNTG3C = {tools.fort(FNTG3C)} - FNVEGC = {tools.fort(FNVEGC)} - FNVETC = {tools.fort(FNVETC)} - FNSOTC = {tools.fort(FNSOTC)} - FNSMCC = {tools.fort(FNSMCC)} - FNMSKH = {tools.fort(FNMSKH)} - FNTSFA = {tools.fort(FNTSFA)} - FNACNA = {tools.fort(FNACNA)} - FNSNOA = {tools.fort(FNSNOA)} - FNVMNC = {tools.fort(FNVMNC)} - FNVMXC = {tools.fort(FNVMXC)} - FNSLPC = {tools.fort(FNSLPC)} - FNABSC = {tools.fort(FNABSC)} - LDEBUG = {LDEBUG} - FSMCL(2) = {FSMCL2} - FSMCL(3) = {FSMCL3} - FSMCL(4) = {FSMCL4} - FTSFS = {FTSFS} - FAISL = {FAISL} - FAISS = {FAISS} - FSNOL = {FSNOL} - FSNOS = {FSNOS} - FSICL = 99999 - FSICS = 99999 - FTSFL = 99999 - FVETL = 99999 - FSOTL = 99999 - FvmnL = 99999 - FvmxL = 99999 - FSLPL = 99999 - FABSL = 99999 - {namsfc_nml} - / - - &fv_grid_nml - grid_file = 'INPUT/grid_spec.nc' - {fv_grid_nml} - / - - {input_nml_stochy} - - input_nml_stochy: !FirstTrue - - when: !calc MEMBER>0 - do: !expand | - &nam_stochy - ntrunc = {JCAP_STP} - lon_s = {LONB_STP} - lat_s = {LATB_STP} - {input_nml_stochy_skeb} - {input_nml_stochy_shum} - {input_nml_stochy_sppt} - {nam_stochy_nml} - / - - otherwise: | - &nam_stochy - / - - input_nml_stochy_skeb: !FirstTrue - - when: !calc MEMBER>0 and DO_SKEB - do: !expand | - skeb = {SKEB} - iseed_skeb = {ISEED_SKEB} - skeb_tau = {SKEB_TAU} - skeb_lscale = {SKEB_LSCALE} - skebnorm = {SKEBNORM} - - otherwise: "" - - input_nml_stochy_shum: !FirstTrue - - when: !calc MEMBER>0 and DO_SHUM - do: !expand | - shum = {SHUM} - iseed_shum = {ISEED_SHUM} - shum_tau = {SHUM_TAU} - shum_lscale = {SHUM_LSCALE} - - otherwise: "" - - input_nml_stochy_sppt: !FirstTrue - - when: !calc MEMBER>0 and DO_SPPT - do: !expand | - sppt = SPPT - iseed_sppt = {ISEED_SPPT} - sppt_tau = {SPPT_TAU} - sppt_lscale = {SPPT_LSCALE} - sppt_logit = {SPPT_LOGIT} - sppt_sfclimit = {SPPT_SFCLIMIT} - - otherwise: "" - -crow_sh_fcst_gdas: &crow_sh_fcst_gdas_action !Action - <<: *crow_sh_fcst_base - Template: [ *fv3_settings_template, *fv3_forecast_template ] - Inherit: !Inherit - - [ doc.fv3_gdas_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.gfs_output_settings, ".*" ] - - [ doc.platform.mpi_tuning, ".*" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - CDUMP: gdas - walltime: !timedelta 00:10:00 - FHOUT: !calc doc.gfs_output_settings.FHOUT_GDAS - FHMAX: !calc doc.gfs_output_settings.FHMAX_GDAS - FHMIN: !calc doc.gfs_output_settings.FHMIN_GDAS - FHMAX_HF: 6 - FHOUT_HF: 1 - -crow_sh_fcst_gfs: &crow_sh_fcst_gfs_action !Action - <<: [ *fv3_gfs_settings, *data_assimilation, *crow_sh_fcst_base ] - Template: [ *fv3_settings_template, *fv3_forecast_template ] - Inherit: !Inherit - - [ doc.fv3_gfs_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.gfs_output_settings, ".*" ] - - [ doc.platform.mpi_tuning, ".*" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - CDUMP: gfs - walltime: !timedelta 02:35:00 -# walltime: !timedelta 02:35:00 - FHOUT: !calc doc.gfs_output_settings.FHOUT_GFS - FHMAX: !calc doc.gfs_output_settings.FHMAX_GFS - FHMIN: !calc doc.gfs_output_settings.FHMIN_GFS - - FHMAX_HF: !calc doc.gfs_output_settings.FHMAX_HF_GFS - FHOUT_HF: !calc doc.gfs_output_settings.FHOUT_HF_GFS - - KEEPDATA: YES - -crow_sh_df_fcst_gdas: &crow_sh_df_fcst_gdas_action !Action - <<: *crow_sh_fcst_gdas_action - J_JOB: fcst_df - -crow_sh_df_fcst_gfs: &crow_sh_df_fcst_gfs_action !Action - <<: *crow_sh_fcst_gfs_action - J_JOB: fcst_df - - -crow_exe_fcst_gdas: &crow_exe_fcst_gdas_action !Action - <<: *crow_sh_df_fcst_gdas_action - J_JOB: fcst_df - actual_fcst_resources: !JobRequest - - exe: !expand "{doc.places.FCSTEXECDIR}/fv3_gfs_nh.prod.32bit.x" - mpi_ranks: !calc "layout_x*layout_y*6" - OMP_NUM_THREADS: 2 - FCSTEXEC: !calc tools.basename(fcst_resources[0].exe) - -crow_exe_fcst_gfs: &crow_exe_fcst_gfs_action !Action - <<: *crow_sh_df_fcst_gfs_action - J_JOB: fcst_df - actual_fcst_resources: !JobRequest - - exe: !expand "{doc.places.FCSTEXECDIR}/fv3_gfs_nh.prod.32bit.x" - mpi_ranks: !calc "layout_x*layout_y*6" - OMP_NUM_THREADS: 2 - FCSTEXEC: !calc tools.basename(fcst_resources[0].exe) diff --git a/model/fv3gfs/exp/actions/earc.yaml b/model/fv3gfs/exp/actions/earc.yaml deleted file mode 100644 index 99240a8..0000000 --- a/model/fv3gfs/exp/actions/earc.yaml +++ /dev/null @@ -1,26 +0,0 @@ - -earc: &earc_action !Action - <<: *action_base - J_JOB: earc - walltime: !timedelta 00:15:00 - resources: !calc run_earc.resources - accounting: !calc doc.platform.transfer_accounting - memory: !calc run_earc.memory - Inherit: !Inherit - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.fv3_enkf_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc" ] - - shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*" ] - - CDUMP: gdas - CASE: !calc CASE_ENKF - CASE_ENKF: !calc doc.fv3_enkf_settings.CASE - GROUP_NUMBER: null # replaced in workflow - ENSGRP: !expand "{GROUP_NUMBER:02d}" - - KEEPDATA: NO - NMEM_EARCGRP: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - VERBOSE: YES diff --git a/model/fv3gfs/exp/actions/ecen.yaml b/model/fv3gfs/exp/actions/ecen.yaml deleted file mode 100644 index 8a0fe3f..0000000 --- a/model/fv3gfs/exp/actions/ecen.yaml +++ /dev/null @@ -1,43 +0,0 @@ -ecen: &ecen_action !Action - <<: *action_base - - Template: *chgres_template - - # ---------------------------------------- - # From config.resources - J_JOB: ecen - walltime: !timedelta 00:30:00 - resources: !calc run_ecen.resources - resource_env: !calc run_ecen.env - memory: !calc run_ecen.memory - - shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*" ] - - # Each command (APRUN_whatever) in config.resources needs a - # run_whatever entry in the corresponding action. - # Executable name is specified deep inside scripts - # Use "placeholder" for exe name - # ---------------------------------------- - # From config.ecen - ENKFRECENSH: !expand "{doc.places.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_recenter_fv3gfs.sh.ecf" - CHGRESSH: !calc doc.places.CHGRESSH_ECEN - APRUN_CHGRES: !FirstTrue - - when: !calc doc.platform.name == "THEIA" - do: "time" - - otherwise: !calc | - tools.command_without_exe( - par,chgres_resources,"placeholder") - APRUN_ECEN: !calc tools.command_without_exe(par,resources,"placeholder") - NTHREADS_ECEN: !calc nodes.omp_threads_for(resources[0]) - APRUN_CHGRES: "time" - CDUMP: gdas - Inherit: !Inherit - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.fv3_enkf_settings, "FHCYC" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.platform.mpi_tuning, ".*" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc" ] - - CASE_ENKF: !calc doc.fv3_enkf_settings.CASE - VERBOSE: YES diff --git a/model/fv3gfs/exp/actions/efcs.yaml b/model/fv3gfs/exp/actions/efcs.yaml deleted file mode 100644 index 6d86a41..0000000 --- a/model/fv3gfs/exp/actions/efcs.yaml +++ /dev/null @@ -1,70 +0,0 @@ -efcs: &efcs_action !Action - <<: [ *action_base, *places ] - Template: *fv3_settings - - J_JOB: efcs - walltime: !timedelta 00:25:00 - efcs_resources: !JobRequest - - exe: placeholder - mpi_ranks: !calc "layout_x*layout_y*6" - OMP_NUM_THREADS: 1 - resources: !calc efcs_resources - memory: !calc run_efcs.memory - APRUN_FV3: !calc tools.command_without_exe(par,resources,'placeholder') - resources_regrid_nemsio: !JobRequest - - exe: placeholder - mpi_ranks: !calc doc.fv3_settings.LEVS - max_ppn: !calc nodes.max_ranks_per_node(efcs_resources[0]) - APRUN_REGRID_NEMSIO: !calc | - tools.command_without_exe( - par,resources_regrid_nemsio,'placeholder') - ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" - - Inherit: !Inherit - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.fv3_enkf_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc" ] - - true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE', 'nst_anl', 'zhao_mic' ] - - CASE: !calc doc.fv3_enkf_settings.CASE - CASE_ENKF: !calc CASE - CDUMP: gdas - NTASKS_FV3: !calc resources.total_ranks() - NTHREADS_FV3: 1 - NTHREADS_REGRID_NEMSIO: 1 - - FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" - KEEPDATA: NO - NMEM_EFCSGRP: 10 - NTHSTACK: 1024000000 - - GROUP_NUMBER: null # replaced in workflow - ENSGRP: !expand "{GROUP_NUMBER:02d}" - - SMOOTH_ENKF: YES - TYPE: !calc doc.fv3_enkf_settings.nh_type - - VERBOSE: YES - WRITE_NEMSIOFILE: YES - - master_grid: !calc doc.case.master_grid - - DO_SKEB: NO - SKEB: -999. - SKEB_TAU: 21600. - SKEB_LSCALE: 500000. - SKEBNORM: 1 - DO_SHUM: YES - SHUM: 0.006 - SHUM_TAU: 21600. - SHUM_LSCALE: 500000. - DO_SPPT: YES - SPPT: 0.5 - SPPT_TAU: 21600. - SPPT_LSCALE: 500000. - SPPT_LOGIT: ".true." - SPPT_SFCLIMIT: ".true." - diff --git a/model/fv3gfs/exp/actions/eobs.yaml b/model/fv3gfs/exp/actions/eobs.yaml deleted file mode 100644 index 10c1fa9..0000000 --- a/model/fv3gfs/exp/actions/eobs.yaml +++ /dev/null @@ -1,31 +0,0 @@ - -eobs: &eobs_action !Action - <<: *action_base - J_JOB: eobs - CASE_ENKF: !calc doc.fv3_enkf_settings.CASE - CASE: !calc doc.fv3_gdas_settings.CASE - walltime: !timedelta 00:15:00 - resources: !calc run_eobs.resources - memory: !calc run_eobs.memory - ANALYSISSH: !expand "{doc.places.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" - APRUN_GSI: !calc tools.command_without_exe(par,resources,'placeholder') - - shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*" ] - - Inherit: !Inherit - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.fv3_enkf_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.platform.mpi_tuning, '.*' ] - - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc" ] - - true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE', 'nst_anl', 'zhao_mic' ] - - NMEM_EOMGGRP: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - NTHREADS_GSI: !calc nodes.omp_threads_for(resources[0]) - NTHSTACK: 1024000000 - CDUMP: gdas -# GSI namelist options related to observer for EnKF - VERBOSE: YES - WRITE_NEMSIOFILE: YES diff --git a/model/fv3gfs/exp/actions/eomg.yaml b/model/fv3gfs/exp/actions/eomg.yaml deleted file mode 100644 index b30da7e..0000000 --- a/model/fv3gfs/exp/actions/eomg.yaml +++ /dev/null @@ -1,35 +0,0 @@ -eomg: &eomg_action !Action - <<: *action_base - J_JOB: eomg - ANALYSISSH: !expand "{doc.places.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" - APRUN_GSI: !calc tools.command_without_exe(par,run_eomg.resources,'placeholder') - walltime: !timedelta 00:35:00 - resources: !calc run_eomg.resources - memory: !calc run_eomg.memory - shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "sys_tp", "l.*4d.*" ] - - Inherit: !Inherit - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.fv3_enkf_settings, "FHCYC" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.platform.mpi_tuning, ".*" ] - - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc" ] - CDUMP: gdas - CASE: !calc doc.fv3_enkf_settings.CASE - NMEM_EOMGGRP: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - NTHREADS_GSI: !calc nodes.omp_threads_for(run_gsi.resources[0]) - NTHSTACK: 1024000000 - GROUP_NUMBER: null # replaced in workflow - ENSGRP: !expand "{GROUP_NUMBER:02d}" - VERBOSE: YES - WRITE_NEMSIOFILE: YES - APRUN_CALCINC: " " - - APRUN_CYCLE: !FirstTrue - - when: !calc doc.platform.name=="THEIA" - do: time - - when: !calc doc.platform.name=="WCOSS_C" - do: !expand "aprun -j 1 -n $ncmd -N 1 -d {NTHREADS_CYCLE} -cc depth" - - otherwise: !error "No APRUN_CALCINC for unknown platform {doc.platform.name}" - NTHREADS_CYCLE: 12 diff --git a/model/fv3gfs/exp/actions/epos.yaml b/model/fv3gfs/exp/actions/epos.yaml deleted file mode 100644 index 56939d2..0000000 --- a/model/fv3gfs/exp/actions/epos.yaml +++ /dev/null @@ -1,23 +0,0 @@ -epos: &epos_action !Action - <<: *action_base - J_JOB: epos - resources: !calc run_epos.resources - memory: !calc run_epos.memory - walltime: !timedelta 00:15:00 - APRUN_EPOS: !calc tools.command_without_exe(par,resources,'placeholder') - NTHREADS_EPOS: !calc nodes.omp_threads_for(resources[0]) - - CASE: !calc doc.fv3_enkf_settings.CASE - ENKFPOSTSH: !expand "{doc.places.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_post_fv3gfs.sh.ecf" - shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*" ] - - Inherit: !Inherit - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.platform.mpi_tuning, '.*' ] - - [ doc.data_assimilation, ".*" ] - - [ doc.fv3_enkf_settings, "FHCYC|QUILTING|WRITE_NEMSIOFILE|nst_anl|CDUMP|LEVS" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc" ] - CDUMP: gdas - VERBOSE: YES - WRITE_NEMSIOFILE: YES - accounting: !calc doc.platform.parallel_accounting diff --git a/model/fv3gfs/exp/actions/eupd.yaml b/model/fv3gfs/exp/actions/eupd.yaml deleted file mode 100644 index 3e1b68b..0000000 --- a/model/fv3gfs/exp/actions/eupd.yaml +++ /dev/null @@ -1,28 +0,0 @@ -eupd: &eupd_action !Action - <<: *action_base - J_JOB: eupd - ANALYSISSH: !expand "{doc.places.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" - APRUN_ENKF: !calc | - tools.command_without_exe( - par,enkf_resources,'placeholder') - ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" - walltime: !timedelta 00:15:00 - enkf_resources: !calc run_enkf.resources - eupd_resources: !calc run_eupd.resources - resources: !calc run_eupd.resources - memory: !calc run_eupd.memory - shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*" ] - - Inherit: !Inherit - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.fv3_enkf_settings, "FHCYC" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc" ] - CDUMP: gdas - ENKFUPDSH: !calc doc.places.ENKFUPDSH - CASE: !calc doc.fv3_enkf_settings.CASE - NTHREADS_ENKF: 2 - NTHSTACK: 1024000000 - VERBOSE: YES - WRITE_NEMSIOFILE: YES diff --git a/model/fv3gfs/exp/actions/fcst.yaml b/model/fv3gfs/exp/actions/fcst.yaml deleted file mode 100644 index 7169835..0000000 --- a/model/fv3gfs/exp/actions/fcst.yaml +++ /dev/null @@ -1,85 +0,0 @@ -fcst_base: &fcst_base !Action - <<: *action_base - J_JOB: fcst - fcst_resources: !JobRequest - - exe: placeholder - mpi_ranks: !calc "layout_x*layout_y*6" - OMP_NUM_THREADS: 2 - resources: !calc fcst_resources - memory: !calc run_fcst.memory - resources_regrid_nemsio: !JobRequest - - exe: placeholder - mpi_ranks: !calc doc.fv3_settings.LEVS - max_ppn: !calc nodes.max_ranks_per_node(fcst_resources[0]) - true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE' ] - shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*" ] - - APRUN_REGRID_NEMSIO: !calc | - tools.command_without_exe( - par,resources_regrid_nemsio,'placeholder') - APRUN_FV3: !calc tools.command_without_exe(par,fcst_resources,'placeholder') - APRUN_REMAP: !calc APRUN_FV3 - ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" - - CASE_ENKF: !calc doc.fv3_enkf_settings.CASE - - FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" - - KEEPDATA: NO - - NTHREADS_FV3: !calc | - nodes.omp_threads_for(resources[0]) - NTHREADS_REGRID_NEMSIO: !calc | - nodes.omp_threads_for(resources_regrid_nemsio[0]) - NTHREADS_REMAP: !calc NTHREADS_FV3 - NTASKS_FV3: !calc resources.total_ranks() - - PSLOT: !calc doc.case.experiment_name - - REMAPSH: !expand "{doc.places.BASE_GSM}/ush/fv3gfs_remap.sh" - TYPE: "nh" - USE_COUPLER_RES: NO - VERBOSE: YES - WRITE_NEMSIOFILE: YES - - true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE', 'nst_anl', 'zhao_mic' ] - - # Variables to import in shell: - shell_vars: [ "[A-Z][A-Z0-9_]*$", 'restart_interval' ] - - cores_per_node: 24 - restart_interval: 6 - - USE_COUPLER_RES: NO - - restart_interval: !FirstTrue - - when: !calc CDUMP=="gdas" - do: 6 - - otherwise: 0 - - - master_grid: !calc doc.case.master_grid - -fcst_gdas: &fcst_gdas_action !Action - <<: *fcst_base - Template: *fv3_settings_template - Inherit: !Inherit - - [ doc.fv3_gdas_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.gfs_output_settings, ".*" ] - - [ doc.platform.mpi_tuning, ".*" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - CDUMP: gdas - walltime: !timedelta 00:25:00 - -fcst_gfs: &fcst_gfs_action !Action - <<: [ *fv3_gfs_settings, *data_assimilation, *fcst_base ] - Template: *fv3_settings_template - Inherit: !Inherit - - [ doc.fv3_gfs_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.gfs_output_settings, ".*" ] - - [ doc.platform.mpi_tuning, ".*" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - CDUMP: gfs - walltime: !timedelta 02:35:00 diff --git a/model/fv3gfs/exp/actions/final.yaml b/model/fv3gfs/exp/actions/final.yaml deleted file mode 100644 index e767005..0000000 --- a/model/fv3gfs/exp/actions/final.yaml +++ /dev/null @@ -1,7 +0,0 @@ -final: &final_action !Action - <<: *action_base - walltime: !timedelta 00:03:00 - resources: !calc run_nothing.resources - memory: !calc run_nothing.memory - accounting: !calc doc.platform.serial_accounting - J_JOB: /bin/true diff --git a/model/fv3gfs/exp/actions/ics.yaml b/model/fv3gfs/exp/actions/ics.yaml deleted file mode 100644 index 4a3ab68..0000000 --- a/model/fv3gfs/exp/actions/ics.yaml +++ /dev/null @@ -1,38 +0,0 @@ -fv3ics: &fv3ics_action !Action - <<: *action_base - J_JOB: fv3ic - walltime: !timedelta 00:30:00 - resources: !calc run_fv3ic.resources - memory: !calc run_fv3ic.memory - CASE: !calc doc.fv3_settings.CASE - - shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "sys_tp", "l.*4d.*" ] - Inherit: !Inherit - - [ doc.platform.general_env, ".*" ] - - [ doc.fv3_gfs_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.platform.mpi_tuning, '.*' ] - - [ doc.fv3_enkf_settings, "FHCYC" ] - - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc" ] - -fv3ics_df: &fv3ics_df_action !Action - <<: *fv3ics_action - J_JOB: fv3ic_df - -getics: &getics_action !Action - <<: *action_base - J_JOB: getic - walltime: !timedelta 06:00:00 - resources: !calc run_arch.resources - memory: !calc run_arch.memory - accounting: !calc doc.platform.transfer_accounting - - ics_from: !calc doc.case.ics_from - parexp: !calc doc.case.parexp - HPSS_PAR_PATH: !calc doc.case.HPSS_PAR_PATH - ICSDIR: !calc doc.places.ICSDIR - - # Variables to import in shell: - shell_vars: [ "[A-Z][A-Z0-9_]*$", "ics_from", "parexp", "HPSS_PAR_PATH" ] diff --git a/model/fv3gfs/exp/actions/post.yaml b/model/fv3gfs/exp/actions/post.yaml deleted file mode 100644 index b3392e5..0000000 --- a/model/fv3gfs/exp/actions/post.yaml +++ /dev/null @@ -1,56 +0,0 @@ -post_base: &post_base !Action - <<: *action_base - J_JOB: post - resources: !calc run_post.resources - memory: !calc run_post.memory - - APRUN_DWN: !calc tools.command_without_exe(par,resources,'placeholder') - APRUN_NP: !calc tools.command_without_exe(par,resources,'placeholder') - CASE_ENKF: !calc doc.fv3_enkf_settings.CASE - DO_GDAS_FCST_POST: YES - - FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" - FLXF: YES - GFS_DOWNSTREAM: YES - GOESF: NO - GPOST: YES - GTGF: NO - NTHREADS_DWN: 2 - NTHREADS_NP: 1 - downset: 1 - shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*", "downset" ] - true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE' ] - -post_gfs: &post_gfs_action !Action - <<: *post_base - CDUMP: gfs - Template: null - Inherit: !Inherit - - [ doc.fv3_gfs_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.platform.general_env, ".*" ] - - [ doc.platform.mpi_tuning, ".*" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - walltime: !timedelta 02:35:00 - FHOUT: !calc doc.gfs_output_settings.FHOUT_GFS - FHMAX: !calc doc.gfs_output_settings.FHMAX_GFS - FHMIN: !calc doc.gfs_output_settings.FHMIN_GFS - QUILTING: !calc doc.fv3_gfs_settings.QUILTING - -post_gdas: &post_gdas_action !Action - <<: *post_base - CDUMP: gdas - Template: null - Inherit: !Inherit - - [ doc.fv3_gdas_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.platform.general_env, ".*" ] - - [ doc.platform.mpi_tuning, ".*" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - walltime: !timedelta 00:25:00 - FHOUT: !calc doc.gfs_output_settings.FHOUT_GDAS - FHMAX: !calc doc.gfs_output_settings.FHMAX_GDAS - FHMIN: !calc doc.gfs_output_settings.FHMIN_GDAS - QUILTING: !calc doc.fv3_gdas_settings.QUILTING diff --git a/model/fv3gfs/exp/actions/prep.yaml b/model/fv3gfs/exp/actions/prep.yaml deleted file mode 100644 index 425fef7..0000000 --- a/model/fv3gfs/exp/actions/prep.yaml +++ /dev/null @@ -1,49 +0,0 @@ -prep: &prep_base !Action - <<: *action_base - J_JOB: prep - walltime: !timedelta 01:00:00 - resources: !calc run_prep.resources - memory: !calc run_prep.memory - - CASE_ENKF: !calc doc.fv3_enkf_settings.CASE - Template: null - - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - - POE: !calc doc.platform.name=='WCOSS_C' - PSLOT: !calc doc.case.experiment_name - VERBOSE: YES - WRITE_NEMSIOFILE: YES - - PARALLEL: YES - BACK: YES - NPROCS: 4 - - # Variables to import in shell: - shell_vars: [ "[A-Z][A-Z0-9_]*$", "sys_tp", "HOMEobs.*", "l.*4d.*" ] - -prep_gdas: &prep_gdas_action !Action - <<: *prep_base - CDUMP: gdas - Inherit: !Inherit - - [ doc.fv3_gdas_settings, '.*' ] - - [ doc.data_assimilation, '.*' ] - - [ doc.prepbufr, '.*' ] - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - - [ doc.platform.mpi_tuning, '.*' ] - Template: null - -prep_gfs: &prep_gfs_action !Action - <<: *prep_base - CDUMP: gfs - Inherit: !Inherit - - [ doc.fv3_gfs_settings, '.*' ] - - [ doc.data_assimilation, '.*' ] - - [ doc.prepbufr, '.*' ] - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - - [ doc.platform.mpi_tuning, '.*' ] - Template: null diff --git a/model/fv3gfs/exp/actions/vrfy.yaml b/model/fv3gfs/exp/actions/vrfy.yaml deleted file mode 100644 index 63eafbf..0000000 --- a/model/fv3gfs/exp/actions/vrfy.yaml +++ /dev/null @@ -1,74 +0,0 @@ - -vrfy_base: &vrfy_base !Action - <<: *action_base - Template: [ *CYC_TRACK_VARS, *CYC_GEN_VARS, *MIN_VARS, *RAD_VARS, *OZN_VARS, *MIN_RAD_OZN_VARS, *VRFY_CDUMP_GFS_VARS, *vrfy_template ] - J_JOB: vrfy - walltime: !timedelta 01:00:00 - resources: !calc run_vrfy.resources - memory: !calc run_vrfy.memory - - shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "sys_tp", "l.*4d.*" ] - - CASE_ENKF: !calc doc.fv3_enkf_settings.CASE - CDFNL: "gdas" - CDUMPFCST: "gdas" # Fit-to-obs with GDAS/GFS prepbufr - GRIB1_WORKS: NO - SMOOTH_ENKF: YES - VRFYG2OBS: NO - VRFYGENESIS: NO - VRFYGMPK: NO - VRFYMINMON: YES - VRFYOZN: NO - VRFYPRCP: YES - VRFYRAD: YES - VRFYTRAK: NO - VSDB_STEP1: YES - VSDB_STEP2: NO - VRFYGMPK: NO # Gempak verification - WRITE_NEMSIOFILE: YES - assim_freq: 6 - nst_anl: YES - true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE', 'nst_anl', 'zhao_mic' ] - -vrfy_gfs_action: &vrfy_gfs_action !Action - <<: *vrfy_base - Template: [ *vrfy_template_gfs ] - CDUMP: gfs - CASE: !calc doc.fv3_gfs_settings.CASE - Inherit: !Inherit - - [ doc.fv3_gfs_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.platform.general_env, ".*" ] - - [ doc.platform.mpi_tuning, ".*" ] - - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - BACKDATEVSDB: 24 - VBACKUP_PRCP: 24 - VSDBSH: !calc doc.places.VSDBSH - FHMIN: !calc doc.gfs_output_settings.FHMIN_GFS - FHMAX: !calc doc.gfs_output_settings.FHMIN_GFS - FHOUT: !calc doc.gfs_output_settings.FHMIN_GFS - ftyplist: "pgbq" - vhr_rain: 240 - vlength: 240 - vsdbhome: !calc doc.places.vsdbhome - vsdbsave: !calc doc.places.vsdbsave - VDUMP: !calc CDUMP - - # Variables to import in shell: - shell_vars: [ "[A-Z][A-Z0-9_]*$", "v.*", "ftyplist", "envir", ".*syndir", "HOME.*", "COM.*", ".*TANK.*", ".*typlist", ".*vrfy.*", "fitdir" ] - -vrfy_gdas_action: &vrfy_gdas_action !Action - <<: *vrfy_base - CDUMP: gdas - CASE: !calc doc.fv3_gdas_settings.CASE - Inherit: !Inherit - - [ doc.fv3_gdas_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.platform.general_env, ".*" ] - - [ doc.platform.mpi_tuning, ".*" ] - - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - VDUMP: !calc CDUMP diff --git a/model/fv3gfs/exp/cases/August2017_GFS@C384_ENKF@C192+20MEM.yaml b/model/fv3gfs/exp/cases/August2017_GFS@C384_ENKF@C192+20MEM.yaml deleted file mode 100644 index b469035..0000000 --- a/model/fv3gfs/exp/cases/August2017_GFS@C384_ENKF@C192+20MEM.yaml +++ /dev/null @@ -1,71 +0,0 @@ -fv3_settings: &fv3_settings - LEVS: 65 # Number of vertical levels (mandatory) - QUILTING: NO - -fv3_gfs_settings: &fv3_gfs_settings - <<: *fv3_settings - Template: *fv3_settings_template - CASE: C384 # FV3 horizontal resolution (mandatory) - CDUMP: gfs - -fv3_enkf_settings: &fv3_enkf_settings - <<: [ *fv3_enkf_defaults, *fv3_settings ] - Template: *fv3_settings_template - CASE: C192 # FV3 horizontal resolution for ensemble (mandatory) - CDUMP: gdas - -fv3_gdas_settings: &fv3_gdas_settings - <<: *fv3_gfs_settings - Template: *fv3_settings_template - CDUMP: gdas - -######################################################################## - -gfs_output_settings: &gfs_output_settings - Template: *gfs_output_settings_template - - FHMAX_GFS: 120 # Last GFS forecast hour (mandatory) - FHOUT_GFS: 6 # GFS output frequency (optional) - - OUTPUT_GRID: "gaussian_grid" - -######################################################################## - -data_assimilation: &data_assimilation - Template: *data_assimilation_template - # Shared parameters/switches for data assimilation - DOHYBVAR: YES # optional - NMEM_ENKF: 20 - NMEM_ENKF_GRP_EOMN: 10 # DO NOT CHANGE (yet) - NMEM_ENKF_GRP_EFMN: 10 # DO NOT CHANGE (yet) - RECENTER_ENKF: YES # optional - l4densvar: ".false." # optional - lwrite4danl: ".false." # optional - DO_MAKEPREPBUFR: YES # if NO, will copy prepbufr from globaldump - -prepbufr: &prepbufr - # Parameters and switches for propbufr creation. - Template: *obsproc_template - # See validation/obsproc.yaml for variables you can override - -######################################################################## - -places: &places { <<: *user_places } - -case: - Template: [ *case_template, *known_workflows ] - - SDATE: 2017-07-31t18:00:00 - EDATE: 2017-08-05t00:00:00 - - workflow: cycled_workflow - - IC_CDUMP: gdas # Get initial conditions from 1st cycle from GFS or GDAS - IC_DIR: !FirstTrue - - when: !calc doc.platform.name == "THEIA" - do: "/scratch4/NCEPDEV/global/noscrub/glopara/ICS/FV3GFS" - - when: !calc doc.platform.name == "WCOSS_C" - do: "/gpfs/hps3/emc/global/noscrub/emc.glopara/ICS" - - otherwise: !error "No ICs for platform {doc.platform.name}" - -#-END OF FILE-# diff --git a/model/fv3gfs/exp/cases/CROW_exe_Cindy_GFS@C384.yaml b/model/fv3gfs/exp/cases/CROW_exe_Cindy_GFS@C384.yaml deleted file mode 100644 index 817dc0e..0000000 --- a/model/fv3gfs/exp/cases/CROW_exe_Cindy_GFS@C384.yaml +++ /dev/null @@ -1,53 +0,0 @@ -fv3_settings: &fv3_settings - LEVS: 65 # Number of vertical levels (mandatory) - CASE: C384 # FV3 horizontal resolution (mandatory) - QUILTING: NO - -fv3_gfs_settings: &fv3_gfs_settings - <<: *fv3_settings - Template: *fv3_settings_template - CDUMP: gfs - -fv3_enkf_settings: &fv3_enkf_settings - <<: *no_enkf - -fv3_gdas_settings: &fv3_gdas_settings - <<: *no_gdas - -######################################################################## - -gfs_output_settings: &gfs_output_settings - Template: *gfs_output_settings_template - - FHMAX_GFS: 120 # Last GFS forecast hour (mandatory) - FHOUT_GFS: 6 # GFS output frequency (optional) - - OUTPUT_GRID: "gaussian_grid" - -######################################################################## - -data_assimilation: &data_assimilation - <<: *no_data_assimilation - -prepbufr: &prepbufr - <<: *no_prepbufr - -######################################################################## - -places: &places - <<: *user_places - FORECASTSH: !expand "{HOMEcrow}/model/fv3gfs/scripts/exglobal_fcst_crow_exe.sh" - -######################################################################## - -case: - Template: [ *case_template, *known_workflows ] - SDATE: 2017-06-19t18:00:00 - EDATE: 2017-06-22t12:00:00 - - workflow: crow_exe_fcst_workflow - - ics_from: pargfs - parexp: prnemsrn - -#-END OF FILE-# diff --git a/model/fv3gfs/exp/cases/CROW_io_Cindy_GFS@C384.yaml b/model/fv3gfs/exp/cases/CROW_io_Cindy_GFS@C384.yaml deleted file mode 100644 index 823715c..0000000 --- a/model/fv3gfs/exp/cases/CROW_io_Cindy_GFS@C384.yaml +++ /dev/null @@ -1,53 +0,0 @@ -fv3_settings: &fv3_settings - LEVS: 65 # Number of vertical levels (mandatory) - CASE: C384 # FV3 horizontal resolution (mandatory) - QUILTING: NO - -fv3_gfs_settings: &fv3_gfs_settings - <<: *fv3_settings - Template: *fv3_settings_template - CDUMP: gfs - -fv3_enkf_settings: &fv3_enkf_settings - <<: *no_enkf - -fv3_gdas_settings: &fv3_gdas_settings - <<: *no_gdas - -######################################################################## - -gfs_output_settings: &gfs_output_settings - Template: *gfs_output_settings_template - - FHMAX_GFS: 120 # Last GFS forecast hour (mandatory) - FHOUT_GFS: 6 # GFS output frequency (optional) - - OUTPUT_GRID: "gaussian_grid" - -######################################################################## - -data_assimilation: &data_assimilation - <<: *no_data_assimilation - -prepbufr: &prepbufr - <<: *no_prepbufr - -######################################################################## - -places: &places - <<: *user_places - FORECASTSH: !expand "{HOMEcrow}/model/fv3gfs/scripts/exglobal_fcst_crow_io.sh" - -######################################################################## - -case: - Template: [ *case_template, *known_workflows ] - SDATE: 2017-06-19t18:00:00 - EDATE: 2017-06-22t12:00:00 - - workflow: crow_sh_df_fcst_workflow - - ics_from: pargfs - parexp: prnemsrn - -#-END OF FILE-# diff --git a/model/fv3gfs/exp/cases/CROW_sh_Cindy_GFS@C384.yaml b/model/fv3gfs/exp/cases/CROW_sh_Cindy_GFS@C384.yaml deleted file mode 100644 index 83c07df..0000000 --- a/model/fv3gfs/exp/cases/CROW_sh_Cindy_GFS@C384.yaml +++ /dev/null @@ -1,51 +0,0 @@ -fv3_settings: &fv3_settings - LEVS: 65 # Number of vertical levels (mandatory) - CASE: C384 # FV3 horizontal resolution (mandatory) - QUILTING: NO - -fv3_gfs_settings: &fv3_gfs_settings - <<: *fv3_settings - Template: *fv3_settings_template - CDUMP: gfs - -fv3_enkf_settings: &fv3_enkf_settings - <<: *no_enkf - -fv3_gdas_settings: &fv3_gdas_settings - <<: *no_gdas - -######################################################################## - -gfs_output_settings: &gfs_output_settings - Template: *gfs_output_settings_template - - FHMAX_GFS: 120 # Last GFS forecast hour (mandatory) - FHOUT_GFS: 6 # GFS output frequency (optional) - - OUTPUT_GRID: "gaussian_grid" - -######################################################################## - -data_assimilation: &data_assimilation - <<: *no_data_assimilation - -prepbufr: &prepbufr - <<: *no_prepbufr - -######################################################################## - -places: &places - <<: *user_places - FORECASTSH: !expand "{HOMEcrow}/model/fv3gfs/scripts/exglobal_fcst_crow.sh" - -case: - Template: [ *case_template, *known_workflows ] - SDATE: 2017-06-19t18:00:00 - EDATE: 2017-06-22t12:00:00 - - workflow: crow_sh_fcst_workflow - - ics_from: pargfs - parexp: prnemsrn - -#-END OF FILE-# diff --git a/model/fv3gfs/exp/cases/CROW_sh_df_Cindy_GFS@C384.yaml b/model/fv3gfs/exp/cases/CROW_sh_df_Cindy_GFS@C384.yaml deleted file mode 100644 index 977fa82..0000000 --- a/model/fv3gfs/exp/cases/CROW_sh_df_Cindy_GFS@C384.yaml +++ /dev/null @@ -1,53 +0,0 @@ -fv3_settings: &fv3_settings - LEVS: 65 # Number of vertical levels (mandatory) - CASE: C384 # FV3 horizontal resolution (mandatory) - QUILTING: NO - -fv3_gfs_settings: &fv3_gfs_settings - <<: *fv3_settings - Template: *fv3_settings_template - CDUMP: gfs - -fv3_enkf_settings: &fv3_enkf_settings - <<: *no_enkf - -fv3_gdas_settings: &fv3_gdas_settings - <<: *no_gdas - -######################################################################## - -gfs_output_settings: &gfs_output_settings - Template: *gfs_output_settings_template - - FHMAX_GFS: 120 # Last GFS forecast hour (mandatory) - FHOUT_GFS: 6 # GFS output frequency (optional) - - OUTPUT_GRID: "gaussian_grid" - -######################################################################## - -data_assimilation: &data_assimilation - <<: *no_data_assimilation - -prepbufr: &prepbufr - <<: *no_prepbufr - -######################################################################## - -places: &places - <<: *user_places - FORECASTSH: !expand "{HOMEcrow}/model/fv3gfs/scripts/exglobal_fcst_crow_df.sh" - -######################################################################## - -case: - Template: [ *case_template, *known_workflows ] - SDATE: 2017-06-19t18:00:00 - EDATE: 2017-06-22t12:00:00 - - workflow: crow_sh_df_fcst_workflow - - ics_from: pargfs - parexp: prnemsrn - -#-END OF FILE-# diff --git a/model/fv3gfs/exp/cases/Cindy_GFS@C384.yaml b/model/fv3gfs/exp/cases/Cindy_GFS@C384.yaml deleted file mode 100644 index f3300dc..0000000 --- a/model/fv3gfs/exp/cases/Cindy_GFS@C384.yaml +++ /dev/null @@ -1,49 +0,0 @@ -fv3_settings: &fv3_settings - LEVS: 65 # Number of vertical levels (mandatory) - CASE: C384 # FV3 horizontal resolution (mandatory) - QUILTING: NO - -fv3_gfs_settings: &fv3_gfs_settings - <<: *fv3_settings - Template: *fv3_settings_template - CDUMP: gfs - -fv3_enkf_settings: &fv3_enkf_settings - <<: *no_enkf - -fv3_gdas_settings: &fv3_gdas_settings - <<: *no_gdas - -######################################################################## - -gfs_output_settings: &gfs_output_settings - Template: *gfs_output_settings_template - - FHMAX_GFS: 120 # Last GFS forecast hour (mandatory) - FHOUT_GFS: 6 # GFS output frequency (optional) - - OUTPUT_GRID: "gaussian_grid" - -######################################################################## - -data_assimilation: &data_assimilation - <<: *no_data_assimilation - -prepbufr: &prepbufr - <<: *no_prepbufr - -######################################################################## - -places: &places { <<: *user_places } - -case: - Template: [ *case_template, *known_workflows ] - SDATE: 2017-06-19t18:00:00 - EDATE: 2017-06-22t12:00:00 - - workflow: gfs_forecast_workflow - - ics_from: pargfs - parexp: prnemsrn - -#-END OF FILE-# diff --git a/model/fv3gfs/exp/cases/Harvey_GFS@C192.yaml b/model/fv3gfs/exp/cases/Harvey_GFS@C192.yaml deleted file mode 100644 index ce38d1e..0000000 --- a/model/fv3gfs/exp/cases/Harvey_GFS@C192.yaml +++ /dev/null @@ -1,55 +0,0 @@ -fv3_settings: &fv3_settings - LEVS: 65 # Number of vertical levels (mandatory) - CASE: C192 # FV3 horizontal resolution (mandatory) - QUILTING: NO - -fv3_gfs_settings: &fv3_gfs_settings - <<: *fv3_settings - Template: *fv3_settings_template - CDUMP: gfs - -fv3_enkf_settings: &fv3_enkf_settings - <<: *no_enkf - -fv3_gdas_settings: &fv3_gdas_settings - <<: *no_gdas - -######################################################################## - -gfs_output_settings: &gfs_output_settings - Template: *gfs_output_settings_template - - FHMAX_GFS: 120 # Last GFS forecast hour (mandatory) - FHOUT_GFS: 6 # GFS output frequency (optional) - - OUTPUT_GRID: "gaussian_grid" - -######################################################################## - -data_assimilation: &data_assimilation - <<: *no_data_assimilation - -prepbufr: &prepbufr - <<: *no_prepbufr - -######################################################################## - -places: &places { <<: *user_places } - -case: - Template: [ *case_template, *known_workflows ] - SDATE: 2017-08-17t12:00:00 - EDATE: 2017-08-31t00:00:00 - - workflow: gfs_forecast_workflow - - ics_from: opsgfs - -#-END OF FILE-# - - - - - - -# ... or is it? diff --git a/model/fv3gfs/exp/create_comrot.py b/model/fv3gfs/exp/create_comrot.py deleted file mode 100644 index bbcb76e..0000000 --- a/model/fv3gfs/exp/create_comrot.py +++ /dev/null @@ -1,57 +0,0 @@ -#! /usr/bin/env python3 -f'This script requires python 3.6 or later' - -import os, logging -from contextlib import suppress - -logger=logging.getLogger('create_comrot') - -def make_link(src,tgt): - logger.debug(f'{src}: symlink {tgt}') - with suppress(FileNotFoundError): os.unlink(tgt) - if not os.path.exists(src): - logger.warning(f'{src}: link target does not exist') - os.symlink(src,tgt) - -def make_dir(dir): - logger.debug(f'{dir}: makedirs') - with suppress(FileExistsError): os.makedirs(dir) - -def create_COMROT(conf): - cdump = conf.case.IC_CDUMP - icsdir = conf.case.IC_DIR - comrot = conf.places.ROTDIR - resens = conf.fv3_enkf_settings.CASE[1:] - resdet = conf.fv3_gfs_settings.CASE[1:] - idate = conf.case.SDATE - detdir = f'{cdump}.{idate:%Y%m%d}/{idate:%H}' - nens = conf.data_assimilation.NMEM_ENKF - enkfdir = f'enkf.{cdump}.{idate:%Y%m%d}/{idate:%H}' - idatestr = f'{idate:%Y%m%d%H}' - - logger.info(f'Input conditions: {icsdir}') - - make_dir(os.path.join(comrot,enkfdir)) - make_dir(os.path.join(comrot, detdir)) - - logger.info(f'Workflow COM root: {comrot}') - - # Link ensemble member initial conditions - for i in range(1, nens + 1): - memdir=os.path.join(comrot,enkfdir,f'mem{i:03d}') - make_dir(memdir) - src=os.path.join(icsdir, idatestr, f'C{resens}',f'mem{i:03d}','INPUT') - tgt=os.path.join(comrot, enkfdir, f'mem{i:03d}', 'INPUT') - make_link(src,tgt) - - # Link deterministic initial conditions - src=os.path.join(icsdir, idatestr, f'C{resdet}', 'control', 'INPUT') - tgt=os.path.join(comrot, detdir, 'INPUT') - make_link(src,tgt) - - # Link bias correction and radiance diagnostics files - for fname in ['abias', 'abias_pc', 'abias_air', 'radstat']: - file=f'{cdump}.t{idate:%H}z.{fname}' - src=os.path.join(icsdir, idatestr, file) - tgt=os.path.join(comrot, detdir, file) - make_link(src,tgt) diff --git a/model/fv3gfs/exp/defaults/fv3_enkf.yaml b/model/fv3gfs/exp/defaults/fv3_enkf.yaml deleted file mode 100644 index ead091f..0000000 --- a/model/fv3gfs/exp/defaults/fv3_enkf.yaml +++ /dev/null @@ -1,34 +0,0 @@ -fv3_enkf_defaults: &fv3_enkf_defaults - FHSWR: 3600. - FHLWR: 3600. - IEMS: 1 - ISOL: 2 - IAER: 111 - ICO2: 2 - dspheat: ".true." - shal_cnv: ".false." - FHZER: 6 - FHCYC: 24 - restart_interval: 6 - FHMAX: 6 - -# Stochastic physics parameters (only for ensemble forecasts) - DO_SKEB: NO - SKEB: -999. - SKEB_TAU: 21600. - SKEB_LSCALE: 500000. - SKEBNORM: 1 - DO_SHUM: YES - SHUM: 0.006 - SHUM_TAU: 21600. - SHUM_LSCALE: 500000. - DO_SPPT: YES - SPPT: 0.5 - SPPT_TAU: 21600. - SPPT_LSCALE: 500000. - SPPT_LOGIT: ".true." - SPPT_SFCLIMIT: ".true." - - k_split: 1 - n_split: 12 - consv_te: 1. diff --git a/model/fv3gfs/exp/defaults/no.yaml b/model/fv3gfs/exp/defaults/no.yaml deleted file mode 100644 index 9e0bb94..0000000 --- a/model/fv3gfs/exp/defaults/no.yaml +++ /dev/null @@ -1,17 +0,0 @@ -no_enkf: &no_enkf - Template: *fv3_settings_template - CDUMP: gdas - CASE: !calc doc.fv3_gdas_settings.CASE - LEVS: !calc doc.fv3_gdas_settings.LEVS - -no_gdas: &no_gdas - Template: *fv3_settings_template - CDUMP: gdas - CASE: !calc doc.fv3_gfs_settings.CASE - LEVS: !calc doc.fv3_gfs_settings.LEVS - -no_data_assimilation: &no_data_assimilation - Template: *data_assimilation_template - -no_prepbufr: &no_prepbufr - Template: *obsproc_template diff --git a/model/fv3gfs/exp/defaults/places.yaml b/model/fv3gfs/exp/defaults/places.yaml deleted file mode 100644 index c57e044..0000000 --- a/model/fv3gfs/exp/defaults/places.yaml +++ /dev/null @@ -1,88 +0,0 @@ -default_places: &default_places - PROJECT_DIR: !error Please select a project directory. - - # Locations of CROW and this test suite. - HOMEcrow: !calc tools.crow_install_dir() - - # NOTE: These two directories will need to be changed for the real - # fv3gfs workflow: - HOMEgfs: /scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/fv3gfs-fcst-post/EXP-cyc-try2/ -#!calc tools.crow_install_dir('model/fv3gfs') - HOMEgdas: !calc HOMEgfs - - HOMEDIR: !expand "{PROJECT_DIR}/save/{tools.env('USER')}" - STMP: !calc PTMP - PTMP: !expand "{doc.platform.least_used_scrub}/{tools.env('USER')}" - NOSCRUB: !expand "{PROJECT_DIR}/noscrub/{tools.env('USER')}" - - BASE_WORKFLOW: !expand "{HOMEgfs}/gfs_workflow.v15.0.0" - BASE_GSM: !expand "{HOMEgfs}/global_shared.v15.0.0" - BASE_MODULES: !expand "{HOMEgfs}/global_shared.v15.0.0/modulefiles" - BASE_ENV: !expand "{HOMEgfs}/gfs_workflow.v15.0.0/env" - BASE_JOB: !expand "{HOMEcrow}/model/fv3gfs/jobs" - - # User paths - EXPDIR: !expand "{HOMEDIR}/{doc.case.experiment_name}" - ROTDIR: !expand "{PTMP}/{doc.case.experiment_name}" - ICSDIR: !expand "{ROTDIR}/FV3ICS" - RUNDIR: !expand "{STMP}/RUNDIRS/{doc.case.experiment_name}" - ARCDIR: !expand "{NOSCRUB}/archive/{doc.case.experiment_name}" - ATARDIR: !expand "/NCEPDEV/{doc.accounting.hpss_project}/1year/{tools.env('USER')}/{doc.platform.name}/scratch/{doc.case.experiment_name}" -# CDUMP: "gfs" - - # Component paths - BASE_FV3GFS: !calc HOMEgfs - BASE_GDAS: !expand "{HOMEgfs}/gdas.v15.0.0" - BASE_GFS: !expand "{HOMEgfs}/gfs.v15.0.0" - BASE_SVN: !calc doc.platform.BASE_SVN - MYBASE_SVN: !expand "{NOSCRUB}/{tools.env('USER')}/svn" - BASE_GSM: !expand "{HOMEgfs}/global_shared.v15.0.0" - BASE_GSI: !expand "{BASE_GSM}/sorc/gsi.fd" - BASE_NEMSfv3gfs: !expand "{BASE_GSM}/sorc/fv3gfs.fd" - BASE_POST: !expand "{BASE_SVN}/post/tags/ncep_post.v7.7.2/" - BASE_PREP: !expand "{BASE_SVN}/obsproc/releases/obsproc_prep_RB-4.0.0" - BASE_PREP_GLOBAL: !expand "{BASE_SVN}/obsproc/releases/obsproc_global_RB-3.0.0" - BASE_VERIF: !expand "{BASE_SVN}/verif/global/tags/vsdb" - BASE_OZNMON: !calc BASE_GSI - GFSDOWNSH: !expand "{BASE_WORKFLOW}/ush/fv3gfs_downstream_nems.sh" - GFSDWNSH: !expand "{BASE_WORKFLOW}/ush/fv3gfs_dwn_nems.sh" - ENKFUPDSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_update_fv3gfs.sh.ecf" - - FIX_DIR: !expand "{BASE_GSM}/fix" - FIX_AM: !expand "{FIX_DIR}/fix_am" - FIX_FV3: !expand "{FIX_DIR}/fix_fv3" - - CHGRESSH: !expand "{BASE_POST}/ush/global_chgres_GSM.sh" - CHGRESSH_ECEN: !expand "{BASE_GSM}/ush/global_chgres_GSM.sh" - - vsdbhome: !calc BASE_VERIF - vsdbsave: !expand "{PROJECT_DIR}/noscrub/{tools.env('USER')}/archive/vsdb_data" - VSDBSH: !expand "{vsdbhome}/vsdbjob.sh" # VSDB job script - -#"/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb/vsdbjob.sh" - - # Locations of specific scripts: - - POSTJJOBSH: !expand "{BASE_WORKFLOW}/jobs/JGFS_POST.sh" - ENKFFCSTSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" - ENKFRECENSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_recenter_fv3gfs.sh.ecf" - CHGRESEXEC: !expand "{BASE_GSM}/exec/global_chgres_GSM" - ENKFINVOBSSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" - INVOBSSH: !expand "{BASE_GSI}/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" - ENKFEXEC: !expand "{BASE_GSI}/exec/global_enkf" - - FCSTEXECDIR: !expand "{BASE_GSM}/sorc/fv3gfs.fd/NEMS/exe" - FORECASTSH: !expand "{BASE_GSM}/scripts/exglobal_fcst_nemsfv3gfs.sh" - POSTGPSH: !expand "{BASE_POST}/ush/global_nceppost.sh" - POSTGPEXEC: !expand "{BASE_POST}/exec/ncep_post" - PARM_FV3DIAG: !expand "{BASE_GSM}/parm/parm_fv3diag" - FIELD_TABLE: !expand "{PARM_FV3DIAG}/field_table_ncld1" - - REGRID_NEMSIO_SH: !expand "{BASE_GSM}/ush/fv3gfs_regrid_nemsio.sh" - REGRID_NEMSIO_TBL: !expand "{PARM_FV3DIAG}/variable_table_da_nonsst.txt" - NC2NEMSIOSH: !expand "{BASE_GSM}/ush/fv3gfs_nc2nemsio.sh" - REMAPSH: !expand "{BASE_GSM}/ush/fv3gfs_remap.sh" - - - DRIVE_MAKEPREPBUFRSH: !expand "{BASE_GSM}/ush/drive_makeprepbufr.sh" - MAKEPREPBUFRSH: !expand "{BASE_PREP}/ush/prepobs_makeprepbufr.sh" diff --git a/model/fv3gfs/exp/defaults/settings.yaml b/model/fv3gfs/exp/defaults/settings.yaml deleted file mode 100644 index 51ae58b..0000000 --- a/model/fv3gfs/exp/defaults/settings.yaml +++ /dev/null @@ -1,2 +0,0 @@ -default_settings: &default_settings - NCO_NAMING_CONV: YES diff --git a/model/fv3gfs/exp/platforms/_main.yaml b/model/fv3gfs/exp/platforms/_main.yaml deleted file mode 100644 index 76e9da6..0000000 --- a/model/fv3gfs/exp/platforms/_main.yaml +++ /dev/null @@ -1,3 +0,0 @@ -include: - - "*.yaml" - - choice.yaml diff --git a/model/fv3gfs/exp/platforms/choice.yaml b/model/fv3gfs/exp/platforms/choice.yaml deleted file mode 100644 index 6dc46a3..0000000 --- a/model/fv3gfs/exp/platforms/choice.yaml +++ /dev/null @@ -1,11 +0,0 @@ -platform: !Immediate - - !FirstTrue - - do: - <<: *wcoss_cray - Evaluate: true - when: !calc do.detect - - do: - <<: *theia - Evaluate: true - when: !calc do.detect - - otherwise: null diff --git a/model/fv3gfs/exp/platforms/jet.yaml b/model/fv3gfs/exp/platforms/jet.yaml deleted file mode 100644 index 21e9131..0000000 --- a/model/fv3gfs/exp/platforms/jet.yaml +++ /dev/null @@ -1,57 +0,0 @@ - -jet: &jet !Platform - <<: *resource_defaults - Evaluate: false - name: JET - detect: !calc tools.isdir("/scratch4") and tools.isdir("/scratch3") - - BASE_SVN: "/dev/null" - - # Environment variables to set in all jobs - general_env: - # FIXME: INSERT TEXT HERE - NCP: "/bin/cp -p" - NLN: "/bin/ln -sf" - NMV: "/bin/mv" - - CHGRP_CMD: chgrp rstprod - - default_cpu_project: fv3-cpu - - serial_accounting: - queue: debug - project: !calc doc.accounting.cpu_project - transfer_accounting: - queue: service - project: !calc doc.accounting.cpu_project - parallel_accounting: - queue: batch - project: !calc doc.accounting.cpu_project - - scheduler_settings: &jet_scheduler - name: MoabTorque - physical_cores_per_node: 24 - logical_cpus_per_core: 2 - hyperthreading_allowed: true - indent_text: " " - parallelism_settings: { <<: *jet_scheduler, name: HydraIMPI } - node_type_settings: { <<: *jet_scheduler, node_type: generic } - - mpi_tuning: - MPI_BUFS_PER_HOST: 2048 - MPI_BUFS_PER_PROC: 2048 - MPI_GROUP_MAX: 256 - MPI_MEMMAP_OFF: 1 - MP_STDOUTMODE: "ORDERED" - NTHSTACK: 1024000000 - OMP_STACKSIZE: 2048000 - - scheduler: !calc | - tools.get_scheduler(scheduler_settings.name, scheduler_settings) - parallelism: !calc | - tools.get_parallelism(parallelism_settings.name, parallelism_settings) - nodes: !calc | - tools.node_tool_for(node_type_settings.node_type, node_type_settings) - - # Path to pan_df, the program used to get Panasas disk usage information: - least_used_scrub: !error You must specify a scrub area when running on jet. diff --git a/model/fv3gfs/exp/platforms/theia.yaml b/model/fv3gfs/exp/platforms/theia.yaml deleted file mode 100644 index df5135a..0000000 --- a/model/fv3gfs/exp/platforms/theia.yaml +++ /dev/null @@ -1,87 +0,0 @@ - -theia: &theia !Platform - <<: *resource_defaults - Evaluate: false - name: THEIA - detect: !calc tools.isdir("/scratch4") and tools.isdir("/scratch3") - - BASE_SVN: "/scratch4/NCEPDEV/global/save/glopara/svn" - - # Environment variables to set in all jobs - general_env: - POSTGRB2TBL: "/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" - NWPROD: "/scratch4/NCEPDEV/global/save/glopara/nwpara" - DMPDIR: "/scratch4/NCEPDEV/global/noscrub/dump" - RTMFIX: "/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" - NEMSIOGET: !expand "{doc.places.BASE_GSM}/exec/nemsio_get" - #NEMSIOGET: !expand "{NWPROD}/util/exec/nemsio_get" - NDATE: !expand "{NWPROD}/util/exec/ndate" - NHOUR: !expand "{NWPROD}/util/exec/nhour" - WGRIB: !expand "{NWPROD}/util/exec/wgrib" - WGRIB2: !expand "{NWPROD}/util/exec/wgrib2" - COPYGB: !expand "{NWPROD}/util/exec/copygb" - COPYGB2: !expand "{NWPROD}/util/exec/copygb2" - GRBINDEX: !expand "{NWPROD}/util/exec/grbindex" - GRB2INDEX: !expand "{NWPROD}/util/exec/grb2index" - GRBINDEX2: !expand "{NWPROD}/util/exec/grb2index" - CNVGRIB: "/apps/cnvgrib/1.4.0/bin/cnvgrib" - WGRIB: !expand "{NWPROD}/util/exec/wgrib" - WGRIB2: "/scratch3/NCEPDEV/nwprod/utils/wgrib2.v2.0.6c/wgrib2/wgrib2" - - prep_step: !expand "{NWPROD}/prod_util.v1.0.15/ush/prep_step" - - NCP: "/bin/cp -p" - NLN: "/bin/ln -sf" - NMV: "/bin/mv" - - CHGRP_CMD: chgrp rstprod - - default_cpu_project: fv3-cpu - - serial_accounting: - queue: debug - project: !calc doc.accounting.cpu_project - transfer_accounting: - queue: service - project: !calc doc.accounting.cpu_project - parallel_accounting: - queue: batch - project: !calc doc.accounting.cpu_project - - scheduler_settings: &theia_scheduler - name: MoabTorque - physical_cores_per_node: 24 - logical_cpus_per_core: 2 - hyperthreading_allowed: true - indent_text: " " - parallelism_settings: { <<: *theia_scheduler, name: HydraIMPI } - node_type_settings: { <<: *theia_scheduler, node_type: generic } - - mpi_tuning: - MPI_BUFS_PER_HOST: 2048 - MPI_BUFS_PER_PROC: 2048 - MPI_GROUP_MAX: 256 - MPI_MEMMAP_OFF: 1 - MP_STDOUTMODE: "ORDERED" - NTHSTACK: 1024000000 - OMP_STACKSIZE: 2048000 - - scheduler: !calc | - tools.get_scheduler(scheduler_settings.name, scheduler_settings) - parallelism: !calc | - tools.get_parallelism(parallelism_settings.name, parallelism_settings) - nodes: !calc | - tools.node_tool_for(node_type_settings.node_type, node_type_settings) - - # Path to pan_df, the program used to get Panasas disk usage information: - pan_df: pan_df - least_used_scrub: !Immediate - - !FirstMax - - do: /scratch3/NCEPDEV/stmp1 - when: !calc tools.panasas_gb(do) - - do: /scratch3/NCEPDEV/stmp2 - when: !calc tools.panasas_gb(do) - - do: /scratch4/NCEPDEV/stmp3 - when: !calc tools.panasas_gb(do) - - do: /scratch4/NCEPDEV/stmp4 - when: !calc tools.panasas_gb(do) diff --git a/model/fv3gfs/exp/platforms/wcoss_cray.yaml b/model/fv3gfs/exp/platforms/wcoss_cray.yaml deleted file mode 100644 index fac857b..0000000 --- a/model/fv3gfs/exp/platforms/wcoss_cray.yaml +++ /dev/null @@ -1,59 +0,0 @@ - -wcoss_cray: &wcoss_cray !Platform - <<: *resource_defaults - name: WCOSS_C - Evaluate: false - detect: !calc | - tools.isdir("/gpfs/hps") and \ - tools.isfile("/etc/SuSE-release") - default_cpu_project: GFS-T2O - serial_accounting: - queue: dev - project: !calc doc.accounting.cpu_project - transfer_accounting: - queue: transfer - project: !calc doc.accounting.cpu_project - parallel_accounting: - queue: dev - project: !calc doc.accounting.cpu_project - - scheduler_settings: &wcoss_cray_scheduler - name: LSFAlps - physical_cores_per_node: 24 - logical_cpus_per_core: 2 - hyperthreading_allowed: true - indent_text: " " - parallelism_settings: { <<: *wcoss_cray_scheduler, name: LSFAlps } - node_type_settings: { <<: *wcoss_cray_scheduler, node_type: generic } - - mpi_tuning: - # FIXME: Update for WCOSS Cray - MPI_BUFS_PER_HOST: 2048 - MPI_BUFS_PER_PROC: 2048 - MPI_GROUP_MAX: 256 - MPI_MEMMAP_OFF: 1 - MP_STDOUTMODE: "ORDERED" - NTHSTACK: 1024000000 - OMP_STACKSIZE: 2048000 - - scheduler: !calc | - tools.get_scheduler(scheduler_settings.name, scheduler_settings) - parallelism: !calc | - tools.get_parallelism(parallelism_settings.name, parallelism_settings) - nodes: !calc | - tools.node_tool_for(node_type_settings.node_type, node_type_settings) - - BASE_SVN: "/gpfs/hps3/emc/global/noscrub/emc.glopara/svn" - - general_env: - POSTGRB2TBL: "/gpfs/hps/nco/ops/nwprod/lib/g2tmpl/v1.3.0/src/params_grib2_tbl_new" - CHGRP_CMD: chgrp rstprod - - # Path to mmlsquota, the program used to get GPFS disk usage information: - mmlsquota: "/usr/lpp/mmfs/bin/mmlsquota" - least_used_scrub: !Immediate - - !FirstMax - - do: /gpfs/hps2/ptmp - when: !calc tools.gpfs_gb(do,"hps2-ptmp","hps2",mmlsquota) - - do: /gpfs/hps3/ptmp - when: !calc tools.gpfs_gb(do,"hps3-ptmp","hps3",mmlsquota) diff --git a/model/fv3gfs/exp/resources/resources.yaml b/model/fv3gfs/exp/resources/resources.yaml deleted file mode 100644 index 5c2a919..0000000 --- a/model/fv3gfs/exp/resources/resources.yaml +++ /dev/null @@ -1,188 +0,0 @@ -resource_defaults: &resource_defaults - - run_test: - resources: !JobRequest - - exe: nothing - mpi_ranks: 1 - - # From if[[...ecen]] block in config.resources: - run_ecen: - env: { } #*mpi_tuning - memory: !FirstTrue - - when: !calc doc.platform.name=='WCOSS_C' - do: "3072M" - - otherwise: "" - resources: !JobRequest - - exe: placeholder - mpi_ranks: 84 - # max_ppn comes from THEIA.env: 84/12 = 7 - max_ppn: 7 - OMP_NUM_THREADS: 2 - - run_chgres: - env: { } - resources: !JobRequest - - exe: time - OMP_NUM_THREADS: 12 - args: - - placeholder - - run_nothing: # Special placeholder for "do nothing" - env: { } - memory: 300M - resources: !JobRequest - - exe: nothing - - run_eobs: - env: { } - memory: !FirstTrue - - when: !calc doc.platform.name=='WCOSS_C' - do: "3072M" - - otherwise: "" - resources: !JobRequest - - exe: placeholder - mpi_ranks: !calc 12*6 - max_ppn: 6 - OMP_NUM_THREADS: 4 - - run_eomg: - env: { } - memory: !FirstTrue - - when: !calc doc.platform.name=='WCOSS_C' - do: "3072M" - - otherwise: "" - resources: !JobRequest - - exe: placeholder - mpi_ranks: !calc 6*12 - max_ppn: 6 - OMP_NUM_THREADS: 2 - - run_eupd: - env: { } - memory: !FirstTrue - - when: !calc doc.platform.name=='WCOSS_C' - do: "3072M" - - otherwise: "" - resources: !JobRequest - - exe: placeholder - mpi_ranks: !calc 10*12 - max_ppn: 12 - OMP_NUM_THREADS: 2 - - run_enkf: - env: { } - resources: !JobRequest - - exe: placeholder - mpi_ranks: !calc 10*12 - max_ppn: 12 - OMP_NUM_THREADS: 4 - - run_efcs: - env: { } - memory: !FirstTrue - - when: !calc doc.platform.name=='WCOSS_C' - do: "3072M" - - otherwise: "" - - run_epos: - env: { } - memory: !FirstTrue - - when: !calc doc.platform.name=='WCOSS_C' - do: "254M" - - otherwise: "" - resources: !JobRequest - - exe: placeholder - mpi_ranks: !calc 7*12 - max_ppn: 12 - OMP_NUM_THREADS: 2 - - run_prep: - env: { } - memory: !FirstTrue - - when: !calc doc.platform.name=='WCOSS_C' - do: "3072M" - - otherwise: "" - resources: !JobRequest - - exe: placeholder - mpi_ranks: 12 - max_ppn: 12 - OMP_NUM_THREADS: max - - run_anal: - env: { } - memory: !FirstTrue - - when: !calc doc.platform.name=='WCOSS_C' - do: "3072M" - - otherwise: "" - resources: !JobRequest - - exe: placeholder - mpi_ranks: !calc 24*6 - max_ppn: 6 - OMP_NUM_THREADS: 2 - - run_gsi: - env: { } - resources: !JobRequest - - exe: placeholder - mpi_ranks: !calc 24*6 - max_ppn: 6 - OMP_NUM_THREADS: 4 - - run_fcst: - env: { } - memory: !FirstTrue - - when: !calc doc.platform.name=='WCOSS_C' - do: "3072M" - - otherwise: "" - - run_post: - env: { } - memory: !FirstTrue - - when: !calc doc.platform.name=='WCOSS_C' - do: "3072M" - - otherwise: "" - resources: !JobRequest - - exe: placeholder - mpi_ranks: !calc 6*12 - max_ppn: 12 - OMP_NUM_THREADS: 1 - - run_vrfy: - env: { } - memory: !FirstTrue - - when: !calc doc.platform.name=='WCOSS_C' - do: "3072M" - - otherwise: "16384M" - resources: !JobRequest - - exe: placeholder - mpi_ranks: 1 - max_ppn: 1 - OMP_NUM_THREADS: 2 - - run_arch: - env: { } - memory: "3072M" - resources: !JobRequest - - exe: placeholder - mpi_ranks: 1 - max_ppn: 1 - OMP_NUM_THREADS: 2 - - run_earc: - env: { } - memory: "3072M" - resources: !JobRequest - - exe: placeholder - mpi_ranks: 1 - max_ppn: 1 - OMP_NUM_THREADS: 2 - - run_fv3ic: - env: { } - memory: !FirstTrue - - when: !calc doc.platform.name=='WCOSS_C' - do: "3072M" - - otherwise: "" - resources: !JobRequest - - exe: placeholder - mpi_ranks: 24 diff --git a/model/fv3gfs/exp/runtime/_main.yaml b/model/fv3gfs/exp/runtime/_main.yaml deleted file mode 100644 index 8207894..0000000 --- a/model/fv3gfs/exp/runtime/_main.yaml +++ /dev/null @@ -1,4 +0,0 @@ -include: - - runtime.yaml - - rocoto.yaml - - "*.yaml" diff --git a/model/fv3gfs/exp/runtime/crow_exe_fcst_workflow.yaml b/model/fv3gfs/exp/runtime/crow_exe_fcst_workflow.yaml deleted file mode 100644 index 562b34f..0000000 --- a/model/fv3gfs/exp/runtime/crow_exe_fcst_workflow.yaml +++ /dev/null @@ -1,92 +0,0 @@ -crow_exe_fcst_workflow: !Cycle - Rocoto: *Rocoto - - Clock: *clock - - ICSDIR_CYC: !calc | - doc.places.ICSDIR+"{cycle:%Y%m%d%H}/gfs/"+doc.fv3_gfs_settings.CASE+"/INPUT/" - - ics: !Family - getics: !Task - Perform: - <<: *getics_action - CDUMP: gfs - Rocoto: *task_template - - fv3ics: !Task - Perform: - <<: *fv3ics_df_action - CDUMP: gfs - Rocoto: *task_template - Trigger: !Depend getics - - - gfs_ctrl_nc: !OutputSlot { Loc: !calc suite.ICSDIR_CYC+"gfs_ctrl.nc" } - output_data_tiles: !OutputSlot - tile: [ 1, 2, 3, 4, 5, 6 ] - kind: [ sfc_data, gfs_data ] - Loc: !calc suite.ICSDIR_CYC+"{kind}.tile{tile}.nc" - - gfs: !Family - Trigger: !Depend ics - - fcst: !Task - Perform: *crow_exe_fcst_gfs_action - Rocoto: *task_template - - COMOUT: !calc | - doc.places.ROTDIR+"/gfs.{cycle:%Y%m%d}/{cycle:%H}/" - - # Inputs from fv3ic - gfs_ctrl_nc: !InputSlot - Out: !Message up.up.ics.fv3ics.gfs_ctrl_nc - input_data_tiles: !InputSlot - Out: !Message up.up.ics.fv3ics.output_data_tiles(tile=tile,kind=kind) - tile: [ 1, 2, 3, 4, 5, 6 ] - kind: [ sfc_data, gfs_data ] - - coupler_res: !OutputSlot - dt_end: !calc Perform.restart_dt - Loc: !calc COMOUT+"RESTART/"+"{cycle+dt_end:%Y%m%d.%H%M%S}.coupler.res" - - restart_time_tiles: !OutputSlot - dt_end: !calc Perform.restart_dt - tile: [ 1, 2, 3, 4, 5, 6 ] - kind: - - fv_core.res - - fv_srf_wnd.res - - fv_tracer.res - - phy_data - - sfc_data - Loc: !calc COMOUT+"RESTART/"+"{cycle+dt_end:%Y%m%d.%H%M%S}.{kind}.tile{tile}.nc" - - untimed_tiles: !OutputSlot - tile: [ 1, 2, 3, 4, 5, 6 ] - kind: - - atmos_4xdaily - - atmos_static - - grid_spec - - nggps2d - - nggps3d - Loc: !calc COMOUT+"{kind}.tile{tile}.nc" - - post: !Task - Perform: *post_gfs_action - Rocoto: *task_template - Trigger: !Depend fcst - - vrfy: !Task - Perform: *vrfy_gfs_action - Rocoto: *task_template - Trigger: !Depend post - - arch: !Task - Perform: - <<: *arch_gfs_action - CDUMP: gfs - Rocoto: *task_template - Trigger: !Depend gfs - - final: !Task - Perform: *final_action - Rocoto: *task_template diff --git a/model/fv3gfs/exp/runtime/crow_sh_df_fcst_workflow.yaml b/model/fv3gfs/exp/runtime/crow_sh_df_fcst_workflow.yaml deleted file mode 100644 index 3030d3c..0000000 --- a/model/fv3gfs/exp/runtime/crow_sh_df_fcst_workflow.yaml +++ /dev/null @@ -1,92 +0,0 @@ -crow_sh_df_fcst_workflow: !Cycle - Rocoto: *Rocoto - - Clock: *clock - - ICSDIR_CYC: !calc | - doc.places.ICSDIR+"{cycle:%Y%m%d%H}/gfs/"+doc.fv3_gfs_settings.CASE+"/INPUT/" - - ics: !Family - getics: !Task - Perform: - <<: *getics_action - CDUMP: gfs - Rocoto: *task_template - - fv3ics: !Task - Perform: - <<: *fv3ics_df_action - CDUMP: gfs - Rocoto: *task_template - Trigger: !Depend getics - - - gfs_ctrl_nc: !OutputSlot { Loc: !calc suite.ICSDIR_CYC+"gfs_ctrl.nc" } - output_data_tiles: !OutputSlot - tile: [ 1, 2, 3, 4, 5, 6 ] - kind: [ sfc_data, gfs_data ] - Loc: !calc suite.ICSDIR_CYC+"{kind}.tile{tile}.nc" - - gfs: !Family - Trigger: !Depend ics - - fcst: !Task - Perform: *crow_sh_df_fcst_gfs_action - Rocoto: *task_template - - COMOUT: !calc | - doc.places.ROTDIR+"/gfs.{cycle:%Y%m%d}/{cycle:%H}/" - - # Inputs from fv3ic - gfs_ctrl_nc: !InputSlot - Out: !Message up.up.ics.fv3ics.gfs_ctrl_nc - input_data_tiles: !InputSlot - Out: !Message up.up.ics.fv3ics.output_data_tiles(tile=tile,kind=kind) - tile: [ 1, 2, 3, 4, 5, 6 ] - kind: [ sfc_data, gfs_data ] - - coupler_res: !OutputSlot - dt_end: !calc Perform.restart_dt - Loc: !calc COMOUT+"RESTART/"+"{cycle+dt_end:%Y%m%d.%H%M%S}.coupler.res" - - restart_time_tiles: !OutputSlot - dt_end: !calc Perform.restart_dt - tile: [ 1, 2, 3, 4, 5, 6 ] - kind: - - fv_core.res - - fv_srf_wnd.res - - fv_tracer.res - - phy_data - - sfc_data - Loc: !calc COMOUT+"RESTART/"+"{cycle+dt_end:%Y%m%d.%H%M%S}.{kind}.tile{tile}.nc" - - untimed_tiles: !OutputSlot - tile: [ 1, 2, 3, 4, 5, 6 ] - kind: - - atmos_4xdaily - - atmos_static - - grid_spec - - nggps2d - - nggps3d - Loc: !calc COMOUT+"{kind}.tile{tile}.nc" - - post: !Task - Perform: *post_gfs_action - Rocoto: *task_template - Trigger: !Depend fcst - - vrfy: !Task - Perform: *vrfy_gfs_action - Rocoto: *task_template - Trigger: !Depend post - - arch: !Task - Perform: - <<: *arch_gfs_action - CDUMP: gfs - Rocoto: *task_template - Trigger: !Depend gfs - - final: !Task - Perform: *final_action - Rocoto: *task_template diff --git a/model/fv3gfs/exp/runtime/crow_sh_fcst_workflow.yaml b/model/fv3gfs/exp/runtime/crow_sh_fcst_workflow.yaml deleted file mode 100644 index ffb69e7..0000000 --- a/model/fv3gfs/exp/runtime/crow_sh_fcst_workflow.yaml +++ /dev/null @@ -1,46 +0,0 @@ -crow_sh_fcst_workflow: !Cycle - Rocoto: *Rocoto - - Clock: *clock - - ics: !Family - getics: !Task - Perform: - <<: *getics_action - CDUMP: gfs - Rocoto: *task_template - - fv3ics: !Task - Perform: - <<: *fv3ics_action - CDUMP: gfs - Rocoto: *task_template - Trigger: !Depend getics - - gfs: !Family - Trigger: !Depend ics - - fcst: !Task - Perform: *crow_sh_fcst_gfs_action - Rocoto: *task_template - - post: !Task - Perform: *post_gfs_action - Rocoto: *task_template - Trigger: !Depend fcst - - vrfy: !Task - Perform: *vrfy_gfs_action - Rocoto: *task_template - Trigger: !Depend post - - arch: !Task - Perform: - <<: *arch_gfs_action - CDUMP: gfs - Rocoto: *task_template - Trigger: !Depend gfs - - final: !Task - Perform: *final_action - Rocoto: *task_template diff --git a/model/fv3gfs/exp/runtime/cycled_workflow.yaml b/model/fv3gfs/exp/runtime/cycled_workflow.yaml deleted file mode 100644 index 8edd0aa..0000000 --- a/model/fv3gfs/exp/runtime/cycled_workflow.yaml +++ /dev/null @@ -1,427 +0,0 @@ -cycled_workflow: !Cycle - Rocoto: *Rocoto - - Clock: *clock - - gdas: !Family - prep: !Task - Perform: *prep_gdas_action - Rocoto: *task_template - Complete: !Depend ~ suite.has_cycle('-6:00:00') - Trigger: !Depend up.gdas.post.at('-6:00:00') - - enkf: !Family - eobs: !Task - Perform: *eobs_action - Rocoto: *task_template - Complete: !Depend ~ suite.has_cycle('-6:00:00') - Trigger: !Depend ( up.prep & epos.at('-6:00:00') ) - - eomg: !Family - Trigger: !Depend eobs - Complete: !Depend ~ suite.has_cycle('-6:00:00') - grp1: !Task - Perform: - <<: *eomg_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 1 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - grp2: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==20 - do: !Task - Perform: - <<: *eomg_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 2 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - - grp3: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==30 - do: !Task - Perform: - <<: *eomg_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 3 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - - grp4: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==40 - do: !Task - Perform: - <<: *eomg_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 4 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - - grp5: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==50 - do: !Task - Perform: - <<: *eomg_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 5 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - - grp6: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==60 - do: !Task - Perform: - <<: *eomg_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 6 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - - grp7: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==70 - do: !Task - Perform: - <<: *eomg_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 7 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - - grp8: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==80 - do: !Task - Perform: - <<: *eomg_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 8 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - - eupd: !Task - Perform: *eupd_action - Rocoto: *task_template - Complete: !Depend ~ suite.has_cycle('-6:00:00') - Trigger: !Depend eomg - - ecen: !Task - Perform: *ecen_action - Rocoto: *task_template - Complete: !Depend ~ suite.has_cycle('-6:00:00') - Trigger: !Depend ( eupd & up.anal ) - -# efcs: !TaskArray -# Trigger: !Depend ecen -# Indices: -# GROUP_NUMBER_INDEX: [ 1, 2, 3, 4, 5, 6, 7, 8 ] -# OTHER_INDEX: [ a, b, c, d ] -# Names: -# grp: !expand grp{indices.GROUP_NUMBER_INDEX:%d}_{indices.OTHER_INDEX} -# other: !expand other{indices.OTHER_INDEX}_{indices.GROUP_NUMBER_INDEX} -# Contents: -# other: !Task -# ... -# grp: !Task -# Perform: -# <<: *efcs_action -# NMEM_ENKF: *NMEM_ENKF -# NMEM_ENKF_GRP_EFMN: *NMEM_ENKF_GRP_EFMN -# GROUP_NUMBER: !calc indices.GROUP_NUMBER_INDEX # Convert to ENSGRP %02d -# task_template: *task_template -# ens_more: *ens_task_template -# Rocoto: !expand "{task_template}{ens_more}" - - efcs: !Family - Trigger: !Depend ( ecen | ~ suite.has_cycle('-6:00:00') ) - grp1: !Task - Perform: - <<: *efcs_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN - GROUP_NUMBER: 1 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *efcs_task_template - Rocoto: !expand "{task_template}{ens_more}" - - grp2: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==20 - do: !Task - Perform: - <<: *efcs_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN - GROUP_NUMBER: 2 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *efcs_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - - grp3: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==30 - do: !Task - Perform: - <<: *efcs_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN - GROUP_NUMBER: 3 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *efcs_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - - grp4: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==40 - do: !Task - Perform: - <<: *efcs_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN - GROUP_NUMBER: 4 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *efcs_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - - grp5: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==50 - do: !Task - Perform: - <<: *efcs_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN - GROUP_NUMBER: 5 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *efcs_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - - grp6: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==60 - do: !Task - Perform: - <<: *efcs_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN - GROUP_NUMBER: 6 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *efcs_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - - grp7: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==70 - do: !Task - Perform: - <<: *efcs_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN - GROUP_NUMBER: 7 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *efcs_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - - grp8: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==80 - do: !Task - Perform: - <<: *efcs_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN - GROUP_NUMBER: 8 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *efcs_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - - epos: !Task - Perform: *epos_action - Rocoto: *task_template - Trigger: !Depend efcs - - anal: !Task - Perform: *anal_gdas_action - Rocoto: *task_template - Complete: !Depend ~ suite.has_cycle('-6:00:00') - Trigger: !Depend ( prep & enkf.epos.at('-6:00:00') ) - - fcst: !Task - Perform: *fcst_gdas_action - Rocoto: *task_template - Trigger: !Depend ( anal | ~ suite.has_cycle('-6:00:00') ) - - post: !Task - Perform: *post_gdas_action - Rocoto: *task_template - Trigger: !Depend fcst - - vrfy: !Task - Perform: *vrfy_gdas_action - Rocoto: *task_template - Trigger: !Depend post - - gfs: !Family - Complete: !Depend ~ suite.has_cycle('-6:00:00') - prep: !Task - Perform: *prep_gfs_action - Rocoto: *task_template - Trigger: !Depend up.gdas.post.at('-6:00:00') - - anal: !Task - Perform: *anal_gfs_action - Rocoto: *task_template - Trigger: !Depend ( prep & up.gdas.enkf.epos.at('-6:00:00') ) - - fcst: !Task - Perform: *fcst_gfs_action - Rocoto: *task_template - Trigger: !Depend anal - - post: !Task - Perform: *post_gfs_action - Rocoto: *task_template - Trigger: !Depend fcst - - vrfy: !Task - Perform: *vrfy_gfs_action - Rocoto: *task_template - Trigger: !Depend post - - archive: !Family - gdasarch: !Task - Perform: - <<: *arch_gdas_action - CDUMP: gdas - Rocoto: *task_template - Trigger: !Depend up.gdas.vrfy - - gfsarch: !Task - Perform: - <<: *arch_gfs_action - CDUMP: gfs - Rocoto: *task_template - Complete: !Depend ~ suite.has_cycle('-6:00:00') - Trigger: !Depend up.gfs.vrfy - - earc: !Family - Trigger: !Depend up.gdas.enkf.epos - grp1: !Task - Perform: - <<: *earc_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 1 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - grp2: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==20 - do: !Task - Perform: - <<: *earc_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 2 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - grp3: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==30 - do: !Task - Perform: - <<: *earc_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 3 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - grp4: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==40 - do: !Task - Perform: - <<: *earc_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 4 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - grp5: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==50 - do: !Task - Perform: - <<: *earc_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 5 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - grp6: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==60 - do: !Task - Perform: - <<: *earc_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 6 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - grp7: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==70 - do: !Task - Perform: - <<: *earc_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 7 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - grp8: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==80 - do: !Task - Perform: - <<: *earc_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 8 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - - final: !Task - Perform: *final_action - Rocoto: *task_template diff --git a/model/fv3gfs/exp/runtime/gfs_forecast_workflow.yaml b/model/fv3gfs/exp/runtime/gfs_forecast_workflow.yaml deleted file mode 100644 index 9c83310..0000000 --- a/model/fv3gfs/exp/runtime/gfs_forecast_workflow.yaml +++ /dev/null @@ -1,46 +0,0 @@ -gfs_forecast_workflow: !Cycle - Rocoto: *Rocoto - - Clock: *clock - - ics: !Family - getics: !Task - Perform: - <<: *getics_action - CDUMP: gfs - Rocoto: *task_template - - fv3ics: !Task - Perform: - <<: *fv3ics_action - CDUMP: gfs - Rocoto: *task_template - Trigger: !Depend getics - - gfs: !Family - Trigger: !Depend ics - - fcst: !Task - Perform: *fcst_gfs_action - Rocoto: *task_template - - post: !Task - Perform: *post_gfs_action - Rocoto: *task_template - Trigger: !Depend fcst - - vrfy: !Task - Perform: *vrfy_gfs_action - Rocoto: *task_template - Trigger: !Depend post - - arch: !Task - Perform: - <<: *arch_gfs_action - CDUMP: gfs - Rocoto: *task_template - Trigger: !Depend gfs - - final: !Task - Perform: *final_action - Rocoto: *task_template diff --git a/model/fv3gfs/exp/runtime/rocoto.yaml b/model/fv3gfs/exp/runtime/rocoto.yaml deleted file mode 100644 index 70ba0f9..0000000 --- a/model/fv3gfs/exp/runtime/rocoto.yaml +++ /dev/null @@ -1,117 +0,0 @@ -Rocoto: &Rocoto - scheduler: !calc doc.platform.scheduler - parallelism: !calc doc.platform.parallelism -# EXPDIR: !calc doc.places.EXPDIR - max_tries: 1 - - workflow_xml: !expand | - - - - - - - - - ]> - - &LOG_DIR;/rocoto_@Y@m@d@H.log - {to_rocoto.make_time_xml(indent=1)} - {to_rocoto.make_task_xml(indent=1)} - - - task_template: &task_template !expand | - {doc.places.BASE_JOB}/job_wrapper.sh {Perform.J_JOB} - {doc.case.experiment_name}{task_path_str}@@Y@m@d@H - &LOG_DIR;/@Y@m@d@H/{task_path_var}.log - {Perform.walltime} - {Perform.memory} - {sched.rocoto_accounting(Perform.accounting)} - {sched.rocoto_resources(Perform.resources)} - CDATE@Y@m@d@H - - - COMOUTgfs - &COM_DIR;/@Y@m@d@H - - - OLD_COM_DIR - &COM_DIR;/@Y@m@d@H - - - SCRUB_DIR - &SCRUB_DIR;/@Y@m@d@H - - - TASK_PATH - {task_path_var}.Perform - - - MACHINE - {doc.platform.name} - - - machine - {doc.platform.name} - - - BASE_MODULES - {doc.places.BASE_MODULES} - - - CONFIG_YAML - {doc.places.EXPDIR}/config.yaml - - - CROW_DATAFLOW_DB - {doc.places.EXPDIR}/dataflow.db - - - HOMEcrow - {doc.places.HOMEcrow} - - - BASE_JOB - {doc.places.BASE_JOB} - - - HOMEgfs - {doc.places.HOMEgfs} - - - ACTUALLY_RUN - {"YES" if doc.case.ACTUALLY_RUN else "NO"} - - - efcs_task_template: &efcs_task_template !expand | - - NMEM_ENKF - {Perform.NMEM_ENKF} - - - NMEM_ENKF_GRP_EFMN - {Perform.NMEM_ENKF_GRP_EFMN} - - - GROUP_NUMBER - GROUP_NUMBER - - - eomn_task_template: &eomn_task_template !expand | - - NMEM_ENKF - {Perform.NMEM_ENKF} - - - NMEM_ENKF_GRP_EOMN - {Perform.NMEM_ENKF_GRP_EOMN} - - - GROUP_NUMBER - GROUP_NUMBER - - diff --git a/model/fv3gfs/exp/runtime/runtime.yaml b/model/fv3gfs/exp/runtime/runtime.yaml deleted file mode 100644 index 5f69a04..0000000 --- a/model/fv3gfs/exp/runtime/runtime.yaml +++ /dev/null @@ -1,28 +0,0 @@ -runtime: &runtime - com: !calc tools.env('COMINtest') - prior_com: !calc tools.env('COMOLDtest') - -clock: &clock !Clock - start: !calc doc.case.SDATE - end: !calc doc.case.EDATE - step: !timedelta 06:00 - now: !FirstTrue - - do: !calc tools.from_YMDH(tools.env('CDATE')) - when: !calc tools.have_env('CDATE') - - otherwise: null - -clock2: !Clock - start: !calc doc.case.SDATE - end: !calc doc.case.EDATE - step: !timedelta 06:00 - now: !FirstTrue - - do: !calc tools.from_YMDH(tools.env('CDATE')) - when: !calc tools.have_env('CDATE') - - otherwise: null - -test1: - test2: - test3: !FirstTrue - - do: !calc tools.from_YMDH(tools.env('CDATE')) - when: !calc tools.have_env('CDATE') - - otherwise: null diff --git a/model/fv3gfs/exp/setup_case.py b/model/fv3gfs/exp/setup_case.py deleted file mode 100755 index 401cc22..0000000 --- a/model/fv3gfs/exp/setup_case.py +++ /dev/null @@ -1,109 +0,0 @@ -#! /usr/bin/env python3 -f'This script requires python 3.6 or later' - -import os, sys, logging, glob, io, getopt, re -from collections.abc import Sequence - -sys.path.append(os.path.abspath(os.path.join( - os.path.dirname(__file__),'../../..'))) - -from create_comrot import create_COMROT -import crow.config, crow.metascheduler, crow.dataflow -from crow.config import Platform, follow_main - -logger=logging.getLogger("setup_case") - -def epicfail(why): - logger.error(why) - sys.exit(1) - -def read_contents(case): - for case_file in [ case,f"{case}.yaml",f"cases/{case}", - f"cases/{case}.yaml","/" ]: - if os.path.exists(case_file) and case_file!='/': - logger.info(f"{case_file}: file for this case") - break - if case_file == "/": - epicfail(f"{case}: no such case; pick one from in cases/") - if not os.path.exists("user.yaml"): - epicfail("Please copy user.yaml.default to user.yaml and fill in values.") - with io.StringIO() as yfd: - follow_main(yfd,".",{ "case_yaml":case_file, "user_yaml":"user.yaml" }) - yaml=yfd.getvalue() - return crow.config.from_string(yaml) - -def main(): - ( optval, args ) = getopt.getopt(sys.argv[1:],"v",["verbose","force"]) - options=dict(optval) - level=logging.INFO - if '-v' in options or '--verbose' in options: - level=logging.DEBUG - logging.basicConfig(stream=sys.stderr,level=level) - force="--force" in options - - if len(args)!=2: - sys.stderr.write("Format: setup_case.py [-v] [--force] case-name experiment-name\n") - exit(1) - - case=args[0] - experiment_name=args[1] - - logger.info(f"read case {case}") - conf=read_contents(case) - conf.experiment_name=experiment_name - crow.config.validate(conf.case) - logger.info("Remove platforms from configuration.") - for key in list(conf.keys()): - if isinstance(conf[key],Platform) and key!='platform': - del conf[key] - - EXPDIR=conf.places.EXPDIR - logger.info(f'Run directory: {EXPDIR}') - config_yaml=os.path.join(EXPDIR,'config.yaml') - dataflow_db=os.path.join(EXPDIR,'dataflow.db') - - try: - os.makedirs(EXPDIR) - except FileExistsError: - logger.warning(f'{EXPDIR}: exists') - if not force: - logger.error(f'{EXPDIR}: already exists. Delete or use --force.') - sys.exit(1) - logger.warning(f'--force given; will replace config.yaml without ' - 'deleting directory') - - if 'IC_CDUMP' in conf.case and 'IC_DIR' in conf.case: - create_COMROT(conf) - - chosen_workflow=conf.case.workflow - conf.workflow=conf[chosen_workflow] - - suite=crow.config.Suite(conf[chosen_workflow]) - doc=crow.config.document_root(suite) - - expname=conf.case.experiment_name - logger.info(f'Experiment name: {expname}') - - logger.info(f'Generate suite definition') - rocoto_xml=crow.metascheduler.to_rocoto(suite) - logger.info(f'Prepare cached YAML') - yaml=crow.config.to_yaml(doc) - - logger.info(f'Write the config file: {config_yaml}') - with open(config_yaml,'wt') as fd: - fd.write(yaml) - - if not os.path.exists(dataflow_db): - logger.info(f'Write the dataflow sqlite3 file: {dataflow_db}') - df=crow.dataflow.from_suite(suite,dataflow_db) - #Uncomment to dump database to stdout: df.dump(sys.stdout) - - rocoto_xml_file=os.path.join(EXPDIR,f'{expname}.xml') - logger.info(f'Rocoto XML file: {rocoto_xml_file}') - with open(rocoto_xml_file,'wt') as fd: - fd.write(rocoto_xml) - logger.info('Workflow XML file is generated.') - logger.info('Use Rocoto to execute this workflow.') - -if __name__ == "__main__": - main() diff --git a/model/fv3gfs/exp/test_sections.py b/model/fv3gfs/exp/test_sections.py deleted file mode 100755 index 433e5eb..0000000 --- a/model/fv3gfs/exp/test_sections.py +++ /dev/null @@ -1,26 +0,0 @@ -#! /usr/bin/env python3.6 - -import os, sys, logging - -try: - import crow.config -except ModuleNotFoundError: - there=os.path.abspath(os.path.join(os.path.dirname(__file__),'../..')) - sys.path.append(there) - import crow.config -from crow.config import Platform - -logging.basicConfig(stream=sys.stderr,level=logging.INFO, - format='%(module)s:%(lineno)d: %(levelname)8s: %(message)s') -logger=logging.getLogger('setup_expt') - -if len(sys.argv)<3: - logger.error('Format: test_sections.py /path/to/config.yaml sections') - exit(1) - -conf=crow.config.from_file(sys.argv[1]) - -for var in sys.argv[2:]: - val=eval(var,{},conf) - crow.config.validate(val) - print(f'{var} = {val!r}') diff --git a/model/fv3gfs/exp/user.yaml.default b/model/fv3gfs/exp/user.yaml.default deleted file mode 100644 index 0182e54..0000000 --- a/model/fv3gfs/exp/user.yaml.default +++ /dev/null @@ -1,14 +0,0 @@ -user_places: &user_places - <<: *default_places - - PROJECT_DIR: !error Please select a project directory. - # ie. /scratch4/NCEPDEV/ocean - - HOMEgfs: !error Where is your fv3gfs checkout? - # NOTE: Use this: /scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/fv3gfs-fcst-post/fv3gfs - -accounting: &accounting - # Project for CPU accounting. - Template: *accounting_template - cpu_project: !error What accounting code do I use to submit jobs? # ie.: global - hpss_project: !error Where do I put data on HPSS? # ie.: emc-global diff --git a/model/fv3gfs/exp/validation/_main.yaml b/model/fv3gfs/exp/validation/_main.yaml deleted file mode 100644 index 1828e34..0000000 --- a/model/fv3gfs/exp/validation/_main.yaml +++ /dev/null @@ -1,4 +0,0 @@ -include: - - fv3.yaml - - "*.yaml" - - case.yaml diff --git a/model/fv3gfs/exp/validation/accounting.yaml b/model/fv3gfs/exp/validation/accounting.yaml deleted file mode 100644 index 26a825f..0000000 --- a/model/fv3gfs/exp/validation/accounting.yaml +++ /dev/null @@ -1,12 +0,0 @@ -accounting_template: !Template &accounting_template - cpu_project: - type: string - description: Which project or accounting code to use for cpu time in the batch queue - - hpss_project: - type: string - description: Which project or accounting code to use for HPSS tape storage. - - noscrub_project: - type: string - description: Which project to use for non-scrubbed disk areas diff --git a/model/fv3gfs/exp/validation/case.yaml b/model/fv3gfs/exp/validation/case.yaml deleted file mode 100644 index 6bea6e5..0000000 --- a/model/fv3gfs/exp/validation/case.yaml +++ /dev/null @@ -1,57 +0,0 @@ -case_template: !Template &case_template - experiment_name: - type: string - description: user-defined experiment name - override: !FirstTrue - - when: !calc tools.grep('^[A-Za-z][A-Za-z0-9_]*$',experiment_name) - do: null # no change - - otherwise: !error Experiment names must be alphanumeric and start with a letter. - - gfs_cyc: - type: int - default: 2 - allowed: [ 0, 1, 2, 4 ] - description: | - When to run GFS forecast. Data assimilation is run for every - cycle regardless of these values - * 0: no GFS cycle - * 1: 00Z only - * 2: 00Z and 12Z only - * 4: all 4 cycles (0, 6, 12, 18 Z) - - SDATE: - type: datetime - description: | - First cycle to run. In the cycled workflow, this is a - "half-cycle" in that it only runs the parts of the workflow that - do not require a prior cycle. The first "real" cycle is the - following cycle. - - EDATE: - type: datetime - description: Last cycle to run GDAS. - - master_grid: - type: string - default: "0p25deg" - allowed: [ "1deg", "0p5deg", "0p25deg", "0p125deg" ] - description: Original grid of the post - - DO_RELOCATE: - type: bool - default: NO - allowed: [ NO ] - description: vortex relocation flag - keep as NO - relocate not yet implemented - - ACTUALLY_RUN: - type: bool - default: YES - description: For test purposes only. Keep as YES - - experiment_name: - type: string - default: !calc doc.experiment_name - description: | - User-defined experiment name; should be a-z followed by alphanumeric. - Formerly known as PSLOT. - diff --git a/model/fv3gfs/exp/validation/chgres.yaml b/model/fv3gfs/exp/validation/chgres.yaml deleted file mode 100644 index 4de42b7..0000000 --- a/model/fv3gfs/exp/validation/chgres.yaml +++ /dev/null @@ -1,10 +0,0 @@ -chgres_template: !Template &chgres_template - CHGRESVARS_ENKF: - type: string - default: "use_ufo=.true.,nopdpvv=.true." - - CHGRESTHREAD: - type: int - default: 12 - description: Number of threads to use for chgres - diff --git a/model/fv3gfs/exp/validation/data_assimilation.yaml b/model/fv3gfs/exp/validation/data_assimilation.yaml deleted file mode 100644 index 8080b5f..0000000 --- a/model/fv3gfs/exp/validation/data_assimilation.yaml +++ /dev/null @@ -1,46 +0,0 @@ -data_assimilation_template: !Template &data_assimilation_template - DOHYBVAR: - type: bool - default: YES - description: flag (YES or NO) for hybrid ensemble variational option - NMEM_ENKF: - type: int - default: 80 - allowed: [ 10, 20, 30, 40, 50, 60, 70, 80 ] - description: Number of members of the GFS ENKF ensemble. - NMEM_ENKF_GRP_EOMN: { type: int, default: 10, allowed: [ 10 ] } - NMEM_ENKF_GRP_EFMN: { type: int, default: 10, allowed: [ 10 ] } - RECENTER_ENKF: { type: bool, default: YES } - SMOOTH_ENKF: { type: bool, default: YES } - assim_freq: - type: int - default: 6 - l4densvar: - type: string - allowed: [ '.true.', '.false.' ] - default: '.false.' - lwrite4danl: - type: string - allowed: [ '.true.', '.false.' ] - default: '.false.' - - DO_MAKEPREPBUFR: - type: bool - default: YES - description: If NO, will copy prepbufr from globaldump. Otherwise, generates prepbufr file anew. - - OBSINPUT_INVOBS: - type: string - default: "dmesh(1)=225.0,dmesh(2)=225.0" - OBSQC_INVOBS: - type: string - default: "tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" - RERUN_EOMGGRP: - type: bool - default: YES - - -# These variable largely eliminate the need for explicitly setting -# USH directories, FIX files, PARM files, EXECutables below -# The USER can overwrite components that they wish -# e.g. PRVT is used from the GSI diff --git a/model/fv3gfs/exp/validation/fv3.yaml b/model/fv3gfs/exp/validation/fv3.yaml deleted file mode 100644 index e20bc4d..0000000 --- a/model/fv3gfs/exp/validation/fv3.yaml +++ /dev/null @@ -1,250 +0,0 @@ -fv3_settings_template: !Template &fv3_settings_template - - do_vort_damp: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - description: vorticity and divergence damping - consv_te: - type: float - default: 1. - description: conserve total energy - fv_sg_adj: - type: int - default: 450 - description: time-scale to remove 2dz instability - dspheat: - type: string - default: ".true." - allowed: [ ".true.", ".false." ] - description: dissipative heating - shal_cnv: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - description: shallow convection flag - agrid_vel_rst: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - description: "write velocity restarts on A grid?" - - IEMS: { type: int, default: 1 } - IALB: { type: int, default: 1 } - ISOL: { type: int, default: 2 } - IAER: { type: int, default: 111 } - ICO2: { type: int, default: 2 } - - warm_start: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - - read_increment: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - - restart_interval: - type: int - default: 6 - - LEVS: - type: int - description: Number of vertical levels in FV3 - - FHCYC: - type: int - default: 24 - description: Surface cycle update frequency - - QUILTING: - type: bool - default: YES - description: Should output quilting be used? - - WRITE_NEMSIOFILE: - type: bool - default: YES - description: Should nemsio output be used (yes/true) instead of netcdf (no/false)? - - WRITE_NEMSIOFLIP: - type: bool - default: YES - - nst_anl: - type: bool - default: YES - - DONST: - type: bool - default: YES - - MONO: - type: string - default: "non-mono" - allowed: [ mono, non-mono ] - - MEMBER: - type: int - stage: [ execution ] - default: -1 - description: "-1: control, 0: ensemble mean, >0: ensemble member $MEMBER" - - ISEED: - type: int - default: 0 - stage: [ execution ] - description: > - Default seed for shum, skeb, and sppt, if specific seeds - are not specified. - - SET_STP_SEED: - type: bool - default: YES - description: > - Automatically set seeds for SKEB, SHUM, and SPPT at execution - time based on simulation date and member. - - DO_SHUM: - type: bool - default: NO - if_present: !FirstTrue - - when: !calc DO_SHUM - do: - ISEED_SHUM: { type: int, optional: true } - SHUM: { type: float, default: -999. } - SHUM_TAU: { type: float, default: -999. } - SHUM_LSCALE: { type: float, default: -999. } - - otherwise: null - - DO_SKEB: - type: bool - default: NO - if_present: !FirstTrue - - when: !calc DO_SKEB - do: - ISEED_SHUM: { type: int, optional: true } - SKEB_TAU: { type: float, default: -999. } - SKEB_LSCALE: { type: float, default: -999. } - SKEBNORM: { type: int, default: 1 } - - otherwise: null - - DO_SPPT: - type: bool - default: NO - if_present: !FirstTrue - - when: !calc DO_SPPT==True - do: - ISEED_SHUM: { type: int, optional: true } - SPPT: { type: float } - SPPT_TAU: { type: float, default: -999. } - SPPT_LSCALE: { type: float, default: -999. } - SPPT_SFCLIMIT: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - - otherwise: !Message "Don't SPPT" - - RUN_EFCSGRP: { type: bool, default: NO } - - ncld: { type: int, default: 1 } - nwat: { type: int, default: 2 } - - zhao_mic: { type: bool, default: YES } - - nh_type: { type: string, default: 'nh' } - - USE_COUPLER_RES: { type: bool, default: NO } - - CDUMP: - type: string - allowed: [ gfs, gdas ] - if_present: !Template - DIAG_TABLE: - type: string - stages: [ suite ] - default: !FirstTrue - - when: !calc CDUMP=="gdas" - do: !expand "{doc.places.BASE_GSM}/parm/parm_fv3diag/diag_table_da" - - when: !calc CDUMP=="gfs" - do: !expand "{doc.places.BASE_GSM}/parm/parm_fv3diag/diag_table" - - otherwise: !error "Do not know DIAG_TABLE for CDUMP={CDUMP}" - - CASE: - type: string - allowed: [ 'C48', 'C96', 'C192', 'C384', 'C768', 'C1152', 'C3072' ] - if_present: !FirstTrue - - when: !calc CASE=='C48' - do: !Template - DELTIM: { default: 3600, type: int } - layout_x: { default: 4, type: int } - layout_y: { default: 8, type: int } - WRITE_GROUP: { default: 1, type: int } - WRTTASK_PER_GROUP: { default: 12, type: int } - - - when: !calc CASE=='C96' - do: !Template - DELTIM: { default: 1800, type: int } - layout_x: { default: 4, type: int } - layout_y: { default: 8, type: int } - cdmbgwd: - default: "0.125,3.0" - type: string - description: "mountain blocking and gravity wave drag" - WRITE_GROUP: { default: 1, type: int } - WRTTASK_PER_GROUP: { default: 12, type: int } - - - when: !calc CASE=='C192' - do: !Template - DELTIM: { default: 900, type: int } - layout_x: { default: 4, type: int } - layout_y: { default: 8, type: int } - cdmbgwd: - default: "0.2,2.5" - type: string - description: "mountain blocking and gravity wave drag" - WRITE_GROUP: { default: 1, type: int } - WRTTASK_PER_GROUP: { default: 24, type: int } - - - when: !calc CASE=='C384' - do: !Template - DELTIM: { default: 450, type: int } - layout_x: { default: 4, type: int } - layout_y: { default: 8, type: int } - cdmbgwd: - default: "1.0,1.2" - type: string - description: "mountain blocking and gravity wave drag" - WRITE_GROUP: { default: 2, type: int } - WRTTASK_PER_GROUP: { default: 48, type: int } - - - when: !calc CASE=='C768' - do: !Template - DELTIM: { default: 225, type: int } - layout_x: { default: 8, type: int } - layout_y: { default: 16, type: int } - cdmbgwd: - default: "3.5,0.25" - type: string - description: 'mountain blocking and gravity wave drag' - WRITE_GROUP: { default: 2, type: int } - WRTTASK_PER_GROUP: { default: 96, type: int } - - - when: !calc CASE=='C1152' - do: - DELTIM: { default: 150, type: int } - layout_x: { default: 8, type: int } - layout_y: { default: 16, type: int } - WRITE_GROUP: { default: 2, type: int } - WRTTASK_PER_GROUP: { default: 120, type: int } - - - when: !calc CASE=='C3072' - do: - DELTIM: { default: 90, type: int } - layout_x: { default: 16, type: int } - layout_y: { default: 32, type: int } - WRITE_GROUP: { default: 4, type: int } - WRTTASK_PER_GROUP: { default: 120, type: int } - - - otherwise: null diff --git a/model/fv3gfs/exp/validation/fv3_forecast.yaml b/model/fv3gfs/exp/validation/fv3_forecast.yaml deleted file mode 100644 index eb27c97..0000000 --- a/model/fv3gfs/exp/validation/fv3_forecast.yaml +++ /dev/null @@ -1,530 +0,0 @@ -fv3_forecast_template: !Template &fv3_forecast_template - # Required variables with no defaults: - QUILTING: { type: bool } - cores_per_node: { type: int } - OUTPUT_GRID: { type: string } - - FHZER: { type: int, default: 6 } - NSOUT: { type: int, default: -1 } - ENS_NUM: { type: int, default: 1 } - ntiles: { type: int, default: 6 } - NUM_FILES: { type: int, default: 2 } - hyperthread: { type: bool, default: false } - - filename_base: - type: string - stages: [ execution ] - default: !expand | - '{CDUMP}.t{doc.clock.now:%H}z.atm' '{CDUMP}.t{doc.clock.now:%H}z.sfc' - - rCDUMP: - type: string - allowed: [ gfs, gdas ] - default: !FirstTrue - - when: !calc CDUMP=="gfs" - do: gdas - - otherwise: !calc CDUMP - - FIELD_TABLE: - type: string - default: !expand "{doc.places.PARM_FV3DIAG}/field_table" - - DIAG_TABLE: - type: string - default: !expand "{doc.places.PARM_FV3DIAG}/diag_table" - - DATA_TABLE: - type: string - default: !expand "{doc.places.PARM_FV3DIAG}/data_table" - - domains_stack_size: { type: int, default: 3000000 } - print_memory_usage: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - - res: { type: int, default: !calc "int(CASE[1:])" } - JCAP_CASE: { type: int, default: !calc "2*res-2" } - LONB_CASE: { type: int, default: !calc "4*res" } - LATB_CASE: { type: int, default: !calc "2*res" } - JCAP: { type: int, default: !calc JCAP_CASE } - LONB: { type: int, default: !calc LONB_CASE } - LATB: { type: int, default: !calc LATB_CASE } - JCAP_STP: { type: int, default: !calc JCAP_CASE } - LONB_STP: { type: int, default: !calc LONB_CASE } - LATB_STP: { type: int, default: !calc LATB_CASE } - npx: { type: int, default: !calc res+1 } - npy: { type: int, default: !calc res+1 } - npz: { type: int, default: !calc LEVS-1 } - - FNGLAC: { type: string, default: !expand "{doc.places.FIX_AM}/global_glacier.2x2.grb" } - FNMXIC: { type: string, default: !expand "{doc.places.FIX_AM}/global_maxice.2x2.grb"} - FNTSFC: { type: string, default: !expand "{doc.places.FIX_AM}/RTGSST.1982.2012.monthly.clim.grb"} - FNSNOC: { type: string, default: !expand "{doc.places.FIX_AM}/global_snoclim.1.875.grb"} - FNZORC: { type: string, default: "igbp"} - FNALBC2: { type: string, default: !expand "{doc.places.FIX_AM}/global_albedo4.1x1.grb"} - FNAISC: { type: string, default: !expand "{doc.places.FIX_AM}/CFSR.SEAICE.1982.2012.monthly.clim.grb"} - FNTG3C: { type: string, default: !expand "{doc.places.FIX_AM}/global_tg3clim.2.6x1.5.grb"} - FNVEGC: { type: string, default: !expand "{doc.places.FIX_AM}/global_vegfrac.0.144.decpercent.grb"} - FNMSKH: { type: string, default: !expand "{doc.places.FIX_AM}/seaice_newland.grb"} - FNVMNC: { type: string, default: !expand "{doc.places.FIX_AM}/global_shdmin.0.144x0.144.grb"} - FNVMXC: { type: string, default: !expand "{doc.places.FIX_AM}/global_shdmax.0.144x0.144.grb"} - FNSLPC: { type: string, default: !expand "{doc.places.FIX_AM}/global_slope.1x1.grb"} - - FNALBC: - type: string - default: !expand "{doc.places.FIX_AM}/global_snowfree_albedo.bosu.t{JCAP}.{LONB}.{LATB}.rg.grb" - override: !FirstTrue - - when: !calc not tools.isfile(FNALBC) - do: "{doc.places.FIX_AM}/global_snowfree_albedo.bosu.t1534.3072.1536.rg.grb" - - otherwise: null - - FNVETC: - type: string - default: !expand "{doc.places.FIX_AM}/global_vegtype.igbp.t{JCAP}.{LONB}.{LATB}.rg.grb" - override: !FirstTrue - - when: !calc not tools.isfile(FNVETC) - do: "{doc.places.FIX_AM}/global_vegtype.igbp.t1534.3072.1536.rg.grb" - - otherwise: null - - FNSOTC: - type: string - default: !expand "{doc.places.FIX_AM}/global_soiltype.statsgo.t{JCAP}.{LONB}.{LATB}.rg.grb" - otherwise: !FirstTrue - - when: !calc tools.isfile(FNSOTC) - do: "{doc.places.FIX_AM}/global_soiltype.statsgo.t1534.3072.1536.rg.grb" - - otherwise: null - - FNABSC: - type: string - default: !expand "{doc.places.FIX_AM}/global_mxsnoalb.uariz.t{JCAP}.{LONB}.{LATB}.rg.grb" - otherwise: !FirstTrue - - when: !calc tools.isfile(FNABSC) - do: "{doc.places.FIX_AM}/global_mxsnoalb.uariz.t1534.3072.1536.rg.grb" - - otherwise: null - - FNTSFA: { type: string, default: "" } - FNACNA: { type: string, default: "" } - FNSNOA: { type: string, default: "" } - - FNSMCC: - type: string - default: !expand "{doc.places.FIX_AM}/global_soilmgldas.t{JCAP}.{LONB}.{LATB}.grb" - otherwise: !FirstTrue - - when: !calc tools.isfile(FNSMCC) - do: "{doc.places.FIX_AM}/global_soilmgldas.t1534.3072.1536.grb" - - otherwise: null - - nstf_name: - type: string - default: "0,0,0,0,0" - description: | - nstf_name contains the NSST related parameters - nstf_name(1) : 0 = NSSTM off, 1 = NSSTM on but uncoupled, 2 = NSSTM on and coupled - nstf_name(2) : 0 = NSSTM spin up off, 1 = NSSTM spin up on, - nstf_name(3) : 0 = NSSTM analysis off, 1 = NSST analysis on - nstf_name(4) : zsea1 in mm - nstf_name(5) : zsea2 in mm - - io_layout: - type: string - default: "1,1" - - blocksize: - type: int - default: 32 - description: | - Comment from exglobal_fcst_nemsfv3gfs.sh: - blocking factor used for threading and general physics performance - - nyblocks=`expr \( $npy - 1 \) \/ $layout_y ` - nxblocks=`expr \( $npx - 1 \) \/ $layout_x \/ 32` - if [ $nxblocks -le 0 ]; then nxblocks=1 ; fi - - warm_start: - type: string - allowed: [ ".true.", ".false." ] - description: | - True = warm start from restart file - False = CHGRES GFS analyses - if_present: !FirstTrue - - when: !calc warm_start==".true." - do: !Template - na_init: { type: int, default: 0 } - nggps_ic: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - ncep_ic: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - external_ic: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - mountain: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - - res_latlon_dynamics: - description: Add increment on the fly to the restarts - type: string - default: !FirstTrue - - when: !calc read_increment == ".true." - do: "fv3_increment.nc" - - otherwise: "" - - otherwise: !Template - na_init: { type: int, default: 1 } - - nggps_ic: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - ncep_ic: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - external_ic: - type: string - allowed: [ ".true.", ".false." ] - override: ".true." - mountain: - type: string - allowed: [ ".true.", ".false." ] - override: ".false." - read_increment: - type: string - allowed: [ ".true.", ".false." ] - override: ".false." - res_latlon_dynamics: - type: string - default: "" - - filtered_terrain: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - - gfs_dwinds: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - - no_dycore: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - - adiabatic: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - - dycore_only: - type: string - allowed: [ ".true.", ".false." ] - default: !calc adiabatic - - chksum_debug: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - - gfs_phys_debug: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - - print_esmf: { type: bool, default: true } - RUN_CONTINUE: { type: bool, default: false } - ENS_SPS: { type: bool, default: false } - calendar: { type: string, default: "julian" } - memuse_verbose: { type: bool, default: false } - - - print_freq: - type: int - default: 6 - - - k_split: { type: int, default: 2 } - n_split: { type: int, default: 6 } - - hord_mt_nh_mono: { type: int, default: 10 } - hord_xx_nh_mono: { type: int, default: 10 } - vtdm4_nh_mono: { type: float, default: 0.05 } - - hord_mt_hydro_mono: { type: int, default: 10 } - hord_xx_hydro_mono: { type: int, default: 10 } - vtdm4_hydro_mono: { type: float, default: 0.05 } - - hord_mt_nh_nonmono: { type: int, default: 5 } - hord_xx_nh_nonmono: { type: int, default: 5 } - vtdm4_nh_nonmono: { type: float, default: 0.06 } - - hord_mt_hydro_nonmono: { type: int, default: 10 } - hord_xx_hydro_nonmono: { type: int, default: 10 } - vtdm4_hydro_nonmono: { type: float, default: 0.05 } - - nh_type: - type: string - default: "nh" - if_present: !FirstTrue - - when: !calc nh_type == "nh" - do: !Template - hord_mt_mono: { type: int, default: !calc hord_mt_nh_mono } - hord_xx_mono: { type: int, default: !calc hord_xx_nh_mono } - vtdm4_mono: { type: float, default: !calc vtdm4_nh_mono } - hord_mt_nonmono: { type: int, default: !calc hord_mt_nh_nonmono } - hord_xx_nonmono: { type: int, default: !calc hord_xx_nh_nonmono } - vtdm4_nonmono: { type: float, default: !calc vtdm4_nh_nonmono } - hydrostatic: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - phys_hydrostatic: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - description: enable heating in hydrostatic balance in non-hydrostatic simulation - use_hydro_pressure: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - description: use hydrostatic pressure for physics - make_nh: - type: string - allowed: [ ".true.", ".false." ] - default: !FirstTrue - - when: !calc warm_start==".true." - do: ".false." - - otherwise: ".true." - - otherwise: !Template - hord_mt_mono: { type: int, default: !calc hord_mt_hydro_mono } - hord_xx_mono: { type: int, default: !calc hord_xx_hydro_mono } - vtdm4_mono: { type: float, default: !calc vtdm4_hydro_mono } - hord_mt_nonmono: { type: int, default: !calc hord_mt_hydro_nonmono } - hord_xx_nonmono: { type: int, default: !calc hord_xx_hydro_nonmono } - vtdm4_nonmono: { type: float, default: !calc vtdm4_hydro_nonmono } - hydrostatic: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - phys_hydrostatic: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - description: ignored when hydrostatic is true - use_hydro_pressure: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - description: ignored when hydrostatic is true - make_nh: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - - MONO: - type: string - default: "non-mono" - allowed: [ mono, non-mono ] - if_present: !FirstTrue - - when: !calc MONO == "mono" - do: !Template - d_con_mono: { type: float, default: 0. } - d_con: { type: float, override: !calc d_con_mono } - do_vort_damp: { type: string, override: ".false." } - hord_mt: { type: int, override: !calc hord_mt_mono } - hord_xx: { type: int, override: !calc hord_xx_mono } - vtdm4: { type: float, override: !calc vtdm4_mono } - - otherwise: !Template - d_con_nonmono: { type: float, default: 1. } - d_con: { type: float, override: !calc d_con_nonmono } - do_vort_damp: { type: string, override: ".true." } - hord_mt: { type: int, override: !calc hord_mt_nonmono } - hord_xx: { type: int, override: !calc hord_xx_nonmono } - vtdm4: { type: float, override: !calc vtdm4_nonmono } - - - - fv_debug: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - - range_warn: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - n_sponge: - type: int - default: 10 - nudge_qv: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - nudge_dz: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - - tau: { type: float, default: 10. } - rf_cutoff: { type: float, default: 7.5e+2 } - d2_bg_k1: { type: float, default: 0.15 } - d2_bg_k2: { type: float, default: 0.02 } - kord_tm: { type: int, default: -9 } - kord_mt: { type: int, default: 9 } - kord_wz: { type: int, default: 9 } - kord_tr: { type: int, default: 9 } - nwat: { type: int, default: 2 } - dnats: { type: int, default: 0 } - fv_sg_adj: { type: int, default: 450 } - nord: { type: int, default: 3 } - dddmp: { type: float, default: 0.2 } - d4_bg: { type: float, default: 0.15 } - delt_max: { type: float, default: 0.002 } - external_eta: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - gfs_phil: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - hord_tr: { type: int, default: 8 } - adjust_dry_mass: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - do_sat_adj: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - hord_dp: { type: int, default: !calc -hord_xx } - agrid_vel_rst: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - - ldiag3d: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - use_ufo: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - pre_rad: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - ncld: - type: int - default: 1 - pdfcld: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - FHSWR: { type: float, default: 3600. } - FHLWR: { type: float, default: 3600. } - isubc_sw: { type: int, default: 2 } - isubc_lw: { type: int, default: 2 } - lwhtr: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - swhtr: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - cnvgwd: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - shal_cnv: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - cal_pre: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - redrag: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - dspheat: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - hybedmf: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - random_clds: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - trans_trac: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - cnvcld: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - imfshalcnv: { type: int, default: 2 } - imfdeepcnv: { type: int, default: 2 } - cdmbgwd: { type: string, default: "3.5,0.25" } - prslrd0: { type: float, default: 0. } - ivegsrc: { type: int, default: 1 } - isot: { type: int, default: 1 } - psautco: { type: string, default: "0.0008,0.0005" } - prautco: { type: string, default: "0.00015,0.00015" } - - fdiag: { type: int, default: !calc FHOUT } - - LDEBUG: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - - FSMCL2: { type: int, default: 99999 } - FSMCL3: { type: int, default: 99999 } - FSMCL4: { type: int, default: 99999 } - - FTSFS: { type: int, default: 90 } - FAISL: { type: int, default: 99999 } - FAISS: { type: int, default: 99999 } - FSNOL: { type: int, default: 99999 } - FSNOS: { type: int, default: 99999 } - - SPPT_LOGIT: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - - restart_dt: - type: timedelta - default: !FirstTrue - - when: !calc restart_interval > 0 - do: !calc tools.to_timedelta(restart_interval*3600) - - otherwise: !calc tools.to_timedelta(FHMAX*3600) - - amip_interp_nml: { type: string, default: "" } - atmos_model_nml: { type: string, default: "" } - diag_manager_nml: { type: string, default: "" } - fms_io_nml: { type: string, default: "" } - fms_nml: { type: string, default: "" } - fv_core_nml: { type: string, default: "" } - external_ic_nml: { type: string, default: "" } - gfs_physics_nml: { type: string, default: "" } - nggps_diag_nml: { type: string, default: "" } - interpolator_nml: { type: string, default: "" } - namsfc_nml: { type: string, default: "" } - fv_grid_nml: { type: string, default: "" } - nam_stochy_nml: { type: string, default: "" } - diff --git a/model/fv3gfs/exp/validation/obsproc.yaml b/model/fv3gfs/exp/validation/obsproc.yaml deleted file mode 100644 index 8a2d8c7..0000000 --- a/model/fv3gfs/exp/validation/obsproc.yaml +++ /dev/null @@ -1,119 +0,0 @@ -obsproc_template: !Template &obsproc_template - SYNDATA: - type: bool - default: YES - description: Execute program SYNDAT_SYNDATA - PREPACQC: - type: bool - default: YES - description: Execute program PREPOBS_PREPACQC - PROCESS_ACQC: - type: bool - default: YES - description: Execute program PREPOBS_PREPACQC - PROCESS_ACPF: - type: bool - default: NO - descriptioN: Execute program PREPOBS_PREPACPF - PROFCQC: - type: bool - default: YES - description: Execute program PREPOBS_PROFCQC - CQCVAD: - type: bool - default: YES - description: Execute program PREPOBS_CQCVAD - CQCBUFR: - type: bool - default: YES - description: Execute program PREPOBS_CQCBUFR - OIQCBUFR: - type: bool - default: NO - description: Execute program PREPOBS_OIQCBUFR - PREPDATA: - type: bool - default: YES - description: > - Execute program PREPOBS_MPCOPYBUFR, PREPOBS_PREPDATA, - PREPOBS_LISTHEADERS, PREPOBS_MONOPREPBUFR - GETGUESS: - type: bool - default: YES - description: > - Encode first guess (background) values interpolated to - observation locations in the PREPBUFR file for use by - the q.c. programs. This guess is always from a global - guess file valid at the center PREPBUFR processing date/time. - DO_QC: - type: bool - default: YES - description: > - IF NO, programs PREPOBS_PREPACQC, PREPOBS_ACARSQC, - PREPOBS_PROFCQC, PREPOBS_CQCVAD, PREPOBS_CQCBUFR and - PREPOBS_OIQCBUFR will NEVER execute regardless of other - switches. Should be set to NO only as a last resort!!! - override: !FirstTrue - - when: !calc DO_QC - do: null - message: "Enabling quality control." - - when: !calc not DO_QC - do: null - message: > - WARNING: DO_QC=NO. Disabling quality control! - You are being naughty! - - otherwise: null - - BUFRLIST: - type: string - description: BUFR data types to process - default: "adpupa proflr aircar aircft satwnd adpsfc sfcshp vadwnd wdsatr ascatw rassda gpsipw" - - NSPLIT: - type: int - default: 4 - description: execute in parallel - - HOMEobsproc_prep: { type: string, default: !calc doc.places.BASE_PREP } - HOMEobsproc_network: { type: string, default: !calc doc.places.BASE_PREP_GLOBAL } - EXECPREP: { type: string, default: !expand "{doc.places.BASE_PREP}/exec" } - FIXPREP: { type: string, default: !expand "{doc.places.BASE_PREP}/fix" } - PARMPREP: { type: string, default: !expand "{doc.places.BASE_PREP_GLOBAL}/parm" } - - USHSYND: { type: string, optional: true } #"$BASE_PREP/ush" - USHPREV: { type: string, optional: true } #"$BASE_PREP/ush" - USHCQC: { type: string, optional: true } #"$BASE_PREP/ush" - USHPQC: { type: string, optional: true } #"$BASE_PREP/ush" - USHVQC: { type: string, optional: true } #"$BASE_PREP/ush" - USHAQC: { type: string, optional: true } #"$BASE_PREP/ush" - USHOIQC: { type: string, optional: true } #"$BASE_PREP/ush" - - # Fix files - CQCS: { type: string, optional: true } #"$BASE_PREP/fix/prepobs_cqc_statbge" - LANDC: {type: string, optional: true } #"$BASE_PREP/fix/prepobs_landc" - PRPT: { type: string, optional: true } #"$BASE_PREP/fix/prepobs_prep.bufrtable" - PRVT: - type: string - default: !expand "{doc.places.BASE_GSI}/fix/prepobs_errtable.global" - OIQCT: { type: string, optional: true } #"$BASE_PREP_GLOBAL/fix/prepobs_oiqc.oberrs" - - # parm files - AQCC: { type: string, optional: true } #"$BASE_PREP_GLOBAL/parm/prepobs_prepacqc.${CDUMP}.parm" - CQCC: { type: string, optional: true } #"$BASE_PREP_GLOBAL/parm/prepobs_cqcbufr.gdas.parm" - PRPC: { type: string, optional: true } #"$BASE_PREP_GLOBAL/parm/prepobs_prepdata.${CDUMP}.parm" - PQCC: { type: string, optional: true } #"$BASE_PREP_GLOBAL/parm/prepobs_profcqc.gdas.parm" - SYNDC: { type: string, optional: true } #"$BASE_PREP_GLOBAL/parm/syndat_syndata.gdas.parm" - - # Executables - PRPX: {type: string, optional: true } #"$BASE_PREP/exec/prepobs_prepdata" - PREX: {type: string, optional: true } #"$BASE_PREP/exec/prepobs_prevents" - AQCX: {type: string, optional: true } #"$BASE_PREP/exec/prepobs_prepacqc" - PQCX: {type: string, optional: true } #"$BASE_PREP/exec/prepobs_profcqc" - CQCX: {type: string, optional: true } #"$BASE_PREP/exec/prepobs_cqcbufr" - SYNDX: {type: string, optional: true} #"$BASE_PREP/exec/syndat_syndata" - MPCOPYX: {type: string, optional: true} #"$BASE_PREP/exec/prepobs_mpcopybufr" - LISTHDX: {type: string, optional: true} #"$BASE_PREP/exec/prepobs_listheaders" - MONOBFRX: {type: string, optional: true} #"$BASE_PREP/exec/prepobs_monoprepbufr" - VQCX: {type: string, optional: true} #"$BASE_PREP/exec/prepobs_cqcvad" - OIQCX: {type: string, optional: true} #"$BASE_PREP/exec/prepobs_oiqcbufr" - diff --git a/model/fv3gfs/exp/validation/output.yaml b/model/fv3gfs/exp/validation/output.yaml deleted file mode 100644 index fc16325..0000000 --- a/model/fv3gfs/exp/validation/output.yaml +++ /dev/null @@ -1,52 +0,0 @@ -gfs_output_settings_template: !Template &gfs_output_settings_template - FHOUT_GFS: - type: int - default: 3 - description: GFS forecast output frequency in hours - FHMAX_GFS: - type: int - description: GFS forecast length in hours - FHMIN_GFS: - type: int - default: 0 - description: GFS forecast initial hour for output - - FHMIN_ENKF: - type: int - default: 3 - description: GDAS ENKF initial hour for output - FHMAX_ENKF: - type: int - default: 9 - description: GDAS ENKF forecast length - FHOUT_ENKF: - type: int - default: 3 - description: GDAS ENKF output frequency in hours - - FHMIN_GDAS: - type: int - default: 0 - description: GDAS initial hour for output - FHMAX_GDAS: - type: int - default: 9 - description: GDAS forecast length - FHOUT_GDAS: - type: int - default: 3 - description: GDAS output frequency in hours - - FHMAX_HF_GFS: - type: int - default: 0 - description: Last forecast hour with high-frequency output for gfs - FHOUT_HF_GFS: - type: int - default: 1 - description: Output frequency until FHMAX_HF_GFS hours. - - NCO_NAMING_CONV: - type: bool - default: YES - description: "YES = use standard, operational, naming conventions. NO = use non-standard naming conventions" diff --git a/model/fv3gfs/exp/validation/vrfy.yaml b/model/fv3gfs/exp/validation/vrfy.yaml deleted file mode 100644 index 805bb4c..0000000 --- a/model/fv3gfs/exp/validation/vrfy.yaml +++ /dev/null @@ -1,353 +0,0 @@ - -CYC_TRACK_VARS: &CYC_TRACK_VARS !Template - TRACKERSH: - type: string - default: !expand "{BASE_GSM}/ush/global_tracker.sh" - PARATRKR: - type: string - default: !expand "{BASE_GSM}/ush/global_extrkr.sh" - GETTRKEXEC: - type: string - default: !expand "{BASE_GSM}/exec/gettrk" - GETTX: - type: string - default: !calc GETTRKEXEC - SUPVX: - type: string - default: !expand "{BASE_GSM}/exec/supvit" - HOMERELO: - type: string - default: !calc BASE_GSM - homesyndir: - type: string - default: !calc BASE_GSM - FHMIN: { type: int, default: 0 } - FHMIN_ENKF: { type: int, default: !calc doc.gfs_output_settings.FHMIN_ENKF } - FHMAX_ENKF: { type: int, default: !calc doc.gfs_output_settings.FHMAX_ENKF } - FHOUT_ENKF: { type: int, default: !calc doc.gfs_output_settings.FHOUT_ENKF } - FHMAX_GFS: { type: int, default: !calc doc.gfs_output_settings.FHMAX_GFS } - FHMAX2_GFS: { type: int, default: !calc FHMAX_GFS } - FHOUT: - type: int - default: !FirstTrue - - when: !calc CDUMP=="gfs" - do: !calc FHOUT_GFS - - when: !calc CDUMP=="gdas" - do: !calc doc.gfs_output_settings.FHOUT_GDAS - - otherwise: !error "FHOUT undefined!" - FHMAX: - type: int - default: !FirstTrue - - when: !calc CDUMP=="gfs" - do: !calc FHMAX2_GFS - - when: !calc CDUMP=="gdas" - do: !calc doc.gfs_output_settings.FHMAX_GDAS - - otherwise: !error "FHMAX undefined!" - - FHMAX2: { type: int, default: !calc FHMAX2_GFS } - - COMROOTp1: - type: string - default: !FirstTrue - - when: !calc doc.platform.name=="THEIA" - do: "/scratch4/NCEPDEV/rstprod/com" - - otherwise: !error "COMROOTp1 undefined!" - archsyndir: - type: string - default: !FirstTrue - - when: !calc doc.platform.name=="THEIA" - do: !expand "{COMROOTp1}/arch/prod/syndat" - - otherwise: !error "archsyndir undefined!" - -CYC_GEN_VARS: &CYC_GEN_VARS !Template - NWROOTGENESIS: - type: string - default: !FirstTrue - - when: !calc doc.platform.name=="WCOSS_C" - do: "/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/gfs/q3fy17" - - when: !calc doc.platform.name=="THEIA" - do: "/scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17" - - otherwise: !error "doc.platform.name not supported!" - UTILROOT: - type: string - default: !FirstTrue - - when: !calc doc.platform.name=="THEIA" - do: "/scratch4/NCEPDEV/global/save/glopara/nwpara/prod_util.v1.0.15" - - otherwise: null - GETTX_GEN: - type: string - default: !FirstTrue - - when: !calc doc.platform.name=="THEIA" - do: !expand "{NWROOTGENESIS}/ens_tracker.v2.0.1/exec/gettrk_gen_g2_theia" - - otherwise: null - GENESISSH: - type: string - default: !FirstTrue - - when: !calc ( doc.platform.name=="WCOSS_C" or doc.platform.name=="THEIA" ) - do: !expand "{NWROOTGENESIS}/ens_tracker.v2.0.1/gfs_genesis_para_fv3gfs.sh" - - when: !calc ( not doc.platform.name=="WCOSS_C" and not doc.platform.name=="THEIA" ) - do: "" - - otherwise: null - -MIN_VARS: &MIN_VARS !Template - HOMEgfs: - type: string - default: !expand "{BASE_SVN}/fv3gfs/trunk/gfs.v15.0.0" - HOMEminmon: - type: string - default: !calc BASE_GSM - MINMON_SUFFIX: - type: string - default: !calc doc.case.experiment_name - M_TANKverf: - type: string - default: !expand "{NOSCRUB}/minmon" - VRFYMINSH: - type: string - default: !FirstTrue - - when: !calc ( CDUMP=="gdas" and ( doc.platform.name=="WCOSS_C" or doc.platform.name=="THEIA" ) ) - do: !expand "{HOMEgdas}/jobs/JGDAS_VMINMON" - - when: !calc ( CDUMP=="gfs" and ( doc.platform.name=="WCOSS_C" or doc.platform.name=="THEIA" ) ) - do: !expand "{HOMEgfs}/jobs/JGDAS_VMINMON" - - otherwise : null - message: !expand "WARNING: Minimization monitoring is not enabled on {doc.platform.name}!" - -RAD_VARS: &RAD_VARS !Template - HOMEradmon: - type: string - default: !calc BASE_GSM - RADMON_SUFFIX: - type: string - default: !calc doc.case.experiment_name - TANKverf: - type: string - default: !expand "{NOSCRUB}/radmon" - VRFYRADSH: - type: string - default: !FirstTrue - - when: !calc ( doc.platform.name=="WCOSS_C" or doc.platform.name=="THEIA" ) - do: !expand "{HOMEgdas}/jobs/JGDAS_VERFRAD" - - when: !calc ( not doc.platform.name=="WCOSS_C" and not doc.platform.name=="THEIA" ) - message: !expand "WARNING: Radiance monitoring is not enabled on {doc.platform.name}!" - do: null - - otherwise: null - -OZN_VARS: &OZN_VARS !Template -# echo "WARNING: Ozone Monitoring is just a stub for now!" - VRFYOZN: - type: bool - default: NO - OZNDIR: - type: string - stages: [ execution ] - default: !expand "{NOSCRUB}/{tools.env('LOGNAME')}/ozone/stats/{doc.case.experiment_name}" - VRFYOZNSH: - type: string - default: "" - BASEDIR_OZNMON: - type: string - default: !expand "{doc.places.BASE_OZNMON}/util/Ozone_Monitor" - -MIN_RAD_OZN_VARS: &MIN_RAD_OZN_VARS !Template - HOMEgdas: - type: string - default: !calc BASE_GDAS - envir: - type: string - default: "para" - -VRFY_CDUMP_GFS_VARS: &VRFY_CDUMP_GFS_VARS !Template - BACKDATEVSDB: - type: int - default: 24 - VBACKUP_PRCP: - type: int - default: 24 - vsdbsave: - type: string - default: !expand "{NOSCRUB}/archive/vsdb_data" - vsdbhome: - type: string - default: !calc BASE_VERIF - VSDBSH: - type: string - default: !expand "{vsdbhome}/vsdbjob.sh" - vlength: - type: int - default: !calc FHMAX_GFS - vhr_rain: - type: int - default: !calc FHMAX_GFS - ftyplist: - type: string - default: "pgbq" - -vrfy_template_gfs: &vrfy_template_gfs !Template # for "CDUMP = gfs" - VRFY_CDUMP_GFS: - type: bool - default: !FirstTrue - - when: !calc ( VSDB_STEP1 or VRFYPRCP or VRFYG2OBS ) - do: YES - - otherwise: NO - if_present: !FirstTrue - - when: !calc ( VSDB_STEP1 or VRFYPRCP or VRFYG2OBS ) - do: *VRFY_CDUMP_GFS_VARS - message: "VRFY_CDUMP_GFS_VARS loaded!" - - otherwise: null - -vrfy_template: &vrfy_template !Template - # verifying dump - VDUMP: - type: string - allowed: [ "gfs", "gdas" ] - - CDUMPFCST: - description: Fit-to-obs with GDAS/GFS prepbufr - type: string - allowed: [ "gfs", "gdas" ] - - CDFNL: - description: Scores verification against GDAS/GFS analysis - type: string - allowed: [ "gfs", "gdas" ] - - VSDB_STEP1: - description: populate VSDB database - type: bool - default: NO - - VSDB_STEP2: - type: bool - default: NO - - VRFYG2OBS: - description: Grid to observations - type: bool - default: NO - - VRFYFITS: - description: Fit to observations - type: bool - default: NO - - VRFYPRCP: - description: Precip threat scores - type: bool - default: NO - - VRFYMINMON: - type: bool - default: NO - if_present: !FirstTrue - - when: !calc VRFYMINMON - do: *MIN_VARS - - otherwise: null - message: !expand "WARNING: Minimization monitoring is turned off!" - - VRFYRAD: - description: Radiance data assimilation monitoring - type: bool - default: NO - - VRFYOZN: - type: bool - default: NO - if_present: !FirstTrue - - when: !calc VRFYOZN - message: "WARNING: Ozone Monitoring is just a stub for now!" - do: *OZN_VARS - - otherwise: null - - VRFYTRAK: - description: Hurricane track forecasts - type: bool - default: NO - if_present: !FirstTrue - - when: !calc VRFYTRAK - do: *CYC_TRACK_VARS - - otherwise: null - - VRFYGENESIS: - type: bool - default: NO - if_present: !FirstTrue - - when: !calc VRFYGENESIS - do: *CYC_GEN_VARS - - otherwise: null - - VRFYGMPK: - description: Gempak verification - type: bool - default: NO - - nth_vrfy: - type: int - default: 1 - -# End of user speficied variables -# - fitdir: - type: string - default: !FirstTrue - - when: !calc doc.platform.name=="WCOSS_C" - do: !expand "{BASE_SVN}/verif/global/parafits.fv3nems/batrun" - - when: !calc doc.platform.name=="THEIA" - do: !expand "{BASE_SVN}/verif/global/parafits.fv3nems/batrun" - - otherwise: !error "Do not know fitdir for this platform" - - PREPQFITSH: - type: string - default: !FirstTrue - - when: !calc ( doc.platform.name=="WCOSS_C" and VRFYFITS ) - do: !expand "{fitdir}/subfits_cray_nems" - message: WCOSS_C fits file - - when: !calc ( doc.platform.name=="THEIA" and VRFYFITS ) - do: !expand "{fitdir}/subfits_theia_nems" - message: "THEIA fits file" - - when: !calc not VRFYFITS - do: "/dev/null" - - otherwise: !error "Do not know subfits file (PREPQFITSH) for {doc.platform.name}" - -# VRFY_CDUMP_GFS: -# type: bool -# default: !FirstTrue -# - when: !calc ( CDUMP=="gfs" and ( VSDB_STEP1 or VRFYPRCP or VRFYG2OBS ) ) -# do: YES -# - otherwise: NO -# if_present: !FirstTrue -# - when: !calc ( CDUMP=="gfs" and ( VSDB_STEP1 or VRFYPRCP or VRFYG2OBS ) ) -# do: *VRFY_CDUMP_GFS_VARS -# message: "VRFY_CDUMP_GFS_VARS unavailable!" -# - otherwise: null - - NO_VRFYMINSH: - type: bool - default: NO - override: !FirstTrue - - when: !calc ( not doc.platform.name=="THEIA" and not doc.platform.name=="WCOSS_C" ) - do: YES - message: !expand "WARNING: Minimization monitoring is not enabled on {doc.platform.name}!" - - otherwise: null - - MIN_RAD_OZN: - type: bool - default: !FirstTrue - - when: !calc ( VRFYRAD or VRFYMINMON or VRFYOZN ) - do: YES - - otherwise: NO - if_present: !FirstTrue - - when: !calc ( VRFYRAD or VRFYMINMON or VRFYOZN ) - do: *MIN_RAD_OZN_VARS - - otherwise: null - - RAD: - type: bool - default: !FirstTrue - - when: !calc ( VRFYRAD and CDUMP==CDFNL ) - do: YES - - otherwise: NO - if_present: !FirstTrue - - when: !calc ( VRFYRAD and CDUMP==CDFNL ) - do: *RAD_VARS - - otherwise: null - message: !expand "WARNING: Radiance monitoring is turned off!" diff --git a/model/fv3gfs/exp/validation/workflow.yaml b/model/fv3gfs/exp/validation/workflow.yaml deleted file mode 100644 index ba448f8..0000000 --- a/model/fv3gfs/exp/validation/workflow.yaml +++ /dev/null @@ -1,30 +0,0 @@ -known_workflows: !Template &known_workflows - workflow: - type: string - allowed: [ cycled_workflow, gfs_forecast_workflow, crow_sh_fcst_workflow, - crow_sh_df_fcst_workflow, crow_exe_fcst_workflow ] - if_present: !FirstTrue - - when: !calc workflow=="cycled_workflow" - do: !Template - IC_CDUMP: - type: string - allowed: [ gdas, gfs ] - description: Get initial conditions from 1st cycle from gfs or gdas - default: GDAS - IC_DIR: - type: string - description: Disk location of initial conditions for cycled workflow - - otherwise: !Template - ics_from: - type: string - allowed: [ opsgfs, pargfs ] - default: opsgfs - if_present: !Template - parexp: - default: prnemsrn - type: string - description: Name of a GFS parallel experiment to pull from tape - HPSS_PAR_PATH: - default: !expand "/5year/NCEPDEV/emc-global/emc.glopara/WCOSS_C/{parexp}" - type: string - description: Path to HPSS tapes with GFS parallel data diff --git a/model/fv3gfs/jobs/anal.sh b/model/fv3gfs/jobs/anal.sh deleted file mode 100755 index c294d96..0000000 --- a/model/fv3gfs/jobs/anal.sh +++ /dev/null @@ -1,110 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ -# $Revision: 98721 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: anal.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 - -## Abstract: -## Analysis driver script -## EXPDIR : /full/path/to/config/files -## CDATE : current analysis date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -############################################################### - -set -ex -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) - -############################################################### -# Set script and dependency variables -export GDATE=$($NDATE -$assim_freq $CDATE) - -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) -gymd=$(echo $GDATE | cut -c1-8) -ghh=$(echo $GDATE | cut -c9-10) - -export OPREFIX="${CDUMP}.t${chh}z." -export GPREFIX="gdas.t${ghh}z." -export GSUFFIX=".nemsio" -export APREFIX="${CDUMP}.t${chh}z." -export ASUFFIX=".nemsio" - -export COMIN_GES="$ROTDIR/gdas.$gymd/$ghh" -export COMIN_GES_ENS="$ROTDIR/enkf.gdas.$gymd/$ghh" -export COMOUT="$ROTDIR/$CDUMP.$cymd/$chh" -export DATA="$RUNDIR/$CDATE/$CDUMP/anal" -[[ -d $DATA ]] && rm -rf $DATA - -export ATMGES="$COMIN_GES/${GPREFIX}atmf006${GSUFFIX}" -if [ ! -f $ATMGES ]; then - echo "FILE MISSING: ATMGES = $ATMGES" - exit 1 -fi -if [ $DOHYBVAR = "YES" ]; then - export ATMGES_ENSMEAN="$COMIN_GES_ENS/${GPREFIX}atmf006.ensmean$GSUFFIX" - if [ ! -f $ATMGES_ENSMEAN ]; then - echo "FILE MISSING: ATMGES_ENSMEAN = $ATMGES_ENSMEAN" - exit 2 - fi -fi - -# Background resolution -export JCAP=$($NEMSIOGET $ATMGES jcap | awk '{print $2}') -status=$? -[[ $status -ne 0 ]] && exit $status -export LONB=$($NEMSIOGET $ATMGES dimx | awk '{print $2}') -status=$? -[[ $status -ne 0 ]] && exit $status -export LATB=$($NEMSIOGET $ATMGES dimy | awk '{print $2}') -status=$? -[[ $status -ne 0 ]] && exit $status -export LEVS=$($NEMSIOGET $ATMGES dimz | awk '{print $2}') -status=$? -[[ $status -ne 0 ]] && exit $status - -if [ $DOHYBVAR = "YES" ]; then - # Ensemble resolution - export JCAP_ENKF=$($NEMSIOGET $ATMGES_ENSMEAN jcap | awk '{print $2}') - status=$? - [[ $status -ne 0 ]] && exit $status - export LONB_ENKF=$($NEMSIOGET $ATMGES_ENSMEAN dimx | awk '{print $2}') - status=$? - [[ $status -ne 0 ]] && exit $status - export LATB_ENKF=$($NEMSIOGET $ATMGES_ENSMEAN dimy | awk '{print $2}') - status=$? - [[ $status -ne 0 ]] && exit $status -fi - -# Analysis resolution -if [ $DOHYBVAR = "YES" ]; then - export JCAP_A=$JCAP_ENKF - export LONA=$LONB_ENKF - export LATA=$LATB_ENKF -else - export JCAP_A=$JCAP - export LONA=$LONB - export LATA=$LATB -fi - -# Link observational data -export PREPQC="${COMOUT}/${OPREFIX}prepbufr" -export PREPQCPF="${COMOUT}/${OPREFIX}prepbufr.acft_profiles" -[[ $DONST = "YES" ]] && export NSSTBF="${COMOUT}/${OPREFIX}nsstbufr" - -############################################################### -# Run relevant exglobal script -$ANALYSISSH -status=$? -[[ $status -ne 0 ]] && exit $status - -############################################################### -# Exit out cleanly -exit 0 diff --git a/model/fv3gfs/jobs/arch.sh b/model/fv3gfs/jobs/arch.sh deleted file mode 100755 index 7d7124f..0000000 --- a/model/fv3gfs/jobs/arch.sh +++ /dev/null @@ -1,217 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ -# $Revision: 98721 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: arch.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 - -## Abstract: -## Archive driver script -## EXPDIR : /full/path/to/config/files -## CDATE : current analysis date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -############################################################### - -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) - -set -x - -############################################################### -# Run relevant tasks - -# CURRENT CYCLE -PDY=$(echo $CDATE | cut -c1-8) -cyc=$(echo $CDATE | cut -c9-10) -APREFIX="${CDUMP}.t${cyc}z." -ASUFFIX=".nemsio" - -COMIN="$ROTDIR/$CDUMP.$PDY/$cyc" - -DATA="$RUNDIR/$CDATE/$CDUMP/arch" -[[ -d $DATA ]] && rm -rf $DATA -mkdir -p $DATA -cd $DATA - -############################################################### -# Archive what is needed to restart the experiment -mkdir -p $DATA/${CDUMP}restart -cd $DATA/${CDUMP}restart - -restart_dir="$COMIN/RESTART" -if [ -d $restart_dir ]; then - mkdir -p RESTART - files=$(ls -1 $restart_dir) - for file in $files; do - $NCP $restart_dir/$file RESTART/$file - done -fi - -increment_file="$COMIN/${APREFIX}atminc.nc" -[[ -f $increment_file ]] && $NCP $increment_file . - -files="abias abias_pc abias_air radstat" -for file in $files; do - $NCP $COMIN/${APREFIX}$file . -done - -cd $DATA/${CDUMP}restart - -htar -P -cvf $ATARDIR/$CDATE/${CDUMP}restart.tar . -status=$? -if [ $status -ne 0 ]; then - echo "HTAR $CDATE ${CDUMP}restart.tar failed" - exit $status -fi - -hsi ls -l $ATARDIR/$CDATE/${CDUMP}restart.tar -status=$? -if [ $status -ne 0 ]; then - echo "HSI $CDATE ${CDUMP}restart.tar failed" - exit $status -fi - -cd $DATA -rm -rf ${CDUMP}restart - -############################################################### -# Archive extra information that is good to have -mkdir -p $DATA/$CDUMP -cd $DATA/$CDUMP - -files="gsistat cnvstat prepbufr prepbufr.acft_profiles" -for file in $files; do - $NCP $COMIN/${APREFIX}$file . -done - -$NCP $COMIN/${APREFIX}atmanl${ASUFFIX} . -$NCP $COMIN/${APREFIX}pgrb2.*.fanl* . -$NCP $COMIN/${APREFIX}pgrb2.*.f* . - -cd $DATA - -htar -P -cvf $ATARDIR/$CDATE/${CDUMP}.tar $CDUMP -status=$? -if [ $status -ne 0 ]; then - echo "HTAR $CDATE ${CDUMP}restart.tar failed" - exit $status -fi - -hsi ls -l $ATARDIR/$CDATE/${CDUMP}.tar -status=$? -if [ $status -ne 0 ]; then - echo "HSI $CDATE ${CDUMP}.tar failed" - exit $status -fi - -rm -rf $CDUMP - -############################################################### -# Archive online for verification and diagnostics -cd $COMIN - -[[ ! -d $ARCDIR ]] && mkdir -p $ARCDIR -$NCP ${APREFIX}gsistat $ARCDIR/gsistat.${CDUMP}.${CDATE} -$NCP ${APREFIX}pgrbanl $ARCDIR/pgbanl.${CDUMP}.${CDATE} - -# Archive 1 degree forecast GRIB1 files for verification -if [[ "$CDUMP" == "gfs" ]] ; then - for fname in ${APREFIX}pgrbf*; do - fhr=$(echo $fname | cut -d. -f3 | cut -c 6-) - $NCP $fname $ARCDIR/pgbf${fhr}.${CDUMP}.${CDATE} - done -fi -if [[ "$CDUMP" = "gdas" ]] ; then - flist="00 03 06 09" - for fhr in $flist; do - fname=${APREFIX}pgrbf${fhr} - $NCP $fname $ARCDIR/pgbf${fhr}.${CDUMP}.${CDATE} - done -fi - -# Archive -# 1. quarter degree GRIB1 files for precip verification -# 2. atmospheric nemsio gfs forecast files for fit2obs -VFYARC=$ROTDIR/vrfyarch -[[ ! -d $VFYARC ]] && mkdir -p $VFYARC -if [ $CDUMP = "gfs" ]; then - - for fname in pgbq*${CDUMP}.${CDATE}.grib1; do - fileout=$(echo $fname | cut -d. -f1-3) # strip off ".grib1" suffix - $NCP $fname $ARCDIR/$fileout - done - - mkdir -p $VFYARC/${CDUMP}.$PDY/$cyc - fhmax=$FHMAX_GFS - fhr=0 - while [[ $fhr -le $fhmax ]]; do - fhr3=$(printf %03i $fhr) - sfcfile=${CDUMP}.t${cyc}z.sfcf${fhr3}.nemsio - sigfile=${CDUMP}.t${cyc}z.atmf${fhr3}.nemsio - $NCP $sfcfile $VFYARC/${CDUMP}.$PDY/$cyc/ - $NCP $sigfile $VFYARC/${CDUMP}.$PDY/$cyc/ - (( fhr = $fhr + 6 )) - done - -fi - -############################################################### -# Clean up previous cycles; various depths -# PRIOR CYCLE: Leave the prior cycle alone -GDATE=$($NDATE -$assim_freq $CDATE) - -# PREVIOUS to the PRIOR CYCLE -GDATE=$($NDATE -$assim_freq $GDATE) -gymd=$(echo $GDATE | cut -c1-8) -ghh=$(echo $GDATE | cut -c9-10) - -# Remove the TMPDIR directory -COMIN="$RUNDIR/$GDATE" -[[ -d $COMIN ]] && rm -rf $COMIN - -# Remove the hour directory -COMIN="$ROTDIR/$CDUMP.$gymd/$ghh" -[[ -d $COMIN ]] && rm -rf $COMIN - -# Step back every assim_freq hours -# and remove old rotating directories for successfull cycles -# defaults from 24h to 120h -GDATEEND=$($NDATE -${RMOLDEND:-24} $CDATE) -GDATE=$( $NDATE -${RMOLDSTD:-120} $CDATE) -while [ $GDATE -le $GDATEEND ]; do - gymd=$(echo $GDATE | cut -c1-8) - ghh=$(echo $GDATE | cut -c9-10) - COMIN="$ROTDIR/$CDUMP.$gymd/$ghh" - if [ -d $COMIN ]; then - rocotolog="$EXPDIR/logs/${GDATE}.log" - testend=$(tail -n 1 $rocotolog | grep "This cycle is complete: Success" | wc -l) - rc=$? - [[ $rc -eq 0 ]] && rm -rf $COMIN - fi - # Remove any empty directories - COMIN="$ROTDIR/$CDUMP.$gymd" - if [ -d $COMIN ]; then - [[ ! "$(ls -A $COMIN)" ]] && rm -rf $COMIN - fi - GDATE=$($NDATE +$assim_freq $GDATE) -done - -# Remove archived stuff in $VFYARC that are (48+$FHMAX_GFS) hrs behind -# 1. atmospheric nemsio files used for fit2obs -if [ $CDUMP = "gfs" ]; then - GDATE=$($NDATE -$FHMAX_GFS $GDATE) - gymd=$(echo $GDATE | cut -c1-8) - COMIN="$VFYARC/$CDUMP.$gymd" - [[ -d $COMIN ]] && rm -rf $COMIN -fi - -############################################################### -# Exit out cleanly -if [ ${KEEPDATA:-"NO"} = "NO" ] ; then rm -rf $DATA ; fi -exit 0 diff --git a/model/fv3gfs/jobs/drive_makeprepbufr.sh b/model/fv3gfs/jobs/drive_makeprepbufr.sh deleted file mode 100755 index 3bc0c7b..0000000 --- a/model/fv3gfs/jobs/drive_makeprepbufr.sh +++ /dev/null @@ -1,108 +0,0 @@ -#!/bin/sh -x - -# NOTE: This script must be run by prep.sh - -set -xue - -############################################################### -export KEEPDATA=${KEEPDATA:-"NO"} -export DO_RELOCATE=${DO_RELOCATE:-"NO"} -export DONST=${DONST:-"NO"} - -############################################################### -# Set script and dependency variables -GDATE=$($NDATE -$assim_freq $CDATE) - -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) -gymd=$(echo $GDATE | cut -c1-8) -ghh=$(echo $GDATE | cut -c9-10) - -OPREFIX="${CDUMP}.t${chh}z." -OSUFFIX=".bufr_d" -GPREFIX="gdas.t${ghh}z." -GSUFFIX=".nemsio" -APREFIX="${CDUMP}.t${chh}z." -ASUFFIX=".nemsio" - -COMIN_OBS=${COMIN_OBS:-"$DMPDIR/$CDATE/$CDUMP"} -COMIN_GES=${COMIN_GES:-"$ROTDIR/gdas.$gymd/$ghh"} -COMOUT=${COMOUT:-"$ROTDIR/$CDUMP.$cymd/$chh"} -[[ ! -d $COMOUT ]] && mkdir -p $COMOUT -export DATA="$RUNDIR/$CDATE/$CDUMP/prepbufr" -[[ -d $DATA ]] && rm -rf $DATA -mkdir -p $DATA -cd $DATA - -############################################################### -# MAKEPREPBUFRSH environment specific variables -export NEMSIO_IN=".true." -export COMSP="$DATA/" -export NET=$CDUMP - -############################################################### -# Link observation files in BUFRLIST -for bufrname in $BUFRLIST; do - $NLN $COMIN_OBS/${OPREFIX}${bufrname}.tm00$OSUFFIX ${bufrname}.tm00$OSUFFIX -done - -# Link first guess files -$NLN $COMIN_GES/${GPREFIX}atmf003${GSUFFIX} ./atmgm3$GSUFFIX -$NLN $COMIN_GES/${GPREFIX}atmf006${GSUFFIX} ./atmges$GSUFFIX -$NLN $COMIN_GES/${GPREFIX}atmf009${GSUFFIX} ./atmgp3$GSUFFIX - -[[ -f $COMIN_GES/${GPREFIX}atmf004${GSUFFIX} ]] && $NLN $COMIN_GES/${GPREFIX}atmf004${GSUFFIX} ./atmgm2$GSUFFIX -[[ -f $COMIN_GES/${GPREFIX}atmf005${GSUFFIX} ]] && $NLN $COMIN_GES/${GPREFIX}atmf005${GSUFFIX} ./atmgm1$GSUFFIX -[[ -f $COMIN_GES/${GPREFIX}atmf007${GSUFFIX} ]] && $NLN $COMIN_GES/${GPREFIX}atmf007${GSUFFIX} ./atmgp1$GSUFFIX -[[ -f $COMIN_GES/${GPREFIX}atmf008${GSUFFIX} ]] && $NLN $COMIN_GES/${GPREFIX}atmf008${GSUFFIX} ./atmgp2$GSUFFIX - -# If relocation is turned off: these files don't exist, touch them -if [ $DO_RELOCATE = "NO" ]; then - touch $DATA/tcvitals.relocate.tm00 - touch $DATA/tropcy_relocation_status.tm00 - echo "RECORDS PROCESSED" >> $DATA/tropcy_relocation_status.tm00 -fi - -############################################################### -# if PREPDATA is YES and -# 1. the aircft bufr file is not found, set PREPACQC to NO -# 2. the ****** bufr file is not found, set ******** to NO -if [ $PREPDATA = "YES" ]; then - [[ ! -s aircft.tm00$OSUFFIX ]] && export PREPACQC="NO" -fi - -############################################################### -# Execute MAKEPREPBUFRSH - -echo $(date) EXECUTING $MAKEPREPBUFRSH $CDATE >&2 -$MAKEPREPBUFRSH $CDATE -status=$? -echo $(date) EXITING $MAKEPREPBUFRSH with return code $status >&2 -[[ $status -ne 0 ]] && exit $status - -############################################################### -# Create nsstbufr file -if [ $DONST = "YES" ]; then - SFCSHPBF=${SFCSHPBF:-$COMIN_OBS/sfcshp.$CDUMP.$CDATE} - TESACBF=${TESACBF:-$COMIN_OBS/tesac.$CDUMP.$CDATE} - BATHYBF=${BATHYBF:-$COMIN_OBS/bathy.$CDUMP.$CDATE} - TRKOBBF=${TRKOBBF:-$COMIN_OBS/trkob.$CDUMP.$CDATE} - NSSTBF=${NSSTBF:-$COMOUT/${APREFIX}nsstbufr} - - cat $SFCSHPBF $TESACBF $BATHYBF $TRKOBBF > $NSSTBF - status=$? - echo $(date) CREATE $NSSTBF with return code $status >&2 - - # NSST bufr file must be restricted since it contains unmasked ship ids - chmod 640 $NSSTBF - $CHGRP_CMD $NSSTBF -fi -############################################################### -# Copy prepbufr and prepbufr.acft_profiles to COMOUT -$NCP $DATA/prepda.t${chh}z $COMOUT/${APREFIX}prepbufr -$NCP $DATA/prepbufr.acft_profiles $COMOUT/${APREFIX}prepbufr.acft_profiles - -############################################################### -# Exit out cleanly -if [ $KEEPDATA = "NO" ] ; then rm -rf $DATA ; fi -exit 0 diff --git a/model/fv3gfs/jobs/earc.sh b/model/fv3gfs/jobs/earc.sh deleted file mode 100755 index 886be95..0000000 --- a/model/fv3gfs/jobs/earc.sh +++ /dev/null @@ -1,214 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-10-23 21:23:33 +0000 (Mon, 23 Oct 2017) $ -# $Revision: 98608 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: earc.sh 98608 2017-10-23 21:23:33Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 - -## Abstract: -## Ensemble archive driver script -## EXPDIR : /full/path/to/config/files -## CDATE : current analysis date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -## ENSGRP : ensemble sub-group to archive (0, 1, 2, ...) -############################################################### - -set -ex -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) - -############################################################### -# Run relevant tasks - -# CURRENT CYCLE -PDY=$(echo $CDATE | cut -c1-8) -cyc=$(echo $CDATE | cut -c9-10) -APREFIX="${CDUMP}.t${cyc}z." -ASUFFIX=".nemsio" - -############################################################### -# Determine if this cycle is going to save ensemble restarts -EARC_CYC=${EARC_CYC:-"00"} -if [ $ENSGRP -gt 0 ]; then - - arch_ens_rst="NO" - for ens_cyc in $EARC_CYC; do - [[ "$ens_cyc" = $cyc ]] && arch_ens_rst="YES" - done - - if [ $arch_ens_rst = "NO" ]; then - echo "Nothing to archive for ENSGRP = $ENSGRP and cyc = $cyc, EXITING!" - exit 0 - fi - -fi - -############################################################### -# Create temporary DATA directory -COMIN_ENS="$ROTDIR/enkf.$CDUMP.$PDY/$cyc" - -DATA="$RUNDIR/$CDATE/$CDUMP/earc$ENSGRP" -[[ -d $DATA ]] && rm -rf $DATA -mkdir -p $DATA -cd $DATA - -############################################################### -# ENSGRP -gt 0 archives ensemble member restarts -if [ $ENSGRP -gt 0 ]; then - - mkdir -p $DATA/enkf.${CDUMP}restart - cd $DATA/enkf.${CDUMP}restart - - # Get ENSBEG/ENSEND from ENSGRP and NMEM_EARCGRP - ENSEND=$((NMEM_EARCGRP * ENSGRP)) - ENSBEG=$((ENSEND - NMEM_EARCGRP + 1)) - - for imem in $(seq $ENSBEG $ENSEND); do - - memchar="mem"$(printf %03i $imem) - - memdir="$COMIN_ENS/$memchar" - tmpmemdir="$DATA/enkf.${CDUMP}restart/$memchar" - - mkdir -p $tmpmemdir - cd $tmpmemdir - - restart_dir="$memdir/RESTART" - if [ -d $restart_dir ]; then - mkdir -p RESTART - files=$(ls -1 $restart_dir) - for file in $files; do - $NCP $restart_dir/$file RESTART/$file - done - fi - - increment_file="$memdir/${APREFIX}atminc.nc" - [[ -f $increment_file ]] && $NCP $increment_file . - - cd $DATA/enkf.${CDUMP}restart - - htar -P -cvf $ATARDIR/$CDATE/enkf.${CDUMP}restart.$memchar.tar $memchar - status=$? - if [ $status -ne 0 ]; then - echo "HTAR $CDATE enkf.${CDUMP}restart.$memchar.tar failed" - exit $status - fi - - hsi ls -l $ATARDIR/$CDATE/enkf.${CDUMP}restart.$memchar.tar - status=$? - if [ $status -ne 0 ]; then - echo "HSI $CDATE enkf.${CDUMP}restart.$memchar.tar failed" - exit $status - fi - - rm -rf $tmpmemdir - - done - - cd $DATA - - rm -rf enkf.${CDUMP}restart - -fi - -############################################################### -# ENSGRP 0 archives extra info, ensemble mean, verification stuff -if [ $ENSGRP -eq 0 ]; then - - ############################################################### - # Archive extra information that is good to have - mkdir -p $DATA/enkf.$CDUMP - cd $DATA/enkf.$CDUMP - - # Ensemble mean related files - ENSMEAN_STATS="gsistat.ensmean cnvstat.ensmean enkfstat atmf006.ensmean.nc4 atmf006.ensspread.nc4" - for file in $ENSMEAN_STATS; do - $NCP $COMIN_ENS/${APREFIX}$file . - done - - # Ensemble member related files - # Only archive gsistat and cnvstat files, user can provide other to ENKF_STAT - # in config.earc if desired - ENKF_STATS=${ENKF_STATS:-"gsistat cnvstat"} - for imem in $(seq 1 $NMEM_ENKF); do - - memchar="mem"$(printf %03i $imem) - - memdir="$COMIN_ENS/$memchar" - tmpmemdir="$DATA/enkf.${CDUMP}/$memchar" - - mkdir -p $tmpmemdir - - for file in $ENKF_STATS; do - $NCP $memdir/${APREFIX}$file $tmpmemdir/. - done - - cd $DATA/enkf.$CDUMP - - done - - cd $DATA - - htar -P -cvf $ATARDIR/$CDATE/enkf.${CDUMP}.tar enkf.$CDUMP - status=$? - if [ $status -ne 0 ]; then - echo "HTAR $CDATE enkf.${CDUMP}.tar failed" - exit $status - fi - - hsi ls -l $ATARDIR/$CDATE/enkf.${CDUMP}.tar - status=$? - if [ $status -ne 0 ]; then - echo "HSI $CDATE enkf.${CDUMP}.tar failed" - exit $status - fi - - rm -rf enkf.$CDUMP - - ############################################################### - # Archive online for verification and diagnostics - [[ ! -d $ARCDIR ]] && mkdir -p $ARCDIR - cd $ARCDIR - - $NCP $COMIN_ENS/${APREFIX}enkfstat enkfstat.${CDUMP}.$CDATE - $NCP $COMIN_ENS/${APREFIX}gsistat.ensmean gsistat.${CDUMP}.${CDATE}.ensmean - -fi - -############################################################### -# ENSGRP 0 also does clean-up -if [ $ENSGRP -eq 0 ]; then - ############################################################### - # Clean up previous cycles; various depths - # PRIOR CYCLE: Leave the prior cycle alone - GDATE=$($NDATE -$assim_freq $CDATE) - - # PREVIOUS to the PRIOR CYCLE - # Now go 2 cycles back and remove the directory - GDATE=$($NDATE -$assim_freq $GDATE) - gymd=$(echo $GDATE | cut -c1-8) - ghh=$(echo $GDATE | cut -c9-10) - - COMIN_ENS="$ROTDIR/enkf.$CDUMP.$gymd/$ghh" - [[ -d $COMIN_ENS ]] && rm -rf $COMIN_ENS - - # PREVIOUS day 00Z remove the whole day - GDATE=$($NDATE -48 $CDATE) - gymd=$(echo $GDATE | cut -c1-8) - ghh=$(echo $GDATE | cut -c9-10) - - COMIN_ENS="$ROTDIR/enkf.$CDUMP.$gymd" - [[ -d $COMIN_ENS ]] && rm -rf $COMIN_ENS - -fi - -############################################################### -# Exit out cleanly -if [ ${KEEPDATA:-"NO"} = "NO" ] ; then rm -rf $DATA ; fi -exit 0 diff --git a/model/fv3gfs/jobs/ecen.sh b/model/fv3gfs/jobs/ecen.sh deleted file mode 100755 index 1fff763..0000000 --- a/model/fv3gfs/jobs/ecen.sh +++ /dev/null @@ -1,53 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-08-16 21:42:24 +0000 (Wed, 16 Aug 2017) $ -# $Revision: 96658 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: ecen.sh 96658 2017-08-16 21:42:24Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 - -## Abstract: -## Ensemble recentering driver script -## EXPDIR : /full/path/to/config/files -## CDATE : current analysis date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -############################################################### - -set -ex -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) - -############################################################### -# Set script and dependency variables -export GDATE=$($NDATE -$assim_freq $CDATE) - -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) -gymd=$(echo $GDATE | cut -c1-8) -ghh=$(echo $GDATE | cut -c9-10) - -export APREFIX="${CDUMP}.t${chh}z." -export ASUFFIX=".nemsio" -export GPREFIX="${CDUMP}.t${ghh}z." -export GSUFFIX=".nemsio" - -export COMIN="$ROTDIR/$CDUMP.$cymd/$chh" -export COMIN_ENS="$ROTDIR/enkf.$CDUMP.$cymd/$chh" -export COMIN_GES_ENS="$ROTDIR/enkf.$CDUMP.$gymd/$ghh" -export DATA="$RUNDIR/$CDATE/$CDUMP/ecen" -if [ ${KEEPDATA:-"NO"} = "NO" ] ; then rm -rf $DATA ; fi - -############################################################### -# Run relevant exglobal script -$ENKFRECENSH -status=$? -[[ $status -ne 0 ]] && exit $status - -############################################################### -# Exit out cleanly -exit 0 diff --git a/model/fv3gfs/jobs/efcs.sh b/model/fv3gfs/jobs/efcs.sh deleted file mode 100755 index 3c957fd..0000000 --- a/model/fv3gfs/jobs/efcs.sh +++ /dev/null @@ -1,88 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-10-23 21:23:33 +0000 (Mon, 23 Oct 2017) $ -# $Revision: 98608 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: efcs.sh 98608 2017-10-23 21:23:33Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 - -## Abstract: -## Ensemble forecast driver script -## EXPDIR : /full/path/to/config/files -## CDATE : current analysis date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -## ENSGRP : ensemble sub-group to make forecasts (1, 2, ...) -############################################################### - -set -ex -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH bool:.true.,.false. from:true_false_vars ) - -############################################################### -# Set script and dependency variables -export CASE=$CASE_ENKF -export DATA=$RUNDIR/$CDATE/$CDUMP/efcs.grp$ENSGRP -[[ -d $DATA ]] && rm -rf $DATA - -# Get ENSBEG/ENSEND from ENSGRP and NMEM_EFCSGRP -ENSEND=$((NMEM_EFCSGRP * ENSGRP)) -ENSBEG=$((ENSEND - NMEM_EFCSGRP + 1)) -export ENSBEG=$ENSBEG -export ENSEND=$ENSEND - -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) - -export GDATE=$($NDATE -$assim_freq $CDATE) -gymd=$(echo $GDATE | cut -c1-8) -ghh=$(echo $GDATE | cut -c9-10) - -# Default warm_start is OFF -export warm_start=".false." - -# If RESTART conditions exist; warm start the model -memchar="mem"$(printf %03i $ENSBEG) -if [ -f $ROTDIR/enkf.${CDUMP}.$gymd/$ghh/$memchar/RESTART/${cymd}.${chh}0000.coupler.res ]; then - export warm_start=".true." - if [ -f $ROTDIR/enkf.${CDUMP}.$cymd/$chh/$memchar/${CDUMP}.t${chh}z.atminc.nc ]; then - export read_increment=".true." - else - echo "WARNING: WARM START $CDUMP $CDATE WITHOUT READING INCREMENT!" - fi -fi - -# Forecast length for EnKF forecast -export FHMIN=$FHMIN_ENKF -export FHOUT=$FHOUT_ENKF -export FHMAX=$FHMAX_ENKF - -############################################################### -# Run relevant exglobal script -$ENKFFCSTSH -status=$? -[[ $status -ne 0 ]] && exit $status - -############################################################### -# Double check the status of members in ENSGRP -EFCSGRP=$ROTDIR/enkf.${CDUMP}.$cymd/$chh/efcs.grp${ENSGRP} -if [ -f $EFCSGRP ]; then - npass=$(grep "PASS" $EFCSGRP | wc -l) -else - npass=0 -fi -echo "$npass/$NMEM_EFCSGRP members successfull in efcs.grp$ENSGRP" -if [ $npass -ne $NMEM_EFCSGRP ]; then - echo "ABORT!" - cat $EFCSGRP - exit 99 -fi - -############################################################### -# Exit out cleanly -exit 0 diff --git a/model/fv3gfs/jobs/eobs.sh b/model/fv3gfs/jobs/eobs.sh deleted file mode 100755 index 15a786d..0000000 --- a/model/fv3gfs/jobs/eobs.sh +++ /dev/null @@ -1,114 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ -# $Revision: 98721 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: eobs.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 - -## Abstract: -## EnKF innovations for ensemble mean driver script -## EXPDIR : /full/path/to/config/files -## CDATE : current analysis date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -############################################################### - -set -ex -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH bool:.true.,.false. from:true_false_vars ) -unset DELTIM - -############################################################### -# Set script and dependency variables -export GDATE=$($NDATE -$assim_freq $CDATE) - -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) -gymd=$(echo $GDATE | cut -c1-8) -ghh=$(echo $GDATE | cut -c9-10) - -export OPREFIX="${CDUMP}.t${chh}z." -export APREFIX="${CDUMP}.t${chh}z." -export ASUFFIX=".nemsio" -export GPREFIX="${CDUMP}.t${ghh}z." -export GSUFFIX=".nemsio" - -export COMIN_GES="$ROTDIR/$CDUMP.$gymd/$ghh" -export COMIN_ANL="$ROTDIR/$CDUMP.$cymd/$chh" -export COMIN_GES_ENS="$ROTDIR/enkf.$CDUMP.$gymd/$ghh" -export COMOUT="$ROTDIR/enkf.$CDUMP.$cymd/$chh" -export DATA="$RUNDIR/$CDATE/$CDUMP/eobs" -[[ -d $DATA ]] && rm -rf $DATA - -export ATMGES_ENSMEAN="$COMIN_GES_ENS/${GPREFIX}atmf006.ensmean$GSUFFIX" -if [ ! -f $ATMGES_ENSMEAN ]; then - echo "FILE MISSING: ATMGES_ENSMEAN = $ATMGES_ENSMEAN" - exit 2 -fi - -export LEVS=$($NEMSIOGET $ATMGES_ENSMEAN dimz | awk '{print $2}') -status=$? -[[ $status -ne 0 ]] && exit $status - -# Link observational data -export PREPQC="$COMIN_ANL/${OPREFIX}prepbufr" -export PREPQCPF="$COMIN_ANL/${OPREFIX}prepbufr.acft_profiles" - -# Guess Bias correction coefficients related to control -export GBIAS=${COMIN_GES}/${GPREFIX}abias -export GBIASPC=${COMIN_GES}/${GPREFIX}abias_pc -export GBIASAIR=${COMIN_GES}/${GPREFIX}abias_air -export GRADSTAT=${COMIN_GES}/${GPREFIX}radstat - -# Bias correction coefficients related to ensemble mean -export ABIAS="$COMOUT/${APREFIX}abias.ensmean" -export ABIASPC="$COMOUT/${APREFIX}abias_pc.ensmean" -export ABIASAIR="$COMOUT/${APREFIX}abias_air.ensmean" -export ABIASe="$COMOUT/${APREFIX}abias_int.ensmean" - -# Diagnostics related to ensemble mean -export GSISTAT="$COMOUT/${APREFIX}gsistat.ensmean" -export CNVSTAT="$COMOUT/${APREFIX}cnvstat.ensmean" -export OZNSTAT="$COMOUT/${APREFIX}oznstat.ensmean" -export RADSTAT="$COMOUT/${APREFIX}radstat.ensmean" - -# Select observations based on ensemble mean -export RUN_SELECT="YES" -export USE_SELECT="NO" -export SELECT_OBS="$COMOUT/${APREFIX}obsinput.ensmean" - -export DIAG_SUFFIX="_ensmean" - -# GSI namelist options specific to eobs -export SETUP_INVOBS="passive_bc=.false." - -# Over-write variables -COMIN_GES_SAVE=$COMIN_GES -GSUFFIX_SAVE=$GSUFFIX -export COMIN_GES=$COMIN_GES_ENS -export GSUFFIX=".ensmean$GSUFFIX" - -# Do not run global_cycle for ensemble mean -export DOGCYCLE="NO" - -############################################################### -# Ensure clean stat tarballs for ensemble mean -for fstat in $CNVSTAT $OZNSTAT $RADSTAT; do - [[ -f $fstat ]] && rm -f $fstat -done - -############################################################### -# Run relevant exglobal script -$INVOBSSH -status=$? -[[ $status -ne 0 ]] && exit $status - -############################################################### -# Exit out cleanly -exit 0 diff --git a/model/fv3gfs/jobs/eomg.sh b/model/fv3gfs/jobs/eomg.sh deleted file mode 100755 index 3d18a8d..0000000 --- a/model/fv3gfs/jobs/eomg.sh +++ /dev/null @@ -1,98 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ -# $Revision: 98721 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: eomg.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 - -## Abstract: -## EnKF innovations for ensemble members driver script -## EXPDIR : /full/path/to/config/files -## CDATE : current analysis date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -## ENSGRP : ensemble sub-group to compute innovations (1, 2, ...) -############################################################### - -set -ex -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) - -############################################################### -# Set script and dependency variables -export CASE=$CASE_ENKF -export GDATE=$($NDATE -$assim_freq $CDATE) - -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) -gymd=$(echo $GDATE | cut -c1-8) -ghh=$(echo $GDATE | cut -c9-10) - -export OPREFIX="${CDUMP}.t${chh}z." -export APREFIX="${CDUMP}.t${chh}z." -export ASUFFIX=".nemsio" -export GPREFIX="${CDUMP}.t${ghh}z." -export GSUFFIX=".nemsio" - -export COMIN_GES="$ROTDIR/$CDUMP.$gymd/$ghh" -export COMIN_GES_ENS="$ROTDIR/enkf.$CDUMP.$gymd/$ghh" -export COMOUT="$ROTDIR/enkf.$CDUMP.$cymd/$chh" -export DATA="$RUNDIR/$CDATE/$CDUMP/eomg.grp$ENSGRP" -[[ -d $DATA ]] && rm -rf $DATA - -export ATMGES_ENSMEAN="$COMIN_GES_ENS/${GPREFIX}atmf006.ensmean$GSUFFIX" -if [ ! -f $ATMGES_ENSMEAN ]; then - echo "FILE MISSING: ATMGES_ENSMEAN = $ATMGES_ENSMEAN" - exit 1 -fi - -export LEVS=$($NEMSIOGET $ATMGES_ENSMEAN dimz | awk '{print $2}') -status=$? -[[ $status -ne 0 ]] && exit $status - -# Guess Bias correction coefficients related to control -export GBIAS=${COMIN_GES}/${GPREFIX}abias -export GBIASPC=${COMIN_GES}/${GPREFIX}abias_pc -export GBIASAIR=${COMIN_GES}/${GPREFIX}abias_air -export GRADSTAT=${COMIN_GES}/${GPREFIX}radstat - -# Use the selected observations from ensemble mean -export RUN_SELECT="NO" -export USE_SELECT="YES" -export SELECT_OBS="$COMOUT/${APREFIX}obsinput.ensmean" - -############################################################### -# Get ENSBEG/ENSEND from ENSGRP and NMEM_EOMGGRP -ENSEND=$((NMEM_EOMGGRP * ENSGRP)) -ENSBEG=$((ENSEND - NMEM_EOMGGRP + 1)) -export ENSBEG=$ENSBEG -export ENSEND=$ENSEND - -# Run relevant exglobal script -$ENKFINVOBSSH -status=$? -[[ $status -ne 0 ]] && exit $status - -############################################################### -# Double check the status of members in ENSGRP -EOMGGRP=$ROTDIR/enkf.${CDUMP}.$cymd/$chh/eomg.grp${ENSGRP} -if [ -f $EOMGGRP ]; then - npass=$(grep "PASS" $EOMGGRP | wc -l) -else - npass=0 -fi -echo "$npass/$NMEM_EOMGGRP members successfull in eomg.grp$ENSGRP" -if [ $npass -ne $NMEM_EOMGGRP ]; then - echo "ABORT!" - cat $EOMGGRP - exit 99 -fi - -############################################################### -# Exit out cleanly -exit 0 diff --git a/model/fv3gfs/jobs/epos.sh b/model/fv3gfs/jobs/epos.sh deleted file mode 100755 index b86fe91..0000000 --- a/model/fv3gfs/jobs/epos.sh +++ /dev/null @@ -1,48 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-09-23 02:48:49 +0000 (Sat, 23 Sep 2017) $ -# $Revision: 97753 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: epos.sh 97753 2017-09-23 02:48:49Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 - -## Abstract: -## Ensemble post-processing driver script -## EXPDIR : /full/path/to/config/files -## CDATE : current analysis date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -############################################################### - -set -ex -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) - -############################################################### -# Set script and dependency variables -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) - -export PREFIX="${CDUMP}.t${chh}z." -export SUFFIX=".nemsio" - -export COMIN="$ROTDIR/enkf.$CDUMP.$cymd/$chh" -export COMOUT="$ROTDIR/enkf.$CDUMP.$cymd/$chh" -export DATA="$RUNDIR/$CDATE/$CDUMP/epos" -if [ ${KEEPDATA:-"NO"} = "NO" ] ; then rm -rf $DATA ; fi - -export LEVS=$((LEVS-1)) - -############################################################### -# Run relevant exglobal script -$ENKFPOSTSH -status=$? -[[ $status -ne 0 ]] && exit $status - -############################################################### -# Exit out cleanly -exit 0 diff --git a/model/fv3gfs/jobs/eupd.sh b/model/fv3gfs/jobs/eupd.sh deleted file mode 100755 index 492dbfc..0000000 --- a/model/fv3gfs/jobs/eupd.sh +++ /dev/null @@ -1,53 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-09-23 02:48:49 +0000 (Sat, 23 Sep 2017) $ -# $Revision: 97753 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: eupd.sh 97753 2017-09-23 02:48:49Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 - -## Abstract: -## EnKF update driver script -## EXPDIR : /full/path/to/config/files -## CDATE : current analysis date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -############################################################### - -set -ex -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) -set +e -############################################################### -# Set script and dependency variables -export GDATE=$($NDATE -$assim_freq $CDATE) - -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) -gymd=$(echo $GDATE | cut -c1-8) -ghh=$(echo $GDATE | cut -c9-10) - -export GPREFIX="${CDUMP}.t${ghh}z." -export GSUFFIX=".nemsio" -export APREFIX="${CDUMP}.t${chh}z." -export ASUFFIX=".nemsio" - -export COMIN_GES_ENS="$ROTDIR/enkf.$CDUMP.$gymd/$ghh" -export COMOUT_ANL_ENS="$ROTDIR/enkf.$CDUMP.$cymd/$chh" -export DATA="$RUNDIR/$CDATE/$CDUMP/eupd" -if [ ${KEEPDATA:-"NO"} = "NO" ] ; then rm -rf $DATA ; fi - -############################################################### -# Run relevant exglobal script -echo "RUN: $ENKFUPDSH" -$ENKFUPDSH -status=$? -[[ $status -ne 0 ]] && exit $status - -############################################################### -# Exit out cleanly -exit 0 diff --git a/model/fv3gfs/jobs/fcst.sh b/model/fv3gfs/jobs/fcst.sh deleted file mode 100755 index 1e4e70c..0000000 --- a/model/fv3gfs/jobs/fcst.sh +++ /dev/null @@ -1,105 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-10-08 16:02:04 +0000 (Sun, 08 Oct 2017) $ -# $Revision: 98185 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: fcst.sh 98185 2017-10-08 16:02:04Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Fanglin Yang Org: NCEP/EMC Date: October 2016 -## Rahul Mahajan Org: NCEP/EMC Date: April 2017 - -## Abstract: -## Model forecast driver script -## EXPDIR : /full/path/to/config/files -## CDATE : current analysis date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -############################################################### - -set -ex -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH bool:.true.,.false. from:true_false_vars ) - -############################################################### -# Set script and dependency variables -export DATA=$RUNDIR/$CDATE/$CDUMP/fcst -[[ -d $DATA ]] && rm -rf $DATA - -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) - -export GDATE=$($NDATE -$assim_freq $CDATE) -gymd=$(echo $GDATE | cut -c1-8) -ghh=$(echo $GDATE | cut -c9-10) - -# Default warm_start is OFF -export warm_start=".false." - -# If RESTART conditions exist; warm start the model -# Restart conditions for GFS cycle come from GDAS -rCDUMP=$CDUMP -[[ $CDUMP = "gfs" ]] && export rCDUMP="gdas" - -if [ -f $ROTDIR/${rCDUMP}.$gymd/$ghh/RESTART/${cymd}.${chh}0000.coupler.res ]; then - export warm_start=".true." - if [ -f $ROTDIR/${CDUMP}.$cymd/$chh/${CDUMP}.t${chh}z.atminc.nc ]; then - export read_increment=".true." - else - echo "WARNING: WARM START $CDUMP $CDATE WITHOUT READING INCREMENT!" - fi -fi - -# Forecast length for GFS forecast -if [ $CDUMP = "gfs" ]; then - export FHMIN=$FHMIN_GFS - export FHOUT=$FHOUT_GFS - export FHMAX=$FHMAX_GFS - export FHMAX_HF=$FHMAX_HF_GFS - export FHOUT_HF=$FHOUT_HF_GFS -fi - -############################################################### -# Run relevant exglobal script -$FORECASTSH -status=$? -[[ $status -ne 0 ]] && exit $status - -############################################################### -# Convert model native history files to nemsio - -export DATA=$ROTDIR/${CDUMP}.$cymd/$chh - -if [ $CDUMP = "gdas" ]; then - - if [ $OUTPUT_GRID = 'cubed_sphere_grid' -o $QUILTING = ".false." ]; then - # Regrid 6-tile output to global array in NEMSIO gaussian grid for DA - $REGRID_NEMSIO_SH - status=$? - [[ $status -ne 0 ]] && exit $status - fi - -elif [ $CDUMP = "gfs" ]; then - - if [ $OUTPUT_GRID = 'cubed_sphere_grid' -o $QUILTING = ".false." ]; then - # Remap 6-tile output to global array in NetCDF latlon - $REMAPSH - status=$? - [[ $status -ne 0 ]] && exit $status - fi - - if [ $WRITE_NEMSIOFILE = ".false." -o $QUILTING = ".false." ]; then - # Convert NetCDF to nemsio - $NC2NEMSIOSH - status=$? - [[ $status -ne 0 ]] && exit $status - fi - -fi - -############################################################### -# Exit out cleanly -exit 0 diff --git a/model/fv3gfs/jobs/fcst_df.sh b/model/fv3gfs/jobs/fcst_df.sh deleted file mode 100755 index 1e4e70c..0000000 --- a/model/fv3gfs/jobs/fcst_df.sh +++ /dev/null @@ -1,105 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-10-08 16:02:04 +0000 (Sun, 08 Oct 2017) $ -# $Revision: 98185 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: fcst.sh 98185 2017-10-08 16:02:04Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Fanglin Yang Org: NCEP/EMC Date: October 2016 -## Rahul Mahajan Org: NCEP/EMC Date: April 2017 - -## Abstract: -## Model forecast driver script -## EXPDIR : /full/path/to/config/files -## CDATE : current analysis date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -############################################################### - -set -ex -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH bool:.true.,.false. from:true_false_vars ) - -############################################################### -# Set script and dependency variables -export DATA=$RUNDIR/$CDATE/$CDUMP/fcst -[[ -d $DATA ]] && rm -rf $DATA - -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) - -export GDATE=$($NDATE -$assim_freq $CDATE) -gymd=$(echo $GDATE | cut -c1-8) -ghh=$(echo $GDATE | cut -c9-10) - -# Default warm_start is OFF -export warm_start=".false." - -# If RESTART conditions exist; warm start the model -# Restart conditions for GFS cycle come from GDAS -rCDUMP=$CDUMP -[[ $CDUMP = "gfs" ]] && export rCDUMP="gdas" - -if [ -f $ROTDIR/${rCDUMP}.$gymd/$ghh/RESTART/${cymd}.${chh}0000.coupler.res ]; then - export warm_start=".true." - if [ -f $ROTDIR/${CDUMP}.$cymd/$chh/${CDUMP}.t${chh}z.atminc.nc ]; then - export read_increment=".true." - else - echo "WARNING: WARM START $CDUMP $CDATE WITHOUT READING INCREMENT!" - fi -fi - -# Forecast length for GFS forecast -if [ $CDUMP = "gfs" ]; then - export FHMIN=$FHMIN_GFS - export FHOUT=$FHOUT_GFS - export FHMAX=$FHMAX_GFS - export FHMAX_HF=$FHMAX_HF_GFS - export FHOUT_HF=$FHOUT_HF_GFS -fi - -############################################################### -# Run relevant exglobal script -$FORECASTSH -status=$? -[[ $status -ne 0 ]] && exit $status - -############################################################### -# Convert model native history files to nemsio - -export DATA=$ROTDIR/${CDUMP}.$cymd/$chh - -if [ $CDUMP = "gdas" ]; then - - if [ $OUTPUT_GRID = 'cubed_sphere_grid' -o $QUILTING = ".false." ]; then - # Regrid 6-tile output to global array in NEMSIO gaussian grid for DA - $REGRID_NEMSIO_SH - status=$? - [[ $status -ne 0 ]] && exit $status - fi - -elif [ $CDUMP = "gfs" ]; then - - if [ $OUTPUT_GRID = 'cubed_sphere_grid' -o $QUILTING = ".false." ]; then - # Remap 6-tile output to global array in NetCDF latlon - $REMAPSH - status=$? - [[ $status -ne 0 ]] && exit $status - fi - - if [ $WRITE_NEMSIOFILE = ".false." -o $QUILTING = ".false." ]; then - # Convert NetCDF to nemsio - $NC2NEMSIOSH - status=$? - [[ $status -ne 0 ]] && exit $status - fi - -fi - -############################################################### -# Exit out cleanly -exit 0 diff --git a/model/fv3gfs/jobs/fv3ic.sh b/model/fv3gfs/jobs/fv3ic.sh deleted file mode 100755 index 37e67bd..0000000 --- a/model/fv3gfs/jobs/fv3ic.sh +++ /dev/null @@ -1,55 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ -# $Revision: 98721 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: fv3ic.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Rahul Mahajan Org: NCEP/EMC Date: August 2017 - -## Abstract: -## Create FV3 initial conditions from GFS intitial conditions -## EXPDIR : /full/path/to/config/files -## CDATE : current date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -export EXPDIR=${1:-$EXPDIR} -export CDATE=${2:-$CDATE} -export CDUMP=${3:-$CDUMP} -############################################################### - -set -ex -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) - -# Temporary runtime directory -export DATA="$RUNDIR/$CDATE/$CDUMP/fv3ic$$" -[[ -d $DATA ]] && rm -rf $DATA - -# Input GFS initial condition files -export INIDIR="$ICSDIR/$CDATE/$CDUMP" -export ATMANL="$ICSDIR/$CDATE/$CDUMP/siganl.${CDUMP}.$CDATE" -export SFCANL="$ICSDIR/$CDATE/$CDUMP/sfcanl.${CDUMP}.$CDATE" -if [ -f $ICSDIR/$CDATE/$CDUMP/nstanl.${CDUMP}.$CDATE ]; then - export NSTANL="$ICSDIR/$CDATE/$CDUMP/nstanl.${CDUMP}.$CDATE" -fi - -# Output FV3 initial condition files -export OUTDIR="$ICSDIR/$CDATE/$CDUMP/$CASE/INPUT" - -export OMP_NUM_THREADS_CH=$NTHREADS_CHGRES -export APRUNC=$APRUN_CHGRES - -# Call global_chgres_driver.sh -$BASE_GSM/ush/global_chgres_driver.sh -status=$? -if [ $status -ne 0 ]; then - echo "global_chgres_driver.sh returned with a non-zero exit code, ABORT!" - exit $status -fi - -############################################################### -# Exit cleanly -exit 0 diff --git a/model/fv3gfs/jobs/fv3ic_df.sh b/model/fv3gfs/jobs/fv3ic_df.sh deleted file mode 100755 index 868fc74..0000000 --- a/model/fv3gfs/jobs/fv3ic_df.sh +++ /dev/null @@ -1,81 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ -# $Revision: 98721 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: fv3ic.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Rahul Mahajan Org: NCEP/EMC Date: August 2017 - -## Abstract: -## Create FV3 initial conditions from GFS intitial conditions -## EXPDIR : /full/path/to/config/files -## CDATE : current date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -export EXPDIR=${1:-$EXPDIR} -export CDATE=${2:-$CDATE} -export CDUMP=${3:-$CDUMP} -############################################################### - -set -ex -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) - -# Temporary runtime directory -export DATA="$RUNDIR/$CDATE/$CDUMP/fv3ic$$" -[[ -d $DATA ]] && rm -rf $DATA - -# Input GFS initial condition files -export INIDIR="$ICSDIR/$CDATE/$CDUMP" -export ATMANL="$ICSDIR/$CDATE/$CDUMP/siganl.${CDUMP}.$CDATE" -export SFCANL="$ICSDIR/$CDATE/$CDUMP/sfcanl.${CDUMP}.$CDATE" -if [ -f $ICSDIR/$CDATE/$CDUMP/nstanl.${CDUMP}.$CDATE ]; then - export NSTANL="$ICSDIR/$CDATE/$CDUMP/nstanl.${CDUMP}.$CDATE" -fi - -# Output FV3 initial condition files -#export OUTDIR="$ICSDIR/$CDATE/$CDUMP/$CASE/INPUT" -export OUTDIR="$DATA/outdir" -mkdir -p "$OUTDIR" - -$HOMEcrow/crow_dataflow_cycle_sh.py "$CROW_DATAFLOW_DB" add "$CDATE" -$HOMEcrow/crow_dataflow_cycle_sh.py "$CROW_DATAFLOW_DB" add "$CDATE" - -export OMP_NUM_THREADS_CH=$NTHREADS_CHGRES -export APRUNC=$APRUN_CHGRES - -# Call global_chgres_driver.sh -$BASE_GSM/ush/global_chgres_driver.sh -status=$? -if [ $status -ne 0 ]; then - echo "global_chgres_driver.sh returned with a non-zero exit code, ABORT!" - exit $status -fi - -set -xue - -ACTOR=$( echo "$TASK_PATH" | sed 's,\.[^.]*$,,g' ) - -$HOMEcrow/crow_dataflow_deliver_sh.py -i "$OUTDIR/gfs_ctrl.nc" \ - "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=gfs_ctrl_nc - -$HOMEcrow/crow_dataflow_deliver_sh.py -m -i "$OUTDIR/{kind}.tile{tile}.nc" \ - "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=output_data_tiles - -# $HOMEcrow/crow_dataflow_deliver_sh.py \ -# -i "$OUTDIR/RESTART/{cycle:%Y%m%d.%H%M%S}0000.{kind}.tile{tile:%d}.nc" \ -# "$crow_db" "$CDATE" "$ACTOR" "slot=end_time_tiles" - -# $HOMEcrow/crow_dataflow_deliver_sh.py \ -# -i "$OUTDIR/RESTART/{kind}.tile{tile:%d}.nc" \ -# "$crow_db" "$CDATE" "$ACTOR" "slot=end_time_tiles" - -# $HOMEcrow/crow_dataflow_deliver_sh.py \ -# -i "$OUTDIR/RESTART/{cycle:%Y%m%d" \ - -############################################################### -# Exit cleanly -exit 0 diff --git a/model/fv3gfs/jobs/getic.sh b/model/fv3gfs/jobs/getic.sh deleted file mode 100755 index 89e448b..0000000 --- a/model/fv3gfs/jobs/getic.sh +++ /dev/null @@ -1,183 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ -# $Revision: 98721 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: getic.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Rahul Mahajan Org: NCEP/EMC Date: August 2017 - -## Abstract: -## Get GFS intitial conditions -## EXPDIR : /full/path/to/config/files -## CDATE : current date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -############################################################### - -set -ex -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) - -############################################################### -# Set script and dependency variables - -yyyy=$(echo $CDATE | cut -c1-4) -mm=$(echo $CDATE | cut -c5-6) -dd=$(echo $CDATE | cut -c7-8) -hh=$(echo $CDATE | cut -c9-10) -cymd=$(echo $CDATE | cut -c1-8) - -############################################################### - -target_dir=$ICSDIR/$CDATE/$CDUMP -mkdir -p $target_dir -cd $target_dir - -# Save the files as legacy EMC filenames -ftanal[1]="pgbanl.${CDUMP}.$CDATE" -ftanal[2]="siganl.${CDUMP}.$CDATE" -ftanal[3]="sfcanl.${CDUMP}.$CDATE" -ftanal[4]="nstanl.${CDUMP}.$CDATE" - -# Initialize return code to 0 -rc=1 - -if [ $ics_from = "opsgfs" ]; then - - # Handle nemsio and pre-nemsio GFS filenames - if [ $CDATE -gt "2017072000" ]; then - nfanal=4 - fanal[1]="./${CDUMP}.t${hh}z.pgrbanl" - fanal[2]="./${CDUMP}.t${hh}z.atmanl.nemsio" - fanal[3]="./${CDUMP}.t${hh}z.sfcanl.nemsio" - fanal[4]="./${CDUMP}.t${hh}z.nstanl.nemsio" - flanal="${fanal[1]} ${fanal[2]} ${fanal[3]} ${fanal[4]}" - tarpref="gpfs_hps_nco_ops_com" - else - nfanal=3 - [[ $CDUMP = "gdas" ]] && str1=1 - fanal[1]="./${CDUMP}${str1}.t${hh}z.pgrbanl" - fanal[2]="./${CDUMP}${str1}.t${hh}z.sanl" - fanal[3]="./${CDUMP}${str1}.t${hh}z.sfcanl" - flanal="${fanal[1]} ${fanal[2]} ${fanal[3]}" - tarpref="com2" - fi - - # First check the COMROOT for files, if present copy over - if [ $machine = "WCOSS_C" ]; then - - # Need COMROOT - module load prod_envir >> /dev/null 2>&1 - - comdir="$COMROOT/$CDUMP/prod/$CDUMP.$cymd" - rc=0 - for i in `seq 1 $nfanal`; do - if [ -f $comdir/${fanal[i]} ]; then - $NCP $comdir/${fanal[i]} ${ftanal[i]} - else - rb=1 ; ((rc+=rb)) - fi - done - - fi - - # Get initial conditions from HPSS - if [ $rc -ne 0 ]; then - - hpssdir="/NCEPPROD/hpssprod/runhistory/rh$yyyy/$yyyy$mm/$cymd" - if [ $CDUMP = "gdas" ]; then - tarball="$hpssdir/${tarpref}_gfs_prod_${CDUMP}.${CDATE}.tar" - elif [ $CDUMP = "gfs" ]; then - tarball="$hpssdir/${tarpref}_gfs_prod_${CDUMP}.${CDATE}.anl.tar" - fi - - # check if the tarball exists - hsi ls -l $tarball - rc=$? - if [ $rc -ne 0 ]; then - echo "$tarball does not exist and should, ABORT!" - exit $rc - fi - # get the tarball - htar -xvf $tarball $flanal - rc=$? - if [ $rc -ne 0 ]; then - echo "untarring $tarball failed, ABORT!" - exit $rc - fi - - # Move the files to legacy EMC filenames - for i in `seq 1 $nfanal`; do - if [[ "${fanal[i]}" != "${ftanal[i]}" ]] ; then - $NMV ${fanal[i]} ${ftanal[i]} - fi - done - - fi - - # If found, exit out - if [ $rc -ne 0 ]; then - echo "Unable to obtain operational GFS initial conditions, ABORT!" - exit 1 - fi - -elif [ $ics_from = "pargfs" ]; then - - # Filenames in parallel - nfanal=4 - fanal[1]="pgbanl.${CDUMP}.$CDATE" - fanal[2]="gfnanl.${CDUMP}.$CDATE" - fanal[3]="sfnanl.${CDUMP}.$CDATE" - fanal[4]="nsnanl.${CDUMP}.$CDATE" - flanal="${fanal[1]} ${fanal[2]} ${fanal[3]} ${fanal[4]}" - - # Get initial conditions from HPSS from retrospective parallel - tarball="$HPSS_PAR_PATH/${CDATE}${CDUMP}.tar" - - # check if the tarball exists - hsi ls -l $tarball - rc=$? - if [ $rc -ne 0 ]; then - echo "$tarball does not exist and should, ABORT!" - exit $rc - fi - # get the tarball - htar -xvf $tarball $flanal - rc=$? - if [ $rc -ne 0 ]; then - echo "untarring $tarball failed, ABORT!" - exit $rc - fi - - # Move the files to legacy EMC filenames - for i in `seq 1 $nfanal`; do - if [[ "${fanal[i]}" != "${ftanal[i]}" ]] ; then - $NMV ${fanal[i]} ${ftanal[i]} - fi - done - - # If found, exit out - if [ $rc -ne 0 ]; then - echo "Unable to obtain parallel GFS initial conditions, ABORT!" - exit 1 - fi - -else - - echo "ics_from = $ics_from is not supported, ABORT!" - exit 1 - -fi -############################################################### - -# Copy pgbanl file to COMROT for verification -COMROT=$ROTDIR/${CDUMP}.$cymd/$hh -[[ ! -d $COMROT ]] && mkdir -p $COMROT -$NCP ${ftanal[1]} $COMROT/${CDUMP}.t${hh}z.pgrbanl - -############################################################### -# Exit out cleanly -exit 0 diff --git a/model/fv3gfs/jobs/job_wrapper.sh b/model/fv3gfs/jobs/job_wrapper.sh deleted file mode 100755 index 1bd0559..0000000 --- a/model/fv3gfs/jobs/job_wrapper.sh +++ /dev/null @@ -1,36 +0,0 @@ -#! /bin/sh - -# Theia workaround. Reduce stack soft limit while running "module" to -# avoid runaway memory allocation: -ulimit_s=$( ulimit -S -s ) -ulimit -S -s 10000 - -source "$BASE_MODULES"/module-setup.sh.inc -module use "$BASE_MODULES" -module load module_base.$( echo $MACHINE | tr A-Z a-z ) - -# FIXME: Remove hard-coded modules. -module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/python/modulefiles/ -module unload python anaconda -module load python/3.6.1-emc - -# Restore stack soft limit: -ulimit -S -s "$ulimit_s" -unset ulimit_s - -module list - -set -xue - -export PYTHONPATH="$HOMEcrow${PYTHONPATH:+:$PYTHONPATH}" - -python3.6 -c 'import crow ; print(f"CROW library version {crow.version}")' - -if [[ "${1:0:1}" == "/" ]] ; then - exec "$@" -fi - -# Relative path is from j-jobs directory -prog=$1 -shift -exec "$BASE_JOB/$prog.sh" "$@" diff --git a/model/fv3gfs/jobs/post.sh b/model/fv3gfs/jobs/post.sh deleted file mode 100755 index bb54857..0000000 --- a/model/fv3gfs/jobs/post.sh +++ /dev/null @@ -1,85 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ -# $Revision: 98721 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: post.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Fanglin Yang Org: NCEP/EMC Date: October 2016 -## Rahul Mahajan Org: NCEP/EMC Date: April 2017 - -## Abstract: -## NCEP post driver script -## EXPDIR : /full/path/to/config/files -## CDATE : current analysis date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -############################################################### - -set -ex -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH bool:.true.,.false. from:true_false_vars ) - -############################################################### -# Set script and dependency variables -PDY=$(echo $CDATE | cut -c1-8) -cyc=$(echo $CDATE | cut -c9-10) - -export COMROT=$ROTDIR/$CDUMP.$PDY/$cyc - -export pgmout="/dev/null" # exgfs_nceppost.sh.ecf will hang otherwise -export PREFIX="$CDUMP.t${cyc}z." -export SUFFIX=".nemsio" - -export DATA=$RUNDIR/$CDATE/$CDUMP/post -[[ -d $DATA ]] && rm -rf $DATA - -# Get metadata JCAP, LONB, LATB from ATMF00 -ATMF00=$ROTDIR/$CDUMP.$PDY/$cyc/${PREFIX}atmf000$SUFFIX -if [ ! -f $ATMF00 ]; then - echo "$ATMF00 does not exist and should, ABORT!" - exit 99 -fi - -if [ $QUILTING = ".false." ]; then - export JCAP=$($NEMSIOGET $ATMF00 jcap | awk '{print $2}') - status=$? - [[ $status -ne 0 ]] && exit $status -else - echo SHOULD NOT GET HERE - exit 99 - # write component does not add JCAP anymore - res=$(echo $CASE | cut -c2-) - export JCAP=$((res*2-2)) -fi - -[[ $status -ne 0 ]] && exit $status -export LONB=$($NEMSIOGET $ATMF00 dimx | awk '{print $2}') -status=$? -[[ $status -ne 0 ]] && exit $status -export LATB=$($NEMSIOGET $ATMF00 dimy | awk '{print $2}') -status=$? -[[ $status -ne 0 ]] && exit $status - -# Run post job to create analysis grib files -export ATMANL=$ROTDIR/$CDUMP.$PDY/$cyc/${PREFIX}atmanl$SUFFIX -if [ -f $ATMANL ]; then - export ANALYSIS_POST="YES" - $POSTJJOBSH - status=$? - [[ $status -ne 0 ]] && exit $status -fi - -# Run post job to create forecast grib files -export ANALYSIS_POST="NO" -$POSTJJOBSH -status=$? -[[ $status -ne 0 ]] && exit $status - -############################################################### -# Exit out cleanly -exit 0 diff --git a/model/fv3gfs/jobs/prep.sh b/model/fv3gfs/jobs/prep.sh deleted file mode 100755 index 26d6e97..0000000 --- a/model/fv3gfs/jobs/prep.sh +++ /dev/null @@ -1,56 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ -# $Revision: 98721 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: prep.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 - -## Abstract: -## Do prepatory tasks -## EXPDIR : /full/path/to/config/files -## CDATE : current analysis date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -############################################################### - -set -ex -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) - -############################################################### -# Set script and dependency variables - -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) - -export OPREFIX="${CDUMP}.t${chh}z." - -export COMOUT="$ROTDIR/$CDUMP.$cymd/$chh" -[[ ! -d $COMOUT ]] && mkdir -p $COMOUT - -# Do relocation -if [ $DO_RELOCATE = "YES" ]; then - $DRIVE_RELOCATESH - echo "RELOCATION IS TURNED OFF in FV3, DRIVE_RELOCATESH does not exist, ABORT!" - status=1 - [[ $status -ne 0 ]] && exit $status -fi - -# Generate prepbufr files from dumps or copy from OPS -if [ $DO_MAKEPREPBUFR = "YES" ]; then - "$BASE_JOB"/drive_makeprepbufr.sh - [[ $status -ne 0 ]] && exit $status -else - $NCP $DMPDIR/$CDATE/$CDUMP/${OPREFIX}prepbufr $COMOUT/${OPREFIX}prepbufr - $NCP $DMPDIR/$CDATE/$CDUMP/${OPREFIX}prepbufr.acft_profiles $COMOUT/${OPREFIX}prepbufr.acft_profiles - [[ $DONST = "YES" ]] && $NCP $DMPDIR/$CDATE/$CDUMP/${OPREFIX}nsstbufr $COMOUT/${OPREFIX}nsstbufr -fi - -################################################################################ -# Exit out cleanly -exit 0 diff --git a/model/fv3gfs/jobs/vrfy.sh b/model/fv3gfs/jobs/vrfy.sh deleted file mode 100755 index 1a46bde..0000000 --- a/model/fv3gfs/jobs/vrfy.sh +++ /dev/null @@ -1,127 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-09-29 00:33:10 +0000 (Fri, 29 Sep 2017) $ -# $Revision: 97961 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: vrfy.sh 97961 2017-09-29 00:33:10Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Fanglin Yang Org: NCEP/EMC Date: October 2016 -## Rahul Mahajan Org: NCEP/EMC Date: April 2017 - -## Abstract: -## Inline verification and diagnostics driver script -## EXPDIR : /full/path/to/config/files -## CDATE : current analysis date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -############################################################### - -set -ex - -export LOGNAME=${LOGNAME:-${CDUMP:-fv3gfs}} # usually set at ecflow level - -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH \ - apply:LOGNAME=\"$LOGNAME\" from:shell_vars ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH bool:.true.,.false. from:true_false_vars ) - -export OZNDIR="${OZNDIR:-$NOSCRUB/$LOGNAME/ozone/stats/{doc.case.experiment_name}" - -############################################################### - -export PDY=$(echo $CDATE | cut -c1-8) -export cyc=$(echo $CDATE | cut -c9-10) -export CDATEm1=$($NDATE -24 $CDATE) -export PDYm1=$(echo $CDATEm1 | cut -c1-8) -export COMIN="$ROTDIR/$CDUMP.$PDY/$cyc" -export DATAROOT="$RUNDIR/$CDATE/$CDUMP/vrfy" -[[ -d $DATAROOT ]] && rm -rf $DATAROOT - -############################################################### -# Verify Fits -if [ $VRFYFITS = "YES" -a $CDUMP = $CDFNL ]; then - - export CDUMPFCST=$VDUMP - export TMPDIR="$RUNDIR/$CDATE/$CDUMP" - [[ ! -d $TMPDIR ]] && mkdir -p $TMPDIR - - $PREPQFITSH $PSLOT $CDATE $ROTDIR $ARCDIR $TMPDIR - -fi - -############################################################### -# Run VSDB Step1, Verify precipitation and Grid2Obs -# VSDB_STEP1 and VRFYPRCP works -if [ $CDUMP = "gfs" ]; then - - if [ $VSDB_STEP1 = "YES" -o $VRFYPRCP = "YES" -o $VRFYG2OBS = "YES" ]; then - - xdate=$(echo $($NDATE -${BACKDATEVSDB} $CDATE) | cut -c1-8) - export ARCDIR1="$NOSCRUB/archive" - export rundir="$RUNDIR/$CDUMP/$CDATE/vrfy/vsdb_exp" - export COMROT="$ARCDIR1/dummy" - - $VSDBSH $xdate $xdate $vlength $cyc $PSLOT $CDATE $CDUMP $gfs_cyc - - fi -fi - -############################################################### -# Run RadMon data extraction -if [ $VRFYRAD = "YES" -a $CDUMP = $CDFNL ]; then - - export EXP=$PSLOT - export COMOUT="$ROTDIR/$CDUMP.$PDY/$cyc" - export jlogfile="$ROTDIR/logs/$CDATE/${CDUMP}radmon.log" - export TANKverf_rad="$TANKverf/stats/$PSLOT/$CDUMP.$PDY" - export TANKverf_radM1="$TANKverf/stats/$PSLOT/$CDUMP.$PDYm1" - export MY_MACHINE=$machine - - $VRFYRADSH - -fi - -############################################################### -# Run MinMon -if [ $VRFYMINMON = "YES" ]; then - - export COMOUT="$ROTDIR/$CDUMP.$PDY/$cyc" - export jlogfile="$ROTDIR/logs/$CDATE/${CDUMP}minmon.log" - export M_TANKverfM0="$M_TANKverf/stats/$PSLOT/$CDUMP.$PDY" - export M_TANKverfM1="$M_TANKverf/stats/$PSLOT/$CDUMP.$PDYm1" - export MY_MACHINE=$machine - - $VRFYMINSH - -fi - -################################################################################ -# Verify tracks -if [ $VRFYTRAK = "YES" ]; then - - export DATA="${DATAROOT}/tracker" - export COMOUT=$ARCDIR - - $TRACKERSH $CDATE $CDUMP $COMOUT $DATA - -fi - -################################################################################ -# Verify genesis -if [ $VRFYGENESIS = "YES" -a $CDUMP = "gfs" ]; then - - export DATA="${DATAROOT}/genesis_tracker" - export COMOUT=$ARCDIR - export gfspara=$COMIN - - $GENESISSH $CDATE $CDUMP $COMOUT $DATA - -fi - -############################################################### -# Force Exit out cleanly -if [ ${KEEPDATA:-"NO"} = "NO" ] ; then rm -rf $DATAROOT ; fi -exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_dump.sh.ecf b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_dump.sh.ecf deleted file mode 100755 index 085b631..0000000 --- a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_dump.sh.ecf +++ /dev/null @@ -1,1732 +0,0 @@ -#!/bin/ksh -############################################################################# -echo "----------------------------------------------------------------------" -echo "exglobal_dump.sh.ecf - Global (GDAS, GFS) network data dump processing" -echo "----------------------------------------------------------------------" -echo "History: Jan 18 2000 - Original script. " -echo " May 16 2007 - Added DBNet alerts for GFS products. " -echo " Apr 2014 - Pick up grib files for planned GFS upgrade. " -echo " Oct 2014 - Remove attempts to dump obsolete sources. " -echo " Nov 2014 - Use parallel scripting to process dump groups. " -echo " Widen hourly satwnd dump window for GFS/GDAS. " -echo " Add new satwnd subtypes for GFS & GDAS. " -echo " GFS/GDAS continue if surface file unavailable. " -echo " Remove DBNet alerts for old surface files. " -echo " Dec 3 2014 - CDAS network, split off into its own script " -echo " excdas_dump.sh.ecf. This script now tailored " -echo " exclusively to GDAS and GFS. " -echo " Feb 2 2015 - Dump window for new satwnd type NC005090 set " -echo " to 3.00 to +2.99 hours about center dump time. " -echo " Removed ADD_satwnd=\"005019 005080\" since " -echo " types are now part of "satwnd" dump group " -echo " mnemonic in bufr_dumplist. " -echo " Aug 22 2016 - GSPIPW dump window reset for new data stream " -echo " (moved to dump group #4 where TIME_TRIM=on) " -echo " Jan 5 2017 - Dump new satellite data types. Reordered to " -echo " improve run time with all the new data. " -echo " Feb 8 2017 - Update to run on Cray-XC40 or IBM iDataPlex " -############################################################################# - -# NOTE: NET is changed to gdas in the parent Job script for the gdas RUN -# (was gfs - NET remains gfs for gfs RUN) -# ----------------------------------------------------------------------- - -set -xau - -# function to highlight an echoed msg with surrounding hashed separator lines. - echo_hashed_msg () { - set +x - msg=$* - echo -e "\n ${msg//?/#}" - echo " ${msg}" - echo -e " ${msg//?/#}\n" - set -x - } -# end of function setup -# -# set some variables if they have not already been set - -set +u - -# JOB_NUMBER = 1 indicates the prepbufr dump job. -# JOB_NUMBER = 2 indicates the non-prepbufr dump job. -# JOB_NUMBER not present indicates dump BOTH prepbufr and non-prepbufr data. -# ----------------------------------------------------------------------------- -# Dump group #1 (non-pb, TIME_TRIM defaults to OFF) = -# avcsam eshrs3 ssmisu cris saphir atms 1bhrs4 sevcsr tesac mls -# esatms -# -# Dump group #2 (pb, TIME_TRIM defaults to OFF) = -# sfcshp atovs* adpsfc ascatt -# * - for GDAS only -# -# Dump group #3 (pb, TIME_TRIM defaults to OFF) = -# adpupa -# -# Dump group #4 (pb, TIME_TRIM defaults to ON) = -# aircar aircft proflr vadwnd rassda gpsipw -# -# Dump group #5 (pb, TIME_TRIM defaults to OFF) = -# msonet -# -# Dump group #6 (non-pb, TIME_TRIM defaults to OFF) = -# nexrad -# -# Dump group #7 (non-pb, TIME_TRIM defaults to OFF) = -# avcspm esmhs goesfv 1bmhs airsev atmsdb gome omi trkob gpsro -# escris -# -# Dump group #8 (pb, TIME_TRIM defaults to ON) = -# satwnd -# -# Dump group #9 (non-pb, TIME_TRIM defaults to ON) = -# geoimr -# -# Dump group #10 (non-pb, TIME_TRIM defaults to OFF) = -# esiasi mtiasi esamua crisdb iasidb sevasr 1bamua bathy osbuv8 -# -# Dump group #11 (non-pb, TIME_TRIM defaults to OFF) = -# amsr2 -# -# Dump group #12 STATUS FILE -# ----------------------------------------------------------------------------- - -#VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV -# The settings below are based on a future change when the DUMP job will dump -# only types that go into PREPBUFR and the DUMP2 job will dump only types that -# do not go into PREPBUFR. This will speed up the DUMP + PREP processing. -# Although the logic is in place to now do this (see below), for now we will -# continue to run only a DUMP job which will dump ALL types (no DUMP2 job) - -# since JOB_NUMBER is not imported to this script, the logic below will dump -# all types ... -# ----------------------------------------------------------------------------- -#^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ - -if [ -n "$JOB_NUMBER" ]; then -set -u - if [ $JOB_NUMBER = 2 ]; then - dump_ind=DUMP2 - DUMP_group1=${DUMP_group1:-"YES"} - DUMP_group2=${DUMP_group2:-"NO"} - DUMP_group3=${DUMP_group3:-"NO"} - DUMP_group4=${DUMP_group4:-"NO"} - DUMP_group5=${DUMP_group5:-"NO"} - DUMP_group6=${DUMP_group6:-"NO"} - DUMP_group7=${DUMP_group7:-"YES"} - DUMP_group8=${DUMP_group8:-"NO"} - DUMP_group9=${DUMP_group9:-"YES"} - DUMP_group10=${DUMP_group10:-"YES"} - DUMP_group11=${DUMP_group11:-"YES"} - else - dump_ind=DUMP - DUMP_group1=${DUMP_group1:-"NO"} - DUMP_group2=${DUMP_group2:-"YES"} - DUMP_group3=${DUMP_group3:-"YES"} - DUMP_group4=${DUMP_group4:-"YES"} - DUMP_group5=${DUMP_group5:-"NO"} - DUMP_group6=${DUMP_group6:-"NO"} - DUMP_group7=${DUMP_group7:-"NO"} - DUMP_group8=${DUMP_group8:-"YES"} - DUMP_group9=${DUMP_group9:-"NO"} - DUMP_group10=${DUMP_group10:-"NO"} - DUMP_group11=${DUMP_group11:-"NO"} - fi -else - dump_ind=DUMP - DUMP_group1=${DUMP_group1:-"YES"} - DUMP_group2=${DUMP_group2:-"YES"} - DUMP_group3=${DUMP_group3:-"YES"} - DUMP_group4=${DUMP_group4:-"YES"} - DUMP_group5=${DUMP_group5:-"NO"} - DUMP_group6=${DUMP_group6:-"NO"} - DUMP_group7=${DUMP_group7:-"YES"} - DUMP_group8=${DUMP_group8:-"YES"} - DUMP_group9=${DUMP_group9:-"YES"} - DUMP_group10=${DUMP_group10:-"YES"} - DUMP_group11=${DUMP_group11:-"YES"} -fi - -if [ "$NET" = 'gfs' ]; then - ADPUPA_wait=${ADPUPA_wait:-"YES"} -########ADPUPA_wait=${ADPUPA_wait:-"NO"} # saves time if ADPUPA_wait=NO -else - ADPUPA_wait=${ADPUPA_wait:-"NO"} -fi - - -# send extra output of DUMP2 for monitoring purposes. -set +u -if [ -n "$JOB_NUMBER" ]; then - [ $JOB_NUMBER = 2 ] && export PS4='$SECONDS + ' -fi -set -u - -# Make sure we are in the $DATA directory -cd $DATA - -msg="HAS BEGUN on `hostname`" -$DATA/postmsg "$jlogfile" "$msg" - -cat break > $pgmout - -export dumptime=`cut -c7-16 ncepdate` -export cycp=`echo $dumptime|cut -c9-10` - -export NET_uc=$(echo $NET | tr [a-z] [A-Z]) -export tmmark_uc=$(echo $tmmark | tr [a-z] [A-Z]) - -msg="$NET_uc ANALYSIS TIME IS $PDY$cyc" -$DATA/postmsg "$jlogfile" "$msg" - -set +x -echo -echo "CENTER DATA DUMP DATE-TIME FOR $tmmark_uc $NET_uc IS $dumptime" -echo -set -x - -export COMSP=$COMOUT/$RUN.${cycle}. - -if [ "$PROCESS_GRIBFLDS" = 'YES' ]; then - -######################################################## -######################################################## -## The following files are not *required* but will still -# be processed here for the near term (missing files -# will not cause job to fail) -# -# copy snogrb (0.5 deg) from $TANK_GRIBFLDS -# copy snogrb_t574 from $TANK_GRIBFLDS -# copy engicegrb from $COM_ENGICE -# copy sstgrb from $COM_SSTOI -# generate sstgrb index file -######################################################## -######################################################## - - snogrb=$TANK_GRIBFLDS/$PDY/wgrbbul/snowdepth.global.grb - snoold=$TANK_GRIBFLDS/$PDYm1/wgrbbul/snowdepth.global.grb - - if [ -s $snogrb ]; then - cp $snogrb ${COMSP}snogrb - msg="todays 0.5 degree snow grib file located and copied to ${COMSP}snogrb" - $DATA/postmsg "$jlogfile" "$msg" - elif [ -s $snoold ]; then - cp $snoold ${COMSP}snogrb - msg="**todays 0.5 degree snow grib file not located - copy 1-day old file" - $DATA/postmsg "$jlogfile" "$msg" - else - set +x - echo " " - echo " #####################################################" - echo " cannot locate 0.5 degree snow grib file" - echo " #####################################################" - echo " " - set -x - msg="***WARNING: CANNOT LOCATE 0.5 DEGREE SNOW GRIB FILE. Not critical." - $DATA/postmsg "$jlogfile" "$msg" - fi - - snogrb_t574=$TANK_GRIBFLDS/$PDY/wgrbbul/snowdepth.t574.grb - snoold_t574=$TANK_GRIBFLDS/$PDYm1/wgrbbul/snowdepth.t574.grb - - if [ -s $snogrb_t574 ]; then - cp $snogrb_t574 ${COMSP}snogrb_t574 - msg="todays T574 snow grib file located and copied to ${COMSP}snogrb_t574" - $DATA/postmsg "$jlogfile" "$msg" - elif [ -s $snoold_t574 ]; then - cp $snoold_t574 ${COMSP}snogrb_t574 - msg="**todays T574 snow grib file not located - copy 1-day old file" - $DATA/postmsg "$jlogfile" "$msg" - else - set +x - echo " " - echo " ###############################################" - echo " cannot locate T574 snow grib file" - echo " ###############################################" - echo " " - set -x - msg="***WARNING: CANNOT LOCATE T574 SNOW GRIB FILE. Not critical." - $DATA/postmsg "$jlogfile" "$msg" - fi - - engicegrb=${COM_ENGICE}.$PDY/engice.t00z.grb - engiceold=${COM_ENGICE}.$PDYm1/engice.t00z.grb - - if [ -s $engicegrb ]; then - cp $engicegrb ${COMSP}engicegrb - msg="todays engice grib file located and copied to ${COMSP}engicegrb" - $DATA/postmsg "$jlogfile" "$msg" - elif [ -s $engiceold ]; then - cp $engiceold ${COMSP}engicegrb - msg="**todays engice grib file not located - copy 1-day old file" - $DATA/postmsg "$jlogfile" "$msg" - else - set +x - echo " " - echo " ############################################" - echo " cannot locate engice grib file" - echo " ############################################" - echo " " - set -x - msg="***WARNING: CANNOT LOCATE LOW RES ENGICE GRIB FILE. Not critical." - $DATA/postmsg "$jlogfile" "$msg" - fi - - sstgrb=${COM_SSTOI}.$PDY/sstoi_grb - sstold=${COM_SSTOI}.$PDYm1/sstoi_grb - - if [ -s $sstgrb ]; then - cp $sstgrb ${COMSP}sstgrb - msg="todays lowres sst grib file located and copied to ${COMSP}sstgrb" - $DATA/postmsg "$jlogfile" "$msg" - elif [ -s $sstold ]; then - cp $sstold ${COMSP}sstgrb - msg="**todays lowres sst grib file not located - copy 1-day old file" - $DATA/postmsg "$jlogfile" "$msg" - else - set +x - echo " " - echo " #########################################" - echo " cannot locate lowres sst grib file" - echo " #########################################" - echo " " - set -x - msg="***WARNING: CANNOT LOCATE LOW RES SST GRIB FILE. Not critical." - $DATA/postmsg "$jlogfile" "$msg" - fi - - if [ -s ${COMSP}sstgrb ]; then - rm errfile - $GRBINDEX ${COMSP}sstgrb ${COMSP}sstgrb.index 2> errfile - errindx=$? - [ "$errindx" -ne '0' ] && cat errfile - rm errfile - else - echo_hashed_msg "cannot create grib index since sst file does not exist" - fi - -# The following may no longer be needed, but leave them in place for now. -# Print msg in the rare case the grib2 files cannot be created. - if [ "$NET" = 'gdas' ]; then - if [ -s ${COMSP}engicegrb ]; then - $CNVGRIB -g12 -p40 ${COMSP}engicegrb ${COMSP}engicegrb.grib2 - else - echo_hashed_msg "Skip engicegrb.grib2 since grib1 file does not exist" - fi - if [ -s ${COMSP}sstgrb ]; then - $CNVGRIB -g12 -p40 ${COMSP}sstgrb ${COMSP}sstgrb.grib2 - else - echo_hashed_msg "Skip sstgrb.grib2 since grib1 file does not exist" - fi - if [ -s ${COMSP}snogrb ]; then - $CNVGRIB -g12 -p40 ${COMSP}snogrb ${COMSP}snogrb.grib2 - else - echo_hashed_msg "Skip snogrb.grib2 since grib1 file does not exist" - fi - fi - - -###################################################################### -###################################################################### -# For the following, try as far as $ndaysback to find recent file. # -# Post warning if no file found for $ndaysback_warn or beyond. # -# The job will continue if no suitable file is available. # -# ---------------------------------------------------------------- # -# copy NPR.SNWN.SP.S1200.MESH16 from $TANK_GRIBFLDS # -# copy NPR.SNWS.SP.S1200.MESH16 from $TANK_GRIBFLDS # -# copy imssnow96.grb.grib2 from $TANK_GRIBFLDS # -# copy seaice.t00z.5min.grb from $COM_ICE5MIN # -# copy seaice.t00z.5min.grb.grib2 from $COM_ICE5MIN # -# copy rtgssthr_grb_0.083 from $COM_SSTRTG # -# copy rtgssthr_grb_0.083.grib2 from $COM_SSTRTG # -###################################################################### -###################################################################### - for gribfile in \ - NPR.SNWN.SP.S1200.MESH16 \ - NPR.SNWS.SP.S1200.MESH16 \ - imssnow96.grb.grib2 \ - seaice.t00z.5min.grb \ - seaice.t00z.5min.grb.grib2 \ - rtgssthr_grb_0.083 \ - rtgssthr_grb_0.083.grib2 - do -# set the values specific to each file - case $gribfile in - NPR.SNWN.SP.S1200.MESH16 | NPR.SNWS.SP.S1200.MESH16 ) # AFWA snow - grib_source='$TANK_GRIBFLDS/$DDATE/wgrbbul'; - target_filename=$gribfile.grb - ndaysback=1; - ndaysback_warn=1;; - imssnow96.grb.grib2 ) # IMS snow - grib_source='$TANK_GRIBFLDS/$DDATE/wgrbbul'; - target_filename=imssnow96.grib2 - ndaysback=1; - ndaysback_warn=1;; - seaice.t00z.5min.grb ) - grib_source='${COM_ICE5MIN}.$DDATE'; - target_filename=seaice.5min.grb - ndaysback=7; - ndaysback_warn=1;; - seaice.t00z.5min.grb.grib2 ) - grib_source='${COM_ICE5MIN}.$DDATE'; - target_filename=seaice.5min.grib2 - ndaysback=7; - ndaysback_warn=1;; - rtgssthr_grb_0.083 ) - grib_source='${COM_SSTRTG}.$DDATE'; - target_filename=rtgssthr.grb - ndaysback=10; - ndaysback_warn=1;; - rtgssthr_grb_0.083.grib2 ) - grib_source='${COM_SSTRTG}.$DDATE'; - target_filename=rtgssthr.grib2 - ndaysback=10; - ndaysback_warn=1;; - *) - msg="***FATAL ERROR: unexpected grib field file $gribfile"; - echo_hashed_msg "$msg" - $DATA/postmsg "$jlogfile" "$msg" - $DATA/err_exit;; - esac -# set up string of dates to check - if [ $ndaysback -gt 0 ];then -set +x; echo -e "\n---> path to finddate.sh below is: `which finddate.sh`"; set -x - CHECK_DATES="$PDY $(finddate.sh $PDY s-$ndaysback)" - else - CHECK_DATES=$PDY - fi - set +x; - echo -e "\nWill check as far back as ${CHECK_DATES##* } for $gribfile" - set -x - ndtry=0 - found=false -# loop through dates to check for this file type - for DDATE in $CHECK_DATES;do - ndtry=`expr $ndtry + 1` - eval tryfile=$grib_source/$gribfile - if [ -s $tryfile ];then - set +x; echo -e "\nPicking up file $tryfile\n"; set -x - cp $tryfile ${COMSP}$target_filename - found=true - break - fi - if [ $DDATE -ne ${CHECK_DATES##* } ]; then - set +x;echo -e "\n$tryfile not available. Try previous day.\n" - set -x - else - set +x;echo -e "\n$tryfile not available.\n";set -x - fi - if [ $ndtry -gt $ndaysback_warn ];then - msg="***WARNING: INVESTIGATE UNEXPECTED ABSENCE OF $tryfile" - echo_hashed_msg "$msg" - $DATA/postmsg "$jlogfile" "$msg" - fi - done - if [ $found != true ]; then - msg="***WARNING: NO USEFUL RECENT FILES FOUND FOR $gribfile!!!" - echo_hashed_msg "$msg" - $DATA/postmsg "$jlogfile" "$msg" - fi - done - if [ "$SENDECF" = "YES" ]; then - ecflow_client --event=release_sfcprep - fi - -# endif loop $PROCESS_GRIBFLDS -fi - - -echo "=======> Dump group 1 (thread_1) not executed." > $DATA/1.out -echo "=======> Dump group 2 (thread_2) not executed." > $DATA/2.out -echo "=======> Dump group 3 (thread_3) not executed." > $DATA/3.out -echo "=======> Dump group 4 (thread_4) not executed." > $DATA/4.out -echo "=======> Dump group 5 (thread_5) not executed." > $DATA/5.out -echo "=======> Dump group 6 (thread_6) not executed." > $DATA/6.out -echo "=======> Dump group 7 (thread_7) not executed." > $DATA/7.out -echo "=======> Dump group 8 (thread_8) not executed." > $DATA/8.out -echo "=======> Dump group 9 (thread_9) not executed." > $DATA/9.out -echo "=======> Dump group 10 (thread_10) not executed." > $DATA/10.out -echo "=======> Dump group 11 (thread_11) not executed." > $DATA/11.out - -err1=0 -err2=0 -err3=0 -err4=0 -err5=0 -err6=0 -err7=0 -err8=0 -err9=0 -err10=0 -err11=0 -if [ "$PROCESS_DUMP" = 'YES' ]; then - -#################################### -#################################### -# The data "dump" script for tm00 -#################################### -#################################### - -msg="START THE $tmmark_uc $NET_uc DATA $dump_ind CENTERED ON $dumptime" -$DATA/postmsg "$jlogfile" "$msg" - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_1; chmod +x thread_1 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_1 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=1 - -#========================================================================= -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump # 1 : AVCSAM: 1 subtype(s) -# ESHRS3: 1 subtype(s) -# SSMISU: 1 subtype(s) -# CRIS: 1 subtype(s) (if present in past 10 days of tanks) -# SAPHIR: 1 subtype(s) -# ATMS: 1 subtype(s) (if present in past 10 days of tanks) -# 1BHRS4: 1 subtype(s) -# SEVCSR: 1 subtype(s) -# TESAC: 1 subtype(s) -# MLS: 1 subtype(s) (if present in past 10 days of tanks) -# ESATMS: 1 subtype(s) (if present in past 10 days of tanks) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 11 -# -#========================================================================= - -DTIM_latest_avcsam=${DTIM_latest_avcsam:-"+2.99"} -DTIM_latest_eshrs3=${DTIM_latest_eshrs3:-"+2.99"} -DTIM_latest_ssmisu=${DTIM_latest_ssmisu:-"+2.99"} -#----------------------------------------------- -# check for cris tank presence in past 10 days -cris="" -err_check_tanks=0 -sh $USHobsproc_dump/check_tanks.sh cris -err_check_tanks=$? -if [ $err_check_tanks -eq 0 ];then - cris=cris - DTIM_latest_cris=${DTIM_latest_cris:-"+2.99"} -fi -#----------------------------------------------- -DTIM_latest_saphir=${DTIM_latest_saphir:-"+2.99"} -#----------------------------------------------- -# check for atms tank presence in past 10 days -atms="" -err_check_tanks=0 -sh $USHobsproc_dump/check_tanks.sh atms -err_check_tanks=$? -if [ $err_check_tanks -eq 0 ];then - atms=atms - DTIM_latest_atms=${DTIM_latest_atms:-"+2.99"} -fi -#----------------------------------------------- -DTIM_latest_1bhrs4=${DTIM_latest_1bhrs4:-"+2.99"} -DTIM_latest_sevcsr=${DTIM_latest_sevcsr:-"+2.99"} -DTIM_latest_tesac=${DTIM_latest_tesac:-"+2.99"} -#----------------------------------------------- -# check for mls tank presence in past 10 days -mls="" -err_check_tanks=0 -sh $USHobsproc_dump/check_tanks.sh mls -err_check_tanks=$? -if [ $err_check_tanks -eq 0 ];then - mls=mls - DTIM_latest_mls=${DTIM_latest_mls:-"+2.99"} -fi -#----------------------------------------------- -#----------------------------------------------- -# check for esatms tank presence in past 10 days -esatms="" -err_check_tanks=0 -sh $USHobsproc_dump/check_tanks.sh esatms -err_check_tanks=$? -if [ $err_check_tanks -eq 0 ];then - esatms=esatms - DTIM_latest_esatms=${DTIM_latest_esatms:-"+2.99"} -fi -#----------------------------------------------- - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM1:-off}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 avcsam eshrs3 ssmisu $cris \ - saphir $atms 1bhrs4 sevcsr tesac $mls $esatms -error1=$? -echo "$error1" > $DATA/error1 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_avcsam $job \ - ${COMSP}avcsam.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_eshrs3 $job \ - ${COMSP}eshrs3.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_ssmisu $job \ - ${COMSP}ssmisu.tm00.bufr_d - if [ "$cris" = cris ];then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_cris $job \ - ${COMSP}cris.tm00.bufr_d - fi -### restricted $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_saphir $job \ -### restricted ${COMSP}saphir.tm00.bufr_d - if [ "$atms" = atms ];then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_atms $job \ - ${COMSP}atms.tm00.bufr_d - fi - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_1bhrs4 $job \ - ${COMSP}1bhrs4.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_sevcsr $job \ - ${COMSP}sevcsr.tm00.bufr_d -####### ALERTS TURNED OFF UNTIL REQUESTED BY USER ######################### -# $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_tesac $job \ -# ${COMSP}tesac.tm00.bufr_d -########################################################################### - if [ "$mls" = mls ];then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_mls $job \ - ${COMSP}mls.tm00.bufr_d - fi - if [ "$esatms" = esatms ];then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esatms $job \ - ${COMSP}esatms.tm00.bufr_d - fi -fi - -set +x -echo "********************************************************************" -echo Script thread_1 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/1.out 2>&1 -EOF -set -x - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_2; chmod +x thread_2 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_2 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=2 - -#========================================================================== -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# (3) GDAS GSI doesn't use ATOVS, but NASA/GSFC is pulling them off our -# server, also CDAS may be running special tests using data from GDAS -# cutoff time (ATOVS is not dumped in GFS) -# -#-------------------------------------------------------------------------- -# GDAS: -# Dump # 2 : SFCSHP: 5 subtype(s) -# ATOVS: 1 subtype(s) -# ADPSFC: 4 subtype(s) -# ASCATT: 1 subtype(s) -# xxxxxxxxx WNDSAT: 1 subtype(s) (if present in past 10 days of tanks) -# ===> Dumping of WNDSAT removed from here until new ingest feed is established -# (had been dumped with a time window radius of -3.00 to +2.99 hours) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 11 -# -#-------------------------------------------------------------------------- -# GFS: -# Dump # 2 : SFCSHP: 5 subtype(s) -# ADPSFC: 4 subtype(s) -# ASCATT: 1 subtype(s) -# xxxxxxxxx WNDSAT: 1 subtype(s) (if present in past 10 days of tanks) -# ===> Dumping of WNDSAT removed from here until new ingest feed is established -# (had been dumped with a time window radius of -3.00 to +2.99 hours) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 10 -# -#========================================================================== - -DTIM_latest_sfcshp=${DTIM_latest_sfcshp:-"+2.99"} - -atovs="" -if [ "$NET" = 'gdas' ]; then - atovs=atovs - DTIM_latest_atovs=${DTIM_latest_atovs:-"+2.99"} -fi - -DTIM_latest_adpsfc=${DTIM_latest_adpsfc:-"+2.99"} -DTIM_latest_ascatt=${DTIM_latest_ascatt:-"+2.99"} -#----------------------------------------------- -# check for wndsat tank presence in past 10 days -wndsat="" -err_check_tanks=0 -##########sh $USHobsproc_dump/check_tanks.sh wndsat -##########err_check_tanks=$? -err_check_tanks=99 # comment out 2 lines above & add this line to ensure wndsat - # is not ever dumped -if [ $err_check_tanks -eq 0 ];then - wndsat=wndsat - DTIM_latest_wndsat=${DTIM_latest_wndsat:-"+2.99"} -fi -#----------------------------------------------- - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM2:-off}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 sfcshp $atovs adpsfc ascatt $wndsat -error2=$? -echo "$error2" > $DATA/error2 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_sfcshp $job \ - ${COMSP}sfcshp.tm00.bufr_d - [ -f ${COMSP}atovs.tm00.bufr_d ] && \ - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_atovs $job \ - ${COMSP}atovs.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_adpsfc $job \ - ${COMSP}adpsfc.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_ascatt $job \ - ${COMSP}ascatt.tm00.bufr_d - if [ "$NET" = 'gdas' ]; then - ####### ALERT TURNED ON for GDAS only ######################## - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_ascatw $job \ - ${COMSP}ascatw.tm00.bufr_d - fi - if [ "$wndsat" = wndsat ];then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_wndsat $job \ - ${COMSP}wndsat.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_wdsatr $job \ - ${COMSP}wdsatr.tm00.bufr_d - fi -fi - -set +x -echo "********************************************************************" -echo Script thread_2 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/2.out 2>&1 -EOF -set -x - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_3; chmod +x thread_3 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_3 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=3 - -#==================================================================== -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump #3: ADPUPA: 6 subtype(s) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 6 -# -#==================================================================== - -DTIM_latest_adpupa=${DTIM_latest_adpupa:-"+2.99"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM3:-off}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 adpupa -error3=$? -echo "$error3" > $DATA/error3 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_adpupa $job \ - ${COMSP}adpupa.tm00.bufr_d -fi - -set +x -echo "********************************************************************" -echo Script thread_3 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/3.out 2>&1 -EOF -set -x - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_4; chmod +x thread_4 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_4 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=4 - -#======================================================================= -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# EXCEPT: AIRCFT where it is +/- 3.25 hours -# AIRCAR where it is +/- 3.25 hours -# PROFLR where it is -4.00 to +3.99 hours -# GSPIPW where it is +/- 0.05 hours (+/- 3min) -# (2) TIME TRIMMING IS DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump # 4 : AIRCAR: 2 subtype(s) -# AIRCFT: 6 subtype(s) -# PROFLR: 4 subtype(s) -# VADWND: 1 subtype(s) -# RASSDA: 1 subtype(s) -# GPSIPW: 1 subtype(s) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 15 -# -#======================================================================= - -# Skip NeXRaD VAD WINDS FROM LEVEL 2 DECODER (not ready to be handled in GSI) - -export SKIP_002017=YES - -# Dump AIRCFT and AIRCAR with wide time window to improve PREPOBS_PREPACQC -# track-check performance -# (time window will be winnowed down to +/- 3.00 hours in output from -# PREPOBS_PREPACQC) - -# Dump PROFLR with wide time window to improve PREPOBS_PROFCQC performance -# (time window will be winnowed down in output from PREPOBS_PROFCQC, see -# parm cards for output time window) - -# Dump GPSIPW with narrow (+/- 3-min) time window since new Ground Based -# GPS-IPW/ZTD (from U.S.-ENI and foreign GNSS providers) is currently limited -# to obs only at cycle-time - -DTIM_earliest_aircft=${DTIM_earliest_aircft:-"-3.25"} -DTIM_latest_aircft=${DTIM_latest_aircft:-"+3.25"} - -DTIM_earliest_aircar=${DTIM_earliest_aircar:-"-3.25"} -DTIM_latest_aircar=${DTIM_latest_aircar:-"+3.25"} - -DTIM_earliest_proflr=${DTIM_earliest_proflr:-"-4.00"} -DTIM_latest_proflr=${DTIM_latest_proflr:-"+3.99"} - -DTIM_latest_vadwnd=${DTIM_latest_vadwnd:-"+2.99"} -DTIM_latest_rassda=${DTIM_latest_rassda:-"+2.99"} - -DTIM_earliest_gpsipw=${DTIM_latest_gpsipw:-"-0.05"} -DTIM_latest_gpsipw=${DTIM_latest_gpsipw:-"+0.05"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM4:-on}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 aircar aircft proflr vadwnd \ - rassda gpsipw -error4=$? -echo "$error4" > $DATA/error4 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_aircar $job \ - ${COMSP}aircar.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_aircft $job \ - ${COMSP}aircft.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_proflr $job \ - ${COMSP}proflr.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_vadwnd $job \ - ${COMSP}vadwnd.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_rassda $job \ - ${COMSP}rassda.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_gpsipw $job \ - ${COMSP}gpsipw.tm00.bufr_d -fi - -set +x -echo "********************************************************************" -echo Script thread_4 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/4.out 2>&1 -EOF -set -x - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_5; chmod +x thread_5 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_5 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=5 - -#=================================================================== -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Currently not executed in GDAS or GFS: -# Dump # 5 : MSONET: 30 subtype(s) -# --------------------- -# TOTAL NUMBER OF SUBTYPES = 30 -# -#=================================================================== - -DTIM_latest_msonet=${DTIM_latest_msonet:-"+2.99"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM5:-off}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 msonet -error5=$? -echo "$error5" > $DATA/error5 - -set +x -echo "********************************************************************" -echo Script thread_5 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/5.out 2>&1 -EOF -set -x - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_6; chmod +x thread_6 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_6 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=6 - -#=================================================================== -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Currently not executed in GDAS or GFS: -# Dump # 6 : NEXRAD: 8 subtype(s) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 8 -# -#=================================================================== - -DTIM_latest_nexrad=${DTIM_latest_nexrad:-"+2.99"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM6:-off}} - -# NEXRAD tanks are hourly -# Process only those hourly tanks w/i requested dump center cycle time window - -SKIP_006010=YES # radial wind 00Z -SKIP_006011=YES # radial wind 01Z -SKIP_006012=YES # radial wind 02Z -SKIP_006013=YES # radial wind 03Z -SKIP_006014=YES # radial wind 04Z -SKIP_006015=YES # radial wind 05Z -SKIP_006016=YES # radial wind 06Z -SKIP_006017=YES # radial wind 07Z -SKIP_006018=YES # radial wind 08Z -SKIP_006019=YES # radial wind 09Z -SKIP_006020=YES # radial wind 10Z -SKIP_006021=YES # radial wind 11Z -SKIP_006022=YES # radial wind 12Z -SKIP_006023=YES # radial wind 13Z -SKIP_006024=YES # radial wind 14Z -SKIP_006025=YES # radial wind 15Z -SKIP_006026=YES # radial wind 16Z -SKIP_006027=YES # radial wind 17Z -SKIP_006028=YES # radial wind 18Z -SKIP_006029=YES # radial wind 19Z -SKIP_006030=YES # radial wind 20Z -SKIP_006031=YES # radial wind 21Z -SKIP_006032=YES # radial wind 22Z -SKIP_006033=YES # radial wind 23Z - -SKIP_006040=YES # reflectivity 00Z -SKIP_006041=YES # reflectivity 01Z -SKIP_006042=YES # reflectivity 02Z -SKIP_006043=YES # reflectivity 03Z -SKIP_006044=YES # reflectivity 04Z -SKIP_006045=YES # reflectivity 05Z -SKIP_006046=YES # reflectivity 06Z -SKIP_006047=YES # reflectivity 07Z -SKIP_006048=YES # reflectivity 08Z -SKIP_006049=YES # reflectivity 09Z -SKIP_006050=YES # reflectivity 10Z -SKIP_006051=YES # reflectivity 11Z -SKIP_006052=YES # reflectivity 12Z -SKIP_006053=YES # reflectivity 13Z -SKIP_006054=YES # reflectivity 14Z -SKIP_006055=YES # reflectivity 15Z -SKIP_006056=YES # reflectivity 16Z -SKIP_006057=YES # reflectivity 17Z -SKIP_006058=YES # reflectivity 18Z -SKIP_006059=YES # reflectivity 19Z -SKIP_006060=YES # reflectivity 20Z -SKIP_006061=YES # reflectivity 21Z -SKIP_006062=YES # reflectivity 22Z -SKIP_006063=YES # reflectivity 23Z - -if [ $cycp -eq 00 ]; then # (22.5 - 01.5 Z) - unset SKIP_006032 # radial wind 22Z - unset SKIP_006033 # radial wind 23Z - unset SKIP_006010 # radial wind 00Z - unset SKIP_006011 # radial wind 01Z - unset SKIP_006062 # reflectivity 22Z - unset SKIP_006063 # reflectivity 23Z - unset SKIP_006040 # reflectivity 00Z - unset SKIP_006041 # reflectivity 01Z -elif [ $cycp -eq 06 ]; then # (04.5 - 07.5 Z) - unset SKIP_006014 # radial wind 04Z - unset SKIP_006015 # radial wind 05Z - unset SKIP_006016 # radial wind 06Z - unset SKIP_006017 # radial wind 07Z - unset SKIP_006044 # reflectivity 04Z - unset SKIP_006045 # reflectivity 05Z - unset SKIP_006046 # reflectivity 06Z - unset SKIP_006047 # reflectivity 07Z -elif [ $cycp -eq 12 ]; then # (10.5 - 13.5 Z) - unset SKIP_006020 # radial wind 10Z - unset SKIP_006021 # radial wind 11Z - unset SKIP_006022 # radial wind 12Z - unset SKIP_006023 # radial wind 13Z - unset SKIP_006050 # reflectivity 10Z - unset SKIP_006051 # reflectivity 11Z - unset SKIP_006052 # reflectivity 12Z - unset SKIP_006053 # reflectivity 13Z -elif [ $cycp -eq 18 ]; then # (16.5 - 19.5 Z) - unset SKIP_006026 # radial wind 16Z - unset SKIP_006027 # radial wind 17Z - unset SKIP_006028 # radial wind 18Z - unset SKIP_006029 # radial wind 19Z - unset SKIP_006056 # reflectivity 16Z - unset SKIP_006057 # reflectivity 17Z - unset SKIP_006058 # reflectivity 18Z - unset SKIP_006059 # reflectivity 19Z -fi - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 nexrad -error6=$? -echo "$error6" > $DATA/error6 - -set +x -echo "********************************************************************" -echo Script thread_6 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/6.out 2>&1 -EOF -set -x - -set +x -#------------------------------------------------------------------------------ -cat<<\EOF>thread_7; chmod +x thread_7 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_7 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=7 - -#========================================================================= -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump # 7 : AVCSPM: 1 subtype(s) -# ESMHS: 1 subtype(s) -# GOESFV: 1 subtype(s) -# 1BMHS: 1 subtype(s) -# AIRSEV: 1 subtype(s) -# ATMSDB: 1 subtype(s) -# GOME: 1 subtype(s) -# OMI: 1 subtype(s) -# TRKOB: 1 subtype(s) -# GPSRO: 1 subtype(s) -# ESCRIS: 1 subtype(s) (if present in past 10 days of tanks) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 11 -# -#========================================================================= - -DTIM_latest_avcspm=${DTIM_latest_avcspm:-"+2.99"} -DTIM_latest_esmhs=${DTIM_latest_esmhs:-"+2.99"} -DTIM_latest_goesfv=${DTIM_latest_goesfv:-"+2.99"} -DTIM_latest_1bmhs=${DTIM_latest_1bmhs:-"+2.99"} -DTIM_latest_airsev=${DTIM_latest_airsev:-"+2.99"} -DTIM_latest_atmsdb=${DTIM_latest_atmsdb:-"+2.99"} -DTIM_latest_gome=${DTIM_latest_gome:-"+2.99"} -DTIM_latest_omi=${DTIM_latest_omi:-"+2.99"} -DTIM_latest_trkob=${DTIM_latest_trkob:-"+2.99"} -DTIM_latest_gpsro=${DTIM_latest_gpsro:-"+2.99"} -#----------------------------------------------- -# check for escris tank presence in past 10 days -escris="" -err_check_tanks=0 -sh $USHobsproc_dump/check_tanks.sh escris -err_check_tanks=$? -if [ $err_check_tanks -eq 0 ];then - escris=escris - DTIM_latest_escris=${DTIM_latest_escris:-"+2.99"} -fi -#----------------------------------------------- - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM7:-off}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 avcspm esmhs goesfv 1bmhs \ - airsev atmsdb gome omi trkob gpsro $escris -error7=$? -echo "$error7" > $DATA/error7 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_avcspm $job \ - ${COMSP}avcspm.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esmhs $job \ - ${COMSP}esmhs.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_goesfv $job \ - ${COMSP}goesfv.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_1bmhs $job \ - ${COMSP}1bmhs.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_airsev $job \ - ${COMSP}airsev.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_atmsdb $job \ - ${COMSP}atmsdb.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_gome $job \ - ${COMSP}gome.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_omi $job \ - ${COMSP}omi.tm00.bufr_d -####### ALERTS TURNED OFF UNTIL REQUESTED BY USER ######################### -# $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_trkob $job \ -# ${COMSP}trkob.tm00.bufr_d -########################################################################### - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_gpsro $job \ - ${COMSP}gpsro.tm00.bufr_d - if [ "$escris" = escris ];then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_escris $job \ - ${COMSP}escris.tm00.bufr_d - fi -fi - -set +x -echo "********************************************************************" -echo Script thread_7 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/7.out 2>&1 -EOF -set -x - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_8; chmod +x thread_8 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_8 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=8 - -#======================================================================= -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is +/- 1.5 hrs for all SATWND types -# EXCEPT: SATWND subtypes 005/010, 005/011, 005/12, 005/019, 005/064, -# 005/065, 005/066, 005/070, 005/071, 005/080 and 005/090 where -# it is -3.00 to +2.99 hours. -# (2) TIME TRIMMING IS DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump # 8 : SATWND: 17 subtype(s) -# --------------------- -# TOTAL NUMBER OF SUBTYPES = 17 -# -#======================================================================= - -# satwnd types -# ------------ -DTIM_earliest_005010=${DTIM_earliest_005010:-"-3.00"} -DTIM_latest_005010=${DTIM_latest_005010:-"+2.99"} -DTIM_earliest_005011=${DTIM_earliest_005011:-"-3.00"} -DTIM_latest_005011=${DTIM_latest_005011:-"+2.99"} -DTIM_earliest_005012=${DTIM_earliest_005012:-"-3.00"} -DTIM_latest_005012=${DTIM_latest_005012:-"+2.99"} -DTIM_earliest_005019=${DTIM_earliest_005019:-"-3.00"} -DTIM_latest_005019=${DTIM_latest_005019:-"+2.99"} -DTIM_earliest_005064=${DTIM_earliest_005064:-"-3.00"} -DTIM_latest_005064=${DTIM_latest_005064:-"+2.99"} -DTIM_earliest_005065=${DTIM_earliest_005065:-"-3.00"} -DTIM_latest_005065=${DTIM_latest_005065:-"+2.99"} -DTIM_earliest_005066=${DTIM_earliest_005066:-"-3.00"} -DTIM_latest_005066=${DTIM_latest_005066:-"+2.99"} -DTIM_earliest_005070=${DTIM_earliest_005070:-"-3.00"} -DTIM_latest_005070=${DTIM_latest_005070:-"+2.99"} -DTIM_earliest_005071=${DTIM_earliest_005071:-"-3.00"} -DTIM_latest_005071=${DTIM_latest_005071:-"+2.99"} -DTIM_earliest_005080=${DTIM_earliest_005080:-"-3.00"} -DTIM_latest_005080=${DTIM_latest_005080:-"+2.99"} -DTIM_earliest_005090=${DTIM_earliest_005090:-"-3.00"} -DTIM_latest_005090=${DTIM_latest_005090:-"+2.99"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM8:-on}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 1.5 1 satwnd -error8=$? -echo "$error8" > $DATA/error8 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_satwnd $job \ - ${COMSP}satwnd.tm00.bufr_d -fi - -set +x -echo "********************************************************************" -echo Script thread_8 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/8.out 2>&1 -EOF -set -x - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_9; chmod +x thread_9 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_9 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=9 - -#======================================================================= -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# EXCEPT: GEOIMR where it is -0.50 to +0.50 hour -# (2) TIME TRIMMING IS DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump # 9 : GEOIMR: 1 subtype(s) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 1 -# -#======================================================================= - -DTIM_earliest_geoimr=${DTIM_earliest_geoimr:-"-0.50"} -DTIM_latest_geoimr=${DTIM_latest_geoimr:-"+0.50"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM9:-on}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 geoimr -error9=$? -echo "$error9" > $DATA/error9 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_geoimr $job \ - ${COMSP}geoimr.tm00.bufr_d -fi - -set +x -echo "********************************************************************" -echo Script thread_9 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/9.out 2>&1 -EOF -set -x - -set +x -#------------------------------------------------------------------------------ -cat<<\EOF>thread_10; chmod +x thread_10 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_10 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=10 - -#========================================================================= -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump #10 : ESIASI: 1 subtype(s) -# MTIASI: 1 subtype(s) -# ESAMUA: 1 subtype(s) -# CRISDB: 1 subtype(s) -# IASIDB: 1 subtype(s) -# SEVASR: 1 subtype(s) -# 1BAMUA: 1 subtype(s) -# BATHY: 1 subtype(s) -# OSBUV8: 1 subtype(s) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 9 -# -#========================================================================= - -DTIM_latest_esiasi=${DTIM_latest_esiasi:-"+2.99"} -DTIM_latest_mtiasi=${DTIM_latest_mtiasi:-"+2.99"} -DTIM_latest_esamua=${DTIM_latest_esamua:-"+2.99"} -DTIM_latest_crisdb=${DTIM_latest_crisdb:-"+2.99"} -DTIM_latest_iasidb=${DTIM_latest_iasidb:-"+2.99"} -DTIM_latest_sevasr=${DTIM_latest_sevasr:-"+2.99"} -DTIM_latest_1bamua=${DTIM_latest_1bamua:-"+2.99"} -DTIM_latest_bathy=${DTIM_latest_bathy:-"+2.99"} -DTIM_latest_osbuv8=${DTIM_latest_osbuv8:-"+2.99"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM10:-off}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 esiasi mtiasi esamua \ - crisdb iasidb sevasr 1bamua bathy osbuv8 -error10=$? -echo "$error10" > $DATA/error10 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esiasi $job \ - ${COMSP}esiasi.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_mtiasi $job \ - ${COMSP}mtiasi.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esamua $job \ - ${COMSP}esamua.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_crisdb $job \ - ${COMSP}crisdb.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_iasidb $job \ - ${COMSP}iasidb.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_sevasr $job \ - ${COMSP}sevasr.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_1bamua $job \ - ${COMSP}1bamua.tm00.bufr_d -####### ALERTS TURNED OFF UNTIL REQUESTED BY USER ######################### -# $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_bathy $job \ -# ${COMSP}bathy.tm00.bufr_d -########################################################################### - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_osbuv8 $job \ - ${COMSP}osbuv8.tm00.bufr_d -fi - -set +x -echo "********************************************************************" -echo Script thread_10 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/10.out 2>&1 -EOF -set -x - -set +x -#------------------------------------------------------------------------------ -cat<<\EOF>thread_11; chmod +x thread_11 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_11 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=11 - -#========================================================================= -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump #11 : AMSR2: 1 subtype(s) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 1 -# -#========================================================================= - -DTIM_latest_amsr2=${DTIM_latest_amsr2:-"+2.99"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM11:-off}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 amsr2 -error11=$? -echo "$error11" > $DATA/error11 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_amsr2 $job \ - ${COMSP}amsr2.tm00.bufr_d -fi - -set +x -echo "********************************************************************" -echo Script thread_11 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/11.out 2>&1 -EOF -set -x - - -#---------------------------------------------------------------- -# Now launch the threads - -# determine local system name and type if available -# ------------------------------------------------- -SITE=${SITE:-""} -sys_tp=${sys_tp:-$(getsystem.pl -tp)} -getsystp_err=$? -if [ $getsystp_err -ne 0 ]; then - msg="***WARNING: error using getsystem.pl to determine system type and phase" - set +u - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - set -u -fi -echo sys_tp is set to: $sys_tp - -if [ "$sys_tp" = 'Cray-XC40' -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - launcher=${launcher:-"aprun_cfp"} -else - launcher=${launcher:-"cfp"} -fi -if [ "$launcher" = aprun_cfp ]; then - # Get compute node count: Subtract one from the total number of unique - # hosts to account for the MAMU node that runs serial portion of job - typeset -i nodesall=$(echo -e "${LSB_HOSTS// /\\n}"|sort -u|wc -w) - typeset -i ncnodes=$(($nodesall-1)) # we want compute nodes only - if [ $ncnodes -lt 1 ]; then - set +x - echo - echo " ######################################################## " - echo " --> Could not get positive compute node count for aprun! " - echo " --> Check that BSUB directives included a reservation " - echo " request for one or more compute nodes. " - echo " --> @@ F A T A L E R R O R @@ -- ABNORMAL EXIT " - echo " ######################################################## " - echo - set -x - $DATA/err_exit "***FATAL: Check if compute nodes were allocated" - fi -elif [[ "$launcher" = cfp && -z "$LSB_HOSTS" ]]; then - set +x - echo - echo "You requested the cfp poe launcher but are not running under LSF!!" - echo "You must run under LSF to use cfp option on IBM. Exiting..." - echo - set -x - $DATA/err_exit -fi -if [ "$launcher" = cfp -o "$launcher" = aprun_cfp ]; then - > $DATA/poe.cmdfile - -# To better take advantage of cfp, execute the longer running commands first. -# Some reordering was done here based on recent sample runtimes. - [ $DUMP_group7 = YES ] && echo thread_7 >> $DATA/poe.cmdfile # moved up - [ $DUMP_group1 = YES ] && echo thread_1 >> $DATA/poe.cmdfile - [ $DUMP_group5 = YES ] && echo thread_5 >> $DATA/poe.cmdfile # moved up - [ $DUMP_group6 = YES ] && echo thread_6 >> $DATA/poe.cmdfile # moved up - [ $DUMP_group8 = YES ] && echo thread_8 >> $DATA/poe.cmdfile # moved up - [ $DUMP_group11 = YES ] && echo thread_11 >> $DATA/poe.cmdfile # moved up - [ $DUMP_group10 = YES ] && echo thread_10 >> $DATA/poe.cmdfile # moved up - [ $DUMP_group2 = YES ] && echo thread_2 >> $DATA/poe.cmdfile - [ $DUMP_group3 = YES -a $ADPUPA_wait != YES ] && echo thread_3 >> $DATA/poe.cmdfile - [ $DUMP_group4 = YES ] && echo thread_4 >> $DATA/poe.cmdfile - [ $DUMP_group9 = YES ] && echo thread_9 >> $DATA/poe.cmdfile - - if [ -s $DATA/poe.cmdfile ]; then - nthreads=$(cat $DATA/poe.cmdfile | wc -l) - if [ $nthreads -eq 1 ]; then # don't expect to need this, but just in case - echo "do not need cfp for 1 thread" - if [ "$launcher" = aprun_cfp ]; then - aprun -n 1 -N 1 -d 1 sh $DATA/poe.cmdfile - else - sh $DATA/poe.cmdfile - fi - elif [ "$launcher" = cfp ]; then # iDataPlex - module load cfp - export MP_CSS_INTERRUPT=yes - mpirun.lsf cfp $DATA/poe.cmdfile 2>&1 - elif [ "$launcher" = aprun_cfp ]; then - if [[ -z ${DUMPStpn:-""} ]]; then # pes per node - # cfp is faster with extra thread so add one if there is room. - # For now, going with 20 as default max rather than 24. - if [ $nthreads -lt 20 ]; then - DUMPStpn=$(($nthreads+1)) - else - DUMPStpn=20 - fi - fi - NPROCS=$(($ncnodes*$DUMPStpn)) # concurrent processes - aprun -j 1 -n${NPROCS} -N${DUMPStpn} -d 1 --cc depth cfp $DATA/poe.cmdfile - fi - errpoe=$? - if [ $errpoe -ne 0 ]; then - $DATA/err_exit "***FATAL: EXIT STATUS $errpoe RUNNING POE COMMAND FILE" - fi - else - echo - echo "==> There are no tasks in POE Command File - POE not run" - echo - fi -else - if [ "$sys_tp" = 'Cray-XC40' -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - set +x - echo - echo " ############################################################# " - echo " --> Option to use background threads is disabled on Cray-XC40." - echo " --> @@ F A T A L E R R O R @@ -- ABNORMAL EXIT " - echo " ############################################################# " - echo - set -x - $DATA/err_exit "***FATAL: Check if compute nodes were allocated" - else - echo "Spawning background threads" - [ $DUMP_group1 = YES ] && thread_1 & - [ $DUMP_group2 = YES ] && thread_2 & - [ $DUMP_group3 = YES -a $ADPUPA_wait != YES ] && thread_3 & - [ $DUMP_group4 = YES ] && thread_4 & - [ $DUMP_group5 = YES ] && thread_5 & - [ $DUMP_group6 = YES ] && thread_6 & - [ $DUMP_group7 = YES ] && thread_7 & - [ $DUMP_group8 = YES ] && thread_8 & - [ $DUMP_group9 = YES ] && thread_9 & - [ $DUMP_group10 = YES ] && thread_10 & - [ $DUMP_group11 = YES ] && thread_11 & - wait - fi -fi - -# if ADPUPA_wait is YES, adpupa is dumped AFTER all other dump threads have -# run (normally done in real-time GFS runs to dump as late as possible in -# order to maximize data availability in GFS network, particularly DROPs) -# -------------------------------------------------------------------------- - -[ $DUMP_group3 = YES -a $ADPUPA_wait = YES ] && thread_3 - -cat $DATA/1.out $DATA/2.out $DATA/3.out $DATA/4.out $DATA/5.out $DATA/6.out $DATA/7.out $DATA/8.out $DATA/9.out $DATA/10.out $DATA/11.out - -set +x -echo " " -echo " " -set -x - -[ -s $DATA/error1 ] && err1=`cat $DATA/error1` -[ -s $DATA/error2 ] && err2=`cat $DATA/error2` -[ -s $DATA/error3 ] && err3=`cat $DATA/error3` -[ -s $DATA/error4 ] && err4=`cat $DATA/error4` -[ -s $DATA/error5 ] && err5=`cat $DATA/error5` -[ -s $DATA/error6 ] && err6=`cat $DATA/error6` -[ -s $DATA/error7 ] && err7=`cat $DATA/error7` -[ -s $DATA/error8 ] && err8=`cat $DATA/error8` -[ -s $DATA/error9 ] && err9=`cat $DATA/error9` -[ -s $DATA/error10 ] && err10=`cat $DATA/error10` -[ -s $DATA/error11 ] && err11=`cat $DATA/error11` - - -#=============================================================================== - -export STATUS=YES -export DUMP_NUMBER=12 -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.00 1 null - -# endif loop $PROCESS_DUMP -fi - -echo " " >> $pgmout -echo "##################################################################\ -####################" >> $pgmout -echo " " >> $pgmout - -#================================================================ -#================================================================ - - -if [ "$PROCESS_DUMP" = 'YES' ]; then - - if [ "$err1" -gt '5' -o "$err2" -gt '5' -o "$err3" -gt '5' -o \ - "$err4" -gt '5' -o "$err5" -gt '5' -o "$err6" -gt '5' -o \ - "$err7" -gt '5' -o "$err8" -gt '5' -o "$err9" -gt '5' -o \ - "$err10" -gt '5' -o "$err11" -gt '5' ]; then - for n in $err1 $err2 $err3 $err4 $err5 $err6 $err7 $err8 $err9 $err10 $err11 - do - if [ "$n" -gt '5' ]; then - if [ "$n" -ne '11' -a "$n" -ne '22' ]; then - -## fatal error in dumping of BUFR obs. files - - set +x -echo -echo " ###################################################### " -echo " --> > 22 RETURN CODE FROM DATA DUMP, $err1, $err2, $err3, $err4, \ -$err5, $err6, $err7, $err8, $err9, $err10, $err11 " -echo " --> @@ F A T A L E R R O R @@ -- ABNORMAL EXIT " -echo " ###################################################### " -echo - set -x - $DATA/err_exit - exit 9 - fi - fi - done - -## a status code of 11 or 22 from dumping of BUFR obs. files -## is non-fatal but still worth noting - - set +x - echo - echo " ###################################################### " - echo " --> > 5 RETURN CODE FROM DATA DUMP, $err1, $err2, $err3, $err4, \ -$err5, $err6, $err7, $err8, $err9, $err10, $err11 " - echo " --> NOT ALL DATA DUMP FILES ARE COMPLETE - CONTINUE " - echo " ###################################################### " - echo - set -x - fi - -# endif loop $PROCESS_DUMP -fi - - -# GOOD RUN -set +x -echo " " -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " " -set -x - - -# save standard output -cat break $pgmout break > allout -cat allout -# rm allout - -sleep 10 - -msg='ENDED NORMALLY.' -$DATA/postmsg "$jlogfile" "$msg" - -################## END OF SCRIPT ####################### diff --git a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_makeprepbufr.sh.ecf b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_makeprepbufr.sh.ecf deleted file mode 100755 index 074c71b..0000000 --- a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_makeprepbufr.sh.ecf +++ /dev/null @@ -1,578 +0,0 @@ -#!/bin/ksh -# Run under ksh - -############################################################################# -echo "----------------------------------------------------------------------" -echo "exglobal_makeprepbufr.sh.ecf - Global (GDAS, GFS) model prepbufr " -echo " processing " -echo "----------------------------------------------------------------------" -echo "History: Mar 1 2013 - Original script. " -echo " Dec 3 2014 - CDAS network, split off into its own script " -echo " excdas_makeprepbufr.sh.ecf. This script now " -echo " tailored exclusively to GDAS and GFS. " -echo " Mar 11 2017 - Update to handle nemsio filename patterns " -############################################################################# - -set -x - -# Make sure we are in the $DATA directory -cd $DATA - -msg="HAS BEGUN on `hostname`" -$DATA/postmsg "$jlogfile" "$msg" - -cat break > $pgmout - -CHGRP_RSTPROD=${CHGRP_RSTPROD:-YES} -MAKE_NSSTBUFR=${MAKE_NSSTBUFR:-YES} - -export COMSP=${COMSP:-$COMIN/${RUN}.${cycle}.} - - -if [ "$DO_QC" = 'YES' -a "$CQCBUFR" = 'YES' -a -n "$COM1" -a -n "$CQCC" ]; then - -# NOTE: The following logic currently does not apply to the GFS or GDAS -# network. (It applies only to the CDAS network.) It is maintained here -# in case it ever does. -# ----------------------------------------------------------------------------- -# If running PREPOBS_CQCBUFR, must check its data cards to see if -# namelist switch DOTMP is TRUE - if so, must get prepbufr_pre-qc files -# from t-24, t-12, t+12, t+24 to feed into PREPOBS_CQCBUFR - - DOTMP=`grep DOTMP $CQCC | awk -F, \ - '{print $1; print $2; print $3; print $4; print$5}' | grep DOTMP | \ - awk -F= '{print $2}'` - - if [[ $DOTMP = *T* ]]; then - [ -s ${COM1}${PDYm1}/${RUN}.${cycle}.prepbufr_pre-qc ] && \ - export PRPI_m24=${COM1}${PDYm1}/${RUN}.${cycle}.prepbufr_pre-qc - [ -s ${COM1}${PDYp1}/${RUN}.${cycle}.prepbufr_pre-qc ] && \ - export PRPI_p24=${COM1}${PDYp1}/${RUN}.${cycle}.prepbufr_pre-qc - tdate10=`$NDATE -12 $PDY$cyc` - cyc_m12=`echo $tdate10|cut -c9-10` - pdy_m12=`echo $tdate10|cut -c1-8` - [ -s ${COM1}${pdy_m12}/${RUN}.t${cyc_m12}z.prepbufr_pre-qc ] && \ - export PRPI_m12=${COM1}${pdy_m12}/${RUN}.t${cyc_m12}z.prepbufr_pre-qc - tdate10=`$NDATE +12 $PDY$cyc` - cyc_p12=`echo $tdate10|cut -c9-10` - pdy_p12=`echo $tdate10|cut -c1-8` - [ -s ${COM1}${pdy_p12}/${RUN}.t${cyc_p12}z.prepbufr_pre-qc ] && \ - export PRPI_p12=${COM1}${pdy_p12}/${RUN}.t${cyc_p12}z.prepbufr_pre-qc - fi -fi - -cdate10=`cut -c7-16 ncepdate` - -msg="CENTER TIME FOR PREPBUFR PROCESSING IS $cdate10" -$DATA/postmsg "$jlogfile" "$msg" - -ksh $ushscript_prep/prepobs_makeprepbufr.sh $cdate10 -errsc=$? - -[ "$errsc" -ne '0' ] && exit $errsc - -if [ "$CHGRP_RSTPROD" = 'YES' ]; then - msg="NOTE: These files (if present) are RESTRICTED to rstprod group: \ -prepbufr_pre-qc, prepbufr, prepbufr.acft_profiles*, acqc_???*, \ -acqc_merged*_sorted, tosslist, prepbufr.unblok" - $DATA/postmsg "$jlogfile" "$msg" -set +x - echo " " - echo "$msg" - echo " " -set -x -fi -warning=no - -if [ "$PREPDATA" = 'YES' ]; then - -# save snapshot of prepbufr file after PREPOBS_PREPDATA in COMOUT - cp prepda.prepdata $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc - chmod 664 $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc - - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc - else - cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc - warning=yes - fi - fi - -# save current prepbufr mnemonic table in COMOUT if either it isn't already -# there for a previous cycle or if it has changed from a previous cycle - if [ ! -s $COMOUT/*prep.bufrtable ]; then - cp prep.bufrtable $COMOUT/${RUN}.${cycle}.prep.bufrtable - else - diff `ls -t $COMOUT/*prep.bufrtable | head -n1` prep.bufrtable \ - > /dev/null 2>&1 - errdiff=$? - [ "$errdiff" -ne '0' ] && \ - cp prep.bufrtable $COMOUT/${RUN}.${cycle}.prep.bufrtable - fi -fi - -# save global guess file(s) in COMOUT if they haven't already been saved -# here by previous tropical cyclone relocation processing -# first block is for nemsio input, second is for sigio input -if [[ "$NEMSIO_IN" == .true. ]]; then - [ -s sgm3prep -a ! -s $COMOUT/${RUN}.${cycle}.atmgm3.nemsio ] && \ - cp sgm3prep $COMOUT/${RUN}.${cycle}.atmgm3.nemsio - [ -s sgp3prep -a ! -s $COMOUT/${RUN}.${cycle}.atmgp3.nemsio ] && \ - cp sgp3prep $COMOUT/${RUN}.${cycle}.atmgp3.nemsio - if [ -s sgesprep ]; then - if [ -s sgesprepA ]; then - cp sgesprep $COMOUT/${RUN}.${cycle}.atmges.nemsio_before - cp sgesprepA $COMOUT/${RUN}.${cycle}.atmges.nemsio_after - else - [ ! -s $COMOUT/${RUN}.${cycle}.atmges.nemsio ] && \ - cp sgesprep $COMOUT/${RUN}.${cycle}.atmges.nemsio - fi - fi -else - [ -s sgm3prep -a ! -s $COMOUT/${RUN}.${cycle}.sgm3prep ] && \ - cp sgm3prep $COMOUT/${RUN}.${cycle}.sgm3prep - [ -s sgp3prep -a ! -s $COMOUT/${RUN}.${cycle}.sgp3prep ] && \ - cp sgp3prep $COMOUT/${RUN}.${cycle}.sgp3prep - if [ -s sgesprep ]; then - if [ -s sgesprepA ]; then - cp sgesprep $COMOUT/${RUN}.${cycle}.sgesprep_before - cp sgesprepA $COMOUT/${RUN}.${cycle}.sgesprep_after - else - [ ! -s $COMOUT/${RUN}.${cycle}.sgesprep ] && \ - cp sgesprep $COMOUT/${RUN}.${cycle}.sgesprep - fi - fi -fi -# end nemsio vs sigio logic to copy guess files to COMOUT - -# save path name of global guess file valid at center PREPBUFR -# date/time (encoded into PREPBUFR file and used by q.c. programs) in COMOUT -# FOR NOW, staying with term "sgesprep" for these "pathname" filenames even for -# nemsio (as done in tropical cyclone relocation processing) - 03/2017 -if [ "$GETGUESS" = 'YES' ]; then - if [[ "$NEMSIO_IN" == .true. ]]; then - set +x; echo -e "\n\"sges_pathname\" files point to nemsio files\n";set -x - fi - if [ -s sgesprepA_pathname ]; then - cp sgesprep_pathname \ - $COMOUT/${RUN}.${cycle}.sgesprep_pathname_before.$tmmark - cp sgesprepA_pathname \ - $COMOUT/${RUN}.${cycle}.sgesprep_pathname_after.$tmmark - else - -# if the target file already exists, it was created in previous -# tropcy_relocate.sh script because either there was an error or no -# tcvitals were present - in this case the target file points to the orig. -# getges global sigma guess (since the guess was not modified by relocation) -# - otherwise sgesprep_pathname will either contain either the path to the -# getges guess (if tropical cyclone relocation did not run previously) or -# it will contain the path to the modified sgesprep guess (if tropical -# cyclone relocation did run previously and did modify the guess) -# --------------------------------------------------------------------------- - - [ ! -s $COMOUT/${RUN}.${cycle}.sgesprep_pathname.$tmmark ] && \ - cp sgesprep_pathname $COMOUT/${RUN}.${cycle}.sgesprep_pathname.$tmmark - fi -fi - -# save synthetic bogus files in COMOUT -[ -s bogrept ] && cp bogrept $COMOUT/${RUN}.${cycle}.syndata.bogrept -[ -s bogdata ] && cp bogdata $COMOUT/${RUN}.${cycle}.syndata.bogdata -[ -s dthistry ] && cp dthistry $COMOUT/${RUN}.${cycle}.syndata.dthistry - -if [[ "$SENDDBN" == "YES" ]]; then - if [[ "$RUN" == "gfs" || "$RUN" == "gdas" || "$RUN" == "gdas1" ]]; then - RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) - if [[ -s bogrept ]]; then - $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job $COMOUT/${RUN}.${cycle}.syndata.bogrept - fi - if [[ -s bogdata ]]; then - $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job $COMOUT/${RUN}.${cycle}.syndata.bogdata - fi - fi -fi - -if [ "$DO_QC" = 'YES' ]; then - -# save final form of prepbufr file in COMOUT - cp prepda.${cycle} $COMOUT/${RUN}.${cycle}.prepbufr - chmod 664 $COMOUT/${RUN}.${cycle}.prepbufr - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr - else - cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr - warning=yes - fi - fi - -# save prepacqc prepbufr.acft_profiles file in COMOUT - if [ -s prepbufr.acft_profiles ]; then - cp prepbufr.acft_profiles $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles - else - cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles - warning=yes - fi - fi - fi - -# save prepacqc prepbufr.acft_profiles_sfc file in COMOUT - if [ -s prepbufr.acft_profiles_sfc ]; then - cp prepbufr.acft_profiles_sfc \ - $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc - else - cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc - warning=yes - fi - fi - fi - -# save prepacqc output files in COMOUT - if [ -s acftqc_*.sus ]; then - mv acftqc_*.sus acftqc_sus - cp acftqc_sus $COMOUT/${RUN}.${cycle}.acqc_sus - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_sus - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_sus - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_sus - warning=yes - fi - fi - fi - - if [ -s acftqc_*.stk ]; then - mv acftqc_*.stk acftqc_stk - cp acftqc_stk $COMOUT/${RUN}.${cycle}.acqc_stk - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_stk - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_stk - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_stk - warning=yes - fi - fi - fi - - if [ -s acftqc_*.spk ]; then - mv acftqc_*.spk acftqc_spk - cp acftqc_spk $COMOUT/${RUN}.${cycle}.acqc_spk - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_spk - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_spk - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_spk - warning=yes - fi - fi - fi - - if [ -s acftqc_*.ord ]; then - mv acftqc_*.ord acftqc_ord - cp acftqc_ord $COMOUT/${RUN}.${cycle}.acqc_ord - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_ord - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_ord - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_ord - warning=yes - fi - fi - fi - - if [ -s acftqc_*.lst ]; then - mv acftqc_*.lst acftqc_lst - cp acftqc_lst $COMOUT/${RUN}.${cycle}.acqc_lst - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_lst - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_lst - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_lst - warning=yes - fi - fi - fi - - if [ -s acftqc_*.inv ]; then - mv acftqc_*.inv acftqc_inv - cp acftqc_inv $COMOUT/${RUN}.${cycle}.acqc_inv - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_inv - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_inv - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_inv - warning=yes - fi - fi - fi - - if [ -s acftqc_*.inc ]; then - mv acftqc_*.inc acftqc_inc - cp acftqc_inc $COMOUT/${RUN}.${cycle}.acqc_inc - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_inc - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_inc - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_inc - warning=yes - fi - fi - fi - - if [ -s acftqc_*.grc ]; then - mv acftqc_*.grc acftqc_grc - cp acftqc_grc $COMOUT/${RUN}.${cycle}.acqc_grc - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_grc - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_grc - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_grc - warning=yes - fi - fi - fi - - if [ -s acftqc_*.dup ]; then - mv acftqc_*.dup acftqc_dup - cp acftqc_dup $COMOUT/${RUN}.${cycle}.acqc_dup - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_dup - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_dup - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_dup - warning=yes - fi - fi - fi - - if [ -s acftqc_*.log ]; then - mv acftqc_*.log acftqc_log - cp acftqc_log $COMOUT/${RUN}.${cycle}.acqc_log - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_log - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_log - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_log - warning=yes - fi - fi - fi - - if [ -s merged.reports.post_acftobs_qc.sorted ]; then - cp merged.reports.post_acftobs_qc.sorted \ - $COMOUT/${RUN}.${cycle}.acqc_merged_sorted - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_merged_sorted - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_merged_sorted - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_merged_sorted - warning=yes - fi - fi - fi - - if [ -s merged.profile_reports.post_acftobs_qc.sorted ]; then - cp merged.profile_reports.post_acftobs_qc.sorted \ - $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted - warning=yes - fi - fi - fi - -# save cqcbufr output files in COMOUT - touch cqc_events - cp cqc_events $COMOUT/${RUN}.${cycle}.cqc_events - touch cqc_stncnt - cp cqc_stncnt $COMOUT/${RUN}.${cycle}.cqc_stncnt - touch cqc_stnlst - cp cqc_stnlst $COMOUT/${RUN}.${cycle}.cqc_stnlst - touch cqc_sdm - cp cqc_sdm $COMOUT/${RUN}.${cycle}.cqc_sdm - touch cqc_radcor - cp cqc_radcor $COMOUT/${RUN}.${cycle}.cqc_radcor - -# save oiqc tosslist in COMOUT (if it runs) - if [ -s tosslist ]; then - cp tosslist $COMOUT/${RUN}.${cycle}.tosslist - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.tosslist - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.tosslist - else - cp /dev/null $COMOUT/${RUN}.${cycle}.tosslist - warning=yes - fi - fi - fi - - # Remove the following logic to create unblocked prepbufr files once we know - # it is definitely no longer needed. - if [ "${PROCESS_UNBLKBUFR:-NO}" = 'YES' ]; then -# -# make unblocked prepbufr file -# ---> ON WCOSS prepbufr is already unblocked, so for now just copy it to the -# unblok file location used before on CCS - hopefully this can be removed -# someday! - cp -p prepda.${cycle} prepda.${cycle}.unblok - err_cp=$? - if [ $err_cp -eq 0 ]; then - cp prepda.${cycle}.unblok $COMOUT/${RUN}.${cycle}.prepbufr.unblok - chmod 664 $COMOUT/${RUN}.${cycle}.prepbufr.unblok - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr.unblok - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr.unblok - else - cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr.unblok - warning=yes - fi - fi - fi - fi ## end logic to potentially create unblok version of prepbufr file - - if [[ "$SENDDBN" == "YES" ]]; then - if [[ "$RUN" == "gdas" || "$RUN" == "gdas1" ]]; then - RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) - $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_BUFR_PREPda $job \ - $COMOUT/${RUN}.${cycle}.prepbufr - if [ "${PROCESS_UNBLKBUFR:-NO}" = 'YES' ]; then - $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_BUFR_PREPda_unblok $job \ - $COMOUT/${RUN}.${cycle}.prepbufr.unblok - fi - elif [[ "$RUN" == "gfs" ]]; then - $DBNROOT/bin/dbn_alert MODEL GFS_BUFR_PREPda $job \ - $COMOUT/${RUN}.${cycle}.prepbufr - if [ "${PROCESS_UNBLKBUFR:-NO}" = 'YES' ]; then - $DBNROOT/bin/dbn_alert MODEL GFS_BUFR_PREPda_unblok $job \ - $COMOUT/${RUN}.${cycle}.prepbufr.unblok - fi - if [[ "$NEMSIO_IN" == .true. ]]; then - $DBNROOT/bin/dbn_alert MODEL GFS_atmges_NEMSIO $job \ - $COMOUT/${RUN}.${cycle}.atmges.nemsio - $DBNROOT/bin/dbn_alert MODEL GFS_atmgm3_NEMSIO $job \ - $COMOUT/${RUN}.${cycle}.atmgm3.nemsio - $DBNROOT/bin/dbn_alert MODEL GFS_atmgp3_NEMSIO $job \ - $COMOUT/${RUN}.${cycle}.atmgp3.nemsio - else - $DBNROOT/bin/dbn_alert MODEL GFS_sges_PREP $job \ - $COMOUT/${RUN}.${cycle}.sgesprep - $DBNROOT/bin/dbn_alert MODEL GFS_sgm3_PREP $job \ - $COMOUT/${RUN}.${cycle}.sgm3prep - $DBNROOT/bin/dbn_alert MODEL GFS_sgp3_PREP $job \ - $COMOUT/${RUN}.${cycle}.sgp3prep - fi - fi - fi -fi -## create combined ocean data dump file expected by NSST -if [[ "$MAKE_NSSTBUFR" == 'YES' ]]; then - > nsstbufr - chgrp rstprod nsstbufr - errch=$? - if [ $errch -eq 0 ]; then - for type in sfcshp tesac bathy trkob; do - file=${COMSP}$type.$tmmark.bufr_d - if [ -s $file ]; then - cat $file >> nsstbufr - err=$? - if [ $err -ne 0 ]; then - msg="**WARNING: exit status $err from cat of $file to nsstbufr" - $DATA/postmsg "$jlogfile" "$msg" - fi - else - echo $file is empty or does not exist - fi - done - cp nsstbufr $COMOUT/${RUN}.${cycle}.nsstbufr - chgrp rstprod $COMOUT/${RUN}.${cycle}.nsstbufr - chmod 640 $COMOUT/${RUN}.${cycle}.nsstbufr - msg="NOTE: nsstbufr file contains RESTRICTED data, only users in \ -rstprod group have read permission" - $DATA/postmsg "$jlogfile" "$msg" - else - cp /dev/null $COMOUT/${RUN}.${cycle}.nsstbufr - warning=yes - fi -fi - -if [ "$warning" = 'yes' ]; then - msg="**WARNING: Since user $USER is not in rstprod group all RESTRICTED \ -files are replaced with a null file" - $DATA/postmsg "$jlogfile" "$msg" -set +x - echo " " - echo "$msg" - echo " " -set -x -fi - -######################################################## - -# GOOD RUN -set +x -echo " " -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " " -set -x - - -# save standard output -cat break $pgmout break > allout -cat allout -# rm allout - -sleep 10 - -msg='ENDED NORMALLY.' -$DATA/postmsg "$jlogfile" "$msg" - -################## END OF SCRIPT ####################### diff --git a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/getges.sh b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/getges.sh deleted file mode 100755 index 1672800..0000000 --- a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/getges.sh +++ /dev/null @@ -1,1385 +0,0 @@ -#!/bin/ksh -################################################################################ -# -# Name: getges.sh Author: Mark Iredell -# -# Abstract: -# This script copies the valid global guess file to a given file. -# Alternatively, it writes the name of the guess file to standard output. -# Specify option "-n network" for the job network (default global). -# Other options are gdas, gfs, cdas, mrf, prx, etc. -# Specify option "-e environment" for the job environment (default prod). -# Another option is test. -# Specify option "-f fhour" for the specific forecast hour wanted (default any). -# Specify option "-q" for quiet mode to turn off script messages. -# Specify option "-r resolution" for the resolution wanted (default high). -# Other options are 25464 17042, 12628, low, 6228, namopl, any. -# Specify option "-t filetype" for the filetype wanted from among these choices: -# sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3, -# sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3, -# biascr, satang, satcnt, gesfil -# pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3, -# sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl, -# enggrb, enggri, icegrb, icegri, snogrb, snogrb_high, snogri, sstgrb, sstgri. -# natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur, -# nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur, -# nstcur, nflges, nflgp3 -# Specify option "-v valid" for the valid date wanted (default $CDATE). -# Currently, the valid hours specified must be a multiple of 3. -# Either 2-digit or 4-digit years are currently allowed. -# Specify positional argument to be the file to which to copy the guess. -# If missing, the NAME of the guess file is written to standard output. -# A nonzero return code from this script means either the arguments are invalid -# or the guess could not be found; a message is written to standard error in -# this case, but neither a file copy nor a standard output write will be done. -# The file returned is guaranteed to exist and be readable. -# The script uses the utility commands NDATE and NHOUR. -# -# Example 1. Copy the production sigma guess for 1998100100 to the file sges. -# getges.sh -e prod -t sigges -v 1998100100 sges -# -# Example 2. Assign the pressure grib guess for the date 1998100121. -# export CDATE=1998100121 -# export XLFUNIT_12="$(getges.sh -qt pgbges||echo /dev/null)" -# -# Example 3. Get the PRX pgb analysis or the best valid guess at 1998100112. -# getges -e prx -t pgbcur -v 1998100112 pgbfile -# -# Example 5. Get the 24-hour GFS forecast sigma file valid at 1998100112. -# getges -t sigcur -v 1998100112 -f 24 -e gfs sigfile -# -# History: 1996 December Iredell Initial implementation -# 1997 March Iredell Nine new filetypes -# 1997 April Iredell Two new filetypes and -f option -# 1997 December Iredell Four new filetypes -# 1998 April Iredell 4-digit year allowed; -# sigges internal date no longer checked -# 1998 May Iredell T170L42 defaulted; four new filetypes -# and two filetypes deleted -# 1998 June Rogers Nam types added -# 1998 September Iredell high is default resolution -# 2000 March Iredell Cdas and -n option -# 2000 June Iredell Eight new filetypes -# 2002 April Treadon T254L64 defaulted; add angle dependent -# bias correction file -# 2003 March Iredell GFS network out to 384 hours -# 2003 August Iredell Hourly global guesses -# 2005 September Treadon Add satellite data count file (satcnt) -# 2006 September Gayno Add high-res snow analysis -# 2009 January Rogers Added sfluxgrb file -# 2011 April Rogers Added GFS pg2ges file -# 2016 May Menlove Changed GETGES_COM variable to $COMINmodel -# 2016 November Iredell Adapted getges for NEMS GSM -# Also removed a lot of dead wood -# -################################################################################ -#------------------------------------------------------------------------------- -# Set some default parameters. -fhbeg=03 # hour to begin searching backward for guess -fhinc=03 # hour to increment backward in search -fhend=384 # hour to end searching backward for guess - -#------------------------------------------------------------------------------- -# Get options and arguments. -netwk=global # default network -envir=prod # default environment -fhour=any # default forecast hour -quiet=NO # default quiet mode -trace=NO # default execution trace mode -resol=high # default resolution -typef=sigges # default filetype -valid=${CDATE:-'?'} # default valid date -err=0 - -while getopts n:e:f:qxr:t:v: opt;do - case $opt in - n) netwk="$OPTARG";; - e) envir="$OPTARG";; - f) fhour="$OPTARG";; - q) quiet=YES;; - x) trace=YES;; - r) resol="$OPTARG";; - t) typef="$OPTARG";; - v) valid="$OPTARG";; - \?) err=1;; - esac -done -shift $(($OPTIND-1)) -gfile=$1 -if [[ -z $valid ]];then - echo "$0: either -v option or environment variable CDATE must be set" >&2 -elif [[ $# -gt 1 ]];then - echo "$0: too many positional arguments" >&2 -elif [[ $err -ne 0 ]];then - echo "$0: invalid option" >&2 -fi -if [[ $gfile = '?' || $# -gt 1 || $err -ne 0 || -z $valid ||\ - $netwk = '?' || $envir = '?' || $fhour = '?' || $resol = '?' ||\ - $typef = '?' || $valid = '?' ]];then - echo "Usage: getges.sh [-n network] [-e environment] [-f fhour] [-q] [-r resolution]" >&2 - echo " [-t filetype] [-v valid] [gfile]" >&2 - if [[ $netwk = '?' ]];then - echo " network choices:" >&2 - echo " global (default), namopl, gdas, gfs, cdas, etc." >&2 - elif [[ $envir = '?' ]];then - echo " environment choices:" >&2 - echo " prod (default), test, para, dump, prx" >&2 - echo " (some network values allowed for compatibility)" >&2 - elif [[ $fhour = '?' ]];then - echo " fhour is optional specific forecast hour" >&2 - elif [[ $resol = '?' ]];then - echo " resolution choices:" >&2 - echo " high (default), 25464, 17042, 12628, low, 6228, namopl, any" >&2 - elif [[ $typef = '?' ]];then - echo " filetype choices:" >&2 - echo " sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3," >&2 - echo " sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3," >&2 - echo " sfgges, sfggp3, biascr, satang, satcnt, gesfil" >&2 - echo " pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3," >&2 - echo " sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl," >&2 - echo " enggrb, enggri, icegrb, icegri, snogrb, snogri, sstgrb, sstgri," >&2 - echo " pg2cur, pg2ges, restrt," >&2 - echo " natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur," >&2 - echo " nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur," >&2 - echo " nstcur, nflges, nflgp3," >&2 - elif [[ $valid = '?' ]];then - echo " valid is the valid date in yyyymmddhh or yymmddhh form" >&2 - echo " (default is environmental variable CDATE)" >&2 - elif [[ $gfile = '?' ]];then - echo " gfile is the guess file to write" >&2 - echo " (default is to write the guess file name to stdout)" >&2 - else - echo " (Note: set a given option to '?' for more details)" >&2 - fi - exit 1 -fi -[[ $trace == YES ]]&&set -x -if [[ $envir != prod && $envir != test && $envir != para && $envir != dump && $envir != pr? && $envir != dev ]];then - netwk=$envir - envir=prod - echo '************************************************************' >&2 - echo '* WARNING: Using "-e" is deprecated in this case. *' >&2 - echo '* Please use "-n" instead. *' >&2 - echo '************************************************************' >&2 -fi -if [[ "$netwk" = "namopl" || "$resol" = "namopl" ]];then - netwk=namopl - typef=restrt - resol=namopl -fi -[[ $resol = 57464 || $resol = 38264 || $resol = 19064 || $resol = 25464 || $resol = 17042 || $resol = 12628 ]]&&resol=high -[[ $resol = 6228 ]]&&resol=low -resolsuf="" -[[ $resol == *deg ]]&&resolsuf=.$resol -fhbeg=$(${NHOUR:?} $valid) -[[ $fhbeg -le 0 ]]&&fhbeg=03 -((fhbeg=(10#$fhbeg-1)/3*3+3)) -[[ $fhbeg -lt 10 ]]&&fhbeg=0$fhbeg -if [[ $typef = enggrb ]];then - typef=icegrb - echo '************************************************************' >&2 - echo '* WARNING: Using "-t enggrb" is now deprecated. *' >&2 - echo '* Please use "-t icegrb". *' >&2 - echo '************************************************************' >&2 -elif [[ $typef = enggri ]];then - typef=icegri - echo '************************************************************' >&2 - echo '* WARNING: Using "-t enggri" is now deprecated. *' >&2 - echo '* Please use "-t icegri". *' >&2 - echo '************************************************************' >&2 -fi - -#------------------------------------------------------------------------------- -# Assemble guess list in descending order from the best guess. -geslist="" -getlist00="" - -# GDAS -if [[ "$netwk" = "gdas" ]];then - if [ -z "$COMINgdas" ]; then - echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 - exit 1 - fi - fhend=12 - case $typef in - biascr) geslist=' - $COMINgdas/gdas.t${cyc}z.abias' - ;; - biascr_pc) geslist=' - $COMINgdas/gdas.t${cyc}z.abias_pc' - ;; - biascr_air) geslist=' - $COMINgdas/gdas.t${cyc}z.abias_air' - ;; - radstat) geslist=' - $COMINgdas/gdas.t${cyc}z.radstat' - ;; - pgbges) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh' - ;; - pg2ges) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' - ;; - pgbgm6) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6' - ;; - pgbgm3) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3' - ;; - pgbgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3' - ;; - pgbcur) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINgdas/gdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINgdas/gdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINgdas/gdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576' - fhbeg=00 - fhinc=06 - ;; - snogrb_1534) geslist=' - $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINgdas/gdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - natges) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' - ;; - natgm3) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio' - ;; - natgm2) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio' - ;; - natgm1) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio' - ;; - natgp1) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio' - ;; - natgp2) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio' - ;; - natgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio' - ;; - natcur) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' - getlist00=' - $COMINgdas/gdas.t${cyc}z.atmanl.nemsio' - fhbeg=00 - ;; - nsfges) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' - ;; - nsfgm3) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio' - ;; - nsfgm2) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio' - ;; - nsfgm1) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio' - ;; - nsfgp1) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio' - ;; - nsfgp2) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio' - ;; - nsfgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio' - ;; - nsfcur) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' - getlist00=' - $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio' - fhbeg=00 - ;; - nstcur) geslist=' - $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio' - getlist00=' - $COMINgdas/gdas.t${cyc}z.nstanl.nemsio' - fhbeg=00 - ;; - nflges) geslist=' - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' - ;; - nflgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio' - ;; - nflcur) geslist=' - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' - fhbeg=00 - ;; - esac - -# CFS-CDAS -elif [[ "$netwk" = "cfs-cdas" ]];then - if [ -z "$COMINcfs_cdas" ]; then - echo "getges.sh ERROR: The \$COMINcfs_cdas variable must be defined." >&2 - exit 1 - fi - fhend=12 - case $typef in - sigges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fh}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm3}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm2}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm1}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp1}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp2}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp3}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.abias' - ;; - satang) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.satang' - ;; - satcnt) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fh' - ;; - sfggp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fhp3' - ;; - pgbges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm6 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm6 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhp3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhp3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' - getlist00=' - $COMINcfs_cdas/cdas1.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' - getlist00=' - $COMINcfs_cdas/cdas1.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574 - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# GFS -elif [[ "$netwk" = "gfs" ]];then - if [ -z "$COMINgfs" ]; then - echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 - exit 1 - fi - fhend=384 - case $typef in - natges) geslist=' - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - ;; - pgbcur) geslist=' - $COMINgfs/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINgfs/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvitl) geslist=' - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINgfs/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINgfs/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_1534) geslist=' - $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINgfs/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - natcur) geslist=' - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - getlist00=' - $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' - fhbeg=00 - ;; - nsfcur) geslist=' - $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' - getlist00=' - $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' - fhbeg=00 - ;; - nstcur) geslist=' - $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' - getlist00=' - $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' - fhbeg=00 - ;; - nflcur) geslist=' - $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' - fhbeg=00 - ;; - esac - -# CDAS -elif [[ "$netwk" = "cdas" ]];then - if [ -z "$COMINcdas" ]; then - echo "getges.sh ERROR: The \$COMINcdas variable must be defined." >&2 - exit 1 - fi - fhbeg=06 - fhend=06 - case $typef in - sigges) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $COMINcdas/cdas.t${cyc}z.abias' - ;; - satang) geslist=' - $COMINcdas/cdas.t${cyc}z.satang' - ;; - satcnt) geslist=' - $COMINcdas/cdas.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $COMINcdas/cdas.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fh' - getlist00=' - $COMINcdas/cdas.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fh' - getlist00=' - $COMINcdas/cdas.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINcdas/cdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINcdas/cdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $COMINcdas/cdas.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINcdas/cdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $COMINcdas/cdas.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINcdas/cdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $COMINcdas/cdas.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# CDC CDAS -elif [[ "$netwk" = "cdc" ]];then - if [ -z "$COMINcdc" ]; then - echo "getges.sh ERROR: The \$COMINcdc variable must be defined." >&2 - exit 1 - fi - fhbeg=06 - fhend=06 - case $typef in - sigges) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $COMINcdc/cdas.t${cyc}z.abias' - ;; - satang) geslist=' - $COMINcdc/cdas.t${cyc}z.satang' - ;; - satcnt) geslist=' - $COMINcdc/cdas.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $COMINcdc/cdas.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fh' - getlist00=' - $COMINcdc/cdas.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fh' - getlist00=' - $COMINcdc/cdas.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINcdc/cdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINcdc/cdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $COMINcdc/cdas.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINcdc/cdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $COMINcdc/cdas.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINcdc/cdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $COMINcdc/cdas.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# Any resolution production -elif [[ "$netwk" = "global" ]];then - if [ -z "$COMINgdas" ]; then - echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 - exit 1 - fi - if [ -z "$COMINgfs" ]; then - echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 - exit 1 - fi - GETGES_NWG=${GETGES_NWG:-${GESROOT:?}} - case $typef in - biascr) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.abias - $COMINgdas/gdas.t${cyc}z.abias - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias - $COMINgfs/gfs.t${cyc}z.abias' - fhbeg=06 - fhinc=06 - ;; - pgbges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $COMINgfs/gfs.t${cyc}z.pgrbf$fh' - ;; - pgbgm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm6 - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm6 - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 - $COMINgfs/gfs.t${cyc}z.pgrbf$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm3 - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm3 - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 - $COMINgfs/gfs.t${cyc}z.pgrbf$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhp3 - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhp3 - $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 - $COMINgfs/gfs.t${cyc}z.pgrbf$fhp3' - ;; - pg2ges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$gh - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$gh - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' - ;; - pg2gm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm6 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm6' - ;; - pg2gm5) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm5 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm5' - ;; - pg2gm4) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm4 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm4' - ;; - pg2gm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm3 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm3' - ;; - pg2gm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm2 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm2' - ;; - pg2gm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm1 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm1' - ;; - pg2gp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp1 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp1' - ;; - pg2gp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp2 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp2' - ;; - pg2gp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp3 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp3' - ;; - pgbcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $COMINgfs/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p50.f$gh - $COMINgdas/gdas.t${cyc}z.pgrb2.0p50.f$gh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f$gh - $COMINgfs/gfs.t${cyc}z.pgrb2.0p50.f$gh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.prepbufr - $COMINgdas/gdas.t${cyc}z.prepbufr - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr - $COMINgfs/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.engicegrb - $COMINgdas/gdas.t${cyc}z.engicegrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb - $COMINgfs/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb - $COMINgdas/gdas.t${cyc}z.snogrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb - $COMINgfs/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t574.1152.576 - $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574.1152.576 - $COMINgfs/gfs.t${cyc}z.snogrb_t574.1152.576' - fhbeg=00 - fhinc=06 - ;; - snogrb_1534) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t1534.3072.1536 - $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t1534.3072.1536 - $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sstgrb - $COMINgdas/gdas.t${cyc}z.sstgrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb - $COMINgfs/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - natges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - ((vhr=$valid%100)) - if [[ $(($vhr % 3)) -ne 0 ]]; then - fhinc=01 - fi - ;; - natgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm3.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm3.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghm3.nemsio' - ;; - natgm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm2.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm2.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghm2.nemsio' - ;; - natgm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm1.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm1.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghm1.nemsio' - ;; - natgp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp1.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp1.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghp1.nemsio' - ;; - natgp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp2.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp2.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghp2.nemsio' - ;; - natgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp3.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp3.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghp3.nemsio' - ;; - natcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmanl.nemsio - $COMINgdas/gdas.t${cyc}z.atmanl.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmanl.nemsio - $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' - fhbeg=00 - ;; - nsfges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' - ;; - nsfgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm3.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm3.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghm3.nemsio' - ;; - nsfgm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm2.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm2.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghm2.nemsio' - ;; - nsfgm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm1.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm1.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghm1.nemsio' - ;; - nsfgp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp1.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp1.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghp1.nemsio' - ;; - nsfgp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp2.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp2.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghp2.nemsio' - ;; - nsfgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp3.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp3.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghp3.nemsio' - ;; - nsfcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcanl.nemsio - $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl.nemsio - $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' - fhbeg=00 - ;; - nstcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstanl.nemsio - $COMINgdas/gdas.t${cyc}z.nstanl.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstanl.nemsio - $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' - fhbeg=00 - ;; - nflges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' - ;; - nflgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$ghp3.nemsio - $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$ghp3.nemsio - $COMINgfs/gfs.t${cyc}z.flxf$ghp3.nemsio' - ;; - nflcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' - fhbeg=00 - ;; - esac -fi - -# Check validity of options. -if [[ $fhour != any ]];then - fhbeg=$fhour - fhend=$fhour -fi -if [[ $valid -lt 20000000 ]];then - valid=20$valid - echo '************************************************************' >&2 - echo '* WARNING: A 2-digit year was converted to a 4-digit year. *' >&2 - echo '* Please use full a 4-digit year in this utility. *' >&2 - echo '************************************************************' >&2 -fi -if [[ $($NDATE 0 $valid 2>/dev/null) != $valid ]];then - echo getges.sh: invalid date $valid >&2 - exit 2 -fi -if [[ -z "$geslist" ]];then - echo getges.sh: filetype $typef or resolution $resol not recognized >&2 - exit 2 -fi - -#------------------------------------------------------------------------------- -# Loop until guess is found. -fh=$fhbeg -if [ -z "$PDY" ];then echo "getges.sh WARNING: \$PDY variable not set" >&2; fi -while [[ $fh -le $fhend ]];do - ((fhm6=10#$fh-6)) - [[ $fhm6 -lt 10 && $fhm6 -ge 0 ]]&&fhm6=0$fhm6 - ((fhm5=10#$fh-5)) - [[ $fhm5 -lt 10 && $fhm5 -ge 0 ]]&&fhm5=0$fhm5 - ((fhm4=10#$fh-4)) - [[ $fhm4 -lt 10 && $fhm4 -ge 0 ]]&&fhm4=0$fhm4 - ((fhm3=10#$fh-3)) - [[ $fhm3 -lt 10 && $fhm3 -ge 0 ]]&&fhm3=0$fhm3 - ((fhm2=10#$fh-2)) - [[ $fhm2 -lt 10 && $fhm2 -ge 0 ]]&&fhm2=0$fhm2 - ((fhm1=10#$fh-1)) - [[ $fhm1 -lt 10 && $fhm1 -ge 0 ]]&&fhm1=0$fhm1 - ((fhp1=10#$fh+1)) - [[ $fhp1 -lt 10 ]]&&fhp1=0$fhp1 - ((fhp2=10#$fh+2)) - [[ $fhp2 -lt 10 ]]&&fhp2=0$fhp2 - ((fhp3=10#$fh+3)) - [[ $fhp3 -lt 10 ]]&&fhp3=0$fhp3 - gh=$fh;[[ $gh -lt 100 ]]&&gh=0$gh - ghm6=$fhm6;[[ $ghm6 -lt 100 ]]&&ghm6=0$ghm6 - ghm5=$fhm5;[[ $ghm5 -lt 100 ]]&&ghm5=0$ghm5 - ghm4=$fhm4;[[ $ghm4 -lt 100 ]]&&ghm4=0$ghm4 - ghm3=$fhm3;[[ $ghm3 -lt 100 ]]&&ghm3=0$ghm3 - ghm2=$fhm2;[[ $ghm2 -lt 100 ]]&&ghm2=0$ghm2 - ghm1=$fhm1;[[ $ghm1 -lt 100 ]]&&ghm1=0$ghm1 - ghp1=$fhp1;[[ $ghp1 -lt 100 ]]&&ghp1=0$ghp1 - ghp2=$fhp2;[[ $ghp2 -lt 100 ]]&&ghp2=0$ghp2 - ghp3=$fhp3;[[ $ghp3 -lt 100 ]]&&ghp3=0$ghp3 - id=$($NDATE -$fh $valid) - typeset -L8 day=$id - typeset -R2 cyc=$id - eval list=\$getlist$fh - [[ -z "$list" ]]&&list=${geslist} - for ges_var in $list;do - # Replace variables in guess with their values - eval ges_val=$ges_var - # Replace the current PDY with the valid date - ges=${ges_val/$PDY\//$day/} - [[ $quiet = NO ]]&&echo Checking: $ges >&2 - [[ -r $ges ]]&&break 2 - done - fh=$((10#$fh+10#$fhinc)) - [[ $fh -lt 10 ]]&&fh=0$fh -done -if [[ $fh -gt $fhend ]];then - echo getges.sh: unable to find $netwk.$envir.$typef.$resol.$valid >&2 - exit 8 -fi - -#------------------------------------------------------------------------------- -# Either copy guess to a file or write guess name to standard output. -if [[ -z "$gfile" ]];then - echo $ges - exit $? -else - cp $ges $gfile - exit $? -fi diff --git a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/getges_sig.sh b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/getges_sig.sh deleted file mode 100755 index ee050d4..0000000 --- a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/getges_sig.sh +++ /dev/null @@ -1,3000 +0,0 @@ -#!/bin/ksh -################################################################################ -# -# Name: getges.sh Author: Mark Iredell -# -# Abstract: -# This script copies the valid global guess file to a given file. -# Alternatively, it writes the name of the guess file to standard output. -# Specify option "-n network" for the job network (default global). -# Other options are gdas, gfs, cdas, mrf, prx, etc. -# Specify option "-e environment" for the job environment (default prod). -# Another option is test. -# Specify option "-f fhour" for the specific forecast hour wanted (default any). -# Specify option "-q" for quiet mode to turn off script messages. -# Specify option "-r resolution" for the resolution wanted (default high). -# Other options are 25464 17042, 12628, low, 6228, namopl, any. -# Specify option "-t filetype" for the filetype wanted from among these choices: -# sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3, -# sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3, -# biascr, satang, satcnt, gesfil -# pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3, -# sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl, -# enggrb, enggri, icegrb, icegri, snogrb, snogrb_high, snogri, sstgrb, sstgri. -# Specify option "-v valid" for the valid date wanted (default $CDATE). -# Currently, the valid hours specified must be a multiple of 3. -# Either 2-digit or 4-digit years are currently allowed. -# Specify positional argument to be the file to which to copy the guess. -# If missing, the NAME of the guess file is written to standard output. -# A nonzero return code from this script means either the arguments are invalid -# or the guess could not be found; a message is written to standard error in -# this case, but neither a file copy nor a standard output write will be done. -# The file returned is guaranteed to exist and be readable. -# The script uses the utility commands NDATE and NHOUR. -# -# Example 1. Copy the production sigma guess for 1998100100 to the file sges. -# getges.sh -e prod -t sigges -v 1998100100 sges -# -# Example 2. Assign the pressure grib guess for the date 1998100121. -# export CDATE=1998100121 -# export XLFUNIT_12="$(getges.sh -qt pgbges||echo /dev/null)" -# -# Example 3. Get the PRX pgb analysis or the best valid guess at 1998100112. -# getges -e prx -t pgbcur -v 1998100112 pgbfile -# -# Example 5. Get the 24-hour GFS forecast sigma file valid at 1998100112. -# getges -t sigcur -v 1998100112 -f 24 -e gfs sigfile -# -# History: 1996 December Iredell Initial implementation -# 1997 March Iredell Nine new filetypes -# 1997 April Iredell Two new filetypes and -f option -# 1997 December Iredell Four new filetypes -# 1998 April Iredell 4-digit year allowed; -# sigges internal date no longer checked -# 1998 May Iredell T170L42 defaulted; four new filetypes -# and two filetypes deleted -# 1998 June Rogers Nam types added -# 1998 September Iredell high is default resolution -# 2000 March Iredell Cdas and -n option -# 2000 June Iredell Eight new filetypes -# 2002 April Treadon T254L64 defaulted; add angle dependent -# bias correction file -# 2003 March Iredell GFS network out to 384 hours -# 2003 August Iredell Hourly global guesses -# 2005 September Treadon Add satellite data count file (satcnt) -# 2006 September Gayno Add high-res snow analysis -# 2009 January Rogers Added sfluxgrb file -# 2011 April Rogers Added GFS pg2ges file -# -################################################################################ -#------------------------------------------------------------------------------- -# Set some default parameters. -fhbeg=03 # hour to begin searching backward for guess -fhinc=03 # hour to increment backward in search -fhend=384 # hour to end searching backward for guess - -#------------------------------------------------------------------------------- -# Get options and arguments. -netwk=global # default network -envir=prod # default environment -fhour=any # default forecast hour -quiet=NO # default quiet mode -resol=high # default resolution -typef=sigges # default filetype -valid=${CDATE:-'?'} # default valid date -valid=$CDATE # default valid date -err=0 -while getopts n:e:f:qr:t:v: opt;do - case $opt in - n) netwk="$OPTARG";; - e) envir="$OPTARG";; - f) fhour="$OPTARG";; - q) quiet=YES;; - r) resol="$OPTARG";; - t) typef="$OPTARG";; - v) valid="$OPTARG";; - \?) err=1;; - esac -done -shift $(($OPTIND-1)) -gfile=$1 -if [[ -z $valid ]];then - echo "$0: either -v option or environment variable CDATE must be set" >&2 -elif [[ $# -gt 1 ]];then - echo "$0: too many positional arguments" >&2 -elif [[ $err -ne 0 ]];then - echo "$0: invalid option" >&2 -fi -if [[ $gfile = '?' || $# -gt 1 || $err -ne 0 || -z $valid ||\ - $netwk = '?' || $envir = '?' || $fhour = '?' || $resol = '?' ||\ - $typef = '?' || $valid = '?' ]];then - echo "Usage: getges.sh [-n network] [-e environment] [-f fhour] [-q] [-r resolution]" >&2 - echo " [-t filetype] [-v valid] [gfile]" >&2 - if [[ $netwk = '?' ]];then - echo " network choices:" >&2 - echo " global (default), namopl, gdas, gfs, cdas, etc." >&2 - elif [[ $envir = '?' ]];then - echo " environment choices:" >&2 - echo " prod (default), test, para, dump, prx" >&2 - echo " (some network values allowed for compatibility)" >&2 - elif [[ $fhour = '?' ]];then - echo " fhour is optional specific forecast hour" >&2 - elif [[ $resol = '?' ]];then - echo " resolution choices:" >&2 - echo " high (default), 25464, 17042, 12628, low, 6228, namopl, any" >&2 - elif [[ $typef = '?' ]];then - echo " filetype choices:" >&2 - echo " sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3," >&2 - echo " sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3," >&2 - echo " sfgges, sfggp3, biascr, satang, satcnt, gesfil" >&2 - echo " pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3," >&2 - echo " sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl," >&2 - echo " enggrb, enggri, icegrb, icegri, snogrb, snogri, sstgrb, sstgri," >&2 - echo " pg2cur, pg2ges, restrt" >&2 - elif [[ $valid = '?' ]];then - echo " valid is the valid date in yyyymmddhh or yymmddhh form" >&2 - echo " (default is environmental variable CDATE)" >&2 - elif [[ $gfile = '?' ]];then - echo " gfile is the guess file to write" >&2 - echo " (default is to write the guess file name to stdout)" >&2 - else - echo " (Note: set a given option to '?' for more details)" >&2 - fi - exit 1 -fi -#[[ $quiet = NO ]]&&set -x -if [[ $envir != prod && $envir != test && $envir != para && $envir != dump && $envir != pr? && $envir != dev ]];then - netwk=$envir - envir=prod - echo '************************************************************' >&2 - echo '* CAUTION: Using "-e" is deprecated in this case. *' >&2 - echo '* Please use "-n" instead. *' >&2 - echo '************************************************************' >&2 -fi -if [[ $netwk = namopl || $resol = namopl ]];then - netwk=namopl - typef=restrt - resol=namopl -fi -[[ $resol = 57464 || $resol = 38264 || $resol = 19064 || $resol = 25464 || $resol = 17042 || $resol = 12628 ]]&&resol=high -[[ $resol = 6228 ]]&&resol=low -resolsuf="" -[[ $resol == *deg ]]&&resolsuf=.$resol -fhbeg=$($NHOUR $valid) -[[ $fhbeg -le 0 ]]&&fhbeg=03 -((fhbeg=(10#$fhbeg-1)/3*3+3)) -[[ $fhbeg -lt 10 ]]&&fhbeg=0$fhbeg -if [[ $typef = enggrb ]];then - typef=icegrb - echo '************************************************************' >&2 - echo '* CAUTION: Using "-t enggrb" is now deprecated. *' >&2 - echo '* Please use "-t icegrb". *' >&2 - echo '************************************************************' >&2 -elif [[ $typef = enggri ]];then - typef=icegri - echo '************************************************************' >&2 - echo '* CAUTION: Using "-t enggri" is now deprecated. *' >&2 - echo '* Please use "-t icegri". *' >&2 - echo '************************************************************' >&2 -fi - -#------------------------------------------------------------------------------- -# Default top level directories. -export GETGES_COM=${GETGES_COM:-${COMROOT}} -export GETGES_NWG=${GETGES_NWG:-${GESROOT}} -export GETGES_GLO=${GETGES_GLO:-/gloptmp} - -#------------------------------------------------------------------------------- -# Assemble guess list in descending order from the best guess. -geslist="" -geslist00="" - -# GDAS -if [[ $netwk = gdas ]];then - fhend=12 - case $typef in - sigges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias' - ;; - biascr_pc) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias_pc' - ;; - biascr_air) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias_air' - ;; - radstat) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.radstat' - ;; - satang) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh' - ;; - sfggp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fhp3' - ;; - pgbges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh' - ;; - pg2ges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2f$fh$resolsuf' - ;; - pgiges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2f$fh$resolsuf' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574.1152.576' - fhbeg=00 - fhinc=06 - ;; - snogrb_1534) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t1534.3072.1536' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# CFS-CDAS -elif [[ $netwk = cfs-cdas ]];then - fhend=12 - case $typef in - sigges) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fh}.LIS - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhm3}.LIS - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhm2}.LIS - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhm1}.LIS - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhp1}.LIS - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhp2}.LIS - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhp3}.LIS - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.abias' - ;; - satang) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sfluxgrbf$fh' - ;; - sfggp3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sfluxgrbf$fhp3' - ;; - pgbges) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fhm6 - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fhm6 - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fhm3 - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fhm3 - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fhp3 - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fhp3 - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# GFS -elif [[ $netwk = gfs ]];then - fhend=384 - case $typef in - sigges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' - ;; - satang) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' - ;; - sfggp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3' - ;; - pgbges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - ;; - pg2ges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f0$fh$resolsuf' - ;; - pgiges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f0$fh$resolsuf' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# GFS -elif [[ $netwk = gfs ]];then - fhend=126 - case $typef in - sigges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' - ;; - satang) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' - ;; - sfggp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3' - ;; - pgbges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - echo '************************************************************' >&2 - echo '* CAUTION: Using "-n gfs" is now deprecated. *' >&2 - echo '* Please use "-n gfs". *' >&2 - echo '************************************************************' >&2 - -# CDAS -elif [[ $netwk = cdas ]];then - fhbeg=06 - fhend=06 - case $typef in - sigges) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.abias' - ;; - satang) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# CDC CDAS -elif [[ $netwk = cdc ]];then - fhbeg=06 - fhend=06 - case $typef in - sigges) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.abias' - ;; - satang) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# MRF -elif [[ $netwk = mrf ]];then - fhend=384 - case $typef in - sigges) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias' - ;; - satang) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - echo '************************************************************' >&2 - echo '* CAUTION: Using "-n mrf" is now deprecated. *' >&2 - echo '* Please use "-n gfs". *' >&2 - echo '************************************************************' >&2 - -# PRZ -elif [[ $netwk = prz ]];then - fhend=384 - case $typef in - sigges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.abias' - ;; - satang) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm6 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm6 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - echo '************************************************************' >&2 - echo '* CAUTION: Using "-n prz" is now deprecated. *' >&2 - echo '* Please use "-n gfs". *' >&2 - echo '************************************************************' >&2 - -# High resolution production -elif [[ $netwk = global && $resol = high ]];then - case $typef in - sigges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.abias - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' - fhbeg=06 - fhinc=06 - ;; - satang) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satang - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satang - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' - fhbeg=06 - fhinc=06 - ;; - satcnt) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satcnt - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satcnt - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' - fhbeg=06 - fhinc=06 - ;; - gesfil) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.gesfile - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.gesfile - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' - ;; - sfggp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3' - ;; - pgbges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' - ;; - pg2ges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh' - ;; - pg2gm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6' - ;; - pg2gm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3' - ;; - pg2gp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3' - ;; - sigcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sanl - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sanl - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sanl - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# Low resolution production -elif [[ $netwk = global && $resol = low ]];then - case $typef in - sigges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm2 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm1 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp1 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp2 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm2 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm1 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp1 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp2 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.abias - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.abias - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.abias - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.abias' - fhbeg=06 - fhinc=06 - ;; - satang) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.satang - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satang - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.satang - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.satang' - fhbeg=06 - fhinc=06 - ;; - satcnt) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.satcnt - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satcnt - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.satcnt - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.satcnt' - fhbeg=06 - fhinc=06 - ;; - gesfil) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.gesfile - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.gesfile - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.gesfile - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' - ;; - pgbges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm6 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm6 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm6 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm6 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhp3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhp3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhp3' - ;; - pg2ges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh' - ;; - pg2gm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6' - ;; - pg2gm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3' - ;; - pg2gp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3' - ;; - sigcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sanl - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sanl - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sanl - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sfcanl - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sfcanl - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sfcanl - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - esac - -# Any resolution production -elif [[ $netwk = global && $resol = any ]];then - case $typef in - sigges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.abias - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' - fhbeg=06 - fhinc=06 - ;; - satang) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satang - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satang - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' - fhbeg=06 - fhinc=06 - ;; - satcnt) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satcnt - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satcnt - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' - fhbeg=06 - fhinc=06 - ;; - gesfil) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.gesfile - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.gesfile - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' - ;; - pgbges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhp3 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3' - ;; - pg2ges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh' - ;; - pg2gm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6' - ;; - pg2gm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3' - ;; - pg2gp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3' - ;; - sigcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sanl - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sanl - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sanl - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# Early nam-32 resolution -elif [[ $netwk = namopl && $resol = namopl ]];then - fhbeg=03 - fhinc=03 - fhend=12 - case $typef in - restrt) geslist=' - $GETGES_NWG/$envir/nam.$day/nam.t${cyc}z.restrt$fh.tm00' - ;; - esac -fi - -# Global parallel -if [[ $envir = dump || $envir = pr? ]];then - fhend=384 - if [[ $netwk = global ]];then - case $typef in - sigges) geslist=' - $GETGES_GLO/$envir/sigf$fh.gdas.$id - $GETGES_GLO/$envir/sigf$fh.gfs.$id' - ;; - siggm3) geslist=' - $GETGES_GLO/$envir/sigf$fhm3.gdas.$id - $GETGES_GLO/$envir/sigf$fhm3.gfs.$id' - ;; - siggm2) geslist=' - $GETGES_GLO/$envir/sigf$fhm2.gdas.$id - $GETGES_GLO/$envir/sigf$fhm2.gfs.$id' - ;; - siggm1) geslist=' - $GETGES_GLO/$envir/sigf$fhm1.gdas.$id - $GETGES_GLO/$envir/sigf$fhm1.gfs.$id' - ;; - siggp1) geslist=' - $GETGES_GLO/$envir/sigf$fhp1.gdas.$id - $GETGES_GLO/$envir/sigf$fhp1.gfs.$id' - ;; - siggp2) geslist=' - $GETGES_GLO/$envir/sigf$fhp2.gdas.$id - $GETGES_GLO/$envir/sigf$fhp2.gfs.$id' - ;; - siggp3) geslist=' - $GETGES_GLO/$envir/sigf$fhp3.gdas.$id - $GETGES_GLO/$envir/sigf$fhp3.gfs.$id' - ;; - sfcges) geslist=' - $GETGES_GLO/$envir/sfcf$fh.gdas.$id - $GETGES_GLO/$envir/sfcf$fh.gfs.$id' - ;; - sfcgm3) geslist=' - $GETGES_GLO/$envir/sfcf$fhm3.gdas.$id - $GETGES_GLO/$envir/sfcf$fhm3.gfs.$id' - ;; - sfcgm2) geslist=' - $GETGES_GLO/$envir/sfcf$fhm2.gdas.$id - $GETGES_GLO/$envir/sfcf$fhm2.gfs.$id' - ;; - sfcgm1) geslist=' - $GETGES_GLO/$envir/sfcf$fhm1.gdas.$id - $GETGES_GLO/$envir/sfcf$fhm1.gfs.$id' - ;; - sfcgp1) geslist=' - $GETGES_GLO/$envir/sfcf$fhp1.gdas.$id - $GETGES_GLO/$envir/sfcf$fhp1.gfs.$id' - ;; - sfcgp2) geslist=' - $GETGES_GLO/$envir/sfcf$fhp2.gdas.$id - $GETGES_GLO/$envir/sfcf$fhp2.gfs.$id' - ;; - sfcgp3) geslist=' - $GETGES_GLO/$envir/sfcf$fhp3.gdas.$id - $GETGES_GLO/$envir/sfcf$fhp3.gfs.$id' - ;; - biascr) geslist=' - $GETGES_GLO/$envir/biascr.gdas.$id - $GETGES_GLO/$envir/biascr.gfs.$id' - fhbeg=06 - fhinc=06 - ;; - satang) geslist=' - $GETGES_GLO/$envir/satang.gdas.$id - $GETGES_GLO/$envir/satang.gfs.$id' - fhbeg=06 - fhinc=06 - ;; - satcnt) geslist=' - $GETGES_GLO/$envir/satcnt.gdas.$id - $GETGES_GLO/$envir/satcnt.gfs.$id' - fhbeg=06 - fhinc=06 - ;; - gesfil) geslist=' - $GETGES_GLO/$envir/gesfile.gdas.$id - $GETGES_GLO/$envir/gesfile.gfs.$id' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $GETGES_GLO/$envir/pgbf$fh.gdas.$id - $GETGES_GLO/$envir/pgbf$fh.gfs.$id' - ;; - pgbgm6) geslist=' - $GETGES_GLO/$envir/pgbf$fhm6.gdas.$id - $GETGES_GLO/$envir/pgbf$fhm6.gfs.$id' - ;; - pgbgm3) geslist=' - $GETGES_GLO/$envir/pgbf$fhm3.gdas.$id - $GETGES_GLO/$envir/pgbf$fhm3.gfs.$id' - ;; - pgbgp3) geslist=' - $GETGES_GLO/$envir/pgbf$fhp3.gdas.$id - $GETGES_GLO/$envir/pgbf$fhp3.gfs.$id' - ;; - sigcur) geslist=' - $GETGES_GLO/$envir/sigf$fh.gdas.$id - $GETGES_GLO/$envir/sigf$fh.gfs.$id' - getlist00=' - $GETGES_GLO/$envir/siganl.gdas.$id - $GETGES_GLO/$envir/siganl.gfs.$id' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_GLO/$envir/sfcf$fh.gdas.$id - $GETGES_GLO/$envir/sfcf$fh.gfs.$id' - getlist00=' - $GETGES_GLO/$envir/sfcanl.gdas.$id - $GETGES_GLO/$envir/sfcanl.gfs.$id' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_GLO/$envir/pgbf$fh.gdas.$id - $GETGES_GLO/$envir/pgbf$fh.gfs.$id' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_GLO/$envir/prepqc.gdas.$id - $GETGES_GLO/$envir/prepqc.gfs.$id' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_GLO/$envir/tcvitl.gdas.$id - $GETGES_GLO/$envir/tcvitl.gfs.$id' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_GLO/$envir/tcvitl.gdas.$id - $GETGES_GLO/$envir/tcvitl.gfs.$id' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_GLO/$envir/tcvitl.gdas.$id - $GETGES_GLO/$envir/tcvitl.gfs.$id' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_GLO/$envir/icegrb.gdas.$id - $GETGES_GLO/$envir/icegrb.gfs.$id' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_GLO/$envir/snogrb.gdas.$id - $GETGES_GLO/$envir/snogrb.gfs.$id' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_GLO/$envir/sstgrb.gdas.$id - $GETGES_GLO/$envir/sstgrb.gfs.$id' - fhbeg=00 - fhinc=06 - ;; - esac - else - case $typef in - sigges) geslist=' - $GETGES_GLO/$envir/sigf$fh.$netwk.$id' - ;; - siggm3) geslist=' - $GETGES_GLO/$envir/sigf$fhm3.$netwk.$id' - ;; - siggm2) geslist=' - $GETGES_GLO/$envir/sigf$fhm2.$netwk.$id' - ;; - siggm1) geslist=' - $GETGES_GLO/$envir/sigf$fhm1.$netwk.$id' - ;; - siggp1) geslist=' - $GETGES_GLO/$envir/sigf$fhp1.$netwk.$id' - ;; - siggp2) geslist=' - $GETGES_GLO/$envir/sigf$fhp2.$netwk.$id' - ;; - siggp3) geslist=' - $GETGES_GLO/$envir/sigf$fhp3.$netwk.$id' - ;; - sfcges) geslist=' - $GETGES_GLO/$envir/sfcf$fh.$netwk.$id' - ;; - sfcgm3) geslist=' - $GETGES_GLO/$envir/sfcf$fhm3.$netwk.$id' - ;; - sfcgm2) geslist=' - $GETGES_GLO/$envir/sfcf$fhm2.$netwk.$id' - ;; - sfcgm1) geslist=' - $GETGES_GLO/$envir/sfcf$fhm1.$netwk.$id' - ;; - sfcgp1) geslist=' - $GETGES_GLO/$envir/sfcf$fhp1.$netwk.$id' - ;; - sfcgp2) geslist=' - $GETGES_GLO/$envir/sfcf$fhp2.$netwk.$id' - ;; - sfcgp3) geslist=' - $GETGES_GLO/$envir/sfcf$fhp3.$netwk.$id' - ;; - biascr) geslist=' - $GETGES_GLO/$envir/biascr.$netwk.$id' - fhbeg=06 - fhinc=06 - ;; - satang) geslist=' - $GETGES_GLO/$envir/satang.$netwk.$id' - fhbeg=06 - fhinc=06 - ;; - satcnt) geslist=' - $GETGES_GLO/$envir/satcnt.$netwk.$id' - fhbeg=06 - fhinc=06 - ;; - gesfil) geslist=' - $GETGES_GLO/$envir/gesfile.$netwk.$id' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $GETGES_GLO/$envir/pgbf$fh.$netwk.$id' - ;; - pgbgm6) geslist=' - $GETGES_GLO/$envir/pgbf$fhm6.$netwk.$id' - ;; - pgbgm3) geslist=' - $GETGES_GLO/$envir/pgbf$fhm3.$netwk.$id' - ;; - pgbgp3) geslist=' - $GETGES_GLO/$envir/pgbf$fhp3.$netwk.$id' - ;; - sigcur) geslist=' - $GETGES_GLO/$envir/sigf$fh.$netwk.$id' - getlist00=' - $GETGES_GLO/$envir/siganl.$netwk.$id' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_GLO/$envir/sfcf$fh.$netwk.$id' - getlist00=' - $GETGES_GLO/$envir/sfcanl.$netwk.$id' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_GLO/$envir/pgbf$fh.$netwk.$id' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_GLO/$envir/prepqc.$netwk.$id' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_GLO/$envir/tcvitl.$netwk.$id' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_GLO/$envir/tcvitl.$netwk.$id' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_GLO/$envir/tcvitl.$netwk.$id' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_GLO/$envir/icegrb.$netwk.$id' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_GLO/$envir/snogrb.$netwk.$id' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_GLO/$envir/sstgrb.$netwk.$id' - fhbeg=00 - fhinc=06 - ;; - esac - fi -fi - -#------------------------------------------------------------------------------- -# Check validity of options. -if [[ $fhour != any ]];then - fhbeg=$fhour - fhend=$fhour -fi -if [[ $valid -lt 20000000 ]];then - valid=20$valid - echo '************************************************************' >&2 - echo '* CAUTION: A 2-digit year was converted to a 4-digit year. *' >&2 - echo '* Please use full a 4-digit year in this utility. *' >&2 - echo '************************************************************' >&2 -elif [[ $valid -lt 100000000 ]];then - valid=19$valid - echo '************************************************************' >&2 - echo '* CAUTION: A 2-digit year was converted to a 4-digit year. *' >&2 - echo '* Please use full a 4-digit year in this utility. *' >&2 - echo '************************************************************' >&2 -fi -if [[ $($NDATE 0 $valid 2>/dev/null) != $valid ]];then - echo getges.sh: invalid date $valid >&2 - exit 2 -fi -if [[ -z $geslist ]];then - echo getges.sh: filetype $typef or resolution $resol not recognized >&2 - exit 2 -fi - -#------------------------------------------------------------------------------- -# Loop until guess is found. -fh=$fhbeg -while [[ $fh -le $fhend ]];do - ((fhm6=10#$fh-6)) - [[ $fhm6 -lt 10 && $fhm6 -ge 0 ]]&&fhm6=0$fhm6 - ((fhm3=10#$fh-3)) - [[ $fhm3 -lt 10 && $fhm3 -ge 0 ]]&&fhm3=0$fhm3 - ((fhm2=10#$fh-2)) - [[ $fhm2 -lt 10 && $fhm2 -ge 0 ]]&&fhm2=0$fhm2 - ((fhm1=10#$fh-1)) - [[ $fhm1 -lt 10 && $fhm1 -ge 0 ]]&&fhm1=0$fhm1 - ((fhp1=10#$fh+1)) - [[ $fhp1 -lt 10 ]]&&fhp1=0$fhp1 - ((fhp2=10#$fh+2)) - [[ $fhp2 -lt 10 ]]&&fhp2=0$fhp2 - ((fhp3=10#$fh+3)) - [[ $fhp3 -lt 10 ]]&&fhp3=0$fhp3 - id=$($NDATE -$fh $valid) - typeset -L8 day=$id - typeset -R2 cyc=$id - eval list=\$getlist$fh - [[ -z $list ]]&&list=${geslist} - for gestest in $list;do - eval ges=$gestest - [[ $quiet = NO ]]&&echo Checking: $ges >&2 - [[ -r $ges ]]&&break 2 - done - fh=$((10#$fh+10#$fhinc)) - [[ $fh -lt 10 ]]&&fh=0$fh -done -if [[ $fh -gt $fhend ]];then - echo getges.sh: unable to find $netwk.$envir.$typef.$resol.$valid >&2 - exit 8 -fi - -#------------------------------------------------------------------------------- -# Either copy guess to a file or write guess name to standard output. -if [[ -z "$gfile" ]];then - echo $ges - exit $? -else - cp $ges $gfile - exit $? -fi diff --git a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcbufr.sh b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcbufr.sh deleted file mode 100755 index 4448067..0000000 --- a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcbufr.sh +++ /dev/null @@ -1,127 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - - -# This script performs rawinsonde upper-air complex quality control checking -# -# It is normally executed by the script prepobs_makeprepbufr.sh -# but can also be executed from a checkout parent script -# -------------------------------------------------------------------------- - -set -aux - -qid=$$ - -# Positional parameters passed in: -# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr -# file upon successful completion of this script (note that input -# prepbufr file is NOT saved by this script) - -# Imported variables that must be passed in: -# DATA - path to working directory -# CQCS - path to PREPOBS_CQCBUFR program statbge file -# CQCX - path to PREPOBS_CQCBUFR program executable -# CQCC - path to PREPOBS_CQCBUFR program parm cards - -# Imported variables that can be passed in: -# pgmout - string indicating path to for standard output file -# (skipped over by this script if not passed in) -# PRPI_m24 - string indicating path to prepbufr file valid 24-hours previous -# (only needed if temporal checking is being done) -# (skipped over by this script if not passed in) -# PRPI_m12 - string indicating path to prepbufr file valid 12-hours previous -# (only needed if temporal checking is being done) -# (skipped over by this script if not passed in) -# PRPI_p12 - string indicating path to prepbufr file valid 12-hours ahead -# (only needed if temporal checking is being done) -# (skipped over by this script if not passed in) -# PRPI_p24 - string indicating path to prepbufr file valid 24-hours ahead -# (only needed if temporal checking is being done) -# (skipped over by this script if not passed in) - -cd $DATA -PRPI=$1 -if [ ! -s $PRPI ] ; then exit 1 ;fi - -cp /dev/null $DATA/prepbufr_m24 -cp /dev/null $DATA/prepbufr_m12 -cp /dev/null $DATA/prepbufr_p12 -cp /dev/null $DATA/prepbufr_p24 - -set +u -[ -n "$PRPI_m24" ] && cp $PRPI_m24 prepbufr_m24 -[ -n "$PRPI_m12" ] && cp $PRPI_m12 prepbufr_m12 -[ -n "$PRPI_p12" ] && cp $PRPI_p12 prepbufr_p12 -[ -n "$PRPI_p24" ] && cp $PRPI_p24 prepbufr_p24 -set -u - -rm $PRPI.cqcbufr -rm cqc_events cqc_stncnt cqc_stnlst - -pgm=`basename $CQCX` -if [ -s $DATA/prep_step ]; then - set +u - . $DATA/prep_step - set -u -else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -fi - -export FORT4=cqcbufr.unit04.wrk -export FORT12=cqc_events -export FORT14=$PRPI -export FORT15=cqc_stncnt -export FORT16=cqc_stnlst -export FORT17=prepbufr_m24 -export FORT18=prepbufr_m12 -export FORT19=prepbufr_p12 -export FORT20=prepbufr_p24 -export FORT22=cqc_wndpbm -export FORT23=$CQCS -export FORT51=$PRPI.cqcbufr -export FORT52=cqc_sdm -export FORT60=cqcbufr.unit60.wrk -export FORT61=cqcbufr.unit61.wrk -export FORT62=cqcbufr.unit62.wrk -export FORT64=cqcbufr.unit64.wrk -export FORT68=cqc_radcor -export FORT80=cqcbufr.unit80.wrk -TIMEIT=${TIMEIT:-""} -[ -s $DATA/time ] && TIMEIT="$DATA/time -p" -# The following improves performance on Cray-XC40 if $CQCX was -# linked to the IOBUF i/o buffering library -export IOBUF_PARAMS='*wrk:verbose,*cqc_*:verbose' -$TIMEIT $CQCX< $CQCC > outout 2> errfile -err=$? -unset IOBUF_PARAMS -###cat errfile -cat errfile >> outout -cat outout >> cqcbufr.out -set +u -[ -n "$pgmout" ] && cat outout >> $pgmout -set -u -rm outout -set +x -echo -echo 'The foreground exit status for PREPOBS_CQCBUFR is ' $err -echo -set -x -if [ -s $DATA/err_chk ]; then - $DATA/err_chk -else - if test "$err" -gt '0' - then -######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out - # in ops - exit 55 - fi -fi - -if [ "$err" -gt '0' ]; then - exit 9 -else - mv $PRPI.cqcbufr $PRPI -fi - -exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcvad.sh b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcvad.sh deleted file mode 100755 index bb8f950..0000000 --- a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcvad.sh +++ /dev/null @@ -1,101 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - - -# This script performs VAD wind complex quality control checking -# -# It is normally executed by the script prepobs_makeprepbufr.sh -# but can also be executed from a checkout parent script -# -------------------------------------------------------------- - -set -aux - -qid=$$ - -# Positional parameters passed in: -# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr -# file upon successful completion of this script (note that input -# prepbufr file is NOT saved by this script) -# 2 - ncep production date (YYYYMMDDHH) - -# Imported variables that must be passed in: -# DATA - path to working directory -# VQCX - path to PREPOBS_CQCVAD program executable - -# Imported variables that can be passed in: -# pgmout - string indicating path to for standard output file (skipped -# over by this script if not passed in) - -cd $DATA -PRPI=$1 -if [ ! -s $PRPI ] ; then exit 1;fi -CDATE10=$2 - -set +x -cat <<\EOFc > cqcvad05 - &NAMLST - HONOR_FLAGS=TRUE, ! If TRUE then levels with bad q.m. flags are honored - PRINT_52=TRUE, ! If TRUE then writes bird quality control information - ! to unit 52 - PRINT_53=FALSE, ! If TRUE then writes a final report listing with q.c. - ! information to unit 53 - PRINT_60=FALSE, ! If TRUE then writes event information to unit 60 - TEST=FALSE ! If TRUE then writes diagnostic print to stdout (unit 06) - / -EOFc -set -x - -rm $PRPI.cqcvad - -pgm=`basename $VQCX` -if [ -s $DATA/prep_step ]; then - set +u - . $DATA/prep_step - set -u -else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -fi - -export FORT11=$PRPI -echo "$CDATE10" > cdate10.dat -export FORT14=cdate10.dat -export FORT51=$PRPI.cqcvad -export FORT52=cqcvad.birdqc -export FORT53=cqcvad.unit53.wrk -export FORT55=cqcvad.unit55.wrk -export FORT60=cqcvad.unit60.wrk -TIMEIT=${TIMEIT:-""} -[ -s $DATA/time ] && TIMEIT="$DATA/time -p" -$TIMEIT $VQCX < cqcvad05 > outout 2> errfile -err=$? -###cat errfile -cat errfile >> outout -cat outout >> cqcvad.out -set +u -[ -n "$pgmout" ] && cat outout >> $pgmout -set -u -rm outout -set +x -echo -echo 'The foreground exit status for PREPOBS_CQCVAD is ' $err -echo -set -x -if [ -s $DATA/err_chk ]; then - $DATA/err_chk -else - if test "$err" -gt '0' - then -######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out - # in ops - exit 55 - fi -fi - -if [ "$err" -gt '0' ]; then - exit 9 -else - mv $PRPI.cqcvad $PRPI -fi - -exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_makeprepbufr.sh b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_makeprepbufr.sh deleted file mode 100755 index ff85639..0000000 --- a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_makeprepbufr.sh +++ /dev/null @@ -1,2466 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - -#### UNIX Script Documentation Block -# -# Script name: prepobs_makeprepbufr.sh -# Script description: Prepares & quality controls PREPBUFR file -# -# Author: Keyser Org: EMC Date: 2017-04-20 -# -# Abstract: This script creates the PREPBUFR file containing observational data -# assimilated by all versions of NCEP atmospheric analyses. It points to BUFR -# observational data dumps as input files. PREPOBS_PREPDATA combines them to -# generate an initial form of the PREPBUFR file which also contains the -# background guess as well as the observational error field. If tropical -# cyclone relocation processing has previously occurred, the background global -# guess read in by PREPOBS_PREPDATA (and later by SYNDAT_SYNDATA if it runs) -# is the relocated guess valid at the center date/time for the PREPBUFR -# processing. Otherwise it is the regular (unrelocated) global atmosperic -# guess obtained via the getges utility script. After PREPOBS_PREPDATA runs, -# this script can execute SYNDAT_SYNDATA to generate synthetic wind bogus -# data, as well as their background guess and observational error fields, -# which are appended to the PREPBUFR file. -# -# In the global networks the decision to append synthetic wind bogus data in -# the SYNDATA processing is determined by the outcome of the previous -# tropical cyclone relocation processing (if it was run). There are three -# possible outcomes: -# 1) If all storms in the original tcvitals file have vorticies of at -# least medium intensity such that a relocation was previously -# performed for each, then SYNDAT_SYNDATA will still run but will not -# append synthetic wind bogus data to the PREPBUFR file for any storm. -# It will input the original tcvitals file (output from qctropcy -# processing) and (if the option is set) it will flag dropwinsonde -# winds in the vicinity of each tropical storm in the file. -# 2) If all storms in the original tcvitals file (output from qctropcy -# processing) have weak vorticies such that a relocation was not -# previously performed for any, then SYNDAT_SYNDATA will run, inputting -# the original tcvitals file, and it will append synthetic wind bogus -# data to the PREPBUFR file for each storm in it. It will also -# possibly flag mass pressure and/or dropwinsonde wind reports in the -# vicinity of each storm (if requested). -# 3) If some storms in the original tcvitals file (output from qctropcy -# processing) have weak vorticies, such that a relocation was not -# previously performed for them, and others have vorticies of at least -# medium intensity, such that a relocation was previously performed for -# these, then SYNDAT_SYNDATA will run twice. The first time, it will -# input the relocation-generated tcvitals file, which contains all of -# the weak storms, and it will append synthetic wind bogus data to the -# PREPBUFR file for each storm in it. It will also possibly flag mass -# pressure and/or dropwinsonde wind reports in the vicinity of each of -# these storms (if requested). The second time SYNDAT_SYNDATA runs, it -# will input any storm records that were in the original tcvitals file -# but not in the relocation-generated tcvitals file (i.e., storms with -# vorticies of at least medium intensity). It will not append -# synthetic wind bogus data to the PREPBUFR file for any of these -# storms, but it will flag dropwinsonde winds in the vicinity of each -# storm in the original tcvitals file but not in the relocation- -# generated tcvitals file (if requested). -# If this is the nam network, the only reason relocation processing would -# have been previously run would be to update the first guess read in here by -# PREPOBS_PREPDATA and SYNDAT_SYNDATA. In this case, SYNDAT_SYNDATA inputs -# the original tcvitals file (output from qctropcy processing), appends -# synthetic wind bogus data to the PREPBUFR file for each storm in it, and -# possibly also flags mass pressure and/or dropwinsonde wind reports in the -# vicinity of each storm in the file (if requested). -# -# After all of this, the script then executes a series of quality control -# programs which can change the observation value and/or its quality marker. -# The PREPBUFR file is set up such that all changes to data are stacked on -# top of previous values. Such changes are considered to be "events", with -# the event containing an associated program code and reason code to describe -# it. This allows the PREPBUFR file to internally contain a record of all -# events preformed on the observations. This script has been designed to be -# executed by either an "operational J-job" script, a "test J-job" script, a -# "parallel J-job" script, or a stand-alone batch run initiated by a user. -# -# Script history log: -# 1999-07-20 Dennis A. Keyser -- Original version for implementation -# 2000-06-14 Dennis A. Keyser -- Added the tropical cyclone relocation -# processing -# 2001-03-20 Dennis A. Keyser -- Now gets tcvitals file for t-12 as well as -# t-06 in tropical cyclone relocation processing and passes both to ush -# relocate_relocate_ts.sh as new pos. parameters 3 and 4 -# 2004-01-15 Dennis A. Keyser -- Reads file *aircar_status_flag* in $COMSP -# path to see whether ARINC (primary) or AFWA (backup) reports in AIRCAR -# dump should be read and processed as ACARS data in PREPBUFR (flag file -# generated in upstream dump process and is based on a comparison of report -# counts), inserts proper switch in parm cards read by PREPOBS_PREPDATA -# program -# 2004-08-30 Dennis A. Keyser -- Connects new data dumps to PREPDATA -# processing, copies t-3 and t+3 sigma guess files for GFS and GDAS even if -# DO_RELOCATE = NO (unless GETGUESS = NO); Copies the "PRE-QC" snapshot of -# the PREPBUFR file AFTER SYNDAT_SYNDATA runs (if it does) rather than -# before it runs (ensures that the PRE-QC PREPBUFR file contains ALL of the -# observations); Variable PRVT (observational error table file path) is now -# read by NAM network and defaults to $FIXPREP/prepobs_errtable.nam if not -# imported (obs. errors are now read into PREPBUFR file in NAM network in -# preparation for the switch to the GSI analysis, the operational 3DVAR -# analysis ignores the obs errors in PREPBUFR and still reads them in from -# $PARMPREP/nam_errtable.r3dv) -# 2005-07-01 Dennis A. Keyser -- Logic changes to run SYNDAT_SYNDATA in all -# networks where requested regardless of outcome of relocation processing, -# but sets new script variable DO_BOGUS to NO if SYNDAT_SYNDATA is to NOT -# generate synthetic wind bogus reports and append them to PREPBUFR file -# (SYNDAT_SYNDATA program also modified to read in this variable) - change -# needed because (if requested) SYNDAT_SYNDATA will now flag all -# dropwinsonde wind reports in vicinity of each storm in original tcvitals -# file, regardless of whether or not bogus winds are generated -# 2006-03-22 Dennis A. Keyser -- Accounts for possibility of split dump status -# files (status1 and status2) at the time this runs {in the test for the -# presence of the dump status file(s)} -# 2006-06-13 Dennis A. Keyser -- Adds dump file "wdsatr" to default BUFRLIST -# value and assigns it to unit 38 read in to PREPOBS_PREPDATA; Removed -# tropical cyclone relocation processing, this is now done (if requested) -# in a new script called tropcy_relocate.sh which runs in the new -# TROPCY_QC_RELOC job prior to the PREP job that executes this script -# (TROPCY_QC_RELOC first performs qctropcy processing, this was moved from -# the DUMP job) - this was done to allow the TROPCY_QC_RELOC job to run at -# the same time as the DUMP job in order to speed up overall obs -# processing and remove variability in the PREP job executing this script -# (i.e., this job had run faster when no tropical storms were present) -# 2007-09-14 Dennis A. Keyser -- Replaced "export XLFUNIT_xx=" with -# "ln .sf fort.xx" in preparation for PREPOBS_PREPDATA -# interfacing with global spectral guess files using sigio routines (via -# W3LIB routine GBLEVENTS - sigio requires explicit open statements in the -# code and this conflicts with XLFUNIT statements; Removed test on -# existence of status2 file from NAM_DUMP2 and NDAS_DUMP2 jobs since dump -# files here (currently only "nexrad") are not processed into the PREPBUFR -# file - PREP job initiation may soon no longer be dependent upon -# completion of DUMP2 job in NAM and NDAS networks; in the case where an -# input (normally, pre-QC) PREPBUFR file is passed into the script via the -# variable PREPBUFR_IN, it had been assumed that this file had already -# been run through SYNDATA processing (but that was not the case prior to -# 12Z 25 Jan 2005) - this script changed to use the value of variable -# SYNDATA to determine if the file in PREPBUFR_IN should be run through -# SYNDATA processing (i.e., if SYNDATA=YES, run it through SYNDATA -# processing) (this change will allow reanalysis runs prior to 12Z 25 Jan -# 2005 to work properly) -# 2008-09-25 Dennis A. Keyser -- Added dump file "ascatw" to default BUFRLIST -# value and assigns it to unit 39 read in to PREPOBS_PREPDATA; in -# preparation for future NRL aircraft QC code NRLACQC, added new script -# variables NRLACQC (def=NO), USHNQC (def=${HOMEALL}/ush), NQCX -# (def=$EXECPREP/prepobs_nrlacqc) and NQCC -# (def=$PARMPREP/prepobs_nrlacqc.${NET}.parm"), if NRLACQC=YES will -# execute script USHNQC to perform NRL aircraft QC (not yet ready) -# 2011-10-14 D.A. Keyser -- Updated to handle new "rap" (Rapid Refresh) -# network and its model runs "rap", "rap_p" and "rap_e" -# 2012-05-09 S. Bender/D. Keyser -- Removed all prior references to "NRL" -# aircraft QC script variables (never actually used) since the NRL -# aircraft QC nomenclature is being dropped in place of the existing -# PREPACQC nomenclature and will use its existing script variables; -# removed all references to the ACARSQC processing since it is no longer -# executed (ACARS QC is now performed within the revamped PREPACQC -# processing); removed script variables no longer used by the new version -# of the PREPACQC processing; added new script variables which are -# associated with the new program PREPOBS_PREPACPF which now runs as a -# second program within the PREPACQC processing (after program -# PREPOBS_PREPACQC) in the ush script prepobs_prepacqc.sh {PROCESS_ACQC -# (def=YES), PROCESS_ACPF (def=YES), DICTPREP (def=$HOMEALL/dictionaries), -# DICT (def=$DICTPREP/metar.tbl), APFX (def=$EXECPREP/prepobs_prepacpf), -# and the new second argument "$DATA/adpsfc" passed to -# prepobs_prepacqc.sh} -# 2013-03-05 D.A. Keyser -- Modified to properly run on WCOSS system: replaced -# all usage of "timex" with "time -p."; replaced script variables -# XLFUNIT_n with FORTn (where n is the unit number connected to the -# filename defined by the variable FORTn) - needed because ifort uses -# FORTn; script is now set to run under ksh shell as the default; added -# script variable "BACK" which, when YES, threads the mp_prepdata herefile -# into background shells that run simultaneously (an alternative option -# to poe which is not ready on WCOSS); touches all dump files not included -# in BUFRLIST so that they will not cause a read error if PREPOBS_PREPDATA -# tries to read them -# 2014-01-15 S. Melchior -- Placed into new OBSPROC_PREP vertical directory -# structure/environmental equivalence paradigm. As a result: imports new -# environment variable $HOMEobsproc_prep which points to directory path for -# generic prep subdirectories under version control (in production this is -# normally /nwprod/obsproc_prep.vX.Y.Z where X.Y.Z is version number being -# used, usually the latest); and imports new environment variable -# $HOMEobsproc_network which points to directory path for network-specific -# prep subdirectories under version control (in production this is normally -# /nwprod//obsproc_NETWORK.vX.Y.Z where NETWORK is, e.g., global, namp, rap, -# rtma, urma, and X.Y.Z is version number being used, usually the latest) - -# these replace /nw${envir} in order to point to files moved from -# horizontal to vertical directory structure. -# 2014-02-25 D.A. Keyser -- Removed all references to RUC. Removed option -# to run on MACHINE=sgi - this is obsolete (as a result variables $MACHINE -# and $HOMEALL are no longer used in this script). Replaced variable -# $EXECUTIL with $utilexec for directory path to utility program ndate -# (both were exported from job scripts with same value, $EXECUTIL has now -# been removed from all job scripts). Removed all references to "cdc" -# network (this is obsolete). -# 2014-07-23 D.A. Keyser -- Imported script environment variable DICTPREP now -# defaults to new vertical structure directory path location for metar.tbl -# dictionary, /nw${envir}/decoders/decod_shared/dictionaries, rather than -# old horizontal structure location, /nw${envir}/dictionaries (the latter -# will be removed in September 2014). -# 2016-02-05 JWhiting -- Use NCO-established variables to point to root -# directories for main software components and input/output directories in -# order to run on WCOSS Phase 1 or Phase 2 (here, $COMROOT which replaces -# hardwire to "/com", $NWROOT which replaces hardwire to "/nwprod" in -# comments only). Use NCO-established variables (presumably obtained from -# modules) to point to prod utilities [here, $NDATE from module prod_util -# (default or specified version, loaded in each network which executes this -# script) which replaces executable ndate in non-versioned, horizontal -# structure utility directory path defined by imported variable $utilexec]. -# 2016-04-29 D.A. Keyser -- Updated logic such that when tropical cyclone -# relocation has not run, a first guess is required, the network is gfs or -# gdas, but the cycle time is not 00, 06, 12 or 18z, no attempt will be -# made to obtain a guess 3-hrs before and after cycle time (since it can -# fail). Instead this is treated the same as any 3- or 1-hrly cycle run -# (like rap, e.g.) meaning two guess files will be obtained at the -# spanning 3 hour interval around any cycle time not a multiple of 3 hrs. -# BENEFIT: Allows future hourly WAM model to run properly. -# 2016-07-12 D.C. Stokes -- Reinstated poe option to run multiple instances -# of the PREPDATA processing script in parallel. New variable $launcher -# defines the parallel scripting launch mechanism (description below). -# Added logic to create scaled down versions of err_chk and err_exit -# scripts if they don't exist in the working directory and eliminated -# similar blocks of logic that had been repeated throughout the script. -# Updated USHGETGES default to pick up more recent versions of getges.sh. -# 2017-02-07 D.C. Stokes -- Updated to run on Cray-XC40 as well as iDataPlex. -# If on Cray-XC40, default parallel scripting launching mechanism is cfp -# inovked by aprun. Variable name used for launching mechanism changed from -# "launcher" to "launcher_PREP". Variable COMDATEROOT is now the primary -# default for the root of the directory containing NCEP date files. The -# variable NWROOTp1 is now the default root for directory DICTPREP. Logic -# used to determine if $COMSP points to production "com" directory was -# updated to recognize full path name (as needed on luna/surge). -# 2017-03-19 D.C. Stokes/D.A. Keyser -- Updated to input nemsio atmopheric -# guess files -or- the older sigio atmospheric files. The nemsio option -# is triggered by flag NEMSIO_IN=.true. For nemsio runs, a single guess -# file valid at the prepbufr center time is picked up, even for runs with -# center time that is not a multiple of 3. Also the dbn_alert subtype is -# now dependent upon $RUN (for transition from "gdas1" to "gdas"). -# 2017-04-20 D.C. Stokes -- Relocated assignments of variable stype to ensure -# it always passes the proper value to the getges utility script. -# -# -# Usage: prepobs_makeprepbufr.sh yyyymmddhh -# -# Input script positional parameters: -# 1 String indicating the center date/time for the PREPBUFR -# processing - if missing, then this time -# is obtained from the ${COMDATEROOT}/date/$cycle file -# -# Imported Shell Variables: -# -# These must ALWAYS be exported to this script by the parent script -- -# -# COMROOT Root to input/output "com" directory (in production, -# normally "/com", "/com2", or "/gpfs/hps/nco/ops/com") -# NSPLIT Number of parts into which the PREPDATA processing shell -# script (herefile MP_PREPDATA) will be split in order to -# run in parallel for computational efficiency (either using -# multiple tasks when POE is not "NO" or in background threads -# when BACK is "YES") -# NOTE : This is required ONLY if the imported shell variable -# POE is not "NO" (see below) or the imported shell -# variable BACK is "YES" (see below) (i.e., a parallel -# environment), and the imported shell variable -# PREPDATA=YES (see below) -# NET String indicating system network {either "gfs", "gdas", -# "cdas", "nam", "rap", "rtma" or "urma"} -# NOTE : NET is changed to gdas in the parent Job script for -# RUN=gdas or RUN=gdas1 (was gfs) -# RUN String indicating model run {either "gfs", "gdas", "gdas1", -# "cdas", "nam", "ndas", "rap", "rap_p", "rap_e", -# "rtma", or "urma"} -# cycle String indicating the center cycle hour for PREPBUFR -# processing {"txxz", where xx is two-digit hour of the day -# (UTC)} -# NOTE : This is required ONLY if input script positional -# parameter 1 is missing (see above) -# DATA String indicating the working directory path (usually a -# temporary location) -# COMSP String indicating the directory/filename path to input BUFR -# observational data dumps, tropical cyclone location -# (tcvitals) files, global atmos guess files, and status -# files (e.g., "$COMROOT/gfs/prod/gfs.20060612/gfs.t12z.") -# DBNROOT String indicating directory path to bin/dbn_alert file -# location -# NOTE : This is required ONLY if the imported shell variable -# SENDDBN is "YES" (see below) -# job - String indicating job name (e.g., 'gdas_prep_12') -# NOTE : This is required ONLY if the imported shell variable -# SENDDBN is "YES" (see below) -# $HOMEobsproc_prep - string indicating directory path to generic prep -# subdirectories under version control -# (in production this is normally -# ${NWROOT}/obsproc_prep.vX.Y.Z where X.Y.Z is -# version number being used, usually the latest) -# $HOMEobsproc_network - string indicating directory path to network- -# specific prep subdirectories under version control -# (in production this is normally -# ${NWROOT}/obsproc_NETWORK.vX.Y.Z where NETWORK is, -# e.g., global, nam, rap, rtma, urma, and X.Y.Z is -# version number being used, usually the latest) -# -# These will be set to their default value in this script if not exported -# to this script by the parent script -- -# -# SITE Site name (may have been set by local shell startup script) -# Default is "" -# sys_tp System type and phase. If not imported, an attempt is made -# to set it using getsystem.pl (an NCO prod_util script). -# A failed attempt results in an empty string. -# NEMSIO_IN Flag that if ".true." indicates that nemsio atmospheric -# background fields will be input rather than sigio. -# Default is "" -# SENDDBN String indicating whether or not to alert an output file to -# the NWS/TOC (= "YES" - invoke alert; anything else - do not -# invoke alert) -# Default is "NO" -# NPROCS Number of "poe" tasks to use for mpmd (must be .GE. $NSPLIT) -# NOTE : This is applicable ONLY if the imported shell -# variable POE is not "NO" (see below) and variable -# launcher_PREP is not "cfp" or "aprun" (see below) and -# the imported shell variable PREPDATA=YES (see below) -# For LSF jobs, the count of hosts listed in string $LSB_HOSTS -# will be used to set NPROCS (overriding any imported value). -# Default is "$NSPLIT" -# envir String indicating environment under which job runs ('prod' -# or 'test') -# Default is "prod" -# envir_getges String indicating environment under which GETGES utility -# ush runs (see getges.sh docblock for more information) -# Default is "$envir" -# network_getges -# String indicating job network under which GETGES utility -# ush runs (see getges.sh docblock for more information) -# Default is "global" unless the center PREPBUFR processing -# date/time is not a multiple of 3-hrs and the global guess is -# sigio-based, then the default is "gfs" -# pgmout String indicating file containing standard output (output -# always contatenated onto this file) -# Default is "/dev/null" -# tstsp String indicating the directory/filename path to one or -# more BUFR observational data dumps and/or tropical cyclone -# location (tcvitals) files and/or global atmos guess files -# and/or status files that are to override the corresponding -# file in $COMSP (this should be imported with the same -# naming convention as $COMSP; e.g., -# "/gpfstmp/wx22dk/test_dump/ndas.20060612/ndas.t12z." - -# (if tstsp is not imported, the default is used and no -# overriding file would exist; if tstsp is imported then any -# file found would override the correspoding file in $COMSP) -# Default is "/tmp/null/" -# tmmark - string indicating hour for center PREPBUFR processing date/ -# time relative to the analysis time embedded in $tstsp or -# $COMSP (e.g., "tm12", "tm09", "tm06", "tm03", "tm00") -# Default is "tm00" -# BUFRLIST String indicating list of BUFR data dump file names to -# process -# Default is "adpupa proflr aircar aircft satwnd adpsfc \ -# sfcshp sfcbog vadwnd goesnd spssmi erscat qkswnd msonet \ -# gpsipw rassda wdsatr ascatw" -# POE String indicating whether or not to use a poe-like launcher -# to spread instances of the PREPBUFR processing herefile -# MP_PREPDATA over multiple pes in parallel. (= "NO" - -# do not invoke invoke "poe"; anything else - invoke "poe") -# Default is "YES" -# launcher_PREP Parallel scripting launch tool. Settings are in place for -# aprun, mpirun.lsf, and cfp but a different tool can be -# specified. -# NOTE : This is applicable ONLY if the imported shell -# variable POE is not "NO" and the imported shell -# variable PREPDATA=YES (see below) -# Default on Cray-XC40 is "aprun". Otherwise: "mpirun.lsf" -# BACK String indicating whether or not to run background shells -# (on the same task) for the PREPBUFR processing (= "YES" - -# run background shells; anything else - do not run -# background shells). IF BACK=YES on Cray-XC40, the shells -# are invoked by aprun. -# USHSYND String indicating directory path for SYNDATA ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHPREV String indicating directory path for PREVENTS ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHCQC String indicating directory path for CQCBUFR ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHPQC String indicating directory path for PROFCQC ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHVQC String indicating directory path for CQCVAD ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHAQC String indicating directory path for PREPACQC ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHOIQC String indicating directory path for OIQCBUFR ush file -# Default is "${HOMEobsproc_prep}/ush" -# EXECPREP String indicating directory path for PREPOBS executables -# Default is "${HOMEobsproc_prep}/exec" -# PARMPREP String indicating directory path for PREPOBS parm files -# Default is "${HOMEobsproc_network}/parm" -# FIXPREP String indicating directory path for PREPOBS fix-field -# files -# Default is "${HOMEobsproc_prep}/fix" -# DICTPREP String indicating directory path for PREPOBS dictionary -# files -# Default is "${NWROOTp1}/decoders/decod_shared/dictionaries" -# EXECSYND String indicating directory path for SYNTHETIC data -# executables -# Default is "${HOMEobsproc_prep}/exec" -# PARMSYND String indicating directory path for SYNTHETIC parm files -# Default is "${HOMEobsproc_network}/parm" -# FIXSYND String indicating directory path for SYNTHETIC data fix- -# field files -# Default is "${HOMEobsproc_prep}/fix" -# GETGUESS String: if = "YES" will encode first guess (background) -# values interpolated by the program PREPOBS_PREPDATA to -# observation locations in the PREPBUFR file for use by the -# q.c. programs. This guess is always from a global atmos -# guess file valid at the center PREPBUFR processing date/ -# time or from an interpolated guess obtained from global -# atmos guess files valid at times 3-hours apart which span -# the PREPBUFR processing date/time (the latter is performed -# by the program PREPOBS_PREPDATA and occurs when the guess -# files are sigio-based and the PREPBUFR date/time hour is not -# a multiple of 3, e.g. 02Z rap or tm04 nam catchup runs). The -# guess file (or files) may be obtained in one of two ways: -# 1) From pre-existing files in the working directory -# $DATA called sgesprep and sgesprepA (either copied -# there prior to the execution of this script, or -# copied there earlier in this script from either -# $tstsp, or if not found there, $COMSP which was -# populated by the previous running of tropical -# cyclone relocation processing -# NOTE 1: sgesprepA is needed only when the guess is -# sigio-based and the PREPBUFR processing -# date/time is not a multiple of 3-hrs. -# NOTE 2: if previous tropical cyclone relocation -# processing was run, then an sgesprepA file -# is NEVER generated, not a problem since -# previous tropical cyclone relocation -# processing is not run in rap, rap_p or -# rap_e runs -# 2) Via the execution of the GETGES utility ush to -# obtain sgesprep (if pre-existing file $DATA/sgesprep -# does not exist), and possibly via the execution of -# the GETGES utility ush to obtain sgesprepA (if -# PREPBUFR processing date/time is not a multiple of -# 3-hrs and the global guess is sigio-based, and the -# pre-existing file $DATA/sgesprepA does not exist) -# Default is "YES" -# NOTE: If GETGUESS=NO, then the program PREPOBS_PREPDATA -# will NOT call w3emc routine GBLEVENTS to perform -# "prevents" processing -# PREPDATA String: if = "YES" will perform PREPDATA processing -# (in either a parallel or serial environment depending upon -# the values for POE and BACK) -# Default is "YES" -# SYNDATA String: if = "YES" will attempt to perform synthetic bogus -# processing (generation of synthetic bogus winds to be -# appended to PREPBUFR file and, possibly, flagging of mass -# pressure data "near" storms; and, possibly, flagging of -# dropwinsonde wind data "near" storms) -# Default is "YES" -# DO_QC String: if = "YES" will perform quality control -# Default is "YES" -# PREVENTS String: if = "YES" will encode background and obs. errors -# into PREPBUFR file (usually this should be "NO" since the -# programs PREPOBS_PREPDATA and SYNDAT_SYNDATA normally are -# set to perform this function) -# NOTE: Only invoked if DO_QC=YES -# Default is "NO" -# CQCBUFR String: if = "YES" will complex quality control radiosonde -# data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# PROFCQC String: if = "YES" will quality control wind profiler data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# CQCVAD String: if = "YES" will quality control VAD wind data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# PREPACQC String: if = "YES" will quality control aircraft data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# PROCESS_ACQC String: if = "YES" will execute PREPOBS_PREPACQC program as -# part of PREPACQC processing -# NOTE: Only invoked if PREPACQC=YES -# Default is "YES" -# PROCESS_ACPF String: if = "YES" will execute PREPOBS_PREPACPF program as -# part of PREPACQC processing -# NOTE: Only invoked if PREPACQC=YES -# Default is "YES" -# OIQCBUFR String: if = "YES" will perform final oi-based quality -# control on all data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# MPCOPYX String indicating executable path for PREPOBS_MPCOPYBUFR -# program -# Default is "$EXECPREP/prepobs_mpcopybufr" -# PRPX String indicating executable path for PREPOBS_PREPDATA -# program -# Default is "$EXECPREP/prepobs_prepdata" -# errPREPDATA_limit -# String indicating the highest allowed foreground exit -# status for program PREPOBS_PREPDATA (any exit status higher -# than this is considered a failure) -# (Note: errPREPDATA_limit=1 is ALWAYS considered a FAILURE) -# Default is "0" -# PRPC String indicating data card path for PREPOBS_PREPDATA -# program -# Default is "$PARMPREP/prepobs_prepdata.${NET}.parm" -# PRPT String indicating bufrtable file path for PREPOBS_PREPDATA -# program -# Default is "$FIXPREP/prepobs_prep.bufrtable" -# LANDC String indicating land/sea mask file path for -# PREPOBS_PREPDATA program -# Default is "$FIXPREP/prepobs_landc" -# PRVT String indicating observational error table file path for -# PREPOBS_PREPDATA, SYNDAT_SYNDATA and PREPOBS_PREVENTS -# programs (used by GBLEVENTS subroutine) -# NOTE: Only read by gdas, gfs, cdas and nam networks -# If imported "NET=gdas" or "NET=gfs", default is -# "$HOMEobproc_network/fix/prepobs_errtable.global"; -# if imported "NET=cdas", default is -# "$HOMEobsproc_network/fix/prepobs_errtable.cdas"; -# if imported "NET=nam", default is -# "$HOMEobsproc_network/fix/prepobs_errtable.nam" -# otherwise, default is "$DATA/scratch.PRVT" a null file -# LISTHDX String indicating executable path for PREPOBS_LISTHEADERS -# program -# Default is "$EXECPREP/prepobs_listheaders" -# MONOBFRX String indicating executable path for PREPOBS_MONOPREPBUFR -# program -# Default is "$EXECPREP/prepobs_monoprepbufr" -# SYNDX String indicating executable path for SYNDAT_SYNDATA -# program -# Default is "$EXECSYND/syndat_syndata" -# SYNDC String indicating data card path for SYNDAT_SYNDATA program -# Default is "$PARMSYND/syndat_syndata.${NET}.parm" -# PREX String indicating executable path for PREPOBS_PREVENTS -# program -# Default is "$EXECPREP/prepobs_prevents" -# PREC String indicating data card path for PREPOBS_PREVENTS -# program -# Default is "$PARMPREP/prepobs_prevents.${NET}.parm" -# AQCX String indicating executable path for PREPOBS_PREPACQC -# program -# Default is "$EXECPREP/prepobs_prepacqc" -# AQCC String indicating data card path for PREPOBS_PREPACQC -# program -# Default is "$PARMPREP/prepobs_prepacqc.${NET}.parm" -# APFX String indicating executable path for PREPOBS_PREPACPF -# program -# Default is "$EXECPREP/prepobs_prepacpf" -# DICT String indicating METAR station dictionary path for -# PREPOBS_PREPACPF program -# Default is "$DICTPREP/metar.tbl" -# PQCX String indicating executable path for PREPOBS_PROFCQC -# program -# Default is "$EXECPREP/prepobs_profcqc" -# PQCC String indicating data card path for PREPOBS_PROFCQC -# program -# Default is "$PARMPREP/prepobs_profcqc.${NET}.parm" -# VQCX String indicating executable path for PREPOBS_CQCVAD -# program -# Default is "$EXECPREP/prepobs_cqcvad" -# CQCX String indicating executable path for PREPOBS_CQCBUFR -# program -# Default is "$EXECPREP/prepobs_cqcbufr" -# CQCC String indicating data card path for PREPOBS_CQCBUFR -# program -# Default is "$PARMPREP/prepobs_cqcbufr.${NET}.parm" -# CQCS String indicating statbge path for PREPOBS_CQCBUFR program -# Default is "$FIXPREP/prepobs_cqc_statbge" -# OIQCX String indicating executable path for PREPOBS_OIQCBUFR -# program -# Default is "$EXECPREP/prepobs_oiqcbufr" -# OIQCT String indicating observational error table file path for -# PREPOBS_OIQCBUFR program -# NOTE: If imported "NET=cdas", default is -# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas"; -# otherwise default is -# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs" -# -# These do not have to be exported to this script. If they are, they will -# be used by the script. If they are not, they will be skipped -# over by the script. -# -# PREPBUFR_APP String indicating path to output PREPBUFR file for -# PREPOBS_PREPDATA program. -# If present and POE is "NO" and BACK is not "YES" (i.e., a -# serial environment), PREPOBS_PREPDATA will append all -# output BUFR messages to a copy of this file (prepda) in -# the current working directory, using the internal BUFR -# mnemonic table in the first several BUFR messages at the -# top of the file -# NOTE 1: In this case, it is assumed the the switch APPEND -# is set to TRUE in the parm cards $PRPC (careful, -# if APPEND is FALSE, the original copy of -# $PREPBUFR_APP will be wiped out and the case below -# will occur) -# NOTE 2: When POE is not "NO" or BACK is "YES" (i.e., a -# parallel environment), appending makes no sense -# because the original output PREPBUFR file is -# monolithic -# If not present or POE is not "NO" or BACK is "YES" (i.e., a -# parallel environment), PREPOBS_PREPDATA will write all -# output BUFR messages to a new file (prepda) in the current -# working directory using the external BUFR mnemonic table -# in the file $PRPT -# NOTE 3: In this case, it is assumed the the switch APPEND -# is set to FALSE in the parm cards $PRPC (careful, -# if APPEND is TRUE, PREPOBS_PREPDATA will abort -# because the original empty PREPBUFR file has no -# internal BUFR mnemonic table) -# PREPBUFR_IN String indicating path to input PREPBUFR file -# If present, this file will be used by SYNDAT_SYNDATA (if -# SYNDATA=YES - see @ below) and by all applicable Q.C. -# programs (set to to be invoked here) rather than the -# PREPBUFR file generated in this script by PREPOBS_PREPDATA -# (normally this would be used when PREPDATA=NO) -# @ - if the PREPBUFR_IN target file is obtained from -# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, -# then for all runs on and after 12Z 25 Jan 2005, -# SYNDATA should be NO because the target files -# will already contain synthetic bogus data; -# if the PREPBUFR_IN target file is obtained from -# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, -# then for all runs prior to 12Z 25 Jan 2005, -# SYNDATA should be YES because the target files -# will not have contain synthetic bogus data. -# If not present, then the PREPBUFR file generated in this -# script by PREPOBS_PREPDATA and possibly appended to by -# SYNDAT_SYNDATA is passed on as input to all applicable -# Q.C. programs -# jlogfile String indicating path to joblog file -# -# These do not have be exported to this script. -# -# COMDATEROOT Primary default for the root of the directory containing -# produciton date files. -# -# NWROOTp1 Root directory for production software on WCOSS Phase 1. -# -# USHGETGES String indicating directory path for GETGES utility script. -# Default is $HOMEobsproc_prep/ush. -# -# GETGESprep GETGES utility script. If NEMSIO_IN=.true., defaults to: -# $USHGETGES/getges.sh -# otherwise, defaults to: -# $USHGETGES/getges_sig.sh -# -# PREPDATAtpn Tasks per node when invoking cfp on Cray-XC40. Will be -# computed if needed but was not imported. -# -# These do not have to be exported to this script. If they are, they will -# be passed on to the script $USHCQC/prepobs_cqcbufr.sh. They are not used -# by this script. -# -# PRPI_m24 See documentation in $USHCQC/prepobs_cqcbufr.sh -# PRPI_m12 See documentation in $USHCQC/prepobs_cqcbufr.sh -# PRPI_p12 See documentation in $USHCQC/prepobs_cqcbufr.sh -# PRPI_p24 See documentation in $USHCQC/prepobs_cqcbufr.sh -# -# Exported Shell Variables: -# CDATE10 String indicating the center date/time for the PREPBUFR -# processing -# SGES Either ... -# 1) String indicating the full path name for global -# sigio-based or nemsio-based guess file valid at the -# center PREPBUFR processing date/time (in which case the -# center PREPBUFR processing date/time is a multiple of -# 3-hrs, or for any PREPBUFR center hour if global guess -# is nemsio-based) - This guess file will be encoded -# into the PREPBUFR file for use by the q.c. programs. -# -- or -- -# 2) String indicating the full path name for the global -# atmosperic guess file valid at the nearest cycle time -# prior to the center PREPBUFR processing date/time which -# is a multiple of 3 (in which case the center PREPBUFR -# processing date/time is not a multiple of 3-hrs and the -# global guess is sigio-based) - A linear interpolation -# (of the spectal coefficients) between this file and the -# guess file indicated by SGESA case 2 below will be -# performed by program PREPOBS_PREPDATA and encoded into -# the PREPBUFR file for use by the q.c. programs. The -# SGES file is always from the GFS in this case. -# NOTE 1: Only case 1 above is valid when tropical cyclone -# relocation processing previously occurred. -# NOTE 2: Case 2 above is necessary because the w3emc lib -# routine gblevents called by PREPOBS_PREPDATA -# expects that sigio-based guess files will only -# have valid hours which are a multiple of 3 -# NOTE 3: Only case 1 above is valid when global guess is -# nemsio-based. -# SGESA Either ... -# 1) String set to "/dev/null" for case 1 of SGES above -# (default) -# -- or -- -# 2) String indicating the full path name for the global -# sigma guess file valid at the nearest cycle time after -# the center PREPBUFR processing/date time which is a -# multiple of 3 for case 2 of SGES above - A linear -# interpolation (of the spectal coefficients) between -# this guess file and the guess file indicated by SGES -# above (see case 2 for SGES) will be performed by the -# program PREPOBS_PREPDATA and encoded into the PREPBUFR -# file for use by the q.c. programs. The SGESA file is -# always from the GFS in this case and its forecast hour -# is 3-hrs later than the SGES file (thus both initiate -# at the same time). -# NOTE 1: Only case 1 above is valid when tropical cyclone -# relocation processing previously occurred. -# NOTE 2: Case 2 above is necessary because the w3emc lib -# routine gblevents called by PREPOBS_PREPDATA -# expects that sigio-based guess files will only -# have valid hours which are a multiple of 3 -# NOTE 3: Only case 1 above is valid when global guess is -# nemsio-based. -# -# -# Modules and files referenced: -# herefiles : $DATA/MP_PREPDATA -# $DATA/MERGE_MSGS -# scripts : $USHGETGES/getges.sh -# $USHGETGES/getges_sig.sh -# $USHSYND/prepobs_syndata.sh -# $USHPREV/prepobs_prevents.sh -# $USHCQC/prepobs_cqcbufr.sh -# $USHPQC/prepobs_profcqc.sh -# $USHVQC/prepobs_cqcvad.sh -# $USHAQC/prepobs_prepacqc.sh -# $USHOIQC/prepobs_oiqcbufr.sh -# $DATA/postmsg (required ONLY if "$jlogfile" is present) -# $DATA/prep_step {here and by referenced script(s)} -# $DATA/err_exit -# $DATA/err_chk {here and by referenced script(s)} -# (NOTE: The last three scripts above are NOT REQUIRED -# utilities. If $DATA/prep_step not found, a scaled down -# version of it is executed in-line. If $DATA/err_exit -# or $DATA/err_chk are not found, scaled down versions, -# created in-line, are executed. -# executables: $NDATE (from prod_util module) -# programs : -# PREPOBS_MPCOPYBUFR - executable: $MPCOPYX -# PREPOBS_PREPDATA - executable: $PRPX -# land/sea mask: $LANDC -# bufr mnemonic user table: $PRPT -# obs. error table: $PRVT -# data cards: $PRPC -# PREPOBS_LISTHEADERS - executable: $LISTHDX -# PREPOBS_MONOPREPBUFR - executable: $MONOBFRX -# SYNDAT_SYNDATA - executable: $SYNDX -# T126 gaussian land/sea mask: -# $FIXSYND/syndat_syndata.slmask.t126.gaussian -# weights: $FIXSYND/syndat_weight -# obs. error table: $PRVT -# data cards: $SYNDC -# PREPOBS_PREVENTS - executable: $PREX -# obs. error table: $PRVT -# data cards: $PREC -# PREPOBS_PREPACQC - executable: $AQCX -# data cards: $AQCC -# PREPOBS_PREPACPF - executable: $APFX -# dictionary: $DICT -# PREPOBS_PROFCQC - executable: $PQCX -# data cards: $PQCC -# PREPOBS_CQCVAD - executable: $VQCX -# PREPOBS_CQCBUFR - executable: $CQCX -# data cards: $CQCC -# PREPOBS_OIQCBUFR - executable: $OIQCX -# obs. error table: $OIQCT -# -# Remarks: -# -# Condition codes -# 0 - no problem encountered -# >0 - some problem encountered -# -# Attributes: -# Language: Korn shell under linux -# Machine: NCEP WCOSS -# -#### - -set -aux - -NEMSIO_IN=${NEMSIO_IN:=""} -jlogfile=${jlogfile:=""} -SENDDBN=${SENDDBN:-NO} - -if [ ! -d $DATA ] ; then mkdir -p $DATA ;fi - -cd $DATA - -qid=$$ - -##################################################### -##################################################### -# create error check and exit utilities if necessary. -# (as may be the case for some developer runs) -##################################################### - -if [ ! -x $DATA/err_exit ]; then -cat <<\EOFerrexit > $DATA/err_exit - set -x - if [ -n "$LSB_JOBID" ]; then - bkill $LSB_JOBID - sleep 60 - date - else - set -e - kill -n 9 $qid - fi - exit 7 # for extra measure -EOFerrexit -chmod 775 $DATA/err_exit -fi - -if [ ! -x $DATA/err_chk ]; then -cat <<\EOFerrchk > $DATA/err_chk - set -x - if [ "$err" != '0' ]; then - $DATA/err_exit - fi -EOFerrchk -chmod 775 $DATA/err_chk -fi - -##################################################### -##################################################### - - -# determine local system name and type if available -# ------------------------------------------------- -SITE=${SITE:-""} -sys_tp=${sys_tp:-$(getsystem.pl -tp)} -getsystp_err=$? -if [ $getsystp_err -ne 0 ]; then - msg="***WARNING: error using getsystem.pl to determine system type and phase" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" -fi -echo sys_tp is set to: $sys_tp - -#------------------------------------------------------------------------------- - -# obtain the center date/time for PREPBUFR processing -# --------------------------------------------------- - -if [ $# -ne 1 ] ; then - cp ${COMDATEROOT:-$COMROOT}/date/$cycle ncepdate - err0=$? - CDATE10=`cut -c7-16 ncepdate` -else - CDATE10=$1 - if [ "${#CDATE10}" -ne '10' ]; then - err0=1 - else - cycle=t`echo $CDATE10|cut -c9-10`z - err0=0 - fi -fi - -if test $err0 -ne 0 -then -# problem with obtaining date record so exit - set +x - echo - echo "problem with obtaining date record;" - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure -fi - -cyc=`echo $CDATE10|cut -c9-10` -modhr=`expr $cyc % 3` - -set +x -echo -echo "CENTER DATE/TIME FOR PREPBUFR PROCESSING IS $CDATE10" -echo -set -x - -#---------------------------------------------------------------------------- - -# Create variables needed for this script and its children -# -------------------------------------------------------- - -envir=${envir:-prod} - -envir_getges=${envir_getges:-$envir} -if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then - network_getges=${network_getges:-global} -else - network_getges=${network_getges:-gfs} -fi - -pgmout=${pgmout:-/dev/null} - -tstsp=${tstsp:-/tmp/null/} -tmmark=${tmmark:-tm00} - -BUFRLIST=${BUFRLIST:-"adpupa proflr aircar aircft satwnd adpsfc sfcshp \ - sfcbog vadwnd goesnd spssmi erscat qkswnd msonet gpsipw rassda wdsatr \ - ascatw"} - -PREPDATA=${PREPDATA:-YES} - -if [ "$PREPDATA" != 'YES' ] ; then - POE=NO - BACK=NO -else - set +u - [ -z "$POE" -a "$BACK" = 'YES' ] && POE=NO - POE=${POE:-YES} - if [ "$POE" != 'NO' -a "$BACK" = 'YES' ]; then - set -u - set +x -echo -echo "YOU have set both POE and BACK to YES - choose one or the other!!" -echo "Defaults are POE=YES and BACK=NO, as is preferable for WCOSS." -echo - set -x - exit 99 - fi - BACK=${BACK:-NO} - PARALLEL=NO - [ "$POE" != 'NO' -o "$BACK" = 'YES' ] && PARALLEL=YES - if [ "$POE" != 'NO' ] ; then - if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - launcher_PREP=${launcher_PREP:-aprun} - else - launcher_PREP=${launcher_PREP:-mpirun.lsf} - fi - if [ "$launcher_PREP" != 'cfp' -a "$launcher_PREP" != aprun ]; then - if [ -n ${LSB_HOSTS:-""} ]; then - NPROCS=$(echo $LSB_HOSTS|wc -w) - set +x; echo "Setting NPROCS based on LSB_HOSTS count"; set -x - else - NPROCS=${NPROCS:-$NSPLIT} - fi - if [ $NPROCS -lt $NSPLIT ]; then - set +x -echo "********************************************************************" -echo " P R O B L E M ! ! ! " -echo "********************************************************************" -echo " NPROCS=$NPROCS IS NOT SUFFICIENT FOR NSPLIT=$NSPLIT " -echo " NPROCS must be greater than NSPLIT when using a " -echo " parallel processing launcher other than cfp " -echo "********************************************************************" - set -x - msg="***FATAL ERROR: Insufficient NPROCS for NSPLIT=$NSPLIT" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - $DATA/err_exit - exit 55 # for extra measure - fi - fi - elif [ "$BACK" = 'YES' ] ; then - NPROCS=$NSPLIT - fi -# fi for PREPDATA != YES -fi - -USHSYND=${USHSYND:-${HOMEobsproc_prep}/ush} -USHPREV=${USHPREV:-${HOMEobsproc_prep}/ush} -USHCQC=${USHCQC:-${HOMEobsproc_prep}/ush} -USHPQC=${USHPQC:-${HOMEobsproc_prep}/ush} -USHVQC=${USHVQC:-${HOMEobsproc_prep}/ush} -USHAQC=${USHAQC:-${HOMEobsproc_prep}/ush} -USHOIQC=${USHOIQC:-${HOMEobsproc_prep}/ush} - -EXECPREP=${EXECPREP:-${HOMEobsproc_prep}/exec} -PARMPREP=${PARMPREP:-${HOMEobsproc_network}/parm} -FIXPREP=${FIXPREP:-${HOMEobsproc_prep}/fix} -DICTPREP=${DICTPREP:-${NWROOTp1}/decoders/decod_shared/dictionaries} - -EXECSYND=${EXECSYND:-${HOMEobsproc_prep}/exec} -PARMSYND=${PARMSYND:-${HOMEobsproc_network}/parm} -FIXSYND=${FIXSYND:-${HOMEobsproc_prep}/fix} - -GETGUESS=${GETGUESS:-YES} -if [ "$GETGUESS" = 'YES' ]; then - USHGETGES=${USHGETGES:-${HOMEobsproc_prep}/ush} - if [ "$NEMSIO_IN" = .true. ]; then - GETGESprep=${GETGESprep:-$USHGETGES/getges.sh} - else - GETGESprep=${GETGESprep:-$USHGETGES/getges_sig.sh} - fi -fi - -PREPDATA=${PREPDATA:-YES} - -SYNDATA=${SYNDATA:-YES} - -DO_QC=${DO_QC:-YES} - -PREVENTS=${PREVENTS:-NO} -CQCBUFR=${CQCBUFR:-YES} -PROFCQC=${PROFCQC:-YES} -CQCVAD=${CQCVAD:-YES} -PREPACQC=${PREPACQC:-YES} -PROCESS_ACQC=${PROCESS_ACQC:-YES} -PROCESS_ACPF=${PROCESS_ACPF:-YES} -OIQCBUFR=${OIQCBUFR:-YES} - -MPCOPYX=${MPCOPYX:-$EXECPREP/prepobs_mpcopybufr} -PRPX=${PRPX:-$EXECPREP/prepobs_prepdata} -errPREPDATA_limit=${errPREPDATA_limit:-0} -PRPC=${PRPC:-$PARMPREP/prepobs_prepdata.${NET}.parm} -PRPT=${PRPT:-$FIXPREP/prepobs_prep.bufrtable} -cp $PRPT prep.bufrtable -LANDC=${LANDC:-$FIXPREP/prepobs_landc} -if [ "$NET" = 'gdas' -o "$NET" = 'gfs' ]; then - PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.global} -elif [ "$NET" = 'cdas' ]; then - PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.cdas} -elif [ "$NET" = 'nam' ]; then - PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.nam} -else - cp /dev/null $DATA/scratch.PRVT - PRVT=${PRVT:-$DATA/scratch.PRVT} -fi -LISTHDX=${LISTHDX:-$EXECPREP/prepobs_listheaders} -MONOBFRX=${MONOBFRX:-$EXECPREP/prepobs_monoprepbufr} -SYNDX=${SYNDX:-$EXECSYND/syndat_syndata} -SYNDC=${SYNDC:-$PARMSYND/syndat_syndata.${NET}.parm} -PREX=${PREX:-$EXECPREP/prepobs_prevents} -PREC=${PREC:-$PARMPREP/prepobs_prevents.${NET}.parm} -AQCX=${AQCX:-$EXECPREP/prepobs_prepacqc} -AQCC=${AQCC:-$PARMPREP/prepobs_prepacqc.${NET}.parm} -APFX=${APFX:-$EXECPREP/prepobs_prepacpf} -DICT=${DICT:-$DICTPREP/metar.tbl} -PQCX=${PQCX:-$EXECPREP/prepobs_profcqc} -PQCC=${PQCC:-$PARMPREP/prepobs_profcqc.${NET}.parm} -VQCX=${VQCX:-$EXECPREP/prepobs_cqcvad} -CQCX=${CQCX:-$EXECPREP/prepobs_cqcbufr} -CQCC=${CQCC:-$PARMPREP/prepobs_cqcbufr.${NET}.parm} -CQCS=${CQCS:-$FIXPREP/prepobs_cqc_statbge} -OIQCX=${OIQCX:-$EXECPREP/prepobs_oiqcbufr} -if [ "$NET" = 'cdas' ]; then - OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas} -else - OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs} -fi -TIMEIT=${TIMEIT:-""} -[ -s $DATA/time ] && TIMEIT="$DATA/time -p" - - -# See if tropical cyclone relocation previously ran for this network and cycle -# by checking for status file in first in $tstsp, and if not found there, -# then in $COMSP -# ---------------------------------------------------------------------------- - -relo_rec=no # this will remain no even if relocation run, in the event it did - # not process an tropical cyclone records -if [ -s ${tstsp}tropcy_relocation_status.$tmmark ]; then - RELOCATION_HAS_RUN=YES - msg="Tropical cyclone RELOCATION RAN prior to this job - \ -`cat ${tstsp}tropcy_relocation_status.$tmmark`" - [ "`cat ${tstsp}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ - && relo_rec=yes -elif [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then - RELOCATION_HAS_RUN=YES - msg="Tropical cyclone RELOCATION RAN prior to this job - \ -`cat ${COMSP}tropcy_relocation_status.$tmmark`" - [ "`cat ${COMSP}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ - && relo_rec=yes -else - RELOCATION_HAS_RUN=NO - msg="Tropical cyclone RELOCATION did NOT run prior to this job" -fi -[ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - -if [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then - if [ "$SENDDBN" = "YES" ]; then - if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then - RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) - $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job \ - ${COMSP}tropcy_relocation_status.$tmmark - fi - fi -fi - -if [ "$RELOCATION_HAS_RUN" != 'YES' -a "$GETGUESS" != 'NO' ]; then - - if [ $cyc = 00 -o $cyc = 06 -o $cyc = 12 -o $cyc = 18 ]; then - -# The GFS and GDAS networks at 00, 06, 12 and 18z will get the t-3 and t+3 -# atmos guess files here since they are needed by the GSI even if tropical -# cyclone relocation was not previously performed (RELOCATION_HAS_RUN=NO) -# (NOTE 1: Normally RELOCATION_HAS_RUN=YES for these networks) -# (NOTE 2: If RELOCATION_HAS_RUN=YES, the t-3 and t+3 atmos guess files have -# already been obtained for all networks including the GFS and GDAS) -# (NOTE 3: This is not done if GETGUESS is NO) -# - - if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then - for fhr in -3 +3 ;do - if [ "$NEMSIO_IN" = .true. ]; then - if [ $fhr = "-3" ] ; then - sges=sgm3prep - stype=natgm3 - echo $sges - else - sges=sgp3prep - stype=natgp3 - echo $sges - fi - else - if [ $fhr = "-3" ] ; then - sges=sgm3prep - stype=siggm3 - echo $sges - else - sges=sgp3prep - stype=siggp3 - echo $sges - fi - fi - if [ ! -s $sges ]; then - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Tropical cylone relocation HAS NOT previously run" -echo " Get global atmospheric GUESS valid for $fhr hrs relative to center" -echo " PREPBUFR processing date/time" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - $GETGESprep -e $envir_getges -n $network_getges \ - -v $CDATE10 -t $stype $sges - errges=$? - if test $errges -ne 0; then -# problem obtaining global atmospheric first guess so exit - set +x - echo - echo "problem obtaining global atmos guess valid $fhr hrs \ -relative to center PREPBUFR date/time;" - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - set +x - echo -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - fi - done - fi - fi - -elif [ "$RELOCATION_HAS_RUN" = 'YES' ]; then - -# If Tropical cyclone relocation previously ran for this network and cycle -# copy the t-3, t+0 and t+3 atmos guess files and the tcvitals_relocate file -# from either $tstsp or, if not found there, $COMSP to working directory -# (Note: tcvitals_relocate file can be empty, but it must exist) -# -------------------------------------------------------------------------- - - qual_last=".$tmmark" # need this because gfs and gdas don't add $tmmark - # qualifier to end of output atmos guess files - [ $NET = gfs -o $NET = gdas ] && qual_last="" - for file in sgm3prep sgesprep sgp3prep tcvitals.relocate.$tmmark; do - case $file in - tcvitals.relocate.$tmmark) infile=$file; qual_last="";; # already has $tmmark at end - sgm3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgm3.nemsio;else infile=$file;fi;; - sgesprep) if [ "$NEMSIO_IN" = .true. ];then infile=atmges.nemsio;else infile=$file;fi;; - sgp3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgp3.nemsio;else infile=$file;fi;; - esac - if [ -s ${tstsp}${infile}${qual_last} ]; then - cp ${tstsp}${infile}${qual_last} $file - continue - elif [ -s ${COMSP}${infile}${qual_last} ]; then - cp ${COMSP}${infile}${qual_last} $file - continue - else - if [ $file = tcvitals.relocate.$tmmark ]; then - if [ -f ${tstsp}$file ]; then - > $file - continue - elif [ -f ${COMSP}$file ]; then - > $file - continue - fi - fi - fi -# either t-3,t+0 or t+3 atmos guess file or the tcvitals_relocate file not -# found in expected location so exit - set +x - echo - echo "$file file not found in expected location where it should have \ -populated by earlier tropical cyclone relocation processing" - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - done - cp tcvitals.relocate.$tmmark tcvitals - if [ $relo_rec = yes ]; then # come here if relocation ran and processed - # 1 or more records, means it updated - # sgesprep - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" -echo " Global atmospheric GUESS valid for 0 hrs relative to center" -echo " PREPBUFR processing date/time was generated by" -echo " previous tropical cyclone relocation processing" -echo " It will be encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - else # come here if relocation ran but did not - # process any records, means it did not update - # sgesprep (sgesprep obtained via getges used) - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" -echo " Global atmospheric GUESS valid for 0 hrs relative to center" -echo " PREPBUFR processing date/time was obtained via GETGES" -echo " It will be encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - fi - -fi - -############################################################################### -# POSSIBLY OBTAIN GBL ATMOS GUESS FILE(S) FOR LATER ENCODING INTO PREPBUFR FILE -############################################################################### - -if [ "$PREPDATA" = 'YES' -o "$SYNDATA" = 'YES' -o "$PREVENTS" = 'YES' ]; then - - SGES=/dev/null - SGESA=/dev/null - > sgesprep_pathname - > sgesprepA_pathname - - if [ "$GETGUESS" != 'NO' ]; then - -# Either ... -# If the global background guess will be nemsio-based -OR- if the global -# background guess will be sigio-based and the center PREPBUFR processing -# date/time is a multiple of 3-hrs, then get a global atmospheric guess valid -# at the center PREPBUFR processing date/time - this will be interpolated to -# observation locations by PREPDATA and encoded into the PREPBUFR file for -# use by the q.c. programs; if a non-zero length file sgesprep exists in the -# working directory, then this guess is used - otherwise: the GETGES utility -# is executed to obtain the global atmospheric guess file here -# -# (NOTE 1: a pre-existing sgesprep file in the working directory at this -# point was either: -# copied there prior to the execution of this script -# or -# copied there earlier in this script from either $tstsp, or if -# not found there, $COMSP which was populated by the previous -# running of tropical cyclone relocation processing -# (NOTE 2: If imported variable GETGUESS=NO, then bypass this step - a -# global atmos guess valid at center PREPBUFR time is not obtained) -# -# -- or -- -# -# (AND THIS APPLIES ONLY TO A GLOBAL SIGIO-BASED GUESS!!) -# -# If center PREPBUFR processing date/time is not a multiple of 3-hrs -AND- -# global guess is sigio-based, then get a global sigma guess valid at the -# nearest cycle time prior to the center PREPBUFR processing date/time which -# is a multiple of 3, then get a global sigma guess valid at the nearest -# cycle time after the center PREPBUFR processing date/time which is a -# multiple of 3 - the spectral coefficients will be linearly interpolated to -# the center PREPBUFR processing date/time by the program PREPOBS_PREPDATA -# and this guess will then be interpolated to observation locations (again by -# the program PREPOBS_PREPDATA) and encoded into the PREPBUFR file for use by -# the q.c. programs; if a non-zero length file sgesprep exists in the working -# directory, then this guess is used for time prior to the center PREPBUFR -# processing date/time - otherwise: the utility ush GETGES is executed to -# obtain the global atmos guess file here (will always be from GFS network); -# -# likewise if a non-zero length file sgesprepA exists in the working -# directory, then this guess is used for time after the center PREPBUFR -# processing date/time - otherwise: the utility ush GETGES is executed to -# obtain the global atmos guess file here (will always be from the GFS -# network and initiate at the same time as the guess file valid prior to the -# PREPBUFR processing date/time) -# -# (NOTE 1: a pre-existing sgesprep file in the working directory at this -# point was either: -# copied there prior to the execution of this script -# or -# copied there earlier in this script from either $tstsp, or if -# not found there, $COMSP which was populated by the previous -# running of tropical cyclone relocation processing -# (NOTE 2: a pre-existing sgesprepA file in the working directory at this -# point was copied there prior to the execution of this script - -# it could not have been copied from either $tstsp or $COMSP -# because previous tropical cyclone relocation processing can run -# only when the center tropical cyclone relocation (or PREPBUFR) -# processing date/time is a multiple of 3) -# (NOTE 3: this case is necessary because the gblevents subroutine used to -# add background forecast values to the prepbufr file expects sigio- -# based files to be valid only at hours that are a multiple of 3) -# (NOTE 4: if imported variable GETGUESS=NO, then bypass this step - a -# global atmos guess valid at center PREPBUFR time is not obtained) -# ---------------------------------------------------------------------- - - for sfx in "" A; do - if [ ! -s sgesprep${sfx} ]; then - fhr=any - if [ "$NEMSIO_IN" = .true. ]; then - dhr=0 - stype=natges - else - dhr=`expr 0 - $modhr` - stype=sigges - fi - if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then - [ "$sfx" = 'A' ] && break - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Either center PREPBUFR processing date/time is a multiple of 3-hrs" -echo " -OR-" -echo " global guess is nemsio-based" -echo " Use GETGES to get global sigio-based or nemsio-based GUESS valid for" -echo " 0 hrs relative to center PREPBUFR processing date/time" -echo " Will be encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - else - if [ "$sfx" = 'A' ]; then - typeset -Z2 fhr - fhr=`awk -F"sf" '{print$2}' sgesprep_pathname | cut -c1-2` - fhr=`expr $fhr + 03` - dhr=`expr 3 - $modhr` - fi - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Center PREPBUFR processing date/time is not a multiple of 3-hrs" - if [ "$sfx" != 'A' ]; then -echo " Get global atmos GUESS valid at the nearest cycle time prior to" - else -echo " Get global atmos GUESS valid at the nearest cycle time after" - fi -echo " center PREPBUFR processing date/time which is a multiple of 3" -echo " Will be used to generate an interpolated guess which will be" -echo " encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - fi - $GETGESprep -e $envir_getges -n $network_getges -t $stype\ - -f $fhr -v `${NDATE} $dhr $CDATE10` > sgesprep${sfx}_pathname - errges=$? - if test $errges -ne 0 - then -# problem obtaining global sigio-based or nemsio-based guess - exit if center -# PREPBUFR processing date/time is a multiple of 3-hrs or if global guess is -# nemsio-based, otherwise continue running but set GETGUESS=NO meaning a -# first guess will NOT be encoded in PREPBUFR file - if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then - if [ "$NEMSIO_IN" = .true. ]; then - set +x - echo -echo "problem obtaining global nemsio-based guess;" - else - set +x - echo -echo "problem obtaining global sigio-based guess valid 0 hrs relative to \ -center PREPBUFR date/time;" - fi -echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - else - set +x - echo -echo "problem obtaining global atmos guess valid at the nearest cycle time " - if [ "$sfx" != 'A' ]; then -echo "prior to center PREPBUFR processing date/time which is a multiple of 3" - else -echo "after center PREPBUFR processing date/time which is a multiple of 3" - fi -echo "will continue running but a GUESS will NOT be encoded in PREPBUFR file!!" - echo - set -x - msg="PROBLEM OBTAINING ONE OR BOTH SPANNING ATMOS GUESS \ -FILES, GUESS NOT ENCODED IN PREPBUFR FILE --> non-fatal" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - GETGUESS=NO - SGES=/dev/null - SGESA=/dev/null - > sgesprep - > sgesprepA - > sgesprep_pathname - > sgesprepA_pathname - break - fi - fi - cp `cat sgesprep${sfx}_pathname | awk '{ print $1 }'` sgesprep${sfx} - set +x - echo -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - else - if [ $RELOCATION_HAS_RUN = YES ]; then - -# If relocation ran, then ${sfx} is always "" (null) because relocation will -# only run on center times that are a multiple of 3-hrs - come here to -# store the pathname for the sgesprep file in sgesprep${sfx}_pathname - -# note that it will not be stored here if relocation did not process any -# records, i.e., it did not update the guess, because it was already stored -# in tropcy_relocate.sh (with the getges path) -# -------------------------------------------------------------------------- - - qual_last=".$tmmark" # need this because gfs and gdas don't add - # $tmmark qualifer to end of output atmos - # guess files - [ $NET = gfs -o $NET = gdas ] && qual_last="" - if [ "$NEMSIO_IN" = .true. ]; then - gesbase="atmges.nemsio" - else - gesbase="sgesprep" - fi - if [ -s ${tstsp}${gesbase}${qual_last} ]; then - echo "${tstsp}${gesbase}${qual_last}" > sgesprep${sfx}_pathname - elif [ -s ${COMSP}${gesbase}${qual_last} ]; then - echo "${COMSP}${gesbase}${qual_last}" > sgesprep${sfx}_pathname - fi - else - -# If relocation did not run, then the guess files in $DATA were copied there -# prior to the execution of this script by the user - just echo the path -# to this guess file in $DATA into sgesprep${sfx}_pathname -# -------------------------------------------------------------------------- - - echo "$DATA/sgesprep${sfx}" > sgesprep${sfx}_pathname - fi - fi - eval SGES${sfx}=$DATA/sgesprep${sfx} - done - fi -fi - -################################ -# EXECUTE PREPDATA PROCESSING -################################ - -if [ "$PREPDATA" = 'YES' ]; then - - cd $DATA - -set +u - if [ -z "$PREPBUFR_APP" -o "$PARALLEL" = 'YES' ]; then -set -u - if [ ! -s ${tstsp}status.${tmmark}.bufr_d -a \ - ! -s ${COMSP}status.${tmmark}.bufr_d ]; then - -#########if [ \( ! -s ${tstsp}status1.${tmmark}.bufr_d -o \ -######### ! -s ${tstsp}status2.${tmmark}.bufr_d \) -a \ -######### \( ! -s ${COMSP}status1.${tmmark}.bufr_d -o \ -######### ! -s ${COMSP}status2.${tmmark}.bufr_d \) ]; then - if [ ! -s ${tstsp}status1.${tmmark}.bufr_d -a \ - ! -s ${COMSP}status1.${tmmark}.bufr_d ]; then - -# problem: status file not found - indicates some or all data dumps were not -# found (produced) for requested time ... -# If highest level directory pointing to input BUFR observational -# data dumps is /com or /com2 then EXIT (assumes all data dumps are -# required) -# Otherwise, just echo a diagnostic (assumes only some data dumps are -# required) -# ---------------------------------------------------------------------------- - -echo -echo "Some or all BUFR data dumps were not found for requested time ... " -echo - set -x - - if [[ "$COMSP" =~ (^/com/|^/com2/|^/gpfs/.../nco/ops/com/) && \ - "$tstsp" =~ (^/tmp/null) ]]; then - set +x -echo -echo "ABNORMAL EXIT!!!!!!!!!!!" -echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - fi - fi - - echo $BUFRLIST | grep adpsfc - grp_adpsfc=$? - echo $BUFRLIST | grep adpupa - grp_adpupa=$? - if [ \( ! -f ${COMSP}adpsfc.${tmmark}.bufr_d -a \ - ! -f ${tstsp}adpsfc.${tmmark}.bufr_d -a $grp_adpsfc -eq 0 \) -o \ - \( ! -f ${COMSP}adpupa.${tmmark}.bufr_d -a \ - ! -f ${tstsp}adpupa.${tmmark}.bufr_d -a $grp_adpupa -eq 0 \) ] - then - -# problem: either adpsfc (surface land) or adpupa (raob/pibal/recco) file, or -# both, not found for requested time - this is unacceptable; EXIT -# (unless the culprit file was not included in the $BUFRLIST) -# --------------------------------------------------------------------------- - - set +x -echo -echo "ADPSFC and/or ADPUPA BUFR data dump was not produced for requested" -echo " time (but is in BUFRLIST); ABNORMAL EXIT!!!!!!!!!!!" -echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - - fi - - for name in ${BUFRLIST} ;do - > $name - if [ -f ${tstsp}${name}.${tmmark}.bufr_d ]; then - cp ${tstsp}${name}.${tmmark}.bufr_d $name - elif [ -s ${COMSP}${name}.${tmmark}.bufr_d ]; then - cp ${COMSP}${name}.${tmmark}.bufr_d $name - fi - done - - > prep_exec.cmd - - > prepda.${cycle} - - echo " $CDATE10" > cdate10.dat - -# If GETGUESS=YES, then either ... -# a global sigio-based guess file valid at the center PREPBUFR processing -# date/time which is a multiple of 3-hrs is valid at this point -# -- or -- -# global sigio-based guess files valid at times which are multiples of 3-hrs -# and span the center PREPBUFR processing date/time which is NOT a multiple of -# 3-hrs are available and valid at this point -# -- or -- -# a global nemsio-based guess file valid at the center PREPBUFR processing -# date/time for any hour is valid at this point - -# In any case, namelist "GBLEVN" with PREVEN=T is cat'ed to the beginning -# of the PREPOBS_PREPDATA program data cards file - this means -# PREPOBS_PREPDATA will call w3emc routine GBLEVENTS to do the "prevents" -# processing (otherwise PREVEN=F by default) - - > prepdata.stdin - [ "$GETGUESS" != 'NO' ] && echo " &gblevn preven=true /" >>prepdata.stdin - cat $PRPC >> prepdata.stdin - -# Check contents of *aircar_status_flag* file in $tstsp, or if not found there, -# $COMSP path - this was generated by previous bufr_dump_obs.sh script: if it -# exists and indicates that there were more AFWA (backup) ACARS reports than -# ARINC (primary) ACARS reports in the AIRCAR dump, then skip processing of -# ARINC ACARS messages in PREPOBS_PREPDATA (meaning process ONLY AFWA ACARS -# messages); otherwise, as is usually the case, skip processing of AFWA ACARS -# messages (meaning process only ARINC ACARS messages in PREPOBS_PREPDATA) - - echo " SUBSKP(004,007) = TRUE," > insert - if [ -s ${tstsp}aircar_status_flag.${tmmark}.bufr_d ]; then - grep -q -Fe "004.007" ${tstsp}aircar_status_flag.${tmmark}.bufr_d - err_grep=$? - if [ $err_grep -eq 0 ]; then - echo " SUBSKP(004,004) = TRUE," > insert - msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ -backup AFWA ACARS into PREPBUFR" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - fi - elif [ -s ${COMSP}aircar_status_flag.${tmmark}.bufr_d ]; then - grep -q -Fe "004.007" ${COMSP}aircar_status_flag.${tmmark}.bufr_d - err_grep=$? - if [ $err_grep -eq 0 ]; then - echo " SUBSKP(004,004) = TRUE," > insert - msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ -backup AFWA ACARS into PREPBUFR" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - fi - fi - - grep -q -Fe "`cat insert`" prepdata.stdin - err_grep=$? - if [ $err_grep -ne 0 ]; then - nlines=`cat < prepdata.stdin | wc -l` - line=`grep -n -Fe "&LDTA" prepdata.stdin | cut -f1 -d:` - head -n $line prepdata.stdin > top_part - mlines=`expr $nlines - $line` - tail -n $mlines prepdata.stdin > bottom_part - [ $mlines -gt 2 ] && cat top_part insert bottom_part > prepdata.stdin - rm top_part bottom_part - fi - rm insert - - -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## -## HEREFILE MP_PREPDATA ## -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## - -set +x -cat <<\EOFmpp > MP_PREPDATA - -{ echo - -# This herefile script performs the "prepdata" processing. It is designed to -# run in either a parallel (e.g., poe/mpi or background threads) or serial -# environment. In the parallel environment, it first splits the input BUFR -# data dump files into $NSPLIT equally-sized parts (analogous to dealing -# multiple sets of cards to $NSPLIT players, where each set of cards is a -# different BUFR data dump file). Next, in either the parallel or serial -# environment, it runs PREPOBS_PREPDATA to write out prepbufr files (either -# a single complete file in the serial environment or $NSPLIT partial -# PREPBUFR files in the parallel environment). Finally, it generates a list of -# PREPBUFR message headers which, in the parallel environment, is needed to -# later merge the partial PREPBUFR files together in the proper order. -# -# IMPORTANT: This script assumes that the BUFR data dump files it is to -# process have been copied into the $DATA directory and that each -# file name is the same as in $BUFRLIST. It also assumes that the -# NCEP production date file is present in the $DATA directory and -# that it is called cdate10.dat. Finally, it assumes that the -# PREPOBS_PREPDATA program data cards (parm) file is present in the -# $DATA directory and it is called prepdata.stdin -# ----------------------------------------------------------------------------- -# -# Positional parameters passed in: -# 1 - Stream index ($multi) (0 to $NSPLIT-1) -# -# Imported variables that must be passed in: -# DATA - path to working directory -# PARALLEL - indicates whether or not this script is running in a parallel -# (e.g., poe/mpi or background threads) or serial environment -# "YES" - running in a parallel environment; "NO" running in a -# serial environment) -# NSPLIT number of parts into which the input BUFR data dump files are to -# be evenly divided (applicable only when PARALLEL is "YES") -# BUFRLIST - list of BUFR data dump files to process -# MPCOPYX - path to PREPOBS_MPCOPYBUFR program executable -# PRPT - path to PREPOBS_PREPDATA bufrtable file -# LANDC - path to land/sea mask file -# SGES - path to COPY OF global sigio-based or nemsio-based first guess -# file valid at either center PREPBUFR processing date/time or, -# for global sigio-based guess only, nearest 3-hrly cycle time -# prior to center PREPBUFR processing date/time -# SGESA - path to COPY OF global sigio-based guess file valid at nearest -# 3-hrly cycle AFTER center PREPBUFR processing date/time (if -# needed, otherwise /dev/null). Only used if SGES is valid at -# 3-hrly cycle time PRIOR to center PREPBUFR processing date/time -# (and thus not used if NEMSIO_IN=.true.) -# PRVT - path to observation error table file -# PRPX - path to PREPOBS_PREPDATA program executable -# LISTHDX - path to PREPOBS_LISTHEADERS program executable - -set -aux -multi=$1 - -data=$DATA/multi$multi - -if [ ! -d $DATA/multi$multi ] ; then - mkdir -p $DATA/multi$multi -fi - -status=$data/mstatus ; > $status -mp_pgmout=$data/mp_pgmout ; > $mp_pgmout - - -{ echo -set +x -echo -echo "********************************************************************" -echo "This is stream (task/thread) $multi executing on node `hostname -s`" -echo "Starting time: `date`" -echo "********************************************************************" -echo -set -x -} >> $mp_pgmout - -cd $data - -if [ "$PARALLEL" = 'YES' ]; then - - n=0 - - pgm=`basename $MPCOPYX` -#-----mimics prep_step----- - set +x - echo $pgm > pgmname - set +u - [ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" - set -u - [ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout - rm pgmname - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` - [ -s $DATA/tracer ] && cat $DATA/tracer > errfile - set -x -#-------------------------- - - for name in ${BUFRLIST[*]} ;do - > $name - if [ -s $DATA/$name ] ; then - ((n+=1)) - export FORT$((10+n))=$DATA/$name - export FORT$((50+n))=$name - fi - done - - cat<> $mp_pgmout 2>&1 - &namin nfiles=$n / - &mp nprocs=$NSPLIT,mp_process=$multi / -EOF - err=$? - set +x - echo - echo "The foreground exit status for PREPOBS_MPCOPYBUFR is " $err - echo - set -x - - [ "$err" -gt '0' ] && exit - - dump_dir=$data - -else - - dump_dir=$DATA - -# fi for $PARALLEL = YES -fi - - -pgm=`basename $PRPX` -#-----mimics prep_step----- -set +x -echo $pgm > pgmname -set +u -[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" -set -u -[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout -rm pgmname -[ -f errfile ] && rm errfile -unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -[ -s $DATA/tracer ] && cat $DATA/tracer > errfile -set -x -#-------------------------- - -set +u -[ -n "$PREPBUFR_APP" -a "$PARALLEL" = 'NO' ] && \ - cp $PREPBUFR_APP prepda -set -u - -# Namelist "TASK" with mp_process set to the value of $multi - either the poe/ -# mpi task number (for POE not equal to "NO") or to the background thread -# number (for BACK equal to "YES") in the parallel environment, or hardwired -# to zero in the serial environment, is cat'ed to the beginning of the -# PREPOBS_PREPDATA program data cards (parm) file - this will allow -# PREPOBS_PREPDATA to identify this stream - -> prepdata.stdin -echo " &task mp_process=$multi /" >>prepdata.stdin -cat $DATA/prepdata.stdin >> prepdata.stdin - -BUFRLIST_all="adpupa aircar aircft satwnd proflr vadwnd rassda adpsfc sfcshp \ - sfcbog msonet spssmi erscat qkswnd wdsatr ascatw rtovs atovs goesnd gpsipw" -###BUFRLIST_all_array=($BUFRLIST_all) # this does not work on all platforms -set -A BUFRLIST_all_array `echo $BUFRLIST_all` # this works on all platforms - - -# Any dump file not included in BUFRLIST is "touched" so that it will not -# cause a read error in the event that PREPOBS_PREPDATA still tries to read it - -for name in $BUFRLIST_all;do -[ ! -f $dump_dir/$name ] && > $dump_dir/$name -done - -export FORT11=$DATA/cdate10.dat -export FORT12=$PRPT -export FORT15=$LANDC -## export FORT18=$SGES -## export FORT19=$SGESA - -# The PREPOBS_PREPDATA code opens GFS spectral coefficient guess files using -# sigio routines or GFS gaussian grid guess files using nemsio routines (via -# W3EMC routine GBLEVENTS) in a manner that may not recognize the FORTxx -# variables above. So, the above statements setting FORTxx vars for $SGES and -# $SGESA are replaced by the soft links below. - -ln -sf $SGES fort.18 -ln -sf $SGESA fort.19 -export FORT20=$PRVT -export FORT21=$dump_dir/${BUFRLIST_all_array[0]} -export FORT22=$dump_dir/${BUFRLIST_all_array[1]} -export FORT23=$dump_dir/${BUFRLIST_all_array[2]} -export FORT24=$dump_dir/${BUFRLIST_all_array[3]} -export FORT25=$dump_dir/${BUFRLIST_all_array[4]} -export FORT26=$dump_dir/${BUFRLIST_all_array[5]} -export FORT27=$dump_dir/${BUFRLIST_all_array[6]} -export FORT31=$dump_dir/${BUFRLIST_all_array[7]} -export FORT32=$dump_dir/${BUFRLIST_all_array[8]} -export FORT33=$dump_dir/${BUFRLIST_all_array[9]} -export FORT34=$dump_dir/${BUFRLIST_all_array[10]} -export FORT35=$dump_dir/${BUFRLIST_all_array[11]} -export FORT36=$dump_dir/${BUFRLIST_all_array[12]} -export FORT37=$dump_dir/${BUFRLIST_all_array[13]} -export FORT38=$dump_dir/${BUFRLIST_all_array[14]} -export FORT39=$dump_dir/${BUFRLIST_all_array[15]} -export FORT41=$dump_dir/${BUFRLIST_all_array[16]} -export FORT42=$dump_dir/${BUFRLIST_all_array[17]} -export FORT46=$dump_dir/${BUFRLIST_all_array[18]} -export FORT48=$dump_dir/${BUFRLIST_all_array[19]} -export FORT51=prepda -export FORT52=prevents.filtering.prepdata - -#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) -#If program ever fails, try changing 64000000 to 20000000 -#set +u -#[ -n "$LOADL_PROCESSOR_LIST" ] && XLSMPOPTS=parthds=2:stack=64000000 -#set -u - -# The following improves performance on Cray-XC40 if $PRPX was -# linked to the IOBUF i/o buffering library -export IOBUF_PARAMS='*prevents.filtering.prepdata:verbose' - -$TIMEIT $PRPX >$mp_pgmout 2>&1 -errPREPDATA=$? -unset IOBUF_PARAMS -cat prevents.filtering.prepdata >> $mp_pgmout -set +x -echo -echo "The foreground exit status for PREPOBS_PREPDATA is " $errPREPDATA -echo -set -x - -[ "$errPREPDATA" -gt '4' -o "$errPREPDATA" -eq '1' ] && exit - -# Will execute PREPOBS_LISTHEADERS even if PARALLEL is "NO", because it will -# reorder the monolithic PREPBUFR file to ensure that all messages of the same -# subtype will always be grouped together in sequential messages, arranged in -# the order found in $PRPT (Note: This is a necessity when PARALLEL is "YES" -# because the later program PREPOBS_MONOPREPBUFR must merge the $NSPLIT -# individual (partial) PREPBUFR files together in the proper order) - - -# Build listhdx.stdin from bufrtable entries of possible message headers first -# line is count, followed by list - -grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|wc -l|tee listhdx.stdin -grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|tee -a listhdx.stdin - -pgm=`basename $LISTHDX` -#-----mimics prep_step----- -set +x -echo $pgm > pgmname -set +u -[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" -set -u -[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout -rm pgmname -[ -f errfile ] && rm errfile -unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -[ -s $DATA/tracer ] && cat $DATA/tracer > errfile -set -x -#-------------------------- - -export FORT11=prepda -export FORT51=prepda.reorder -export FORT52=prepda.hdrs - -$TIMEIT $LISTHDX < listhdx.stdin >>$mp_pgmout 2>&1 -err=$? -cat prepda.hdrs -set +x -echo -echo "The foreground exit status for PREPOBS_LISTHEADERS is " $err -echo -set -x - -[ "$err" -gt '0' ] && exit - -mv prepda.reorder prepda -rm listhdx.stdin - -echo "$multi finished -- errPREPDATA = $errPREPDATA" > $status - -{ echo -set +x -echo -echo "********************************************************************" -echo "Finished executing on node `hostname -s`" -echo "Ending time : `date`" -echo "********************************************************************" -echo -set -x -} >> $mp_pgmout - -} 1> $DATA/mp_stream${1}.stdout 2> $DATA/mp_stream${1}.errfile - -exit 0 -EOFmpp -set -x - -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## -## end of HEREFILE MP_PREPDATA ## -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## - - chmod 775 MP_PREPDATA - - if [ "$PARALLEL" = 'YES' ]; then - -# In the parallel environment, either cat the multiple MP_PREPDATA tasks -# into a poe command file (for poe/mpi/cfp) - or - set up a script that will -# fire off each MP_PREPDATA thread as a background process -# ----------------------------------------------------------------------- - if [ "$POE" != 'NO' ]; then - multi=-1 - while [ $((multi+=1)) -lt $NSPLIT ] ; do - echo "ksh $DATA/MP_PREPDATA $multi "|tee -a $DATA/prep_exec.cmd - done - if [ "$launcher_PREP" != cfp -a "$launcher_PREP" != aprun ]; then - # fill in empty tasks - multi=$((multi-=1)) #need to go back one - while [ $((multi+=1)) -lt $NPROCS ] ; do - echo "echo do-nothing" >> $DATA/prep_exec.cmd - done - fi - elif [ $BACK = 'YES' ] ; then - multi=-1 - echo "#!/bin/ksh" > $DATA/prepthrds.sh - while [ $((multi+=1)) -lt $NSPLIT ] ; do - echo "$DATA/MP_PREPDATA $multi &" >> $DATA/prepthrds.sh - echo "echo $DATA/MP_PREPDATA $multi submitted in background" \ - >> $DATA/prepthrds.sh - done - echo "wait" >> $DATA/prepthrds.sh - chmod 775 $DATA/prepthrds.sh - fi - -# In the parallel environment, next either execute the poe wrapper (for poe/ -# mpi/cfp) (do not execute a time command with poe!) - or - run prepthrds.sh -# to kick off background processes and wait for them to complete -# -------------------------------------------------------------------------- - if [ "$POE" != 'NO' ]; then - if [ "$launcher_PREP" = mpirun.lsf ]; then - export MP_CMDFILE=$DATA/prep_exec.cmd - export MP_PGMMODEL=mpmd - export MP_PULSE=0 - export MP_DEBUG_NOTIMEOUT=yes - export MP_LABELIO=yes - export MP_STDOUTMODE=ordered - mpirun.lsf - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - elif [ "$launcher_PREP" = cfp ]; then - export MP_CSS_INTERRUPT=yes - export MP_LABELIO=yes - export MP_STDOUTMODE=ordered - mpirun.lsf cfp $DATA/prep_exec.cmd - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - elif [ "$launcher_PREP" = aprun ]; then - ## Determine tasks per node (PREPDATAtpn) and - ## max number of concurrent procs (PREPDATAprocs) for cfp - typeset -i nodesall=$(echo -e "${LSB_HOSTS// /\\n}"|sort -u|wc -w) - typeset -i ncnodes=$(($nodesall-1)) # we want compute nodes only - if [ $ncnodes -lt 1 ]; then - set +x - echo - echo " ** Could not get positive compute node count for aprun **" - echo " ** Are we using LSF queue with compute node access? **" - echo - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - if [[ -z ${PREPDATAtpn:-""} ]]; then - PREPDATAtpn=$((($NSPLIT+$ncnodes-1)/$ncnodes)) - # cfp is faster with extra thread so add one if there is room. - # (this logic needs an update to avoid hardwired 24) - [ $PREPDATAtpn -lt 24 ] && PREPDATAtpn=$(($PREPDATAtpn+1)) - fi - if [[ -z ${PREPDATAprocs:-""} ]]; then - PREPDATAprocs=$(($ncnodes*$PREPDATAtpn)) # max concurrent processes - fi - aprun -j 1 -n${PREPDATAprocs} -N${PREPDATAtpn} -d1 cfp $DATA/prep_exec.cmd - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - else # unknown launcher and options (eg, for use on R&D system) - $launcher_PREP - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - fi - elif [ $BACK = 'YES' ] ; then - if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - aprun -n 1 -d $NSPLIT $DATA/prepthrds.sh - else - $DATA/prepthrds.sh - fi - fi - totalt=$NSPLIT - else - -# In the serial environment, just fire off a single thread of MP_PREPDATA -# ----------------------------------------------------------------------- - multi=0 - if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - aprun -n 1 -N 1 ksh $DATA/MP_PREPDATA $multi - else - $DATA/MP_PREPDATA $multi - fi - totalt=1 - - # fi for $PARALLEL = YES - fi - - set +x - multi=0 - while [ $multi -lt $totalt ]; do -echo -echo "********************************************************************" -echo " ++ Script STDOUT from MP_PREPDATA for stream (task/thread) $multi ++" -echo "********************************************************************" -echo - cat $DATA/mp_stream${multi}.stdout -echo "********************************************************************" -echo " ++ End of Script STDOUT from MP_PREPDATA for stream $multi ++ " -echo "********************************************************************" - multi=`expr $multi + 1` - done - -echo -echo "********************************************************************" -echo " ++ Script trace from MP_PREPDATA for stream (task/thread) 0 ++ " - if [ "$PARALLEL" = 'YES' ]; then -echo -echo " In order to conserve space, the script trace from other " -echo " streams is not invoked unless the stream failed. " - fi -echo "********************************************************************" -echo - - cat mp_stream0.errfile - -echo -echo "********************************************************************" -echo " ++ End of Script trace from MP_PREPDATA for stream 0 ++ " -echo "********************************************************************" -echo - set -x - -# check status files -# ------------------ - - errSTATUS=0 - errPREPDATA=0 - four_check=yes - multi=0 - while [ $multi -lt $totalt ]; do - cat $DATA/multi$multi/mp_pgmout >> prepdata.out - cat $DATA/multi$multi/mp_pgmout >> $pgmout - status=$DATA/multi$multi/mstatus - if [ ! -s $status ]; then - set +x -echo -echo "********************************************************************" -echo " P R O B L E M ! ! ! " -echo "********************************************************************" -echo " ###> MP_PREPDATA stream (task/thread) $multi FAILED - Cycle date: \ -$CDATE10" -echo " Current working directory: $DATA " -echo -echo " Script trace from MP_PREPDATA for stream $multi follows ... " -echo "********************************************************************" -echo - cat $DATA/mp_stream${multi}.errfile -echo -echo "********************************************************************" -echo " ++ End of Script trace from MP_PREPDATA for stream $multi ++ " -echo "********************************************************************" -echo - set -x - errSTATUS=99 - else - err_this=`cut -f 2 -d = $status` - [ "$err_this" -gt "$errPREPDATA" ] && errPREPDATA=$err_this - [ "$err_this" -eq '0' ] && four_check=no - fi - multi=`expr $multi + 1` - done - - if [ "$errSTATUS" -gt '0' ]; then - $DATA/err_exit - exit 55 # for extra measure - fi - - [ "$errPREPDATA" -eq '4' -a "$four_check" = 'no' ] && errPREPDATA=0 - - set +x - echo - echo "For all MP_PREPDATA Streams, the largest foreground exit status \ - amongst all PREPOBS_PREPDATA runs is " $errPREPDATA - echo - set -x - - if [ "$errPREPDATA" -le "$errPREPDATA_limit" -a $errPREPDATA -ne 1 ]; then - err=0 - if [ "$errPREPDATA" -eq '4' ]; then - set +x - echo - echo "WARNING: PREPOBS_PREPDATA FOUND EITHER NO ADPUPA OR NO ADPSFC DATA" - echo "-------- THESE DATA WILL NOT BE AVAILABLE TO ANALYSES" - echo - set -x - fi - else - err=$errPREPDATA - fi - - pgm=`basename $PRPX` - touch errfile - $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - - if [ "$PARALLEL" = 'YES' ]; then - -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## -## HEREFILE MERGE_MSGS ## -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## - -set +x -cat <<\EOFmrg > MERGE_MSGS - -# This herefile script merges the individual partial PREPBUFR files present at -# this point into a complete, monolithic PREPBUFR file in the proper message -# type order. It is the last step in the PREPDATA processing. It runs only -# in the parallel environment. -# ---------------------------------------------------------------------------- - -# Positional parameters passed in: -# 1 - Number of input partial PREPBUFR files that are going to be merged -# ($nfiles) -# 2 - Working directory path ($DATA) (contains separate partial PREPBUFR -# files and text files containing headers for each, one directory down) -# 3 - Beginning string of sub-directories in $DATA ($subdir) (each sub- -# directory contains an input partial PREPBUFR file and a text file -# containing headers for all messages in that PREPBUFR file) -# 4 - File in each sub-directory containing headers for all messages in -# partial PREPBUFR file in same sub-directory (file name only - same name -# in all sub-directories) ($header_file_name) -# 5 - Partial PREPBUFR file in each sub-directory (file name only - same name -# in all sub-directories) ($prep_in) -# 6 - Output monolithic PREPBUFR file name (file name only) ($prep_out) -# -# Imported variables that must be passed in: -# MONOBFRX - path to PREPOBS_MONOPREPBUFR program executable -# -# Imported variables that can be passed in: -# pgmout - string indicating path to for standard output file (skipped over -# by this script if not passed in) - - -if [ $# -ne 6 ] ; then - echo "Usage: $0 nfiles DATA subdir header_file_name prep_in prep_out" - exit 1 -fi - -set -aux - -qid=$$ - -nfiles=$1;DATA=$2;subdir=$3;header_file_name=$4;prep_in=$5;prep_out=$6 - - -# From all the header files, extract the header counts and names build -# namelist input to drive $MONOBFRX program -# --------------------------------------------------------------------- - -nheaders=`cat $DATA/${subdir}*/$header_file_name|awk '{print $1}'|sort -u|wc -l` -((nheaders+=0)) - ->$DATA/input echo -echo " &namin nfiles=$nfiles, nheaders=$nheaders," >>$DATA/input - -cd $DATA - - -# Assign the fort units to the files -# ----------------------------------- - -pgm=`basename $MONOBFRX` -if [ -s $DATA/prep_step ]; then - . $DATA/prep_step -else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -fi - - -n=-1 -while [ $((n+=1)) -lt $nfiles ] ;do - [ ! -s $DATA/${subdir}$n/$prep_in ] && exit 1 - export FORT$((11+n))=$DATA/${subdir}$n/$prep_in -done -export FORT51=$prep_out -set +x - - -# Extract the total span of headers by searching through all the header files -# --------------------------------------------------------------------------- - -n=-1 -while [ $((n+=1)) -lt $nfiles ]; do - file=$DATA/${subdir}$n/$header_file_name - [ ! -s $file ] && exit 1 - if [ `cat $file|awk '{print $1}'| \ - sort -u|wc -l` -eq $nheaders ] ; then - headers="" - nlines=`cat $file|wc -l` - i=0 - while [ $((i+=1)) -le $nlines ]; do - line=`sed -n $i,${i}p $file` - header=`echo $line|awk '{print $1}'` - echo " cheaders($i)='$header',">>$DATA/input - headers="$headers $header" - done - break - fi -done - - -# Tranlate the hdrs file contents into namelist array -# --------------------------------------------------- - -n=-1 -while [ $((n+=1)) -lt $nfiles ]; do - file=$DATA/${subdir}$n/$header_file_name - line= - i=0 - for hdr in $headers; do - ((i+=1)) - count=`grep $hdr $file|awk '{print $2}'` - set +u - [ -z "$count" ] && count=0 - set -u - line="${line}msgs($i,$((n+1)))=$count," - done - echo " $line " >>$DATA/input -done - -echo " &end" >>$DATA/input -set -x -cat $DATA/input - -$TIMEIT $MONOBFRX <$DATA/input > outout 2> errfile -export err=$? -###cat errfile -cat errfile >> outout -cat outout >> monoprepbufr.out -set +u -[ -n "$pgmout" ] && cat outout >> $pgmout -set -u -rm outout -set +x -echo -echo "The foreground exit status for PREPOBS_MONOPREPBUFR is " $err -echo -set -x -$DATA/err_chk -[ $err != 0 ] && exit 55 # for extra measure - -exit 0 -EOFmrg -set -x - -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## -## end of HEREFILE MERGE_MSGS ## -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## - - chmod 775 MERGE_MSGS - -# In parallel environment, make monolithic PREPBUFR file by meriging the -# partial PREPBUFR files -# ---------------------------------------------------------------------- - $TIMEIT $DATA/MERGE_MSGS $NSPLIT $DATA multi prepda.hdrs prepda \ - prepda.${cycle} - errsc=$? - if test $errsc -ne 0 - then -# problem with merge script - $DATA/err_exit - exit 55 # for extra measure - fi - else - -# In serial environment, already have a monolithic PREPBUFR file - just -# copy it to expected local monolithic PREPBUFR file location -# --------------------------------------------------------------------- - cp $DATA/multi0/prepda prepda.${cycle} - - # fi for $PARALLEL = YES - fi - -# fi for $PREPDATA = YES -fi - -set +u -[ -n "$PREPBUFR_IN" ] && cp $PREPBUFR_IN $DATA/prepda.${cycle} -set -u - - -############################################ -# EXECUTE SYNTHETIC CYCLONE DATA PROCESSING -############################################ - -if [ "$SYNDATA" = 'YES' ]; then - -# Check condition code - SDM can shut-off synthetic cyclone bogusing -# ------------------------------------------------------------------ -# ==> this switch is NOT YET in place, so it will be hardwired to "YES" - -###cp ???????????? syndata_cond - echo "YES" > syndata_cond - SYN=`cat tcvitals_orig_sort - sort tcvitals > tcvitals_sort - comm -23 tcvitals_orig_sort tcvitals_sort > tcvitals_removed - [ -s tcvitals_removed ] && run_syndat_twice=yes - fi - fi - - $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ - $DATA/tcvitals $CDATE10 - - if [ $run_syndat_twice = yes ]; then - -# Run SYNDATA a second time when switch "run_syndat_twice" was set to "yes" in -# above logic (see %% above) - - DO_BOGUS=NO - $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ - $DATA/tcvitals_removed $CDATE10 - fi - fi -fi - -[ "$PREPDATA" = 'YES' ] && cp prepda.${cycle} prepda.prepdata - - -########################################### -# EXECUTE GSI QUALITY-CONTROL PROCESSING -########################################### - -if [ "$DO_QC" = 'YES' ]; then - if [ "$PREVENTS" = 'YES' ];then - $TIMEIT $USHPREV/prepobs_prevents.sh $DATA/prepda.${cycle} $CDATE10 - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$CQCBUFR" = 'YES' ];then - $TIMEIT $USHCQC/prepobs_cqcbufr.sh $DATA/prepda.${cycle} - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$PROFCQC" = 'YES' ];then - $TIMEIT $USHPQC/prepobs_profcqc.sh $DATA/prepda.${cycle} - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$CQCVAD" = 'YES' ];then - $TIMEIT $USHVQC/prepobs_cqcvad.sh $DATA/prepda.${cycle} $CDATE10 - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$PREPACQC" = 'YES' ];then - $TIMEIT $USHAQC/prepobs_prepacqc.sh $DATA/prepda.${cycle} $DATA/adpsfc - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$OIQCBUFR" = 'YES' ];then - $TIMEIT $USHOIQC/prepobs_oiqcbufr.sh $DATA/prepda.${cycle} $CDATE10 - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi -fi - - -# Look for "OVERLARGE" subsets in stdout (print out of bufrlib when subset -# discarded because it is too big to fit in a BUFR message) -- post to -# jlogfile if appropriate - -msg=`grep "OVERLARGE SUBSET DISCARDED" $pgmout` -err=$? -if [ "$err" -eq '0' ]; then - set +x - echo - echo "$msg" - echo - set -x - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" -fi - -exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_oiqcbufr.sh b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_oiqcbufr.sh deleted file mode 100755 index dbb6630..0000000 --- a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_oiqcbufr.sh +++ /dev/null @@ -1,152 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - -# This script performs an oi-based quality control on all data -# -# It is normally executed by the script prepobs_makeprepbufr.sh -# but can also be executed from a checkout parent script -# -------------------------------------------------------------- - -set -aux - -qid=$$ - -# Positional parameters passed in: -# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr -# file upon successful completion of this script (note that input -# prepbufr file is NOT saved by this script) -# 2 - NCEP production date (YYYYMMDDHH) - -# Imported variables that must be passed in: -# DATA - path to working directory -# OIQCT - path to observation error table file -# OIQCX - path to PREPOBS_OIQCBUFR program executable - -# Imported variables that can be passed in: -# jlogfile - string indicating path to joblog file (skipped over by this -# script if not passed in) -# pgmout - string indicating path to for standard output file (skipped -# over by this script if not passed in) -# sys_tp - system type and phase. (if not passed in, an attempt is made to -# set this string using getsystem.pl, an NCO script in prod_util) -# SITE - site name (may have been set by local shell startup script) -# launcher_OIQCX - launcher for OIQCX executable (on Cray-XC40, defaults to -# aprun using 16 tasks) - -cd $DATA -PRPI=$1 -if [ ! -s $PRPI ] ; then exit 1;fi -CDATE10=$2 - -jlogfile=${jlogfile:=""} - -rm $PRPI.oiqcbufr -rm tosslist - -pgm=`basename $OIQCX` -if [ -s $DATA/prep_step ]; then - set +u - . $DATA/prep_step - set -u -else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -fi - -#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) -#set +u -#[ -n "$LOADL_PROCESSOR_LIST" ] && export XLSMPOPTS=parthds=2:usrthds=2:stack=64000000 -#set -u - -echo " $CDATE10" > cdate.dat -export FORT11=cdate.dat -export FORT14=$PRPI -export FORT17=$OIQCT -export FORT18=obprt_ipoint.wrk -export FORT20=tolls.wrk -export FORT61=toss.sfc_z -export FORT62=toss.temp_wind -export FORT63=toss.sat_temp -export FORT64=toss.ssmi_wind -export FORT65=tosslist -export FORT70=$PRPI.oiqcbufr -export FORT81=obogram.out -export FORT82=obogram.bin -TIMEIT=${TIMEIT:-""} -[ -s $DATA/time ] && TIMEIT="$DATA/time -p" -# $TIMEIT mpirun $OIQCX > outout 2> errfile -#$TIMEIT mpirun -genvall -n $LSB_DJOB_NUMPROC -machinefile $LSB_DJOB_HOSTFILE $OIQCX > outout 2> errfile - -SITE=${SITE:-""} -sys_tp=${sys_tp:-$(getsystem.pl -tp)} -getsystp_err=$? -if [ $getsystp_err -ne 0 ]; then - msg="***WARNING: error using getsystem.pl to determine system type and phase" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" -fi -echo sys_tp is set to: $sys_tp -if [ "$sys_tp" = "Cray-XC40" -o "$SITE" = "SURGE" -o "$SITE" = "LUNA" ]; then - launcher_OIQCX=${launcher_OIQCX:-"aprun -n 16 -N 16 -j 1"} # consistent with tide/gyre -# launcher_OIQCX=${launcher_OIQCX:-"aprun -n 24 -N 24 -j 1"} # slightly faster -else - launcher_OIQCX=${launcher_OIQCX:-"mpirun.lsf"} -#########################module load ibmpe ics lsf uncomment if not in profile -# seems to run ok w next 10 lines commented out (even though Jack had them in -# his version of this script) -###export LANG=en_US -###export MP_EAGER_LIMIT=65536 -###export MP_EUIDEVELOP=min -###export MP_EUIDEVICE=sn_all -###export MP_EUILIB=us -###export MP_MPILIB=mpich2 -###export MP_USE_BULK_XFER=yes -###export MPICH_ALLTOALL_THROTTLE=0 -###export MP_COLLECTIVE_OFFLOAD=yes -###export KMP_STACKSIZE=1024m -fi - -$TIMEIT $launcher_OIQCX $OIQCX > outout 2> errfile - -err=$? -###cat errfile -cat errfile >> outout -cat outout >> oiqcbufr.out -cp outout obcnt.out -set +u -[ -n "$pgmout" ] && cat outout >> $pgmout -set -u -rm outout -set +x -echo -echo 'The foreground exit status for PREPOBS_OIQCBUFR is ' $err -echo -set -x -if [ "$err" -eq '4' ]; then -msg="WRNG: SOME OBS NOT QC'd BY PGM PREPOBS_OIQCBUFR - # OF OBS > LIMIT \ ---> non-fatal" - set +x - echo - echo "$msg" - echo - set -x - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - err=0 -fi -if [ -s $DATA/err_chk ]; then - $DATA/err_chk -else - if test "$err" -gt '0' - then -######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out - # in ops - exit 55 - fi -fi - -if [ "$err" -gt '0' ]; then - exit 9 -else - mv $PRPI.oiqcbufr $PRPI -fi - -exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prepacqc.sh b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prepacqc.sh deleted file mode 100755 index 0fc1c6e..0000000 --- a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prepacqc.sh +++ /dev/null @@ -1,211 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - - -# This script performs two tasks: -# 1) Execute program PREPOBS_PREPACQC to perform aircraft quality control -# checking -# 2) Execute program PREPOBS_PREPACPF to append a surface level to profile -# reports in the PREPBUFR-format aircraft profiles file which is output -# from PREPOBS_PREPACQC -# Both tasks are optional in case the executing job wants to perform only one -# of these two tasks. The default to to perform both tasks. -# -# This script is normally executed by the script prepobs_makeprepbufr.sh -# but can also be executed from a checkout parent script -# -------------------------------------------------------------------------- - -set -aux - -qid=$$ - -# Positional parameters that must always be passed in: -# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr -# file upon successful completion of this script -# (note that input prepbufr file is NOT saved by this script) -# {this can be set to "null" if PROCESS_ACQC != YES (see below), since in -# this case it is not considered} - -# Positional parameters that must be passed in if PROCESS_ACPF = YES (see -# below): -# 2 - path to adpsfc dump file input to PREPOBS_PREPACPF {normally the same -# one that was read in to generate the prepbufr file in positional -# parameter 1 or, if PREPOBS_PREPACQC != YES (see below), the prepbufr -# file processed by program PREPOBS_PREPACQC which presumably ran some -# place outside of, and prior to, this script} - -# Imported variables that must always be passed in: -# DATA - path to working directory -# PROCESS_ACQC - switch controlling whether or not to execute -# PREPOBS_PREPACQC -# PROCESS_ACPF - switch controlling whether or not to execute -# PREPOBS_PREPACPF - -# Imported variables that must be passed in if PROCESS_ACQC = YES: -# AQCX - path to PREPOBS_PREPACQC program executable -# AQCC - path to PREPOBS_PREPACQC program parm cards - -# Imported variables that must be passed in if PROCESS_ACQC != YES: -# acft_profiles - path to prepbufr.acft_profiles file output by program -# PREPOBS_PREPACQC (which presumably ran some place outside -# of, and prior to, this script) - -# Imported variables that must be passed in if PROCESS_ACPF = YES: -# DICT - path to unsorted METAR station dictionary file -# APFX - path to PREPOBS_PREPACPF program executable - -# Imported variables that can be passed in: -# jlogfile - string indicating path to joblog file -# (skipped over by this script if not passed in) -# (only examined if PROCESS_ACPF = YES) -# pgmout - string indicating path to for standard output file -# (skipped over by this script if not passed in) - - -cd $DATA - -jlogfile=${jlogfile:=""} - -if [ $PROCESS_ACQC = YES ]; then - PRPI=$1 - if [ ! -s $PRPI ] ; then exit 1;fi - - rm $PRPI.prepacqc - rm prepbufr.acft_profiles - - pgm=`basename $AQCX` - if [ -s $DATA/prep_step ]; then - set +u - . $DATA/prep_step - set -u - else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` - fi - - export FORT11=$PRPI - export FORT41=vvel_info.acft_profiles.txt - export FORT61=$PRPI.prepacqc - export FORT62=prepbufr.acft_profiles - TIMEIT=${TIMEIT:-""} - [ -s $DATA/time ] && TIMEIT="$DATA/time -p" - # The following improves performance on Cray-XC40 if $AQCX was - # linked to the IOBUF i/o buffering library - export IOBUF_PARAMS='*.log:verbose,*.txt:verbose,*.sorted:verbose' - $TIMEIT $AQCX< $AQCC > outout 2> errfile - err=$? - err_actual=$err - unset IOBUF_PARAMS -######cat errfile - cat errfile >> outout - cat outout >> prepacqc.out - set +u - [ -n "$pgmout" ] && cat outout >> $pgmout - set -u - rm outout - set +x - echo - echo 'The foreground exit status for PREPOBS_PREPACQC is ' $err - echo - set -x - if [ $err -eq 4 ]; then - msg="PREPBUFR DATA SET CONTAINS NO "AIRCAR" OR "AIRCFT" TABLE A MESSAGES --> non-fatal" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - err=0 - fi - if [ -s $DATA/err_chk ]; then - $DATA/err_chk - else - if test "$err" -gt '0' - then -#########kill -9 ${qid} # need a WCOSS alternative to this even tho commented - # out in ops - exit 55 - fi - fi - - if [ "$err" -gt '0' ]; then - exit 9 - elif [ "$err_actual" -gt '0' ]; then - PROCESS_ACPF=NO - else - [ ! -f $PRPI.prepacqc ] && touch $PRPI.prepacqc - mv $PRPI.prepacqc $PRPI - fi - -else - cp -p $acft_profiles prepbufr.acft_profiles -fi - - -if [ $PROCESS_ACPF = YES ]; then - ADPSFC=$2 - - sort -n +0.61 -0.67 $DICT > metar.tbl.lon_sorted - - msg=good - if [ ! -s $ADPSFC ]; then - msg="WARNING: PREPOBS_PREPACPF COULD NOT RUN, adpsfc FILE NOT FOUND \ ---> non-fatal" - elif [ ! -s prepbufr.acft_profiles ]; then - msg="WARNING: PREPOBS_PREPACPF COULD NOT RUN, prepbufr.acft_profiles \ -FILE NOT FOUND --> non-fatal" - elif [ ! -s metar.tbl.lon_sorted ]; then - msg="WARNING: PREPOBS_PREPACPF COULD NOT RUN, metar.tbl FILE NOT FOUND \ ---> non-fatal" - fi - if [ "$msg" != 'good' ]; then - set +x - echo - echo "$msg" - echo - set -x - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - exit 0 - fi - - pgm=`basename $APFX` - if [ -s $DATA/prep_step ]; then - set +u - . $DATA/prep_step - set -u - else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` - fi - - export FORT11=metar.tbl.lon_sorted - export FORT12=$ADPSFC - export FORT13=prepbufr.acft_profiles - export FORT51=prepbufr.acft_profiles_sfc - TIMEIT=${TIMEIT:-""} - [ -s $DATA/time ] && TIMEIT="$DATA/time -p" - $TIMEIT $APFX > outout 2> errfile - err=$? -######cat errfile - cat errfile >> outout - cat outout >> prepacpf.out - set +u - [ -n "$pgmout" ] && cat outout >> $pgmout - set -u - rm outout - set +x - echo - echo 'The foreground exit status for PREPOBS_PREPACPF is ' $err - echo - set -x - if [ $err -gt 0 ]; then - msg="WARNING: PREPOBS_PREPACPF DID NOT COMPLETE NORMALLY --> non-fatal" - set +x - echo - echo "$msg" - echo - set -x - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - else - err=0 - [ -s $DATA/err_chk ] && $DATA/err_chk - fi -fi - -exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prevents.sh b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prevents.sh deleted file mode 100755 index 3d2b609..0000000 --- a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prevents.sh +++ /dev/null @@ -1,118 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - - -# This script encodes the background (first guess) and observational -# errors into the PREPBUFR reports (interpolated to obs. locations) -# -# It is normally executed by the script prepobs_makeprepbufr.sh -# but can also be executed from a checkout parent script -# ------------------------------------------------------------- - -set -aux - -qid=$$ - -# Positional parameters passed in: -# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr -# file upon successful completion of this script (note that input -# prepbufr file is NOT saved by this script) -# 2 - expected center date in PREPBUFR file (YYYYMMDDHH) - -# Imported variables that must be passed in: -# DATA - path to working directory -# NET - string indicating system network (either "gfs", "gdas", "cdas", -# "nam", "rap", "rtma" or "urma") -# NOTE1: NET is changed to gdas in the parent Job script for the -# RUN=gdas1 (was gfs - NET remains gfs for RUN=gfs). -# NOTE2: This is read from the program PREPOBS_PREVENTS via a call -# to system routine "GETENV". -# SGES - path to COPY OF global simga first guess file 1 (valid at -# either center date of PREPBUFR file or nearest cycle time prior -# to center date of PREPBUFR file which is a multiple of 3) -# SGESA - path to COPY OF global simga first guess file 2 (either -# null if SGES is valid at center date of PREPBUFR file or valid -# at nearest cycle time after center date of PREPBUFR file which -# is a multiple of 3 if SGES is valid at nearest cycle time -# prior to center date of PREPBUFR file which is a multiple of 3) -# PRVT - path to observation error table file -# PREX - path to PREPOBS_PREVENTS program executable -# PREC - path to PREPOBS_PREVENTS program parm cards - -# Imported variables that can be passed in: -# pgmout - string indicating path to for standard output file (skipped -# over by this script if not passed in) - -cd $DATA -PRPI=$1 -if [ ! -s $PRPI ] ; then exit 1 ;fi -CDATE10=$2 - -rm $PRPI.prevents -rm prevents.filtering - -pgm=`basename $PREX` -if [ -s $DATA/prep_step ]; then - set +u - . $DATA/prep_step - set -u -else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -fi - -echo " $CDATE10" > cdate10.dat -export FORT11=$PRPI -#####export FORT12=$SGES -#####export FORT13=$SGESA - -# The PREPOBS_PREVENTS code will soon, or may now, open GFS spectral -# coefficient guess files using sigio routines (via W3EMC routine GBLEVENTS) -# via explicit open(unit=number,file=filename) statements. This conflicts with -# the FORTxx statements above. One can either remove the explicit open -# statements in the code or replace the above FORTxx lines with soft links. -# The soft link approach is taken below. - -ln -sf $SGES fort.12 -ln -sf $SGESA fort.13 - -export FORT14=$PRVT -export FORT15=cdate10.dat -export FORT51=$PRPI.prevents -export FORT52=prevents.filtering - -TIMEIT=${TIMEIT:-""} -[ -s $DATA/time ] && TIMEIT="$DATA/time -p" -$TIMEIT $PREX < $PREC > outout 2> errfile -err=$? -###cat errfile -cat errfile >> outout -cat prevents.filtering >> outout -cat outout >> prevents.out -set +u -[ -n "$pgmout" ] && cat outout >> $pgmout -set -u -rm outout -set +x -echo -echo 'The foreground exit status for PREPOBS_PREVENTS is ' $err -echo -set -x -if [ -s $DATA/err_chk ]; then - $DATA/err_chk -else - if test "$err" -gt '0' - then -######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out - # in ops - exit 55 - fi -fi - -if [ "$err" -gt '0' ]; then - exit 9 -else - mv $PRPI.prevents $PRPI -fi - -exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_profcqc.sh b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_profcqc.sh deleted file mode 100755 index 1c1745b..0000000 --- a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_profcqc.sh +++ /dev/null @@ -1,97 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - - -# This script performs wind profiler quality control checking -# -# It is normally executed by the script prepobs_makeprepbufr.sh -# but can also be executed from a checkout parent script -# -------------------------------------------------------------------------- - -set -aux - -qid=$$ - -# Positional parameters passed in: -# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr -# file upon successful completion of this script (note that input -# prepbufr file is NOT saved by this script) - -# Imported variables that must be passed in: -# DATA - path to working directory -# PQCX - path to PREPOBS_PROFCQC program executable -# PQCC - path to PREPOBS_PROFCQC program parm cards - -# Imported variables that can be passed in: -# jlogfile - string indicating path to joblog file (skipped over by this -# script if not passed in) -# pgmout - string indicating path to standard output file (skipped -# over by this script if not passed in) - -cd $DATA -PRPI=$1 -if [ ! -s $PRPI ] ; then exit 1;fi - -jlogfile=${jlogfile:=""} - -rm $PRPI.profcqc -rm profcqc.monitor profcqc.events - -pgm=`basename $PQCX` -if [ -s $DATA/prep_step ]; then - set +u - . $DATA/prep_step - set -u -else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -fi - -export FORT14=$PRPI -export FORT51=$PRPI.profcqc -export FORT52=profcqc.monitor1 -export FORT53=profcqc.monitor2 -export FORT54=profcqc.events1 -export FORT55=profcqc.events2 -export FORT61=profcqc.stats1 -export FORT62=profcqc.stats2 -TIMEIT=${TIMEIT:-""} -[ -s $DATA/time ] && TIMEIT="$DATA/time -p" -$TIMEIT $PQCX< $PQCC > outout 2> errfile -err=$? -###cat errfile -cat errfile >> outout -cat profcqc.events2 >> outout -cat outout >> profcqc.out -set +u -[ -n "$pgmout" ] && cat outout >> $pgmout -set -u -rm outout -set +x -echo -echo 'The foreground exit status for PREPOBS_PROFCQC is ' $err -echo -set -x -if [ $err -eq 4 ]; then - msg="PREPBUFR DATA SET CONTAINS NO "PROFLR" TABLE A MESSAGES --> non-fatal" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - err=0 -fi -if [ -s $DATA/err_chk ]; then - $DATA/err_chk -else - if test "$err" -gt '0' - then -######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out - # in ops - exit 55 - fi -fi - -if [ "$err" -gt '0' ]; then - exit 9 -else - mv $PRPI.profcqc $PRPI -fi - -exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_syndata.sh b/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_syndata.sh deleted file mode 100755 index 5fe1fab..0000000 --- a/model/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_syndata.sh +++ /dev/null @@ -1,209 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - - -# This script has two functions: -# 1) Generates synthetic cyclone bogus near tropical storms and appends them -# to a PREPBUFR file (based on script variable DO_BOGUS). If may also, -# based on user-requested switch, flag mass pressure reports "near" -# tropical storms. -# 2) Flag dropwinsonde wind reports "near" tropical storms (based on user- -# requested switch). -# -# Note: It can do both 1 and 2 above or just one of them without the other. -# -# (NOTE: SYNDATA is currently restricted to run with T126 gaussian -# land-sea mask) -# -# It is normally executed by the script prepobs_makeprepbufr.sh -# but can also be executed from a checkout parent script -# ------------------------------------------------------------- - -set -aux - -# Positional parameters passed in: -# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr -# file upon successful completion of this script (note that input -# prepbufr file is NOT saved by this script) -# 2 - path to COPY OF input tcvitals file -# 3 - expected center date in PREPBUFR file (YYYYMMDDHH) - - -# Imported variables that must be passed in: -# DATA - path to working directory -# SGES - path to COPY OF global simga first guess file 1 (valid at -# either center date of PREPBUFR file or nearest cycle time prior -# to center date of PREPBUFR file which is a multiple of 3) -# SGESA - path to COPY OF global simga first guess file 2 (either -# null if SGES is valid at center date of PREPBUFR file or valid -# at nearest cycle time after center date of PREPBUFR file which -# is a multiple of 3 if SGES is valid at nearest cycle time -# prior to center date of PREPBUFR file which is a multiple of 3) -# PRVT - path to observation error table file -# FIXSYND - path to synthethic data fixed field files -# SYNDX - path to SYNDAT_SYNDATA program executable -# SYNDC - path to SYNDAT_SYNDATA program parm cards - -# Imported variables that can be passed in: -# DO_BOGUS - Generate synthetic cyclone bogus near tropical storms and -# append them to a PREPBUFR file (and also, based on user- -# requested switch, flag mass pressure reports "near" tropical -# storms)? (choices are "YES" or "NO", anything else defaults to -# "YES", including if this is not passed in) -# jlogfile - string indicating path to joblog file (skipped over by this -# script if not passed in) -# pgmout - string indicating path to for standard output file (skipped -# over by this script if not passed in) -# sys_tp - system type and phase. (if not passed in, an attempt is made to -# set this string using getsystem.pl, an NCO script in prod_util) -# SITE - site name (may have been set by local shell startup script) -# launcher_SYNDX - launcher for SYNDX executable (on Cray-XC40, defaults to -# aprun using single task) - - -cd $DATA -PRPI=$1 -if [ ! -s $PRPI ] ; then exit 1 ;fi -VITL=$2 -CDATE10=$3 - -jlogfile=${jlogfile:=""} - -if [ ! -s $VITL ] ; then - msg="TCVITALS EMPTY - NO PROCESSING PERFORMED BY SYNDAT_SYNDATA for \ -$CDATE10 --> non-fatal" - set +x - echo - echo "$msg" - echo - set -x - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - - exit -fi - -if [ $DO_BOGUS = 'YES' ]; then - suffix_char="" -else - suffix_char="_nobog" -fi - -rm -f $PRPI.syndata bogdomn.wrk${suffix_char} alldat${suffix_char} -rm -f stmtrk.wrk${suffix_char} rawdat.wrk${suffix_char} dumcoef${suffix_char} -rm -f matcoef${suffix_char} dthistry${suffix_char} bogrept${suffix_char} -rm -f bogdata${suffix_char} fenvdta.wrk${suffix_char} stkdatb.wrk${suffix_char} -rm -f gesvit${suffix_char} bghistry.diag${suffix_char} -rm -f prevents.filtering.syndata${suffix_char} - -pgm=`basename $SYNDX` -if [ -s $DATA/prep_step ]; then - set +u - . $DATA/prep_step - set -u -else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -fi - -export FORT11=$VITL -echo " $CDATE10" > cdate10.dat -export FORT13=cdate10.dat -export FORT14=$FIXSYND/syndat_syndata.slmask.t126.gaussian -export FORT15=bogdomn.wrk${suffix_char} -export FORT16=stmtrk.wrk${suffix_char} -export FORT17=rawdat.wrk${suffix_char} -export FORT19=bghistry.diag${suffix_char} -export FORT21=gesvit${suffix_char} -export FORT22=stkdatb.wrk${suffix_char} -export FORT23=fenvdta.wrk${suffix_char} -export FORT24=bogdata${suffix_char} -export FORT25=$PRPI -#####export FORT30=$SGES -#####export FORT31=$SGESA - -# The SYNDAT_SYNDATA code will soon, or may now, open GFS spectral coefficient -# guess files using sigio routines (via W3EMC routine GBLEVENTS) via explicit -# open(unit=number,file=filename) statements. This conflicts with the FORTxx -# statements above. One can either remove the explicit open statements in the -# code or replace the above FORTxx lines with soft links. The soft link -# approach is taken below. - -ln -sf $SGES fort.30 -ln -sf $SGESA fort.31 -export FORT32=$PRVT -export FORT40=$FIXSYND/syndat_weight -export FORT58=bogrept${suffix_char} -export FORT59=dthistry${suffix_char} -export FORT61=$PRPI.syndata -export FORT70=matcoef${suffix_char} -export FORT71=dumcoef${suffix_char} -export FORT72=rawdat.wrk${suffix_char} -export FORT73=stmtrk.wrk${suffix_char} -export FORT74=alldat${suffix_char} -export FORT80=prevents.filtering.syndata${suffix_char} -export FORT89=bogdomn.wrk${suffix_char} - -#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) -##The choice in the first line below MAY cause a failure -##The choice in the second line below works! -#set +u -#####[ -n "$LOADL_PROCESSOR_LIST" ] && export XLSMPOPTS=parthds=2:stack=64000000 -#[ -n "$LOADL_PROCESSOR_LIST" ] && export XLSMPOPTS=parthds=2:stack=20000000 -#set -u - -TIMEIT=${TIMEIT:-""} -[ -s $DATA/time ] && TIMEIT="$DATA/time -p" - -SITE=${SITE:-""} -sys_tp=${sys_tp:-$(getsystem.pl -tp)} -getsystp_err=$? -if [ $getsystp_err -ne 0 ]; then - msg="***WARNING: error using getsystem.pl to determine system type and phase" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" -fi -echo sys_tp is set to: $sys_tp -if [ "$sys_tp" = "Cray-XC40" -o "$SITE" = "SURGE" -o "$SITE" = "LUNA" ]; then - launcher_SYNDX=${launcher_SYNDX:-"aprun -n 1 -N 1 -d 1"} -else - launcher_SYNDX=${launcher_SYNDX:-""} -fi -$TIMEIT $launcher_SYNDX $SYNDX < $SYNDC > outout 2> errfile -err=$? -###cat errfile -cat errfile >> outout -[ $DO_BOGUS = 'YES' ] && cat prevents.filtering.syndata >> outout -cat outout >> syndata.out -set +u -[ -n "$pgmout" ] && cat outout >> $pgmout -set -u -rm outout -set +x -echo -echo 'The foreground exit status for SYNDAT_SYNDATA is ' $err -echo -set -x -if [ $err -eq 0 ]; then - - set +x - echo " --------------------------------------------- " - echo " ********** COMPLETED PROGRAM $pgm **********" - echo " --------------------------------------------- " - set -x - msg="$pgm completed normally for $CDATE10 - DO_BOGUS= $DO_BOGUS" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - mv $PRPI.syndata $PRPI - -else - -msg="SYNDAT_SYNDATA TERMINATED ABNORMALLY WITH CONDITION CODE $err \ ---> non-fatal" - set +x - echo - echo "$msg" - echo - set -x - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - -fi - -exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.sh b/model/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.sh deleted file mode 100755 index 7d131ab..0000000 --- a/model/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.sh +++ /dev/null @@ -1,501 +0,0 @@ - -################################################################################ -#### UNIX Script Documentation Block -# . . -# Script name: global_nceppost.sh -# Script description: Posts the global pressure GRIB file -# -# Author: Mark Iredell Org: NP23 Date: 1999-05-01 -# -# Abstract: This script reads a single global GFS IO file and (optionally) -# a global flux file and creates a global pressure GRIB file. -# The resolution and generating code of the output GRIB file can also -# be set in the argument list. -# -# Script history log: -# 1999-05-01 Mark Iredell -# 2007-04-04 Huiya Chuang: Modify the script to run unified post -# 2012-06-04 Jun Wang: add grib2 option -# 2015-03-20 Lin Gan: add Perl for Post XML performance upgrade -# 2016-02-08 Lin Gan: Modify to use Vertical Structure -# -# Usage: global_postgp.sh SIGINP FLXINP FLXIOUT PGBOUT PGIOUT IGEN -# -# Input script positional parameters: -# 1 Input sigma file -# defaults to $SIGINP -# 2 Input flux file -# defaults to $FLXINP -# 3 Output flux index file -# defaults to $FLXIOUT -# 4 Output pressure GRIB file -# defaults to $PGBOUT -# 5 Output pressure GRIB index file -# defaults to $PGIOUT, then to none -# 8 Model generating code, -# defaults to $IGEN, then to input sigma generating code -# -# Imported Shell Variables: -# SIGINP Input sigma file -# overridden by $1 -# FLXINP Input flux file -# overridden by $2 -# FLXIOUT Output flux index file -# overridden by $3 -# PGBOUT Output pressure GRIB file -# overridden by $4. If not defined, -# post will use the filename specified in -# the control file -# PGIOUT Output pressure GRIB index file -# overridden by $5; defaults to none -# IGEN Model generating code -# overridden by $8; defaults to input sigma generating code -##### Moorthi: Add new imported shell variable for running chgres -# CHGRESSH optional: the script to run chgres -# default to to ${USHglobal}/global_chgres.sh -# SIGLEVEL optional: the coordinate text file -# default to to /nwprod/fix/global_hyblev.l${LEVS}.txt -##### Chuang: Add new imported Shell Variable for ncep post -# OUTTYP Output file type read in by post -# 1: if user has a sigma file and needs post to run chgres to convert to gfs io file -# 2: if user already has a gfs io file -# 3: if user uses post to read sigma file directly -# 0: if user wishes to generate both gfsio and sigma files -# 4: if user uses post to read nemsio file directly -# VDATE Verifying date 10 digits yyyymmddhh -# GFSOUT Optional, output file name from chgres which is input file name to nceppost -# if model already runs gfs io, make sure GFSOUT is linked to the gfsio file -# CTLFILE Optional, Your version of control file if not using operational one -# OVERPARMEXEC Optional, the executable for changing Grib KPDS ID -# default to to ${EXECglobal}/overparm_grib -# CHGRESTHREAD Optional, speed up chgres by using multiple threads -# default to 1 -# FILTER Optional, set to 1 to filter SLP and 500 mb height using copygb -# D3DINP Optional, Inout D3D file, if not defined, post will run -# without processing D3D file -# D3DOUT Optional, output D3D file, if not defined, post will -# use the file name specified in the control file -# IPVOUT Optional, output IPV file, if not defined, post will -# use the file name specified in the control file -# GENPSICHI Optional, set to YES will generate psi and chi and -# append it to the end of PGBOUT. Default to NO -# GENPSICHIEXE Optional, specify where executable is for generating -# psi and chi. -######################################################################## -# EXECUTIL Directory for utility executables -# defaults to /nwprod/util/exec -# USHUTIL Directory for utility scripts -# defaults to /nwprod/util/ush -# EXECglobal Directory for global executables -# defaults to /nwprod/exec -# USHglobal Directory for global scripts -# defaults to /nwprod/ush -# DATA working directory -# (if nonexistent will be made, used and deleted) -# defaults to current working directory -# MP Multi-processing type ("p" or "s") -# defaults to "p", or "s" if LOADL_STEP_TYPE is not PARALLEL -# XC Suffix to add to executables -# defaults to none -# POSTGPEXEC Global post executable -# defaults to ${EXECglobal}/ncep_post -# GRBINDEX GRIB index maker -# defaults to ${EXECUTIL}/grbindex$XC -# ANOMCATSH Global anomaly GRIB script -# defaults to ${USHglobal/global_anomcat.sh -# POSTGPLIST File containing further namelist inputs -# defaults to /dev/null -# INISCRIPT Preprocessing script -# defaults to none -# LOGSCRIPT Log posting script -# defaults to none -# ERRSCRIPT Error processing script -# defaults to 'eval [[ $err = 0 ]]' -# ENDSCRIPT Postprocessing script -# defaults to none -# POSTGPVARS Other namelist inputs to the global post executable -# such as IDRT,KO,PO,KTT,KT,PT,KZZ,ZZ, -# NCPUS,MXBIT,IDS,POB,POT,MOO,MOOA,MOW,MOWA, -# ICEN,ICEN2,IENST,IENSI -# defaults to none set -# NTHREADS Number of threads -# defaults to 1 -# NTHSTACK Size of stack per thread -# defaults to 64000000 -# VERBOSE Verbose flag (YES or NO) -# defaults to NO -# PGMOUT Executable standard output -# defaults to $pgmout, then to '&1' -# PGMERR Executable standard error -# defaults to $pgmerr, then to '&1' -# pgmout Executable standard output default -# pgmerr Executable standard error default -# REDOUT standard output redirect ('1>' or '1>>') -# defaults to '1>', or to '1>>' to append if $PGMOUT is a file -# REDERR standard error redirect ('2>' or '2>>') -# defaults to '2>', or to '2>>' to append if $PGMERR is a file -# -# Exported Shell Variables: -# PGM Current program name -# pgm -# ERR Last return code -# err -# -# Modules and files referenced: -# scripts : $INISCRIPT -# $LOGSCRIPT -# $ERRSCRIPT -# $ENDSCRIPT -# $ANOMCATSH -# -# programs : $POSTGPEXEC -# $GRBINDEX -# -# input data : $1 or $SIGINP -# $2 or $SFCINP -# $POSTGPLIST -# -# output data: $3 or $FLXIOUT -# $4 or $PGBOUT -# $5 or $PGIOUT -# $PGMOUT -# $PGMERR -# -# scratch : ${DATA}/postgp.inp.sig -# ${DATA}/postgp.inp.flx -# ${DATA}/postgp.out.pgb -# -# Remarks: -# -# Condition codes -# 0 - no problem encountered -# >0 - some problem encountered -# -# Control variable resolution priority -# 1 Command line argument. -# 2 Environment variable. -# 3 Inline default. -# -# Attributes: -# Language: POSIX shell -# Machine: IBM SP -# -#### -################################################################################ -# Set environment. -export VERBOSE=${VERBOSE:-"NO"} -if [[ "$VERBOSE" = "YES" ]] -then - echo $(date) EXECUTING $0 $* >&2 - set -x -fi -# Command line arguments. -export SIGINP=${1:-${SIGINP}} -export FLXINP=${2:-${FLXINP}} -export FLXIOUT=${3:-${FLXIOUT}} -export PGBOUT=${4:-${PGBOUT}} -#export PGIOUT=${5:-${PGIOUT}} -export PGIOUT=${PGIOUT:-pgb.idx} -export IO=${6:-${IO:-0}} -export JO=${7:-${JO:-0}} -export IGEN=${8:-${IGEN:-0}} -# Directories. -export NWPROD=${NWPROD:-/nwprod} -export EXECUTIL=${EXECUTIL:-$NWPROD/util/exec} -export USHUTIL=${USHUTIL:-$NWPROD/util/ush} -export EXECglobal=${EXECglobal:-$NWPROD/exec} -export USHglobal=${USHglobal:-$NWPROD/ush} -export DATA=${DATA:-$(pwd)} -# Filenames. -export MP=${MP:-$([[ $LOADL_STEP_TYPE = PARALLEL ]]&&echo "p"||echo "s")} -export XC=${XC} -export POSTGPEXEC=${POSTGPEXEC:-${EXECglobal}/ncep_post} -export OVERPARMEXEC=${OVERPARMEXEC:-${EXECglobal}/overparm_grib} -export ANOMCATSH=${ANOMCATSH:-${USHglobal}/global_anomcat.sh} -export CHGRESSH=${CHGRESSH:-${USHglobal}/global_chgres.sh} -export POSTGPLIST=${POSTGPLIST:-/dev/null} -export INISCRIPT=${INISCRIPT} -export ERRSCRIPT=${ERRSCRIPT:-'eval [[ $err = 0 ]]'} -export LOGSCRIPT=${LOGSCRIPT} -export ENDSCRIPT=${ENDSCRIPT} -export GFSOUT=${GFSOUT:-gfsout} -export CTLFILE=${CTLFILE:-$NWPROD/parm/gfs_cntrl.parm} -export MODEL_OUT_FORM=${MODEL_OUT_FORM:-binarynemsiompiio} -export GRIBVERSION=${GRIBVERSION:-'grib1'} -# Other variables. -export POSTGPVARS=${POSTGPVARS} -export NTHREADS=${NTHREADS:-1} -export NTHSTACK=${NTHSTACK:-64000000} -export PGMOUT=${PGMOUT:-${pgmout:-'&1'}} -export PGMERR=${PGMERR:-${pgmerr:-'&2'}} -export CHGRESTHREAD=${CHGRESTHREAD:-1} -export FILTER=${FILTER:-1} -export GENPSICHI=${GENPSICHI:-NO} -export GENPSICHIEXE=${GENPSICHIEXE:-${EXECglobal}/genpsiandchi} -export ens=${ens:-NO} -#export D3DINP=${D3DINP:-/dev/null} -typeset -L1 l=$PGMOUT -[[ $l = '&' ]]&&a=''||a='>' -export REDOUT=${REDOUT:-'1>'$a} -typeset -L1 l=$PGMERR -[[ $l = '&' ]]&&a=''||a='>' -export REDERR=${REDERR:-'2>'$a} -################################################################################ -# Preprocessing -$INISCRIPT - -# Chuang: Run chgres if OUTTYP=1 or 0 - -export APRUN=${APRUNP:-${APRUN:-""}} - -# exit if SIGINP does not exist -if [ ${OUTTYP} -le 3 ] ; then - if [ ! -s $SIGINP ] ; then - echo "sigma file not found, exitting" - exit 111 - fi -fi - -export SIGHDR=${SIGHDR:-$NWPROD/exec/global_sighdr} -export IDRT=${IDRT:-4} - -if [ ${OUTTYP} -le 1 ] ; then - export JCAP=${JCAP:-`echo jcap|$SIGHDR ${SIGINP}`} - export LEVS=${LEVS:-`echo levs|$SIGHDR ${SIGINP}`} - export IDVC=${IDVC:-$(echo idvc|$SIGHDR ${SIGINP})} - export IDVM=${IDVM:-$(echo idvm|$SIGHDR ${SIGINP})} - export NVCOORD=${NVCOORD:-$(echo nvcoord|$SIGHDR ${SIGINP})} - export IVSSIG=${IVSSIG:-$(echo ivs|$SIGHDR ${SIGINP})} - export LATCH=${LATCH:-8} - if [ ${OUTTYP} -eq 1 ] ; then - export CHGRESVARS="IDVC=$IDVC,IDVM=$IDVM,NVCOORD=$NVCOORD,IVSSIG=$IVSSIG,LATCH=$LATCH," - elif [ ${OUTTYP} -eq 0 ] ; then - export CHGRESVARS="LATCH=$LATCH,$CHGRESVARS" - fi - #export SIGLEVEL=${SIGLEVEL:-""} - export SIGLEVEL=${SIGLEVEL:-"$NWPROD/fix/global_hyblev.l${LEVS}.txt"} - # specify threads for running chgres - export OMP_NUM_THREADS=$CHGRESTHREAD - export NTHREADS=$OMP_NUM_THREADS - if [ ${JCAP} -eq 574 -a ${IDRT} -eq 4 ] - then - export NTHSTACK=1024000000 - fi - export XLSMPOPTS="parthds=$NTHREADS:stack=$NTHSTACK" - - $CHGRESSH - - export ERR=$? - export err=$ERR - $ERRSCRIPT||exit 1 - -# run post to read sigma file directly if OUTTYP=3 -elif [ ${OUTTYP} -eq 3 ] ; then - export LONB=${LONB:-`echo lonb|$SIGHDR ${SIGINP}`} - export LATB=${LATB:-`echo latb|$SIGHDR ${SIGINP}`} - export MODEL_OUT_FORM=sigio - export GFSOUT=${SIGINP} - -# run post to read nemsio file if OUTTYP=4 -elif [ ${OUTTYP} -eq 4 ] ; then - export nemsioget=${nemsioget:-$EXECglobal/nemsio_get} - export LONB=${LONB:-$($nemsioget $NEMSINP lonf |grep -i "lonf" |awk -F"= " '{print $2}' |awk -F" " '{print $1}')} - export LATB=${LATB:-$($nemsioget $NEMSINP latg |grep -i "latg" |awk -F"= " '{print $2}' |awk -F" " '{print $1}')} - export JCAP=${JCAP:-$($nemsioget $NEMSINP jcap |grep -i "jcap" |awk -F"= " '{print $2}' |awk -F" " '{print $1}')} - - export MODEL_OUT_FORM=${MODEL_OUT_FORM:-binarynemsiompiio} - export GFSOUT=${NEMSINP} - ln -sf $FIXglobal/fix_am/global_lonsperlat.t${JCAP}.${LONB}.${LATB}.txt ./lonsperlat.dat - ln -sf $FIXglobal/fix_am/global_hyblev.l${LEVS}.txt ./global_hyblev.txt -fi - -# allow threads to use threading in Jim's sp lib -# but set default to 1 -export OMP_NUM_THREADS=${OMP_NUM_THREADS:-1} - -pwd=$(pwd) -if [[ -d $DATA ]] -then - mkdata=NO -else - mkdir -p $DATA - mkdata=YES -fi -cd $DATA||exit 99 -################################################################################ -# Post GRIB -export PGM=$POSTGPEXEC -export pgm=$PGM -$LOGSCRIPT -cat <postgp.inp.nml$$ - &NAMPGB - $POSTGPVARS -EOF - -cat <>postgp.inp.nml$$ - / -EOF -if [[ "$VERBOSE" = "YES" ]] -then - cat postgp.inp.nml$$ -fi - -# making the time stamp format for ncep post -export YY=`echo $VDATE | cut -c1-4` -export MM=`echo $VDATE | cut -c5-6` -export DD=`echo $VDATE | cut -c7-8` -export HH=`echo $VDATE | cut -c9-10` - -cat > itag <> itag - -cat itag - -rm -f fort.* - -#ln -sf $SIGINP postgp.inp.sig$$ -#ln -sf $FLXINP postgp.inp.flx$$ -#ln -sf $PGBOUT postgp.out.pgb$$ - -# change model generating Grib number -if [ ${GRIBVERSION} = grib1 ]; then - - if [ ${IGEN} -le 9 ] ; then - cat ${CTLFILE}|sed s:00082:0000${IGEN}:>./gfs_cntrl.parm - elif [ ${IGEN} -le 99 ] ; then - cat ${CTLFILE}|sed s:00082:000${IGEN}:>./gfs_cntrl.parm - elif [ ${IGEN} -le 999 ] ; then - cat ${CTLFILE}|sed s:00082:00${IGEN}:>./gfs_cntrl.parm - else - ln -sf ${CTLFILE} ./gfs_cntrl.parm - fi - ln -sf ./gfs_cntrl.parm fort.14 - -elif [ ${GRIBVERSION} = grib2 ]; then - cp ${POSTGRB2TBL} . - cp ${PostFlatFile} ./postxconfig-NT.txt - if [ ${ens} = "YES" ] ; then - sed < ${PostFlatFile} -e "s#negatively_pert_fcst#${ens_pert_type}#" > ./postxconfig-NT.txt - fi -# cp ${CTLFILE} postcntrl.xml - -fi -export CTL=`basename $CTLFILE` - -ln -sf griddef.out fort.110 -cp ${PARMglobal}/nam_micro_lookup.dat ./eta_micro_lookup.dat - -${APRUN:-mpirun.lsf} $POSTGPEXEC < itag > outpost_gfs_${VDATE}_${CTL} - -export ERR=$? -export err=$ERR -$ERRSCRIPT||exit 2 - -if [ $FILTER = "1" ] ; then - -# Filter SLP and 500 mb height using copygb, change GRIB ID, and then -# cat the filtered fields to the pressure GRIB file, from Iredell - -if [ $GRIBVERSION = grib1 ]; then - $COPYGB -x -i'4,0,80' -k'4*-1,1,102' $PGBOUT tfile - ln -s -f tfile fort.11 - ln -s -f prmsl fort.51 - echo 0 2|$OVERPARMEXEC - $COPYGB -x -i'4,1,5' -k'4*-1,7,100,500' $PGBOUT tfile - ln -s -f tfile fort.11 - ln -s -f h5wav fort.51 - echo 0 222|$OVERPARMEXEC - -#cat $PGBOUT prmsl h5wav >> $PGBOUT - cat prmsl h5wav >> $PGBOUT - -elif [ $GRIBVERSION = grib2 ]; then - if [ ${ens} = YES ] ; then - $COPYGB2 -x -i'4,0,80' -k'1 3 0 7*-9999 101 0 0' $PGBOUT tfile - else - $COPYGB2 -x -i'4,0,80' -k'0 3 0 7*-9999 101 0 0' $PGBOUT tfile - fi - $WGRIB2 tfile -set_byte 4 11 1 -grib prmsl - if [ ${ens} = YES ] ; then - $COPYGB2 -x -i'4,1,5' -k'1 3 5 7*-9999 100 0 50000' $PGBOUT tfile - else - $COPYGB2 -x -i'4,1,5' -k'0 3 5 7*-9999 100 0 50000' $PGBOUT tfile - fi - $WGRIB2 tfile -set_byte 4 11 193 -grib h5wav - -#cat $PGBOUT prmsl h5wav >> $PGBOUT - cat prmsl h5wav >> $PGBOUT - -fi - -fi - -################################################################################ -# Anomaly concatenation -# for now just do anomaly concentration for grib1 -if [ $GRIBVERSION = grib1 ]; then - - if [[ -x $ANOMCATSH ]] - then - if [[ -n $PGIOUT ]] - then - $GRBINDEX $PGBOUT $PGIOUT - fi - export PGM=$ANOMCATSH - export pgm=$PGM - $LOGSCRIPT - - eval $ANOMCATSH $PGBOUT $PGIOUT - - export ERR=$? - export err=$ERR - $ERRSCRIPT||exit 3 - fi -fi -################################################################################ -# Make GRIB index file -if [[ -n $PGIOUT ]] -then - if [ $GRIBVERSION = grib2 ]; then - # JY $GRBINDEX2 $PGBOUT $PGIOUT - $GRB2INDEX $PGBOUT $PGIOUT - else - $GRBINDEX $PGBOUT $PGIOUT - fi -fi -if [[ -r $FLXINP && -n $FLXIOUT && $OUTTYP -le 3 ]] -then - $GRBINDEX $FLXINP $FLXIOUT -fi -################################################################################ -# generate psi and chi -echo "GENPSICHI= " $GENPSICHI -if [ $GENPSICHI = YES ] ; then -#echo "PGBOUT PGIOUT=" $PGBOUT $PGIOUT -#echo "YY MM=" $YY $MM - export psichifile=./psichi.grb - $GENPSICHIEXE < postgp.inp.nml$$ - rc=$? - if [[ $rc -ne 0 ]] ; then echo 'Nonzero return code rc= '$rc ; exit 3 ; fi - cat ./psichi.grb >> $PGBOUT -fi -################################################################################ -# Postprocessing -cd $pwd -[[ $mkdata = YES ]]&&rmdir $DATA -$ENDSCRIPT -set +x -if [[ "$VERBOSE" = "YES" ]] -then - echo $(date) EXITING $0 with return code $err >&2 -fi -exit $err diff --git a/model/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.txt b/model/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.txt deleted file mode 100644 index b5e8c34..0000000 --- a/model/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.txt +++ /dev/null @@ -1,2 +0,0 @@ -STGPSH=/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2/ush/global_nceppost.sh - diff --git a/model/fv3gfs/outofcontrol_scripts/theia/prep/getges.sh b/model/fv3gfs/outofcontrol_scripts/theia/prep/getges.sh deleted file mode 100755 index 1672800..0000000 --- a/model/fv3gfs/outofcontrol_scripts/theia/prep/getges.sh +++ /dev/null @@ -1,1385 +0,0 @@ -#!/bin/ksh -################################################################################ -# -# Name: getges.sh Author: Mark Iredell -# -# Abstract: -# This script copies the valid global guess file to a given file. -# Alternatively, it writes the name of the guess file to standard output. -# Specify option "-n network" for the job network (default global). -# Other options are gdas, gfs, cdas, mrf, prx, etc. -# Specify option "-e environment" for the job environment (default prod). -# Another option is test. -# Specify option "-f fhour" for the specific forecast hour wanted (default any). -# Specify option "-q" for quiet mode to turn off script messages. -# Specify option "-r resolution" for the resolution wanted (default high). -# Other options are 25464 17042, 12628, low, 6228, namopl, any. -# Specify option "-t filetype" for the filetype wanted from among these choices: -# sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3, -# sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3, -# biascr, satang, satcnt, gesfil -# pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3, -# sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl, -# enggrb, enggri, icegrb, icegri, snogrb, snogrb_high, snogri, sstgrb, sstgri. -# natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur, -# nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur, -# nstcur, nflges, nflgp3 -# Specify option "-v valid" for the valid date wanted (default $CDATE). -# Currently, the valid hours specified must be a multiple of 3. -# Either 2-digit or 4-digit years are currently allowed. -# Specify positional argument to be the file to which to copy the guess. -# If missing, the NAME of the guess file is written to standard output. -# A nonzero return code from this script means either the arguments are invalid -# or the guess could not be found; a message is written to standard error in -# this case, but neither a file copy nor a standard output write will be done. -# The file returned is guaranteed to exist and be readable. -# The script uses the utility commands NDATE and NHOUR. -# -# Example 1. Copy the production sigma guess for 1998100100 to the file sges. -# getges.sh -e prod -t sigges -v 1998100100 sges -# -# Example 2. Assign the pressure grib guess for the date 1998100121. -# export CDATE=1998100121 -# export XLFUNIT_12="$(getges.sh -qt pgbges||echo /dev/null)" -# -# Example 3. Get the PRX pgb analysis or the best valid guess at 1998100112. -# getges -e prx -t pgbcur -v 1998100112 pgbfile -# -# Example 5. Get the 24-hour GFS forecast sigma file valid at 1998100112. -# getges -t sigcur -v 1998100112 -f 24 -e gfs sigfile -# -# History: 1996 December Iredell Initial implementation -# 1997 March Iredell Nine new filetypes -# 1997 April Iredell Two new filetypes and -f option -# 1997 December Iredell Four new filetypes -# 1998 April Iredell 4-digit year allowed; -# sigges internal date no longer checked -# 1998 May Iredell T170L42 defaulted; four new filetypes -# and two filetypes deleted -# 1998 June Rogers Nam types added -# 1998 September Iredell high is default resolution -# 2000 March Iredell Cdas and -n option -# 2000 June Iredell Eight new filetypes -# 2002 April Treadon T254L64 defaulted; add angle dependent -# bias correction file -# 2003 March Iredell GFS network out to 384 hours -# 2003 August Iredell Hourly global guesses -# 2005 September Treadon Add satellite data count file (satcnt) -# 2006 September Gayno Add high-res snow analysis -# 2009 January Rogers Added sfluxgrb file -# 2011 April Rogers Added GFS pg2ges file -# 2016 May Menlove Changed GETGES_COM variable to $COMINmodel -# 2016 November Iredell Adapted getges for NEMS GSM -# Also removed a lot of dead wood -# -################################################################################ -#------------------------------------------------------------------------------- -# Set some default parameters. -fhbeg=03 # hour to begin searching backward for guess -fhinc=03 # hour to increment backward in search -fhend=384 # hour to end searching backward for guess - -#------------------------------------------------------------------------------- -# Get options and arguments. -netwk=global # default network -envir=prod # default environment -fhour=any # default forecast hour -quiet=NO # default quiet mode -trace=NO # default execution trace mode -resol=high # default resolution -typef=sigges # default filetype -valid=${CDATE:-'?'} # default valid date -err=0 - -while getopts n:e:f:qxr:t:v: opt;do - case $opt in - n) netwk="$OPTARG";; - e) envir="$OPTARG";; - f) fhour="$OPTARG";; - q) quiet=YES;; - x) trace=YES;; - r) resol="$OPTARG";; - t) typef="$OPTARG";; - v) valid="$OPTARG";; - \?) err=1;; - esac -done -shift $(($OPTIND-1)) -gfile=$1 -if [[ -z $valid ]];then - echo "$0: either -v option or environment variable CDATE must be set" >&2 -elif [[ $# -gt 1 ]];then - echo "$0: too many positional arguments" >&2 -elif [[ $err -ne 0 ]];then - echo "$0: invalid option" >&2 -fi -if [[ $gfile = '?' || $# -gt 1 || $err -ne 0 || -z $valid ||\ - $netwk = '?' || $envir = '?' || $fhour = '?' || $resol = '?' ||\ - $typef = '?' || $valid = '?' ]];then - echo "Usage: getges.sh [-n network] [-e environment] [-f fhour] [-q] [-r resolution]" >&2 - echo " [-t filetype] [-v valid] [gfile]" >&2 - if [[ $netwk = '?' ]];then - echo " network choices:" >&2 - echo " global (default), namopl, gdas, gfs, cdas, etc." >&2 - elif [[ $envir = '?' ]];then - echo " environment choices:" >&2 - echo " prod (default), test, para, dump, prx" >&2 - echo " (some network values allowed for compatibility)" >&2 - elif [[ $fhour = '?' ]];then - echo " fhour is optional specific forecast hour" >&2 - elif [[ $resol = '?' ]];then - echo " resolution choices:" >&2 - echo " high (default), 25464, 17042, 12628, low, 6228, namopl, any" >&2 - elif [[ $typef = '?' ]];then - echo " filetype choices:" >&2 - echo " sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3," >&2 - echo " sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3," >&2 - echo " sfgges, sfggp3, biascr, satang, satcnt, gesfil" >&2 - echo " pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3," >&2 - echo " sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl," >&2 - echo " enggrb, enggri, icegrb, icegri, snogrb, snogri, sstgrb, sstgri," >&2 - echo " pg2cur, pg2ges, restrt," >&2 - echo " natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur," >&2 - echo " nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur," >&2 - echo " nstcur, nflges, nflgp3," >&2 - elif [[ $valid = '?' ]];then - echo " valid is the valid date in yyyymmddhh or yymmddhh form" >&2 - echo " (default is environmental variable CDATE)" >&2 - elif [[ $gfile = '?' ]];then - echo " gfile is the guess file to write" >&2 - echo " (default is to write the guess file name to stdout)" >&2 - else - echo " (Note: set a given option to '?' for more details)" >&2 - fi - exit 1 -fi -[[ $trace == YES ]]&&set -x -if [[ $envir != prod && $envir != test && $envir != para && $envir != dump && $envir != pr? && $envir != dev ]];then - netwk=$envir - envir=prod - echo '************************************************************' >&2 - echo '* WARNING: Using "-e" is deprecated in this case. *' >&2 - echo '* Please use "-n" instead. *' >&2 - echo '************************************************************' >&2 -fi -if [[ "$netwk" = "namopl" || "$resol" = "namopl" ]];then - netwk=namopl - typef=restrt - resol=namopl -fi -[[ $resol = 57464 || $resol = 38264 || $resol = 19064 || $resol = 25464 || $resol = 17042 || $resol = 12628 ]]&&resol=high -[[ $resol = 6228 ]]&&resol=low -resolsuf="" -[[ $resol == *deg ]]&&resolsuf=.$resol -fhbeg=$(${NHOUR:?} $valid) -[[ $fhbeg -le 0 ]]&&fhbeg=03 -((fhbeg=(10#$fhbeg-1)/3*3+3)) -[[ $fhbeg -lt 10 ]]&&fhbeg=0$fhbeg -if [[ $typef = enggrb ]];then - typef=icegrb - echo '************************************************************' >&2 - echo '* WARNING: Using "-t enggrb" is now deprecated. *' >&2 - echo '* Please use "-t icegrb". *' >&2 - echo '************************************************************' >&2 -elif [[ $typef = enggri ]];then - typef=icegri - echo '************************************************************' >&2 - echo '* WARNING: Using "-t enggri" is now deprecated. *' >&2 - echo '* Please use "-t icegri". *' >&2 - echo '************************************************************' >&2 -fi - -#------------------------------------------------------------------------------- -# Assemble guess list in descending order from the best guess. -geslist="" -getlist00="" - -# GDAS -if [[ "$netwk" = "gdas" ]];then - if [ -z "$COMINgdas" ]; then - echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 - exit 1 - fi - fhend=12 - case $typef in - biascr) geslist=' - $COMINgdas/gdas.t${cyc}z.abias' - ;; - biascr_pc) geslist=' - $COMINgdas/gdas.t${cyc}z.abias_pc' - ;; - biascr_air) geslist=' - $COMINgdas/gdas.t${cyc}z.abias_air' - ;; - radstat) geslist=' - $COMINgdas/gdas.t${cyc}z.radstat' - ;; - pgbges) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh' - ;; - pg2ges) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' - ;; - pgbgm6) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6' - ;; - pgbgm3) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3' - ;; - pgbgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3' - ;; - pgbcur) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINgdas/gdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINgdas/gdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINgdas/gdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576' - fhbeg=00 - fhinc=06 - ;; - snogrb_1534) geslist=' - $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINgdas/gdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - natges) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' - ;; - natgm3) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio' - ;; - natgm2) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio' - ;; - natgm1) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio' - ;; - natgp1) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio' - ;; - natgp2) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio' - ;; - natgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio' - ;; - natcur) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' - getlist00=' - $COMINgdas/gdas.t${cyc}z.atmanl.nemsio' - fhbeg=00 - ;; - nsfges) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' - ;; - nsfgm3) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio' - ;; - nsfgm2) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio' - ;; - nsfgm1) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio' - ;; - nsfgp1) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio' - ;; - nsfgp2) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio' - ;; - nsfgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio' - ;; - nsfcur) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' - getlist00=' - $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio' - fhbeg=00 - ;; - nstcur) geslist=' - $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio' - getlist00=' - $COMINgdas/gdas.t${cyc}z.nstanl.nemsio' - fhbeg=00 - ;; - nflges) geslist=' - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' - ;; - nflgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio' - ;; - nflcur) geslist=' - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' - fhbeg=00 - ;; - esac - -# CFS-CDAS -elif [[ "$netwk" = "cfs-cdas" ]];then - if [ -z "$COMINcfs_cdas" ]; then - echo "getges.sh ERROR: The \$COMINcfs_cdas variable must be defined." >&2 - exit 1 - fi - fhend=12 - case $typef in - sigges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fh}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm3}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm2}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm1}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp1}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp2}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp3}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.abias' - ;; - satang) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.satang' - ;; - satcnt) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fh' - ;; - sfggp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fhp3' - ;; - pgbges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm6 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm6 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhp3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhp3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' - getlist00=' - $COMINcfs_cdas/cdas1.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' - getlist00=' - $COMINcfs_cdas/cdas1.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574 - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# GFS -elif [[ "$netwk" = "gfs" ]];then - if [ -z "$COMINgfs" ]; then - echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 - exit 1 - fi - fhend=384 - case $typef in - natges) geslist=' - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - ;; - pgbcur) geslist=' - $COMINgfs/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINgfs/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvitl) geslist=' - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINgfs/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINgfs/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_1534) geslist=' - $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINgfs/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - natcur) geslist=' - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - getlist00=' - $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' - fhbeg=00 - ;; - nsfcur) geslist=' - $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' - getlist00=' - $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' - fhbeg=00 - ;; - nstcur) geslist=' - $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' - getlist00=' - $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' - fhbeg=00 - ;; - nflcur) geslist=' - $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' - fhbeg=00 - ;; - esac - -# CDAS -elif [[ "$netwk" = "cdas" ]];then - if [ -z "$COMINcdas" ]; then - echo "getges.sh ERROR: The \$COMINcdas variable must be defined." >&2 - exit 1 - fi - fhbeg=06 - fhend=06 - case $typef in - sigges) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $COMINcdas/cdas.t${cyc}z.abias' - ;; - satang) geslist=' - $COMINcdas/cdas.t${cyc}z.satang' - ;; - satcnt) geslist=' - $COMINcdas/cdas.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $COMINcdas/cdas.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fh' - getlist00=' - $COMINcdas/cdas.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fh' - getlist00=' - $COMINcdas/cdas.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINcdas/cdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINcdas/cdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $COMINcdas/cdas.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINcdas/cdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $COMINcdas/cdas.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINcdas/cdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $COMINcdas/cdas.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# CDC CDAS -elif [[ "$netwk" = "cdc" ]];then - if [ -z "$COMINcdc" ]; then - echo "getges.sh ERROR: The \$COMINcdc variable must be defined." >&2 - exit 1 - fi - fhbeg=06 - fhend=06 - case $typef in - sigges) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $COMINcdc/cdas.t${cyc}z.abias' - ;; - satang) geslist=' - $COMINcdc/cdas.t${cyc}z.satang' - ;; - satcnt) geslist=' - $COMINcdc/cdas.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $COMINcdc/cdas.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fh' - getlist00=' - $COMINcdc/cdas.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fh' - getlist00=' - $COMINcdc/cdas.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINcdc/cdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINcdc/cdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $COMINcdc/cdas.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINcdc/cdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $COMINcdc/cdas.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINcdc/cdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $COMINcdc/cdas.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# Any resolution production -elif [[ "$netwk" = "global" ]];then - if [ -z "$COMINgdas" ]; then - echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 - exit 1 - fi - if [ -z "$COMINgfs" ]; then - echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 - exit 1 - fi - GETGES_NWG=${GETGES_NWG:-${GESROOT:?}} - case $typef in - biascr) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.abias - $COMINgdas/gdas.t${cyc}z.abias - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias - $COMINgfs/gfs.t${cyc}z.abias' - fhbeg=06 - fhinc=06 - ;; - pgbges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $COMINgfs/gfs.t${cyc}z.pgrbf$fh' - ;; - pgbgm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm6 - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm6 - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 - $COMINgfs/gfs.t${cyc}z.pgrbf$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm3 - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm3 - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 - $COMINgfs/gfs.t${cyc}z.pgrbf$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhp3 - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhp3 - $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 - $COMINgfs/gfs.t${cyc}z.pgrbf$fhp3' - ;; - pg2ges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$gh - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$gh - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' - ;; - pg2gm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm6 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm6' - ;; - pg2gm5) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm5 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm5' - ;; - pg2gm4) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm4 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm4' - ;; - pg2gm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm3 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm3' - ;; - pg2gm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm2 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm2' - ;; - pg2gm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm1 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm1' - ;; - pg2gp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp1 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp1' - ;; - pg2gp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp2 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp2' - ;; - pg2gp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp3 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp3' - ;; - pgbcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $COMINgfs/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p50.f$gh - $COMINgdas/gdas.t${cyc}z.pgrb2.0p50.f$gh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f$gh - $COMINgfs/gfs.t${cyc}z.pgrb2.0p50.f$gh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.prepbufr - $COMINgdas/gdas.t${cyc}z.prepbufr - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr - $COMINgfs/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.engicegrb - $COMINgdas/gdas.t${cyc}z.engicegrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb - $COMINgfs/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb - $COMINgdas/gdas.t${cyc}z.snogrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb - $COMINgfs/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t574.1152.576 - $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574.1152.576 - $COMINgfs/gfs.t${cyc}z.snogrb_t574.1152.576' - fhbeg=00 - fhinc=06 - ;; - snogrb_1534) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t1534.3072.1536 - $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t1534.3072.1536 - $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sstgrb - $COMINgdas/gdas.t${cyc}z.sstgrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb - $COMINgfs/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - natges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - ((vhr=$valid%100)) - if [[ $(($vhr % 3)) -ne 0 ]]; then - fhinc=01 - fi - ;; - natgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm3.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm3.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghm3.nemsio' - ;; - natgm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm2.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm2.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghm2.nemsio' - ;; - natgm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm1.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm1.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghm1.nemsio' - ;; - natgp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp1.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp1.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghp1.nemsio' - ;; - natgp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp2.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp2.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghp2.nemsio' - ;; - natgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp3.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp3.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghp3.nemsio' - ;; - natcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmanl.nemsio - $COMINgdas/gdas.t${cyc}z.atmanl.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmanl.nemsio - $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' - fhbeg=00 - ;; - nsfges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' - ;; - nsfgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm3.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm3.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghm3.nemsio' - ;; - nsfgm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm2.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm2.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghm2.nemsio' - ;; - nsfgm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm1.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm1.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghm1.nemsio' - ;; - nsfgp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp1.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp1.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghp1.nemsio' - ;; - nsfgp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp2.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp2.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghp2.nemsio' - ;; - nsfgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp3.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp3.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghp3.nemsio' - ;; - nsfcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcanl.nemsio - $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl.nemsio - $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' - fhbeg=00 - ;; - nstcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstanl.nemsio - $COMINgdas/gdas.t${cyc}z.nstanl.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstanl.nemsio - $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' - fhbeg=00 - ;; - nflges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' - ;; - nflgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$ghp3.nemsio - $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$ghp3.nemsio - $COMINgfs/gfs.t${cyc}z.flxf$ghp3.nemsio' - ;; - nflcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' - fhbeg=00 - ;; - esac -fi - -# Check validity of options. -if [[ $fhour != any ]];then - fhbeg=$fhour - fhend=$fhour -fi -if [[ $valid -lt 20000000 ]];then - valid=20$valid - echo '************************************************************' >&2 - echo '* WARNING: A 2-digit year was converted to a 4-digit year. *' >&2 - echo '* Please use full a 4-digit year in this utility. *' >&2 - echo '************************************************************' >&2 -fi -if [[ $($NDATE 0 $valid 2>/dev/null) != $valid ]];then - echo getges.sh: invalid date $valid >&2 - exit 2 -fi -if [[ -z "$geslist" ]];then - echo getges.sh: filetype $typef or resolution $resol not recognized >&2 - exit 2 -fi - -#------------------------------------------------------------------------------- -# Loop until guess is found. -fh=$fhbeg -if [ -z "$PDY" ];then echo "getges.sh WARNING: \$PDY variable not set" >&2; fi -while [[ $fh -le $fhend ]];do - ((fhm6=10#$fh-6)) - [[ $fhm6 -lt 10 && $fhm6 -ge 0 ]]&&fhm6=0$fhm6 - ((fhm5=10#$fh-5)) - [[ $fhm5 -lt 10 && $fhm5 -ge 0 ]]&&fhm5=0$fhm5 - ((fhm4=10#$fh-4)) - [[ $fhm4 -lt 10 && $fhm4 -ge 0 ]]&&fhm4=0$fhm4 - ((fhm3=10#$fh-3)) - [[ $fhm3 -lt 10 && $fhm3 -ge 0 ]]&&fhm3=0$fhm3 - ((fhm2=10#$fh-2)) - [[ $fhm2 -lt 10 && $fhm2 -ge 0 ]]&&fhm2=0$fhm2 - ((fhm1=10#$fh-1)) - [[ $fhm1 -lt 10 && $fhm1 -ge 0 ]]&&fhm1=0$fhm1 - ((fhp1=10#$fh+1)) - [[ $fhp1 -lt 10 ]]&&fhp1=0$fhp1 - ((fhp2=10#$fh+2)) - [[ $fhp2 -lt 10 ]]&&fhp2=0$fhp2 - ((fhp3=10#$fh+3)) - [[ $fhp3 -lt 10 ]]&&fhp3=0$fhp3 - gh=$fh;[[ $gh -lt 100 ]]&&gh=0$gh - ghm6=$fhm6;[[ $ghm6 -lt 100 ]]&&ghm6=0$ghm6 - ghm5=$fhm5;[[ $ghm5 -lt 100 ]]&&ghm5=0$ghm5 - ghm4=$fhm4;[[ $ghm4 -lt 100 ]]&&ghm4=0$ghm4 - ghm3=$fhm3;[[ $ghm3 -lt 100 ]]&&ghm3=0$ghm3 - ghm2=$fhm2;[[ $ghm2 -lt 100 ]]&&ghm2=0$ghm2 - ghm1=$fhm1;[[ $ghm1 -lt 100 ]]&&ghm1=0$ghm1 - ghp1=$fhp1;[[ $ghp1 -lt 100 ]]&&ghp1=0$ghp1 - ghp2=$fhp2;[[ $ghp2 -lt 100 ]]&&ghp2=0$ghp2 - ghp3=$fhp3;[[ $ghp3 -lt 100 ]]&&ghp3=0$ghp3 - id=$($NDATE -$fh $valid) - typeset -L8 day=$id - typeset -R2 cyc=$id - eval list=\$getlist$fh - [[ -z "$list" ]]&&list=${geslist} - for ges_var in $list;do - # Replace variables in guess with their values - eval ges_val=$ges_var - # Replace the current PDY with the valid date - ges=${ges_val/$PDY\//$day/} - [[ $quiet = NO ]]&&echo Checking: $ges >&2 - [[ -r $ges ]]&&break 2 - done - fh=$((10#$fh+10#$fhinc)) - [[ $fh -lt 10 ]]&&fh=0$fh -done -if [[ $fh -gt $fhend ]];then - echo getges.sh: unable to find $netwk.$envir.$typef.$resol.$valid >&2 - exit 8 -fi - -#------------------------------------------------------------------------------- -# Either copy guess to a file or write guess name to standard output. -if [[ -z "$gfile" ]];then - echo $ges - exit $? -else - cp $ges $gfile - exit $? -fi diff --git a/model/fv3gfs/outofcontrol_scripts/theia/prep/getges.txt b/model/fv3gfs/outofcontrol_scripts/theia/prep/getges.txt deleted file mode 100644 index 92e2025..0000000 --- a/model/fv3gfs/outofcontrol_scripts/theia/prep/getges.txt +++ /dev/null @@ -1 +0,0 @@ -prep=/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/getges.sh diff --git a/model/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.sh b/model/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.sh deleted file mode 100755 index ff85639..0000000 --- a/model/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.sh +++ /dev/null @@ -1,2466 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - -#### UNIX Script Documentation Block -# -# Script name: prepobs_makeprepbufr.sh -# Script description: Prepares & quality controls PREPBUFR file -# -# Author: Keyser Org: EMC Date: 2017-04-20 -# -# Abstract: This script creates the PREPBUFR file containing observational data -# assimilated by all versions of NCEP atmospheric analyses. It points to BUFR -# observational data dumps as input files. PREPOBS_PREPDATA combines them to -# generate an initial form of the PREPBUFR file which also contains the -# background guess as well as the observational error field. If tropical -# cyclone relocation processing has previously occurred, the background global -# guess read in by PREPOBS_PREPDATA (and later by SYNDAT_SYNDATA if it runs) -# is the relocated guess valid at the center date/time for the PREPBUFR -# processing. Otherwise it is the regular (unrelocated) global atmosperic -# guess obtained via the getges utility script. After PREPOBS_PREPDATA runs, -# this script can execute SYNDAT_SYNDATA to generate synthetic wind bogus -# data, as well as their background guess and observational error fields, -# which are appended to the PREPBUFR file. -# -# In the global networks the decision to append synthetic wind bogus data in -# the SYNDATA processing is determined by the outcome of the previous -# tropical cyclone relocation processing (if it was run). There are three -# possible outcomes: -# 1) If all storms in the original tcvitals file have vorticies of at -# least medium intensity such that a relocation was previously -# performed for each, then SYNDAT_SYNDATA will still run but will not -# append synthetic wind bogus data to the PREPBUFR file for any storm. -# It will input the original tcvitals file (output from qctropcy -# processing) and (if the option is set) it will flag dropwinsonde -# winds in the vicinity of each tropical storm in the file. -# 2) If all storms in the original tcvitals file (output from qctropcy -# processing) have weak vorticies such that a relocation was not -# previously performed for any, then SYNDAT_SYNDATA will run, inputting -# the original tcvitals file, and it will append synthetic wind bogus -# data to the PREPBUFR file for each storm in it. It will also -# possibly flag mass pressure and/or dropwinsonde wind reports in the -# vicinity of each storm (if requested). -# 3) If some storms in the original tcvitals file (output from qctropcy -# processing) have weak vorticies, such that a relocation was not -# previously performed for them, and others have vorticies of at least -# medium intensity, such that a relocation was previously performed for -# these, then SYNDAT_SYNDATA will run twice. The first time, it will -# input the relocation-generated tcvitals file, which contains all of -# the weak storms, and it will append synthetic wind bogus data to the -# PREPBUFR file for each storm in it. It will also possibly flag mass -# pressure and/or dropwinsonde wind reports in the vicinity of each of -# these storms (if requested). The second time SYNDAT_SYNDATA runs, it -# will input any storm records that were in the original tcvitals file -# but not in the relocation-generated tcvitals file (i.e., storms with -# vorticies of at least medium intensity). It will not append -# synthetic wind bogus data to the PREPBUFR file for any of these -# storms, but it will flag dropwinsonde winds in the vicinity of each -# storm in the original tcvitals file but not in the relocation- -# generated tcvitals file (if requested). -# If this is the nam network, the only reason relocation processing would -# have been previously run would be to update the first guess read in here by -# PREPOBS_PREPDATA and SYNDAT_SYNDATA. In this case, SYNDAT_SYNDATA inputs -# the original tcvitals file (output from qctropcy processing), appends -# synthetic wind bogus data to the PREPBUFR file for each storm in it, and -# possibly also flags mass pressure and/or dropwinsonde wind reports in the -# vicinity of each storm in the file (if requested). -# -# After all of this, the script then executes a series of quality control -# programs which can change the observation value and/or its quality marker. -# The PREPBUFR file is set up such that all changes to data are stacked on -# top of previous values. Such changes are considered to be "events", with -# the event containing an associated program code and reason code to describe -# it. This allows the PREPBUFR file to internally contain a record of all -# events preformed on the observations. This script has been designed to be -# executed by either an "operational J-job" script, a "test J-job" script, a -# "parallel J-job" script, or a stand-alone batch run initiated by a user. -# -# Script history log: -# 1999-07-20 Dennis A. Keyser -- Original version for implementation -# 2000-06-14 Dennis A. Keyser -- Added the tropical cyclone relocation -# processing -# 2001-03-20 Dennis A. Keyser -- Now gets tcvitals file for t-12 as well as -# t-06 in tropical cyclone relocation processing and passes both to ush -# relocate_relocate_ts.sh as new pos. parameters 3 and 4 -# 2004-01-15 Dennis A. Keyser -- Reads file *aircar_status_flag* in $COMSP -# path to see whether ARINC (primary) or AFWA (backup) reports in AIRCAR -# dump should be read and processed as ACARS data in PREPBUFR (flag file -# generated in upstream dump process and is based on a comparison of report -# counts), inserts proper switch in parm cards read by PREPOBS_PREPDATA -# program -# 2004-08-30 Dennis A. Keyser -- Connects new data dumps to PREPDATA -# processing, copies t-3 and t+3 sigma guess files for GFS and GDAS even if -# DO_RELOCATE = NO (unless GETGUESS = NO); Copies the "PRE-QC" snapshot of -# the PREPBUFR file AFTER SYNDAT_SYNDATA runs (if it does) rather than -# before it runs (ensures that the PRE-QC PREPBUFR file contains ALL of the -# observations); Variable PRVT (observational error table file path) is now -# read by NAM network and defaults to $FIXPREP/prepobs_errtable.nam if not -# imported (obs. errors are now read into PREPBUFR file in NAM network in -# preparation for the switch to the GSI analysis, the operational 3DVAR -# analysis ignores the obs errors in PREPBUFR and still reads them in from -# $PARMPREP/nam_errtable.r3dv) -# 2005-07-01 Dennis A. Keyser -- Logic changes to run SYNDAT_SYNDATA in all -# networks where requested regardless of outcome of relocation processing, -# but sets new script variable DO_BOGUS to NO if SYNDAT_SYNDATA is to NOT -# generate synthetic wind bogus reports and append them to PREPBUFR file -# (SYNDAT_SYNDATA program also modified to read in this variable) - change -# needed because (if requested) SYNDAT_SYNDATA will now flag all -# dropwinsonde wind reports in vicinity of each storm in original tcvitals -# file, regardless of whether or not bogus winds are generated -# 2006-03-22 Dennis A. Keyser -- Accounts for possibility of split dump status -# files (status1 and status2) at the time this runs {in the test for the -# presence of the dump status file(s)} -# 2006-06-13 Dennis A. Keyser -- Adds dump file "wdsatr" to default BUFRLIST -# value and assigns it to unit 38 read in to PREPOBS_PREPDATA; Removed -# tropical cyclone relocation processing, this is now done (if requested) -# in a new script called tropcy_relocate.sh which runs in the new -# TROPCY_QC_RELOC job prior to the PREP job that executes this script -# (TROPCY_QC_RELOC first performs qctropcy processing, this was moved from -# the DUMP job) - this was done to allow the TROPCY_QC_RELOC job to run at -# the same time as the DUMP job in order to speed up overall obs -# processing and remove variability in the PREP job executing this script -# (i.e., this job had run faster when no tropical storms were present) -# 2007-09-14 Dennis A. Keyser -- Replaced "export XLFUNIT_xx=" with -# "ln .sf fort.xx" in preparation for PREPOBS_PREPDATA -# interfacing with global spectral guess files using sigio routines (via -# W3LIB routine GBLEVENTS - sigio requires explicit open statements in the -# code and this conflicts with XLFUNIT statements; Removed test on -# existence of status2 file from NAM_DUMP2 and NDAS_DUMP2 jobs since dump -# files here (currently only "nexrad") are not processed into the PREPBUFR -# file - PREP job initiation may soon no longer be dependent upon -# completion of DUMP2 job in NAM and NDAS networks; in the case where an -# input (normally, pre-QC) PREPBUFR file is passed into the script via the -# variable PREPBUFR_IN, it had been assumed that this file had already -# been run through SYNDATA processing (but that was not the case prior to -# 12Z 25 Jan 2005) - this script changed to use the value of variable -# SYNDATA to determine if the file in PREPBUFR_IN should be run through -# SYNDATA processing (i.e., if SYNDATA=YES, run it through SYNDATA -# processing) (this change will allow reanalysis runs prior to 12Z 25 Jan -# 2005 to work properly) -# 2008-09-25 Dennis A. Keyser -- Added dump file "ascatw" to default BUFRLIST -# value and assigns it to unit 39 read in to PREPOBS_PREPDATA; in -# preparation for future NRL aircraft QC code NRLACQC, added new script -# variables NRLACQC (def=NO), USHNQC (def=${HOMEALL}/ush), NQCX -# (def=$EXECPREP/prepobs_nrlacqc) and NQCC -# (def=$PARMPREP/prepobs_nrlacqc.${NET}.parm"), if NRLACQC=YES will -# execute script USHNQC to perform NRL aircraft QC (not yet ready) -# 2011-10-14 D.A. Keyser -- Updated to handle new "rap" (Rapid Refresh) -# network and its model runs "rap", "rap_p" and "rap_e" -# 2012-05-09 S. Bender/D. Keyser -- Removed all prior references to "NRL" -# aircraft QC script variables (never actually used) since the NRL -# aircraft QC nomenclature is being dropped in place of the existing -# PREPACQC nomenclature and will use its existing script variables; -# removed all references to the ACARSQC processing since it is no longer -# executed (ACARS QC is now performed within the revamped PREPACQC -# processing); removed script variables no longer used by the new version -# of the PREPACQC processing; added new script variables which are -# associated with the new program PREPOBS_PREPACPF which now runs as a -# second program within the PREPACQC processing (after program -# PREPOBS_PREPACQC) in the ush script prepobs_prepacqc.sh {PROCESS_ACQC -# (def=YES), PROCESS_ACPF (def=YES), DICTPREP (def=$HOMEALL/dictionaries), -# DICT (def=$DICTPREP/metar.tbl), APFX (def=$EXECPREP/prepobs_prepacpf), -# and the new second argument "$DATA/adpsfc" passed to -# prepobs_prepacqc.sh} -# 2013-03-05 D.A. Keyser -- Modified to properly run on WCOSS system: replaced -# all usage of "timex" with "time -p."; replaced script variables -# XLFUNIT_n with FORTn (where n is the unit number connected to the -# filename defined by the variable FORTn) - needed because ifort uses -# FORTn; script is now set to run under ksh shell as the default; added -# script variable "BACK" which, when YES, threads the mp_prepdata herefile -# into background shells that run simultaneously (an alternative option -# to poe which is not ready on WCOSS); touches all dump files not included -# in BUFRLIST so that they will not cause a read error if PREPOBS_PREPDATA -# tries to read them -# 2014-01-15 S. Melchior -- Placed into new OBSPROC_PREP vertical directory -# structure/environmental equivalence paradigm. As a result: imports new -# environment variable $HOMEobsproc_prep which points to directory path for -# generic prep subdirectories under version control (in production this is -# normally /nwprod/obsproc_prep.vX.Y.Z where X.Y.Z is version number being -# used, usually the latest); and imports new environment variable -# $HOMEobsproc_network which points to directory path for network-specific -# prep subdirectories under version control (in production this is normally -# /nwprod//obsproc_NETWORK.vX.Y.Z where NETWORK is, e.g., global, namp, rap, -# rtma, urma, and X.Y.Z is version number being used, usually the latest) - -# these replace /nw${envir} in order to point to files moved from -# horizontal to vertical directory structure. -# 2014-02-25 D.A. Keyser -- Removed all references to RUC. Removed option -# to run on MACHINE=sgi - this is obsolete (as a result variables $MACHINE -# and $HOMEALL are no longer used in this script). Replaced variable -# $EXECUTIL with $utilexec for directory path to utility program ndate -# (both were exported from job scripts with same value, $EXECUTIL has now -# been removed from all job scripts). Removed all references to "cdc" -# network (this is obsolete). -# 2014-07-23 D.A. Keyser -- Imported script environment variable DICTPREP now -# defaults to new vertical structure directory path location for metar.tbl -# dictionary, /nw${envir}/decoders/decod_shared/dictionaries, rather than -# old horizontal structure location, /nw${envir}/dictionaries (the latter -# will be removed in September 2014). -# 2016-02-05 JWhiting -- Use NCO-established variables to point to root -# directories for main software components and input/output directories in -# order to run on WCOSS Phase 1 or Phase 2 (here, $COMROOT which replaces -# hardwire to "/com", $NWROOT which replaces hardwire to "/nwprod" in -# comments only). Use NCO-established variables (presumably obtained from -# modules) to point to prod utilities [here, $NDATE from module prod_util -# (default or specified version, loaded in each network which executes this -# script) which replaces executable ndate in non-versioned, horizontal -# structure utility directory path defined by imported variable $utilexec]. -# 2016-04-29 D.A. Keyser -- Updated logic such that when tropical cyclone -# relocation has not run, a first guess is required, the network is gfs or -# gdas, but the cycle time is not 00, 06, 12 or 18z, no attempt will be -# made to obtain a guess 3-hrs before and after cycle time (since it can -# fail). Instead this is treated the same as any 3- or 1-hrly cycle run -# (like rap, e.g.) meaning two guess files will be obtained at the -# spanning 3 hour interval around any cycle time not a multiple of 3 hrs. -# BENEFIT: Allows future hourly WAM model to run properly. -# 2016-07-12 D.C. Stokes -- Reinstated poe option to run multiple instances -# of the PREPDATA processing script in parallel. New variable $launcher -# defines the parallel scripting launch mechanism (description below). -# Added logic to create scaled down versions of err_chk and err_exit -# scripts if they don't exist in the working directory and eliminated -# similar blocks of logic that had been repeated throughout the script. -# Updated USHGETGES default to pick up more recent versions of getges.sh. -# 2017-02-07 D.C. Stokes -- Updated to run on Cray-XC40 as well as iDataPlex. -# If on Cray-XC40, default parallel scripting launching mechanism is cfp -# inovked by aprun. Variable name used for launching mechanism changed from -# "launcher" to "launcher_PREP". Variable COMDATEROOT is now the primary -# default for the root of the directory containing NCEP date files. The -# variable NWROOTp1 is now the default root for directory DICTPREP. Logic -# used to determine if $COMSP points to production "com" directory was -# updated to recognize full path name (as needed on luna/surge). -# 2017-03-19 D.C. Stokes/D.A. Keyser -- Updated to input nemsio atmopheric -# guess files -or- the older sigio atmospheric files. The nemsio option -# is triggered by flag NEMSIO_IN=.true. For nemsio runs, a single guess -# file valid at the prepbufr center time is picked up, even for runs with -# center time that is not a multiple of 3. Also the dbn_alert subtype is -# now dependent upon $RUN (for transition from "gdas1" to "gdas"). -# 2017-04-20 D.C. Stokes -- Relocated assignments of variable stype to ensure -# it always passes the proper value to the getges utility script. -# -# -# Usage: prepobs_makeprepbufr.sh yyyymmddhh -# -# Input script positional parameters: -# 1 String indicating the center date/time for the PREPBUFR -# processing - if missing, then this time -# is obtained from the ${COMDATEROOT}/date/$cycle file -# -# Imported Shell Variables: -# -# These must ALWAYS be exported to this script by the parent script -- -# -# COMROOT Root to input/output "com" directory (in production, -# normally "/com", "/com2", or "/gpfs/hps/nco/ops/com") -# NSPLIT Number of parts into which the PREPDATA processing shell -# script (herefile MP_PREPDATA) will be split in order to -# run in parallel for computational efficiency (either using -# multiple tasks when POE is not "NO" or in background threads -# when BACK is "YES") -# NOTE : This is required ONLY if the imported shell variable -# POE is not "NO" (see below) or the imported shell -# variable BACK is "YES" (see below) (i.e., a parallel -# environment), and the imported shell variable -# PREPDATA=YES (see below) -# NET String indicating system network {either "gfs", "gdas", -# "cdas", "nam", "rap", "rtma" or "urma"} -# NOTE : NET is changed to gdas in the parent Job script for -# RUN=gdas or RUN=gdas1 (was gfs) -# RUN String indicating model run {either "gfs", "gdas", "gdas1", -# "cdas", "nam", "ndas", "rap", "rap_p", "rap_e", -# "rtma", or "urma"} -# cycle String indicating the center cycle hour for PREPBUFR -# processing {"txxz", where xx is two-digit hour of the day -# (UTC)} -# NOTE : This is required ONLY if input script positional -# parameter 1 is missing (see above) -# DATA String indicating the working directory path (usually a -# temporary location) -# COMSP String indicating the directory/filename path to input BUFR -# observational data dumps, tropical cyclone location -# (tcvitals) files, global atmos guess files, and status -# files (e.g., "$COMROOT/gfs/prod/gfs.20060612/gfs.t12z.") -# DBNROOT String indicating directory path to bin/dbn_alert file -# location -# NOTE : This is required ONLY if the imported shell variable -# SENDDBN is "YES" (see below) -# job - String indicating job name (e.g., 'gdas_prep_12') -# NOTE : This is required ONLY if the imported shell variable -# SENDDBN is "YES" (see below) -# $HOMEobsproc_prep - string indicating directory path to generic prep -# subdirectories under version control -# (in production this is normally -# ${NWROOT}/obsproc_prep.vX.Y.Z where X.Y.Z is -# version number being used, usually the latest) -# $HOMEobsproc_network - string indicating directory path to network- -# specific prep subdirectories under version control -# (in production this is normally -# ${NWROOT}/obsproc_NETWORK.vX.Y.Z where NETWORK is, -# e.g., global, nam, rap, rtma, urma, and X.Y.Z is -# version number being used, usually the latest) -# -# These will be set to their default value in this script if not exported -# to this script by the parent script -- -# -# SITE Site name (may have been set by local shell startup script) -# Default is "" -# sys_tp System type and phase. If not imported, an attempt is made -# to set it using getsystem.pl (an NCO prod_util script). -# A failed attempt results in an empty string. -# NEMSIO_IN Flag that if ".true." indicates that nemsio atmospheric -# background fields will be input rather than sigio. -# Default is "" -# SENDDBN String indicating whether or not to alert an output file to -# the NWS/TOC (= "YES" - invoke alert; anything else - do not -# invoke alert) -# Default is "NO" -# NPROCS Number of "poe" tasks to use for mpmd (must be .GE. $NSPLIT) -# NOTE : This is applicable ONLY if the imported shell -# variable POE is not "NO" (see below) and variable -# launcher_PREP is not "cfp" or "aprun" (see below) and -# the imported shell variable PREPDATA=YES (see below) -# For LSF jobs, the count of hosts listed in string $LSB_HOSTS -# will be used to set NPROCS (overriding any imported value). -# Default is "$NSPLIT" -# envir String indicating environment under which job runs ('prod' -# or 'test') -# Default is "prod" -# envir_getges String indicating environment under which GETGES utility -# ush runs (see getges.sh docblock for more information) -# Default is "$envir" -# network_getges -# String indicating job network under which GETGES utility -# ush runs (see getges.sh docblock for more information) -# Default is "global" unless the center PREPBUFR processing -# date/time is not a multiple of 3-hrs and the global guess is -# sigio-based, then the default is "gfs" -# pgmout String indicating file containing standard output (output -# always contatenated onto this file) -# Default is "/dev/null" -# tstsp String indicating the directory/filename path to one or -# more BUFR observational data dumps and/or tropical cyclone -# location (tcvitals) files and/or global atmos guess files -# and/or status files that are to override the corresponding -# file in $COMSP (this should be imported with the same -# naming convention as $COMSP; e.g., -# "/gpfstmp/wx22dk/test_dump/ndas.20060612/ndas.t12z." - -# (if tstsp is not imported, the default is used and no -# overriding file would exist; if tstsp is imported then any -# file found would override the correspoding file in $COMSP) -# Default is "/tmp/null/" -# tmmark - string indicating hour for center PREPBUFR processing date/ -# time relative to the analysis time embedded in $tstsp or -# $COMSP (e.g., "tm12", "tm09", "tm06", "tm03", "tm00") -# Default is "tm00" -# BUFRLIST String indicating list of BUFR data dump file names to -# process -# Default is "adpupa proflr aircar aircft satwnd adpsfc \ -# sfcshp sfcbog vadwnd goesnd spssmi erscat qkswnd msonet \ -# gpsipw rassda wdsatr ascatw" -# POE String indicating whether or not to use a poe-like launcher -# to spread instances of the PREPBUFR processing herefile -# MP_PREPDATA over multiple pes in parallel. (= "NO" - -# do not invoke invoke "poe"; anything else - invoke "poe") -# Default is "YES" -# launcher_PREP Parallel scripting launch tool. Settings are in place for -# aprun, mpirun.lsf, and cfp but a different tool can be -# specified. -# NOTE : This is applicable ONLY if the imported shell -# variable POE is not "NO" and the imported shell -# variable PREPDATA=YES (see below) -# Default on Cray-XC40 is "aprun". Otherwise: "mpirun.lsf" -# BACK String indicating whether or not to run background shells -# (on the same task) for the PREPBUFR processing (= "YES" - -# run background shells; anything else - do not run -# background shells). IF BACK=YES on Cray-XC40, the shells -# are invoked by aprun. -# USHSYND String indicating directory path for SYNDATA ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHPREV String indicating directory path for PREVENTS ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHCQC String indicating directory path for CQCBUFR ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHPQC String indicating directory path for PROFCQC ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHVQC String indicating directory path for CQCVAD ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHAQC String indicating directory path for PREPACQC ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHOIQC String indicating directory path for OIQCBUFR ush file -# Default is "${HOMEobsproc_prep}/ush" -# EXECPREP String indicating directory path for PREPOBS executables -# Default is "${HOMEobsproc_prep}/exec" -# PARMPREP String indicating directory path for PREPOBS parm files -# Default is "${HOMEobsproc_network}/parm" -# FIXPREP String indicating directory path for PREPOBS fix-field -# files -# Default is "${HOMEobsproc_prep}/fix" -# DICTPREP String indicating directory path for PREPOBS dictionary -# files -# Default is "${NWROOTp1}/decoders/decod_shared/dictionaries" -# EXECSYND String indicating directory path for SYNTHETIC data -# executables -# Default is "${HOMEobsproc_prep}/exec" -# PARMSYND String indicating directory path for SYNTHETIC parm files -# Default is "${HOMEobsproc_network}/parm" -# FIXSYND String indicating directory path for SYNTHETIC data fix- -# field files -# Default is "${HOMEobsproc_prep}/fix" -# GETGUESS String: if = "YES" will encode first guess (background) -# values interpolated by the program PREPOBS_PREPDATA to -# observation locations in the PREPBUFR file for use by the -# q.c. programs. This guess is always from a global atmos -# guess file valid at the center PREPBUFR processing date/ -# time or from an interpolated guess obtained from global -# atmos guess files valid at times 3-hours apart which span -# the PREPBUFR processing date/time (the latter is performed -# by the program PREPOBS_PREPDATA and occurs when the guess -# files are sigio-based and the PREPBUFR date/time hour is not -# a multiple of 3, e.g. 02Z rap or tm04 nam catchup runs). The -# guess file (or files) may be obtained in one of two ways: -# 1) From pre-existing files in the working directory -# $DATA called sgesprep and sgesprepA (either copied -# there prior to the execution of this script, or -# copied there earlier in this script from either -# $tstsp, or if not found there, $COMSP which was -# populated by the previous running of tropical -# cyclone relocation processing -# NOTE 1: sgesprepA is needed only when the guess is -# sigio-based and the PREPBUFR processing -# date/time is not a multiple of 3-hrs. -# NOTE 2: if previous tropical cyclone relocation -# processing was run, then an sgesprepA file -# is NEVER generated, not a problem since -# previous tropical cyclone relocation -# processing is not run in rap, rap_p or -# rap_e runs -# 2) Via the execution of the GETGES utility ush to -# obtain sgesprep (if pre-existing file $DATA/sgesprep -# does not exist), and possibly via the execution of -# the GETGES utility ush to obtain sgesprepA (if -# PREPBUFR processing date/time is not a multiple of -# 3-hrs and the global guess is sigio-based, and the -# pre-existing file $DATA/sgesprepA does not exist) -# Default is "YES" -# NOTE: If GETGUESS=NO, then the program PREPOBS_PREPDATA -# will NOT call w3emc routine GBLEVENTS to perform -# "prevents" processing -# PREPDATA String: if = "YES" will perform PREPDATA processing -# (in either a parallel or serial environment depending upon -# the values for POE and BACK) -# Default is "YES" -# SYNDATA String: if = "YES" will attempt to perform synthetic bogus -# processing (generation of synthetic bogus winds to be -# appended to PREPBUFR file and, possibly, flagging of mass -# pressure data "near" storms; and, possibly, flagging of -# dropwinsonde wind data "near" storms) -# Default is "YES" -# DO_QC String: if = "YES" will perform quality control -# Default is "YES" -# PREVENTS String: if = "YES" will encode background and obs. errors -# into PREPBUFR file (usually this should be "NO" since the -# programs PREPOBS_PREPDATA and SYNDAT_SYNDATA normally are -# set to perform this function) -# NOTE: Only invoked if DO_QC=YES -# Default is "NO" -# CQCBUFR String: if = "YES" will complex quality control radiosonde -# data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# PROFCQC String: if = "YES" will quality control wind profiler data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# CQCVAD String: if = "YES" will quality control VAD wind data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# PREPACQC String: if = "YES" will quality control aircraft data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# PROCESS_ACQC String: if = "YES" will execute PREPOBS_PREPACQC program as -# part of PREPACQC processing -# NOTE: Only invoked if PREPACQC=YES -# Default is "YES" -# PROCESS_ACPF String: if = "YES" will execute PREPOBS_PREPACPF program as -# part of PREPACQC processing -# NOTE: Only invoked if PREPACQC=YES -# Default is "YES" -# OIQCBUFR String: if = "YES" will perform final oi-based quality -# control on all data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# MPCOPYX String indicating executable path for PREPOBS_MPCOPYBUFR -# program -# Default is "$EXECPREP/prepobs_mpcopybufr" -# PRPX String indicating executable path for PREPOBS_PREPDATA -# program -# Default is "$EXECPREP/prepobs_prepdata" -# errPREPDATA_limit -# String indicating the highest allowed foreground exit -# status for program PREPOBS_PREPDATA (any exit status higher -# than this is considered a failure) -# (Note: errPREPDATA_limit=1 is ALWAYS considered a FAILURE) -# Default is "0" -# PRPC String indicating data card path for PREPOBS_PREPDATA -# program -# Default is "$PARMPREP/prepobs_prepdata.${NET}.parm" -# PRPT String indicating bufrtable file path for PREPOBS_PREPDATA -# program -# Default is "$FIXPREP/prepobs_prep.bufrtable" -# LANDC String indicating land/sea mask file path for -# PREPOBS_PREPDATA program -# Default is "$FIXPREP/prepobs_landc" -# PRVT String indicating observational error table file path for -# PREPOBS_PREPDATA, SYNDAT_SYNDATA and PREPOBS_PREVENTS -# programs (used by GBLEVENTS subroutine) -# NOTE: Only read by gdas, gfs, cdas and nam networks -# If imported "NET=gdas" or "NET=gfs", default is -# "$HOMEobproc_network/fix/prepobs_errtable.global"; -# if imported "NET=cdas", default is -# "$HOMEobsproc_network/fix/prepobs_errtable.cdas"; -# if imported "NET=nam", default is -# "$HOMEobsproc_network/fix/prepobs_errtable.nam" -# otherwise, default is "$DATA/scratch.PRVT" a null file -# LISTHDX String indicating executable path for PREPOBS_LISTHEADERS -# program -# Default is "$EXECPREP/prepobs_listheaders" -# MONOBFRX String indicating executable path for PREPOBS_MONOPREPBUFR -# program -# Default is "$EXECPREP/prepobs_monoprepbufr" -# SYNDX String indicating executable path for SYNDAT_SYNDATA -# program -# Default is "$EXECSYND/syndat_syndata" -# SYNDC String indicating data card path for SYNDAT_SYNDATA program -# Default is "$PARMSYND/syndat_syndata.${NET}.parm" -# PREX String indicating executable path for PREPOBS_PREVENTS -# program -# Default is "$EXECPREP/prepobs_prevents" -# PREC String indicating data card path for PREPOBS_PREVENTS -# program -# Default is "$PARMPREP/prepobs_prevents.${NET}.parm" -# AQCX String indicating executable path for PREPOBS_PREPACQC -# program -# Default is "$EXECPREP/prepobs_prepacqc" -# AQCC String indicating data card path for PREPOBS_PREPACQC -# program -# Default is "$PARMPREP/prepobs_prepacqc.${NET}.parm" -# APFX String indicating executable path for PREPOBS_PREPACPF -# program -# Default is "$EXECPREP/prepobs_prepacpf" -# DICT String indicating METAR station dictionary path for -# PREPOBS_PREPACPF program -# Default is "$DICTPREP/metar.tbl" -# PQCX String indicating executable path for PREPOBS_PROFCQC -# program -# Default is "$EXECPREP/prepobs_profcqc" -# PQCC String indicating data card path for PREPOBS_PROFCQC -# program -# Default is "$PARMPREP/prepobs_profcqc.${NET}.parm" -# VQCX String indicating executable path for PREPOBS_CQCVAD -# program -# Default is "$EXECPREP/prepobs_cqcvad" -# CQCX String indicating executable path for PREPOBS_CQCBUFR -# program -# Default is "$EXECPREP/prepobs_cqcbufr" -# CQCC String indicating data card path for PREPOBS_CQCBUFR -# program -# Default is "$PARMPREP/prepobs_cqcbufr.${NET}.parm" -# CQCS String indicating statbge path for PREPOBS_CQCBUFR program -# Default is "$FIXPREP/prepobs_cqc_statbge" -# OIQCX String indicating executable path for PREPOBS_OIQCBUFR -# program -# Default is "$EXECPREP/prepobs_oiqcbufr" -# OIQCT String indicating observational error table file path for -# PREPOBS_OIQCBUFR program -# NOTE: If imported "NET=cdas", default is -# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas"; -# otherwise default is -# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs" -# -# These do not have to be exported to this script. If they are, they will -# be used by the script. If they are not, they will be skipped -# over by the script. -# -# PREPBUFR_APP String indicating path to output PREPBUFR file for -# PREPOBS_PREPDATA program. -# If present and POE is "NO" and BACK is not "YES" (i.e., a -# serial environment), PREPOBS_PREPDATA will append all -# output BUFR messages to a copy of this file (prepda) in -# the current working directory, using the internal BUFR -# mnemonic table in the first several BUFR messages at the -# top of the file -# NOTE 1: In this case, it is assumed the the switch APPEND -# is set to TRUE in the parm cards $PRPC (careful, -# if APPEND is FALSE, the original copy of -# $PREPBUFR_APP will be wiped out and the case below -# will occur) -# NOTE 2: When POE is not "NO" or BACK is "YES" (i.e., a -# parallel environment), appending makes no sense -# because the original output PREPBUFR file is -# monolithic -# If not present or POE is not "NO" or BACK is "YES" (i.e., a -# parallel environment), PREPOBS_PREPDATA will write all -# output BUFR messages to a new file (prepda) in the current -# working directory using the external BUFR mnemonic table -# in the file $PRPT -# NOTE 3: In this case, it is assumed the the switch APPEND -# is set to FALSE in the parm cards $PRPC (careful, -# if APPEND is TRUE, PREPOBS_PREPDATA will abort -# because the original empty PREPBUFR file has no -# internal BUFR mnemonic table) -# PREPBUFR_IN String indicating path to input PREPBUFR file -# If present, this file will be used by SYNDAT_SYNDATA (if -# SYNDATA=YES - see @ below) and by all applicable Q.C. -# programs (set to to be invoked here) rather than the -# PREPBUFR file generated in this script by PREPOBS_PREPDATA -# (normally this would be used when PREPDATA=NO) -# @ - if the PREPBUFR_IN target file is obtained from -# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, -# then for all runs on and after 12Z 25 Jan 2005, -# SYNDATA should be NO because the target files -# will already contain synthetic bogus data; -# if the PREPBUFR_IN target file is obtained from -# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, -# then for all runs prior to 12Z 25 Jan 2005, -# SYNDATA should be YES because the target files -# will not have contain synthetic bogus data. -# If not present, then the PREPBUFR file generated in this -# script by PREPOBS_PREPDATA and possibly appended to by -# SYNDAT_SYNDATA is passed on as input to all applicable -# Q.C. programs -# jlogfile String indicating path to joblog file -# -# These do not have be exported to this script. -# -# COMDATEROOT Primary default for the root of the directory containing -# produciton date files. -# -# NWROOTp1 Root directory for production software on WCOSS Phase 1. -# -# USHGETGES String indicating directory path for GETGES utility script. -# Default is $HOMEobsproc_prep/ush. -# -# GETGESprep GETGES utility script. If NEMSIO_IN=.true., defaults to: -# $USHGETGES/getges.sh -# otherwise, defaults to: -# $USHGETGES/getges_sig.sh -# -# PREPDATAtpn Tasks per node when invoking cfp on Cray-XC40. Will be -# computed if needed but was not imported. -# -# These do not have to be exported to this script. If they are, they will -# be passed on to the script $USHCQC/prepobs_cqcbufr.sh. They are not used -# by this script. -# -# PRPI_m24 See documentation in $USHCQC/prepobs_cqcbufr.sh -# PRPI_m12 See documentation in $USHCQC/prepobs_cqcbufr.sh -# PRPI_p12 See documentation in $USHCQC/prepobs_cqcbufr.sh -# PRPI_p24 See documentation in $USHCQC/prepobs_cqcbufr.sh -# -# Exported Shell Variables: -# CDATE10 String indicating the center date/time for the PREPBUFR -# processing -# SGES Either ... -# 1) String indicating the full path name for global -# sigio-based or nemsio-based guess file valid at the -# center PREPBUFR processing date/time (in which case the -# center PREPBUFR processing date/time is a multiple of -# 3-hrs, or for any PREPBUFR center hour if global guess -# is nemsio-based) - This guess file will be encoded -# into the PREPBUFR file for use by the q.c. programs. -# -- or -- -# 2) String indicating the full path name for the global -# atmosperic guess file valid at the nearest cycle time -# prior to the center PREPBUFR processing date/time which -# is a multiple of 3 (in which case the center PREPBUFR -# processing date/time is not a multiple of 3-hrs and the -# global guess is sigio-based) - A linear interpolation -# (of the spectal coefficients) between this file and the -# guess file indicated by SGESA case 2 below will be -# performed by program PREPOBS_PREPDATA and encoded into -# the PREPBUFR file for use by the q.c. programs. The -# SGES file is always from the GFS in this case. -# NOTE 1: Only case 1 above is valid when tropical cyclone -# relocation processing previously occurred. -# NOTE 2: Case 2 above is necessary because the w3emc lib -# routine gblevents called by PREPOBS_PREPDATA -# expects that sigio-based guess files will only -# have valid hours which are a multiple of 3 -# NOTE 3: Only case 1 above is valid when global guess is -# nemsio-based. -# SGESA Either ... -# 1) String set to "/dev/null" for case 1 of SGES above -# (default) -# -- or -- -# 2) String indicating the full path name for the global -# sigma guess file valid at the nearest cycle time after -# the center PREPBUFR processing/date time which is a -# multiple of 3 for case 2 of SGES above - A linear -# interpolation (of the spectal coefficients) between -# this guess file and the guess file indicated by SGES -# above (see case 2 for SGES) will be performed by the -# program PREPOBS_PREPDATA and encoded into the PREPBUFR -# file for use by the q.c. programs. The SGESA file is -# always from the GFS in this case and its forecast hour -# is 3-hrs later than the SGES file (thus both initiate -# at the same time). -# NOTE 1: Only case 1 above is valid when tropical cyclone -# relocation processing previously occurred. -# NOTE 2: Case 2 above is necessary because the w3emc lib -# routine gblevents called by PREPOBS_PREPDATA -# expects that sigio-based guess files will only -# have valid hours which are a multiple of 3 -# NOTE 3: Only case 1 above is valid when global guess is -# nemsio-based. -# -# -# Modules and files referenced: -# herefiles : $DATA/MP_PREPDATA -# $DATA/MERGE_MSGS -# scripts : $USHGETGES/getges.sh -# $USHGETGES/getges_sig.sh -# $USHSYND/prepobs_syndata.sh -# $USHPREV/prepobs_prevents.sh -# $USHCQC/prepobs_cqcbufr.sh -# $USHPQC/prepobs_profcqc.sh -# $USHVQC/prepobs_cqcvad.sh -# $USHAQC/prepobs_prepacqc.sh -# $USHOIQC/prepobs_oiqcbufr.sh -# $DATA/postmsg (required ONLY if "$jlogfile" is present) -# $DATA/prep_step {here and by referenced script(s)} -# $DATA/err_exit -# $DATA/err_chk {here and by referenced script(s)} -# (NOTE: The last three scripts above are NOT REQUIRED -# utilities. If $DATA/prep_step not found, a scaled down -# version of it is executed in-line. If $DATA/err_exit -# or $DATA/err_chk are not found, scaled down versions, -# created in-line, are executed. -# executables: $NDATE (from prod_util module) -# programs : -# PREPOBS_MPCOPYBUFR - executable: $MPCOPYX -# PREPOBS_PREPDATA - executable: $PRPX -# land/sea mask: $LANDC -# bufr mnemonic user table: $PRPT -# obs. error table: $PRVT -# data cards: $PRPC -# PREPOBS_LISTHEADERS - executable: $LISTHDX -# PREPOBS_MONOPREPBUFR - executable: $MONOBFRX -# SYNDAT_SYNDATA - executable: $SYNDX -# T126 gaussian land/sea mask: -# $FIXSYND/syndat_syndata.slmask.t126.gaussian -# weights: $FIXSYND/syndat_weight -# obs. error table: $PRVT -# data cards: $SYNDC -# PREPOBS_PREVENTS - executable: $PREX -# obs. error table: $PRVT -# data cards: $PREC -# PREPOBS_PREPACQC - executable: $AQCX -# data cards: $AQCC -# PREPOBS_PREPACPF - executable: $APFX -# dictionary: $DICT -# PREPOBS_PROFCQC - executable: $PQCX -# data cards: $PQCC -# PREPOBS_CQCVAD - executable: $VQCX -# PREPOBS_CQCBUFR - executable: $CQCX -# data cards: $CQCC -# PREPOBS_OIQCBUFR - executable: $OIQCX -# obs. error table: $OIQCT -# -# Remarks: -# -# Condition codes -# 0 - no problem encountered -# >0 - some problem encountered -# -# Attributes: -# Language: Korn shell under linux -# Machine: NCEP WCOSS -# -#### - -set -aux - -NEMSIO_IN=${NEMSIO_IN:=""} -jlogfile=${jlogfile:=""} -SENDDBN=${SENDDBN:-NO} - -if [ ! -d $DATA ] ; then mkdir -p $DATA ;fi - -cd $DATA - -qid=$$ - -##################################################### -##################################################### -# create error check and exit utilities if necessary. -# (as may be the case for some developer runs) -##################################################### - -if [ ! -x $DATA/err_exit ]; then -cat <<\EOFerrexit > $DATA/err_exit - set -x - if [ -n "$LSB_JOBID" ]; then - bkill $LSB_JOBID - sleep 60 - date - else - set -e - kill -n 9 $qid - fi - exit 7 # for extra measure -EOFerrexit -chmod 775 $DATA/err_exit -fi - -if [ ! -x $DATA/err_chk ]; then -cat <<\EOFerrchk > $DATA/err_chk - set -x - if [ "$err" != '0' ]; then - $DATA/err_exit - fi -EOFerrchk -chmod 775 $DATA/err_chk -fi - -##################################################### -##################################################### - - -# determine local system name and type if available -# ------------------------------------------------- -SITE=${SITE:-""} -sys_tp=${sys_tp:-$(getsystem.pl -tp)} -getsystp_err=$? -if [ $getsystp_err -ne 0 ]; then - msg="***WARNING: error using getsystem.pl to determine system type and phase" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" -fi -echo sys_tp is set to: $sys_tp - -#------------------------------------------------------------------------------- - -# obtain the center date/time for PREPBUFR processing -# --------------------------------------------------- - -if [ $# -ne 1 ] ; then - cp ${COMDATEROOT:-$COMROOT}/date/$cycle ncepdate - err0=$? - CDATE10=`cut -c7-16 ncepdate` -else - CDATE10=$1 - if [ "${#CDATE10}" -ne '10' ]; then - err0=1 - else - cycle=t`echo $CDATE10|cut -c9-10`z - err0=0 - fi -fi - -if test $err0 -ne 0 -then -# problem with obtaining date record so exit - set +x - echo - echo "problem with obtaining date record;" - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure -fi - -cyc=`echo $CDATE10|cut -c9-10` -modhr=`expr $cyc % 3` - -set +x -echo -echo "CENTER DATE/TIME FOR PREPBUFR PROCESSING IS $CDATE10" -echo -set -x - -#---------------------------------------------------------------------------- - -# Create variables needed for this script and its children -# -------------------------------------------------------- - -envir=${envir:-prod} - -envir_getges=${envir_getges:-$envir} -if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then - network_getges=${network_getges:-global} -else - network_getges=${network_getges:-gfs} -fi - -pgmout=${pgmout:-/dev/null} - -tstsp=${tstsp:-/tmp/null/} -tmmark=${tmmark:-tm00} - -BUFRLIST=${BUFRLIST:-"adpupa proflr aircar aircft satwnd adpsfc sfcshp \ - sfcbog vadwnd goesnd spssmi erscat qkswnd msonet gpsipw rassda wdsatr \ - ascatw"} - -PREPDATA=${PREPDATA:-YES} - -if [ "$PREPDATA" != 'YES' ] ; then - POE=NO - BACK=NO -else - set +u - [ -z "$POE" -a "$BACK" = 'YES' ] && POE=NO - POE=${POE:-YES} - if [ "$POE" != 'NO' -a "$BACK" = 'YES' ]; then - set -u - set +x -echo -echo "YOU have set both POE and BACK to YES - choose one or the other!!" -echo "Defaults are POE=YES and BACK=NO, as is preferable for WCOSS." -echo - set -x - exit 99 - fi - BACK=${BACK:-NO} - PARALLEL=NO - [ "$POE" != 'NO' -o "$BACK" = 'YES' ] && PARALLEL=YES - if [ "$POE" != 'NO' ] ; then - if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - launcher_PREP=${launcher_PREP:-aprun} - else - launcher_PREP=${launcher_PREP:-mpirun.lsf} - fi - if [ "$launcher_PREP" != 'cfp' -a "$launcher_PREP" != aprun ]; then - if [ -n ${LSB_HOSTS:-""} ]; then - NPROCS=$(echo $LSB_HOSTS|wc -w) - set +x; echo "Setting NPROCS based on LSB_HOSTS count"; set -x - else - NPROCS=${NPROCS:-$NSPLIT} - fi - if [ $NPROCS -lt $NSPLIT ]; then - set +x -echo "********************************************************************" -echo " P R O B L E M ! ! ! " -echo "********************************************************************" -echo " NPROCS=$NPROCS IS NOT SUFFICIENT FOR NSPLIT=$NSPLIT " -echo " NPROCS must be greater than NSPLIT when using a " -echo " parallel processing launcher other than cfp " -echo "********************************************************************" - set -x - msg="***FATAL ERROR: Insufficient NPROCS for NSPLIT=$NSPLIT" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - $DATA/err_exit - exit 55 # for extra measure - fi - fi - elif [ "$BACK" = 'YES' ] ; then - NPROCS=$NSPLIT - fi -# fi for PREPDATA != YES -fi - -USHSYND=${USHSYND:-${HOMEobsproc_prep}/ush} -USHPREV=${USHPREV:-${HOMEobsproc_prep}/ush} -USHCQC=${USHCQC:-${HOMEobsproc_prep}/ush} -USHPQC=${USHPQC:-${HOMEobsproc_prep}/ush} -USHVQC=${USHVQC:-${HOMEobsproc_prep}/ush} -USHAQC=${USHAQC:-${HOMEobsproc_prep}/ush} -USHOIQC=${USHOIQC:-${HOMEobsproc_prep}/ush} - -EXECPREP=${EXECPREP:-${HOMEobsproc_prep}/exec} -PARMPREP=${PARMPREP:-${HOMEobsproc_network}/parm} -FIXPREP=${FIXPREP:-${HOMEobsproc_prep}/fix} -DICTPREP=${DICTPREP:-${NWROOTp1}/decoders/decod_shared/dictionaries} - -EXECSYND=${EXECSYND:-${HOMEobsproc_prep}/exec} -PARMSYND=${PARMSYND:-${HOMEobsproc_network}/parm} -FIXSYND=${FIXSYND:-${HOMEobsproc_prep}/fix} - -GETGUESS=${GETGUESS:-YES} -if [ "$GETGUESS" = 'YES' ]; then - USHGETGES=${USHGETGES:-${HOMEobsproc_prep}/ush} - if [ "$NEMSIO_IN" = .true. ]; then - GETGESprep=${GETGESprep:-$USHGETGES/getges.sh} - else - GETGESprep=${GETGESprep:-$USHGETGES/getges_sig.sh} - fi -fi - -PREPDATA=${PREPDATA:-YES} - -SYNDATA=${SYNDATA:-YES} - -DO_QC=${DO_QC:-YES} - -PREVENTS=${PREVENTS:-NO} -CQCBUFR=${CQCBUFR:-YES} -PROFCQC=${PROFCQC:-YES} -CQCVAD=${CQCVAD:-YES} -PREPACQC=${PREPACQC:-YES} -PROCESS_ACQC=${PROCESS_ACQC:-YES} -PROCESS_ACPF=${PROCESS_ACPF:-YES} -OIQCBUFR=${OIQCBUFR:-YES} - -MPCOPYX=${MPCOPYX:-$EXECPREP/prepobs_mpcopybufr} -PRPX=${PRPX:-$EXECPREP/prepobs_prepdata} -errPREPDATA_limit=${errPREPDATA_limit:-0} -PRPC=${PRPC:-$PARMPREP/prepobs_prepdata.${NET}.parm} -PRPT=${PRPT:-$FIXPREP/prepobs_prep.bufrtable} -cp $PRPT prep.bufrtable -LANDC=${LANDC:-$FIXPREP/prepobs_landc} -if [ "$NET" = 'gdas' -o "$NET" = 'gfs' ]; then - PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.global} -elif [ "$NET" = 'cdas' ]; then - PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.cdas} -elif [ "$NET" = 'nam' ]; then - PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.nam} -else - cp /dev/null $DATA/scratch.PRVT - PRVT=${PRVT:-$DATA/scratch.PRVT} -fi -LISTHDX=${LISTHDX:-$EXECPREP/prepobs_listheaders} -MONOBFRX=${MONOBFRX:-$EXECPREP/prepobs_monoprepbufr} -SYNDX=${SYNDX:-$EXECSYND/syndat_syndata} -SYNDC=${SYNDC:-$PARMSYND/syndat_syndata.${NET}.parm} -PREX=${PREX:-$EXECPREP/prepobs_prevents} -PREC=${PREC:-$PARMPREP/prepobs_prevents.${NET}.parm} -AQCX=${AQCX:-$EXECPREP/prepobs_prepacqc} -AQCC=${AQCC:-$PARMPREP/prepobs_prepacqc.${NET}.parm} -APFX=${APFX:-$EXECPREP/prepobs_prepacpf} -DICT=${DICT:-$DICTPREP/metar.tbl} -PQCX=${PQCX:-$EXECPREP/prepobs_profcqc} -PQCC=${PQCC:-$PARMPREP/prepobs_profcqc.${NET}.parm} -VQCX=${VQCX:-$EXECPREP/prepobs_cqcvad} -CQCX=${CQCX:-$EXECPREP/prepobs_cqcbufr} -CQCC=${CQCC:-$PARMPREP/prepobs_cqcbufr.${NET}.parm} -CQCS=${CQCS:-$FIXPREP/prepobs_cqc_statbge} -OIQCX=${OIQCX:-$EXECPREP/prepobs_oiqcbufr} -if [ "$NET" = 'cdas' ]; then - OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas} -else - OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs} -fi -TIMEIT=${TIMEIT:-""} -[ -s $DATA/time ] && TIMEIT="$DATA/time -p" - - -# See if tropical cyclone relocation previously ran for this network and cycle -# by checking for status file in first in $tstsp, and if not found there, -# then in $COMSP -# ---------------------------------------------------------------------------- - -relo_rec=no # this will remain no even if relocation run, in the event it did - # not process an tropical cyclone records -if [ -s ${tstsp}tropcy_relocation_status.$tmmark ]; then - RELOCATION_HAS_RUN=YES - msg="Tropical cyclone RELOCATION RAN prior to this job - \ -`cat ${tstsp}tropcy_relocation_status.$tmmark`" - [ "`cat ${tstsp}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ - && relo_rec=yes -elif [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then - RELOCATION_HAS_RUN=YES - msg="Tropical cyclone RELOCATION RAN prior to this job - \ -`cat ${COMSP}tropcy_relocation_status.$tmmark`" - [ "`cat ${COMSP}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ - && relo_rec=yes -else - RELOCATION_HAS_RUN=NO - msg="Tropical cyclone RELOCATION did NOT run prior to this job" -fi -[ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - -if [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then - if [ "$SENDDBN" = "YES" ]; then - if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then - RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) - $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job \ - ${COMSP}tropcy_relocation_status.$tmmark - fi - fi -fi - -if [ "$RELOCATION_HAS_RUN" != 'YES' -a "$GETGUESS" != 'NO' ]; then - - if [ $cyc = 00 -o $cyc = 06 -o $cyc = 12 -o $cyc = 18 ]; then - -# The GFS and GDAS networks at 00, 06, 12 and 18z will get the t-3 and t+3 -# atmos guess files here since they are needed by the GSI even if tropical -# cyclone relocation was not previously performed (RELOCATION_HAS_RUN=NO) -# (NOTE 1: Normally RELOCATION_HAS_RUN=YES for these networks) -# (NOTE 2: If RELOCATION_HAS_RUN=YES, the t-3 and t+3 atmos guess files have -# already been obtained for all networks including the GFS and GDAS) -# (NOTE 3: This is not done if GETGUESS is NO) -# - - if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then - for fhr in -3 +3 ;do - if [ "$NEMSIO_IN" = .true. ]; then - if [ $fhr = "-3" ] ; then - sges=sgm3prep - stype=natgm3 - echo $sges - else - sges=sgp3prep - stype=natgp3 - echo $sges - fi - else - if [ $fhr = "-3" ] ; then - sges=sgm3prep - stype=siggm3 - echo $sges - else - sges=sgp3prep - stype=siggp3 - echo $sges - fi - fi - if [ ! -s $sges ]; then - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Tropical cylone relocation HAS NOT previously run" -echo " Get global atmospheric GUESS valid for $fhr hrs relative to center" -echo " PREPBUFR processing date/time" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - $GETGESprep -e $envir_getges -n $network_getges \ - -v $CDATE10 -t $stype $sges - errges=$? - if test $errges -ne 0; then -# problem obtaining global atmospheric first guess so exit - set +x - echo - echo "problem obtaining global atmos guess valid $fhr hrs \ -relative to center PREPBUFR date/time;" - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - set +x - echo -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - fi - done - fi - fi - -elif [ "$RELOCATION_HAS_RUN" = 'YES' ]; then - -# If Tropical cyclone relocation previously ran for this network and cycle -# copy the t-3, t+0 and t+3 atmos guess files and the tcvitals_relocate file -# from either $tstsp or, if not found there, $COMSP to working directory -# (Note: tcvitals_relocate file can be empty, but it must exist) -# -------------------------------------------------------------------------- - - qual_last=".$tmmark" # need this because gfs and gdas don't add $tmmark - # qualifier to end of output atmos guess files - [ $NET = gfs -o $NET = gdas ] && qual_last="" - for file in sgm3prep sgesprep sgp3prep tcvitals.relocate.$tmmark; do - case $file in - tcvitals.relocate.$tmmark) infile=$file; qual_last="";; # already has $tmmark at end - sgm3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgm3.nemsio;else infile=$file;fi;; - sgesprep) if [ "$NEMSIO_IN" = .true. ];then infile=atmges.nemsio;else infile=$file;fi;; - sgp3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgp3.nemsio;else infile=$file;fi;; - esac - if [ -s ${tstsp}${infile}${qual_last} ]; then - cp ${tstsp}${infile}${qual_last} $file - continue - elif [ -s ${COMSP}${infile}${qual_last} ]; then - cp ${COMSP}${infile}${qual_last} $file - continue - else - if [ $file = tcvitals.relocate.$tmmark ]; then - if [ -f ${tstsp}$file ]; then - > $file - continue - elif [ -f ${COMSP}$file ]; then - > $file - continue - fi - fi - fi -# either t-3,t+0 or t+3 atmos guess file or the tcvitals_relocate file not -# found in expected location so exit - set +x - echo - echo "$file file not found in expected location where it should have \ -populated by earlier tropical cyclone relocation processing" - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - done - cp tcvitals.relocate.$tmmark tcvitals - if [ $relo_rec = yes ]; then # come here if relocation ran and processed - # 1 or more records, means it updated - # sgesprep - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" -echo " Global atmospheric GUESS valid for 0 hrs relative to center" -echo " PREPBUFR processing date/time was generated by" -echo " previous tropical cyclone relocation processing" -echo " It will be encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - else # come here if relocation ran but did not - # process any records, means it did not update - # sgesprep (sgesprep obtained via getges used) - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" -echo " Global atmospheric GUESS valid for 0 hrs relative to center" -echo " PREPBUFR processing date/time was obtained via GETGES" -echo " It will be encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - fi - -fi - -############################################################################### -# POSSIBLY OBTAIN GBL ATMOS GUESS FILE(S) FOR LATER ENCODING INTO PREPBUFR FILE -############################################################################### - -if [ "$PREPDATA" = 'YES' -o "$SYNDATA" = 'YES' -o "$PREVENTS" = 'YES' ]; then - - SGES=/dev/null - SGESA=/dev/null - > sgesprep_pathname - > sgesprepA_pathname - - if [ "$GETGUESS" != 'NO' ]; then - -# Either ... -# If the global background guess will be nemsio-based -OR- if the global -# background guess will be sigio-based and the center PREPBUFR processing -# date/time is a multiple of 3-hrs, then get a global atmospheric guess valid -# at the center PREPBUFR processing date/time - this will be interpolated to -# observation locations by PREPDATA and encoded into the PREPBUFR file for -# use by the q.c. programs; if a non-zero length file sgesprep exists in the -# working directory, then this guess is used - otherwise: the GETGES utility -# is executed to obtain the global atmospheric guess file here -# -# (NOTE 1: a pre-existing sgesprep file in the working directory at this -# point was either: -# copied there prior to the execution of this script -# or -# copied there earlier in this script from either $tstsp, or if -# not found there, $COMSP which was populated by the previous -# running of tropical cyclone relocation processing -# (NOTE 2: If imported variable GETGUESS=NO, then bypass this step - a -# global atmos guess valid at center PREPBUFR time is not obtained) -# -# -- or -- -# -# (AND THIS APPLIES ONLY TO A GLOBAL SIGIO-BASED GUESS!!) -# -# If center PREPBUFR processing date/time is not a multiple of 3-hrs -AND- -# global guess is sigio-based, then get a global sigma guess valid at the -# nearest cycle time prior to the center PREPBUFR processing date/time which -# is a multiple of 3, then get a global sigma guess valid at the nearest -# cycle time after the center PREPBUFR processing date/time which is a -# multiple of 3 - the spectral coefficients will be linearly interpolated to -# the center PREPBUFR processing date/time by the program PREPOBS_PREPDATA -# and this guess will then be interpolated to observation locations (again by -# the program PREPOBS_PREPDATA) and encoded into the PREPBUFR file for use by -# the q.c. programs; if a non-zero length file sgesprep exists in the working -# directory, then this guess is used for time prior to the center PREPBUFR -# processing date/time - otherwise: the utility ush GETGES is executed to -# obtain the global atmos guess file here (will always be from GFS network); -# -# likewise if a non-zero length file sgesprepA exists in the working -# directory, then this guess is used for time after the center PREPBUFR -# processing date/time - otherwise: the utility ush GETGES is executed to -# obtain the global atmos guess file here (will always be from the GFS -# network and initiate at the same time as the guess file valid prior to the -# PREPBUFR processing date/time) -# -# (NOTE 1: a pre-existing sgesprep file in the working directory at this -# point was either: -# copied there prior to the execution of this script -# or -# copied there earlier in this script from either $tstsp, or if -# not found there, $COMSP which was populated by the previous -# running of tropical cyclone relocation processing -# (NOTE 2: a pre-existing sgesprepA file in the working directory at this -# point was copied there prior to the execution of this script - -# it could not have been copied from either $tstsp or $COMSP -# because previous tropical cyclone relocation processing can run -# only when the center tropical cyclone relocation (or PREPBUFR) -# processing date/time is a multiple of 3) -# (NOTE 3: this case is necessary because the gblevents subroutine used to -# add background forecast values to the prepbufr file expects sigio- -# based files to be valid only at hours that are a multiple of 3) -# (NOTE 4: if imported variable GETGUESS=NO, then bypass this step - a -# global atmos guess valid at center PREPBUFR time is not obtained) -# ---------------------------------------------------------------------- - - for sfx in "" A; do - if [ ! -s sgesprep${sfx} ]; then - fhr=any - if [ "$NEMSIO_IN" = .true. ]; then - dhr=0 - stype=natges - else - dhr=`expr 0 - $modhr` - stype=sigges - fi - if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then - [ "$sfx" = 'A' ] && break - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Either center PREPBUFR processing date/time is a multiple of 3-hrs" -echo " -OR-" -echo " global guess is nemsio-based" -echo " Use GETGES to get global sigio-based or nemsio-based GUESS valid for" -echo " 0 hrs relative to center PREPBUFR processing date/time" -echo " Will be encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - else - if [ "$sfx" = 'A' ]; then - typeset -Z2 fhr - fhr=`awk -F"sf" '{print$2}' sgesprep_pathname | cut -c1-2` - fhr=`expr $fhr + 03` - dhr=`expr 3 - $modhr` - fi - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Center PREPBUFR processing date/time is not a multiple of 3-hrs" - if [ "$sfx" != 'A' ]; then -echo " Get global atmos GUESS valid at the nearest cycle time prior to" - else -echo " Get global atmos GUESS valid at the nearest cycle time after" - fi -echo " center PREPBUFR processing date/time which is a multiple of 3" -echo " Will be used to generate an interpolated guess which will be" -echo " encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - fi - $GETGESprep -e $envir_getges -n $network_getges -t $stype\ - -f $fhr -v `${NDATE} $dhr $CDATE10` > sgesprep${sfx}_pathname - errges=$? - if test $errges -ne 0 - then -# problem obtaining global sigio-based or nemsio-based guess - exit if center -# PREPBUFR processing date/time is a multiple of 3-hrs or if global guess is -# nemsio-based, otherwise continue running but set GETGUESS=NO meaning a -# first guess will NOT be encoded in PREPBUFR file - if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then - if [ "$NEMSIO_IN" = .true. ]; then - set +x - echo -echo "problem obtaining global nemsio-based guess;" - else - set +x - echo -echo "problem obtaining global sigio-based guess valid 0 hrs relative to \ -center PREPBUFR date/time;" - fi -echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - else - set +x - echo -echo "problem obtaining global atmos guess valid at the nearest cycle time " - if [ "$sfx" != 'A' ]; then -echo "prior to center PREPBUFR processing date/time which is a multiple of 3" - else -echo "after center PREPBUFR processing date/time which is a multiple of 3" - fi -echo "will continue running but a GUESS will NOT be encoded in PREPBUFR file!!" - echo - set -x - msg="PROBLEM OBTAINING ONE OR BOTH SPANNING ATMOS GUESS \ -FILES, GUESS NOT ENCODED IN PREPBUFR FILE --> non-fatal" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - GETGUESS=NO - SGES=/dev/null - SGESA=/dev/null - > sgesprep - > sgesprepA - > sgesprep_pathname - > sgesprepA_pathname - break - fi - fi - cp `cat sgesprep${sfx}_pathname | awk '{ print $1 }'` sgesprep${sfx} - set +x - echo -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - else - if [ $RELOCATION_HAS_RUN = YES ]; then - -# If relocation ran, then ${sfx} is always "" (null) because relocation will -# only run on center times that are a multiple of 3-hrs - come here to -# store the pathname for the sgesprep file in sgesprep${sfx}_pathname - -# note that it will not be stored here if relocation did not process any -# records, i.e., it did not update the guess, because it was already stored -# in tropcy_relocate.sh (with the getges path) -# -------------------------------------------------------------------------- - - qual_last=".$tmmark" # need this because gfs and gdas don't add - # $tmmark qualifer to end of output atmos - # guess files - [ $NET = gfs -o $NET = gdas ] && qual_last="" - if [ "$NEMSIO_IN" = .true. ]; then - gesbase="atmges.nemsio" - else - gesbase="sgesprep" - fi - if [ -s ${tstsp}${gesbase}${qual_last} ]; then - echo "${tstsp}${gesbase}${qual_last}" > sgesprep${sfx}_pathname - elif [ -s ${COMSP}${gesbase}${qual_last} ]; then - echo "${COMSP}${gesbase}${qual_last}" > sgesprep${sfx}_pathname - fi - else - -# If relocation did not run, then the guess files in $DATA were copied there -# prior to the execution of this script by the user - just echo the path -# to this guess file in $DATA into sgesprep${sfx}_pathname -# -------------------------------------------------------------------------- - - echo "$DATA/sgesprep${sfx}" > sgesprep${sfx}_pathname - fi - fi - eval SGES${sfx}=$DATA/sgesprep${sfx} - done - fi -fi - -################################ -# EXECUTE PREPDATA PROCESSING -################################ - -if [ "$PREPDATA" = 'YES' ]; then - - cd $DATA - -set +u - if [ -z "$PREPBUFR_APP" -o "$PARALLEL" = 'YES' ]; then -set -u - if [ ! -s ${tstsp}status.${tmmark}.bufr_d -a \ - ! -s ${COMSP}status.${tmmark}.bufr_d ]; then - -#########if [ \( ! -s ${tstsp}status1.${tmmark}.bufr_d -o \ -######### ! -s ${tstsp}status2.${tmmark}.bufr_d \) -a \ -######### \( ! -s ${COMSP}status1.${tmmark}.bufr_d -o \ -######### ! -s ${COMSP}status2.${tmmark}.bufr_d \) ]; then - if [ ! -s ${tstsp}status1.${tmmark}.bufr_d -a \ - ! -s ${COMSP}status1.${tmmark}.bufr_d ]; then - -# problem: status file not found - indicates some or all data dumps were not -# found (produced) for requested time ... -# If highest level directory pointing to input BUFR observational -# data dumps is /com or /com2 then EXIT (assumes all data dumps are -# required) -# Otherwise, just echo a diagnostic (assumes only some data dumps are -# required) -# ---------------------------------------------------------------------------- - -echo -echo "Some or all BUFR data dumps were not found for requested time ... " -echo - set -x - - if [[ "$COMSP" =~ (^/com/|^/com2/|^/gpfs/.../nco/ops/com/) && \ - "$tstsp" =~ (^/tmp/null) ]]; then - set +x -echo -echo "ABNORMAL EXIT!!!!!!!!!!!" -echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - fi - fi - - echo $BUFRLIST | grep adpsfc - grp_adpsfc=$? - echo $BUFRLIST | grep adpupa - grp_adpupa=$? - if [ \( ! -f ${COMSP}adpsfc.${tmmark}.bufr_d -a \ - ! -f ${tstsp}adpsfc.${tmmark}.bufr_d -a $grp_adpsfc -eq 0 \) -o \ - \( ! -f ${COMSP}adpupa.${tmmark}.bufr_d -a \ - ! -f ${tstsp}adpupa.${tmmark}.bufr_d -a $grp_adpupa -eq 0 \) ] - then - -# problem: either adpsfc (surface land) or adpupa (raob/pibal/recco) file, or -# both, not found for requested time - this is unacceptable; EXIT -# (unless the culprit file was not included in the $BUFRLIST) -# --------------------------------------------------------------------------- - - set +x -echo -echo "ADPSFC and/or ADPUPA BUFR data dump was not produced for requested" -echo " time (but is in BUFRLIST); ABNORMAL EXIT!!!!!!!!!!!" -echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - - fi - - for name in ${BUFRLIST} ;do - > $name - if [ -f ${tstsp}${name}.${tmmark}.bufr_d ]; then - cp ${tstsp}${name}.${tmmark}.bufr_d $name - elif [ -s ${COMSP}${name}.${tmmark}.bufr_d ]; then - cp ${COMSP}${name}.${tmmark}.bufr_d $name - fi - done - - > prep_exec.cmd - - > prepda.${cycle} - - echo " $CDATE10" > cdate10.dat - -# If GETGUESS=YES, then either ... -# a global sigio-based guess file valid at the center PREPBUFR processing -# date/time which is a multiple of 3-hrs is valid at this point -# -- or -- -# global sigio-based guess files valid at times which are multiples of 3-hrs -# and span the center PREPBUFR processing date/time which is NOT a multiple of -# 3-hrs are available and valid at this point -# -- or -- -# a global nemsio-based guess file valid at the center PREPBUFR processing -# date/time for any hour is valid at this point - -# In any case, namelist "GBLEVN" with PREVEN=T is cat'ed to the beginning -# of the PREPOBS_PREPDATA program data cards file - this means -# PREPOBS_PREPDATA will call w3emc routine GBLEVENTS to do the "prevents" -# processing (otherwise PREVEN=F by default) - - > prepdata.stdin - [ "$GETGUESS" != 'NO' ] && echo " &gblevn preven=true /" >>prepdata.stdin - cat $PRPC >> prepdata.stdin - -# Check contents of *aircar_status_flag* file in $tstsp, or if not found there, -# $COMSP path - this was generated by previous bufr_dump_obs.sh script: if it -# exists and indicates that there were more AFWA (backup) ACARS reports than -# ARINC (primary) ACARS reports in the AIRCAR dump, then skip processing of -# ARINC ACARS messages in PREPOBS_PREPDATA (meaning process ONLY AFWA ACARS -# messages); otherwise, as is usually the case, skip processing of AFWA ACARS -# messages (meaning process only ARINC ACARS messages in PREPOBS_PREPDATA) - - echo " SUBSKP(004,007) = TRUE," > insert - if [ -s ${tstsp}aircar_status_flag.${tmmark}.bufr_d ]; then - grep -q -Fe "004.007" ${tstsp}aircar_status_flag.${tmmark}.bufr_d - err_grep=$? - if [ $err_grep -eq 0 ]; then - echo " SUBSKP(004,004) = TRUE," > insert - msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ -backup AFWA ACARS into PREPBUFR" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - fi - elif [ -s ${COMSP}aircar_status_flag.${tmmark}.bufr_d ]; then - grep -q -Fe "004.007" ${COMSP}aircar_status_flag.${tmmark}.bufr_d - err_grep=$? - if [ $err_grep -eq 0 ]; then - echo " SUBSKP(004,004) = TRUE," > insert - msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ -backup AFWA ACARS into PREPBUFR" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - fi - fi - - grep -q -Fe "`cat insert`" prepdata.stdin - err_grep=$? - if [ $err_grep -ne 0 ]; then - nlines=`cat < prepdata.stdin | wc -l` - line=`grep -n -Fe "&LDTA" prepdata.stdin | cut -f1 -d:` - head -n $line prepdata.stdin > top_part - mlines=`expr $nlines - $line` - tail -n $mlines prepdata.stdin > bottom_part - [ $mlines -gt 2 ] && cat top_part insert bottom_part > prepdata.stdin - rm top_part bottom_part - fi - rm insert - - -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## -## HEREFILE MP_PREPDATA ## -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## - -set +x -cat <<\EOFmpp > MP_PREPDATA - -{ echo - -# This herefile script performs the "prepdata" processing. It is designed to -# run in either a parallel (e.g., poe/mpi or background threads) or serial -# environment. In the parallel environment, it first splits the input BUFR -# data dump files into $NSPLIT equally-sized parts (analogous to dealing -# multiple sets of cards to $NSPLIT players, where each set of cards is a -# different BUFR data dump file). Next, in either the parallel or serial -# environment, it runs PREPOBS_PREPDATA to write out prepbufr files (either -# a single complete file in the serial environment or $NSPLIT partial -# PREPBUFR files in the parallel environment). Finally, it generates a list of -# PREPBUFR message headers which, in the parallel environment, is needed to -# later merge the partial PREPBUFR files together in the proper order. -# -# IMPORTANT: This script assumes that the BUFR data dump files it is to -# process have been copied into the $DATA directory and that each -# file name is the same as in $BUFRLIST. It also assumes that the -# NCEP production date file is present in the $DATA directory and -# that it is called cdate10.dat. Finally, it assumes that the -# PREPOBS_PREPDATA program data cards (parm) file is present in the -# $DATA directory and it is called prepdata.stdin -# ----------------------------------------------------------------------------- -# -# Positional parameters passed in: -# 1 - Stream index ($multi) (0 to $NSPLIT-1) -# -# Imported variables that must be passed in: -# DATA - path to working directory -# PARALLEL - indicates whether or not this script is running in a parallel -# (e.g., poe/mpi or background threads) or serial environment -# "YES" - running in a parallel environment; "NO" running in a -# serial environment) -# NSPLIT number of parts into which the input BUFR data dump files are to -# be evenly divided (applicable only when PARALLEL is "YES") -# BUFRLIST - list of BUFR data dump files to process -# MPCOPYX - path to PREPOBS_MPCOPYBUFR program executable -# PRPT - path to PREPOBS_PREPDATA bufrtable file -# LANDC - path to land/sea mask file -# SGES - path to COPY OF global sigio-based or nemsio-based first guess -# file valid at either center PREPBUFR processing date/time or, -# for global sigio-based guess only, nearest 3-hrly cycle time -# prior to center PREPBUFR processing date/time -# SGESA - path to COPY OF global sigio-based guess file valid at nearest -# 3-hrly cycle AFTER center PREPBUFR processing date/time (if -# needed, otherwise /dev/null). Only used if SGES is valid at -# 3-hrly cycle time PRIOR to center PREPBUFR processing date/time -# (and thus not used if NEMSIO_IN=.true.) -# PRVT - path to observation error table file -# PRPX - path to PREPOBS_PREPDATA program executable -# LISTHDX - path to PREPOBS_LISTHEADERS program executable - -set -aux -multi=$1 - -data=$DATA/multi$multi - -if [ ! -d $DATA/multi$multi ] ; then - mkdir -p $DATA/multi$multi -fi - -status=$data/mstatus ; > $status -mp_pgmout=$data/mp_pgmout ; > $mp_pgmout - - -{ echo -set +x -echo -echo "********************************************************************" -echo "This is stream (task/thread) $multi executing on node `hostname -s`" -echo "Starting time: `date`" -echo "********************************************************************" -echo -set -x -} >> $mp_pgmout - -cd $data - -if [ "$PARALLEL" = 'YES' ]; then - - n=0 - - pgm=`basename $MPCOPYX` -#-----mimics prep_step----- - set +x - echo $pgm > pgmname - set +u - [ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" - set -u - [ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout - rm pgmname - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` - [ -s $DATA/tracer ] && cat $DATA/tracer > errfile - set -x -#-------------------------- - - for name in ${BUFRLIST[*]} ;do - > $name - if [ -s $DATA/$name ] ; then - ((n+=1)) - export FORT$((10+n))=$DATA/$name - export FORT$((50+n))=$name - fi - done - - cat<> $mp_pgmout 2>&1 - &namin nfiles=$n / - &mp nprocs=$NSPLIT,mp_process=$multi / -EOF - err=$? - set +x - echo - echo "The foreground exit status for PREPOBS_MPCOPYBUFR is " $err - echo - set -x - - [ "$err" -gt '0' ] && exit - - dump_dir=$data - -else - - dump_dir=$DATA - -# fi for $PARALLEL = YES -fi - - -pgm=`basename $PRPX` -#-----mimics prep_step----- -set +x -echo $pgm > pgmname -set +u -[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" -set -u -[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout -rm pgmname -[ -f errfile ] && rm errfile -unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -[ -s $DATA/tracer ] && cat $DATA/tracer > errfile -set -x -#-------------------------- - -set +u -[ -n "$PREPBUFR_APP" -a "$PARALLEL" = 'NO' ] && \ - cp $PREPBUFR_APP prepda -set -u - -# Namelist "TASK" with mp_process set to the value of $multi - either the poe/ -# mpi task number (for POE not equal to "NO") or to the background thread -# number (for BACK equal to "YES") in the parallel environment, or hardwired -# to zero in the serial environment, is cat'ed to the beginning of the -# PREPOBS_PREPDATA program data cards (parm) file - this will allow -# PREPOBS_PREPDATA to identify this stream - -> prepdata.stdin -echo " &task mp_process=$multi /" >>prepdata.stdin -cat $DATA/prepdata.stdin >> prepdata.stdin - -BUFRLIST_all="adpupa aircar aircft satwnd proflr vadwnd rassda adpsfc sfcshp \ - sfcbog msonet spssmi erscat qkswnd wdsatr ascatw rtovs atovs goesnd gpsipw" -###BUFRLIST_all_array=($BUFRLIST_all) # this does not work on all platforms -set -A BUFRLIST_all_array `echo $BUFRLIST_all` # this works on all platforms - - -# Any dump file not included in BUFRLIST is "touched" so that it will not -# cause a read error in the event that PREPOBS_PREPDATA still tries to read it - -for name in $BUFRLIST_all;do -[ ! -f $dump_dir/$name ] && > $dump_dir/$name -done - -export FORT11=$DATA/cdate10.dat -export FORT12=$PRPT -export FORT15=$LANDC -## export FORT18=$SGES -## export FORT19=$SGESA - -# The PREPOBS_PREPDATA code opens GFS spectral coefficient guess files using -# sigio routines or GFS gaussian grid guess files using nemsio routines (via -# W3EMC routine GBLEVENTS) in a manner that may not recognize the FORTxx -# variables above. So, the above statements setting FORTxx vars for $SGES and -# $SGESA are replaced by the soft links below. - -ln -sf $SGES fort.18 -ln -sf $SGESA fort.19 -export FORT20=$PRVT -export FORT21=$dump_dir/${BUFRLIST_all_array[0]} -export FORT22=$dump_dir/${BUFRLIST_all_array[1]} -export FORT23=$dump_dir/${BUFRLIST_all_array[2]} -export FORT24=$dump_dir/${BUFRLIST_all_array[3]} -export FORT25=$dump_dir/${BUFRLIST_all_array[4]} -export FORT26=$dump_dir/${BUFRLIST_all_array[5]} -export FORT27=$dump_dir/${BUFRLIST_all_array[6]} -export FORT31=$dump_dir/${BUFRLIST_all_array[7]} -export FORT32=$dump_dir/${BUFRLIST_all_array[8]} -export FORT33=$dump_dir/${BUFRLIST_all_array[9]} -export FORT34=$dump_dir/${BUFRLIST_all_array[10]} -export FORT35=$dump_dir/${BUFRLIST_all_array[11]} -export FORT36=$dump_dir/${BUFRLIST_all_array[12]} -export FORT37=$dump_dir/${BUFRLIST_all_array[13]} -export FORT38=$dump_dir/${BUFRLIST_all_array[14]} -export FORT39=$dump_dir/${BUFRLIST_all_array[15]} -export FORT41=$dump_dir/${BUFRLIST_all_array[16]} -export FORT42=$dump_dir/${BUFRLIST_all_array[17]} -export FORT46=$dump_dir/${BUFRLIST_all_array[18]} -export FORT48=$dump_dir/${BUFRLIST_all_array[19]} -export FORT51=prepda -export FORT52=prevents.filtering.prepdata - -#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) -#If program ever fails, try changing 64000000 to 20000000 -#set +u -#[ -n "$LOADL_PROCESSOR_LIST" ] && XLSMPOPTS=parthds=2:stack=64000000 -#set -u - -# The following improves performance on Cray-XC40 if $PRPX was -# linked to the IOBUF i/o buffering library -export IOBUF_PARAMS='*prevents.filtering.prepdata:verbose' - -$TIMEIT $PRPX >$mp_pgmout 2>&1 -errPREPDATA=$? -unset IOBUF_PARAMS -cat prevents.filtering.prepdata >> $mp_pgmout -set +x -echo -echo "The foreground exit status for PREPOBS_PREPDATA is " $errPREPDATA -echo -set -x - -[ "$errPREPDATA" -gt '4' -o "$errPREPDATA" -eq '1' ] && exit - -# Will execute PREPOBS_LISTHEADERS even if PARALLEL is "NO", because it will -# reorder the monolithic PREPBUFR file to ensure that all messages of the same -# subtype will always be grouped together in sequential messages, arranged in -# the order found in $PRPT (Note: This is a necessity when PARALLEL is "YES" -# because the later program PREPOBS_MONOPREPBUFR must merge the $NSPLIT -# individual (partial) PREPBUFR files together in the proper order) - - -# Build listhdx.stdin from bufrtable entries of possible message headers first -# line is count, followed by list - -grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|wc -l|tee listhdx.stdin -grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|tee -a listhdx.stdin - -pgm=`basename $LISTHDX` -#-----mimics prep_step----- -set +x -echo $pgm > pgmname -set +u -[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" -set -u -[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout -rm pgmname -[ -f errfile ] && rm errfile -unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -[ -s $DATA/tracer ] && cat $DATA/tracer > errfile -set -x -#-------------------------- - -export FORT11=prepda -export FORT51=prepda.reorder -export FORT52=prepda.hdrs - -$TIMEIT $LISTHDX < listhdx.stdin >>$mp_pgmout 2>&1 -err=$? -cat prepda.hdrs -set +x -echo -echo "The foreground exit status for PREPOBS_LISTHEADERS is " $err -echo -set -x - -[ "$err" -gt '0' ] && exit - -mv prepda.reorder prepda -rm listhdx.stdin - -echo "$multi finished -- errPREPDATA = $errPREPDATA" > $status - -{ echo -set +x -echo -echo "********************************************************************" -echo "Finished executing on node `hostname -s`" -echo "Ending time : `date`" -echo "********************************************************************" -echo -set -x -} >> $mp_pgmout - -} 1> $DATA/mp_stream${1}.stdout 2> $DATA/mp_stream${1}.errfile - -exit 0 -EOFmpp -set -x - -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## -## end of HEREFILE MP_PREPDATA ## -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## - - chmod 775 MP_PREPDATA - - if [ "$PARALLEL" = 'YES' ]; then - -# In the parallel environment, either cat the multiple MP_PREPDATA tasks -# into a poe command file (for poe/mpi/cfp) - or - set up a script that will -# fire off each MP_PREPDATA thread as a background process -# ----------------------------------------------------------------------- - if [ "$POE" != 'NO' ]; then - multi=-1 - while [ $((multi+=1)) -lt $NSPLIT ] ; do - echo "ksh $DATA/MP_PREPDATA $multi "|tee -a $DATA/prep_exec.cmd - done - if [ "$launcher_PREP" != cfp -a "$launcher_PREP" != aprun ]; then - # fill in empty tasks - multi=$((multi-=1)) #need to go back one - while [ $((multi+=1)) -lt $NPROCS ] ; do - echo "echo do-nothing" >> $DATA/prep_exec.cmd - done - fi - elif [ $BACK = 'YES' ] ; then - multi=-1 - echo "#!/bin/ksh" > $DATA/prepthrds.sh - while [ $((multi+=1)) -lt $NSPLIT ] ; do - echo "$DATA/MP_PREPDATA $multi &" >> $DATA/prepthrds.sh - echo "echo $DATA/MP_PREPDATA $multi submitted in background" \ - >> $DATA/prepthrds.sh - done - echo "wait" >> $DATA/prepthrds.sh - chmod 775 $DATA/prepthrds.sh - fi - -# In the parallel environment, next either execute the poe wrapper (for poe/ -# mpi/cfp) (do not execute a time command with poe!) - or - run prepthrds.sh -# to kick off background processes and wait for them to complete -# -------------------------------------------------------------------------- - if [ "$POE" != 'NO' ]; then - if [ "$launcher_PREP" = mpirun.lsf ]; then - export MP_CMDFILE=$DATA/prep_exec.cmd - export MP_PGMMODEL=mpmd - export MP_PULSE=0 - export MP_DEBUG_NOTIMEOUT=yes - export MP_LABELIO=yes - export MP_STDOUTMODE=ordered - mpirun.lsf - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - elif [ "$launcher_PREP" = cfp ]; then - export MP_CSS_INTERRUPT=yes - export MP_LABELIO=yes - export MP_STDOUTMODE=ordered - mpirun.lsf cfp $DATA/prep_exec.cmd - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - elif [ "$launcher_PREP" = aprun ]; then - ## Determine tasks per node (PREPDATAtpn) and - ## max number of concurrent procs (PREPDATAprocs) for cfp - typeset -i nodesall=$(echo -e "${LSB_HOSTS// /\\n}"|sort -u|wc -w) - typeset -i ncnodes=$(($nodesall-1)) # we want compute nodes only - if [ $ncnodes -lt 1 ]; then - set +x - echo - echo " ** Could not get positive compute node count for aprun **" - echo " ** Are we using LSF queue with compute node access? **" - echo - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - if [[ -z ${PREPDATAtpn:-""} ]]; then - PREPDATAtpn=$((($NSPLIT+$ncnodes-1)/$ncnodes)) - # cfp is faster with extra thread so add one if there is room. - # (this logic needs an update to avoid hardwired 24) - [ $PREPDATAtpn -lt 24 ] && PREPDATAtpn=$(($PREPDATAtpn+1)) - fi - if [[ -z ${PREPDATAprocs:-""} ]]; then - PREPDATAprocs=$(($ncnodes*$PREPDATAtpn)) # max concurrent processes - fi - aprun -j 1 -n${PREPDATAprocs} -N${PREPDATAtpn} -d1 cfp $DATA/prep_exec.cmd - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - else # unknown launcher and options (eg, for use on R&D system) - $launcher_PREP - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - fi - elif [ $BACK = 'YES' ] ; then - if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - aprun -n 1 -d $NSPLIT $DATA/prepthrds.sh - else - $DATA/prepthrds.sh - fi - fi - totalt=$NSPLIT - else - -# In the serial environment, just fire off a single thread of MP_PREPDATA -# ----------------------------------------------------------------------- - multi=0 - if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - aprun -n 1 -N 1 ksh $DATA/MP_PREPDATA $multi - else - $DATA/MP_PREPDATA $multi - fi - totalt=1 - - # fi for $PARALLEL = YES - fi - - set +x - multi=0 - while [ $multi -lt $totalt ]; do -echo -echo "********************************************************************" -echo " ++ Script STDOUT from MP_PREPDATA for stream (task/thread) $multi ++" -echo "********************************************************************" -echo - cat $DATA/mp_stream${multi}.stdout -echo "********************************************************************" -echo " ++ End of Script STDOUT from MP_PREPDATA for stream $multi ++ " -echo "********************************************************************" - multi=`expr $multi + 1` - done - -echo -echo "********************************************************************" -echo " ++ Script trace from MP_PREPDATA for stream (task/thread) 0 ++ " - if [ "$PARALLEL" = 'YES' ]; then -echo -echo " In order to conserve space, the script trace from other " -echo " streams is not invoked unless the stream failed. " - fi -echo "********************************************************************" -echo - - cat mp_stream0.errfile - -echo -echo "********************************************************************" -echo " ++ End of Script trace from MP_PREPDATA for stream 0 ++ " -echo "********************************************************************" -echo - set -x - -# check status files -# ------------------ - - errSTATUS=0 - errPREPDATA=0 - four_check=yes - multi=0 - while [ $multi -lt $totalt ]; do - cat $DATA/multi$multi/mp_pgmout >> prepdata.out - cat $DATA/multi$multi/mp_pgmout >> $pgmout - status=$DATA/multi$multi/mstatus - if [ ! -s $status ]; then - set +x -echo -echo "********************************************************************" -echo " P R O B L E M ! ! ! " -echo "********************************************************************" -echo " ###> MP_PREPDATA stream (task/thread) $multi FAILED - Cycle date: \ -$CDATE10" -echo " Current working directory: $DATA " -echo -echo " Script trace from MP_PREPDATA for stream $multi follows ... " -echo "********************************************************************" -echo - cat $DATA/mp_stream${multi}.errfile -echo -echo "********************************************************************" -echo " ++ End of Script trace from MP_PREPDATA for stream $multi ++ " -echo "********************************************************************" -echo - set -x - errSTATUS=99 - else - err_this=`cut -f 2 -d = $status` - [ "$err_this" -gt "$errPREPDATA" ] && errPREPDATA=$err_this - [ "$err_this" -eq '0' ] && four_check=no - fi - multi=`expr $multi + 1` - done - - if [ "$errSTATUS" -gt '0' ]; then - $DATA/err_exit - exit 55 # for extra measure - fi - - [ "$errPREPDATA" -eq '4' -a "$four_check" = 'no' ] && errPREPDATA=0 - - set +x - echo - echo "For all MP_PREPDATA Streams, the largest foreground exit status \ - amongst all PREPOBS_PREPDATA runs is " $errPREPDATA - echo - set -x - - if [ "$errPREPDATA" -le "$errPREPDATA_limit" -a $errPREPDATA -ne 1 ]; then - err=0 - if [ "$errPREPDATA" -eq '4' ]; then - set +x - echo - echo "WARNING: PREPOBS_PREPDATA FOUND EITHER NO ADPUPA OR NO ADPSFC DATA" - echo "-------- THESE DATA WILL NOT BE AVAILABLE TO ANALYSES" - echo - set -x - fi - else - err=$errPREPDATA - fi - - pgm=`basename $PRPX` - touch errfile - $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - - if [ "$PARALLEL" = 'YES' ]; then - -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## -## HEREFILE MERGE_MSGS ## -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## - -set +x -cat <<\EOFmrg > MERGE_MSGS - -# This herefile script merges the individual partial PREPBUFR files present at -# this point into a complete, monolithic PREPBUFR file in the proper message -# type order. It is the last step in the PREPDATA processing. It runs only -# in the parallel environment. -# ---------------------------------------------------------------------------- - -# Positional parameters passed in: -# 1 - Number of input partial PREPBUFR files that are going to be merged -# ($nfiles) -# 2 - Working directory path ($DATA) (contains separate partial PREPBUFR -# files and text files containing headers for each, one directory down) -# 3 - Beginning string of sub-directories in $DATA ($subdir) (each sub- -# directory contains an input partial PREPBUFR file and a text file -# containing headers for all messages in that PREPBUFR file) -# 4 - File in each sub-directory containing headers for all messages in -# partial PREPBUFR file in same sub-directory (file name only - same name -# in all sub-directories) ($header_file_name) -# 5 - Partial PREPBUFR file in each sub-directory (file name only - same name -# in all sub-directories) ($prep_in) -# 6 - Output monolithic PREPBUFR file name (file name only) ($prep_out) -# -# Imported variables that must be passed in: -# MONOBFRX - path to PREPOBS_MONOPREPBUFR program executable -# -# Imported variables that can be passed in: -# pgmout - string indicating path to for standard output file (skipped over -# by this script if not passed in) - - -if [ $# -ne 6 ] ; then - echo "Usage: $0 nfiles DATA subdir header_file_name prep_in prep_out" - exit 1 -fi - -set -aux - -qid=$$ - -nfiles=$1;DATA=$2;subdir=$3;header_file_name=$4;prep_in=$5;prep_out=$6 - - -# From all the header files, extract the header counts and names build -# namelist input to drive $MONOBFRX program -# --------------------------------------------------------------------- - -nheaders=`cat $DATA/${subdir}*/$header_file_name|awk '{print $1}'|sort -u|wc -l` -((nheaders+=0)) - ->$DATA/input echo -echo " &namin nfiles=$nfiles, nheaders=$nheaders," >>$DATA/input - -cd $DATA - - -# Assign the fort units to the files -# ----------------------------------- - -pgm=`basename $MONOBFRX` -if [ -s $DATA/prep_step ]; then - . $DATA/prep_step -else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -fi - - -n=-1 -while [ $((n+=1)) -lt $nfiles ] ;do - [ ! -s $DATA/${subdir}$n/$prep_in ] && exit 1 - export FORT$((11+n))=$DATA/${subdir}$n/$prep_in -done -export FORT51=$prep_out -set +x - - -# Extract the total span of headers by searching through all the header files -# --------------------------------------------------------------------------- - -n=-1 -while [ $((n+=1)) -lt $nfiles ]; do - file=$DATA/${subdir}$n/$header_file_name - [ ! -s $file ] && exit 1 - if [ `cat $file|awk '{print $1}'| \ - sort -u|wc -l` -eq $nheaders ] ; then - headers="" - nlines=`cat $file|wc -l` - i=0 - while [ $((i+=1)) -le $nlines ]; do - line=`sed -n $i,${i}p $file` - header=`echo $line|awk '{print $1}'` - echo " cheaders($i)='$header',">>$DATA/input - headers="$headers $header" - done - break - fi -done - - -# Tranlate the hdrs file contents into namelist array -# --------------------------------------------------- - -n=-1 -while [ $((n+=1)) -lt $nfiles ]; do - file=$DATA/${subdir}$n/$header_file_name - line= - i=0 - for hdr in $headers; do - ((i+=1)) - count=`grep $hdr $file|awk '{print $2}'` - set +u - [ -z "$count" ] && count=0 - set -u - line="${line}msgs($i,$((n+1)))=$count," - done - echo " $line " >>$DATA/input -done - -echo " &end" >>$DATA/input -set -x -cat $DATA/input - -$TIMEIT $MONOBFRX <$DATA/input > outout 2> errfile -export err=$? -###cat errfile -cat errfile >> outout -cat outout >> monoprepbufr.out -set +u -[ -n "$pgmout" ] && cat outout >> $pgmout -set -u -rm outout -set +x -echo -echo "The foreground exit status for PREPOBS_MONOPREPBUFR is " $err -echo -set -x -$DATA/err_chk -[ $err != 0 ] && exit 55 # for extra measure - -exit 0 -EOFmrg -set -x - -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## -## end of HEREFILE MERGE_MSGS ## -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## - - chmod 775 MERGE_MSGS - -# In parallel environment, make monolithic PREPBUFR file by meriging the -# partial PREPBUFR files -# ---------------------------------------------------------------------- - $TIMEIT $DATA/MERGE_MSGS $NSPLIT $DATA multi prepda.hdrs prepda \ - prepda.${cycle} - errsc=$? - if test $errsc -ne 0 - then -# problem with merge script - $DATA/err_exit - exit 55 # for extra measure - fi - else - -# In serial environment, already have a monolithic PREPBUFR file - just -# copy it to expected local monolithic PREPBUFR file location -# --------------------------------------------------------------------- - cp $DATA/multi0/prepda prepda.${cycle} - - # fi for $PARALLEL = YES - fi - -# fi for $PREPDATA = YES -fi - -set +u -[ -n "$PREPBUFR_IN" ] && cp $PREPBUFR_IN $DATA/prepda.${cycle} -set -u - - -############################################ -# EXECUTE SYNTHETIC CYCLONE DATA PROCESSING -############################################ - -if [ "$SYNDATA" = 'YES' ]; then - -# Check condition code - SDM can shut-off synthetic cyclone bogusing -# ------------------------------------------------------------------ -# ==> this switch is NOT YET in place, so it will be hardwired to "YES" - -###cp ???????????? syndata_cond - echo "YES" > syndata_cond - SYN=`cat tcvitals_orig_sort - sort tcvitals > tcvitals_sort - comm -23 tcvitals_orig_sort tcvitals_sort > tcvitals_removed - [ -s tcvitals_removed ] && run_syndat_twice=yes - fi - fi - - $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ - $DATA/tcvitals $CDATE10 - - if [ $run_syndat_twice = yes ]; then - -# Run SYNDATA a second time when switch "run_syndat_twice" was set to "yes" in -# above logic (see %% above) - - DO_BOGUS=NO - $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ - $DATA/tcvitals_removed $CDATE10 - fi - fi -fi - -[ "$PREPDATA" = 'YES' ] && cp prepda.${cycle} prepda.prepdata - - -########################################### -# EXECUTE GSI QUALITY-CONTROL PROCESSING -########################################### - -if [ "$DO_QC" = 'YES' ]; then - if [ "$PREVENTS" = 'YES' ];then - $TIMEIT $USHPREV/prepobs_prevents.sh $DATA/prepda.${cycle} $CDATE10 - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$CQCBUFR" = 'YES' ];then - $TIMEIT $USHCQC/prepobs_cqcbufr.sh $DATA/prepda.${cycle} - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$PROFCQC" = 'YES' ];then - $TIMEIT $USHPQC/prepobs_profcqc.sh $DATA/prepda.${cycle} - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$CQCVAD" = 'YES' ];then - $TIMEIT $USHVQC/prepobs_cqcvad.sh $DATA/prepda.${cycle} $CDATE10 - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$PREPACQC" = 'YES' ];then - $TIMEIT $USHAQC/prepobs_prepacqc.sh $DATA/prepda.${cycle} $DATA/adpsfc - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$OIQCBUFR" = 'YES' ];then - $TIMEIT $USHOIQC/prepobs_oiqcbufr.sh $DATA/prepda.${cycle} $CDATE10 - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi -fi - - -# Look for "OVERLARGE" subsets in stdout (print out of bufrlib when subset -# discarded because it is too big to fit in a BUFR message) -- post to -# jlogfile if appropriate - -msg=`grep "OVERLARGE SUBSET DISCARDED" $pgmout` -err=$? -if [ "$err" -eq '0' ]; then - set +x - echo - echo "$msg" - echo - set -x - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" -fi - -exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.txt b/model/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.txt deleted file mode 100644 index 546ed9e..0000000 --- a/model/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.txt +++ /dev/null @@ -1 +0,0 @@ -MAKEPREPBUFRSH=/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/prepobs_makeprepbufr.sh diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf b/model/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf deleted file mode 100755 index 085b631..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf +++ /dev/null @@ -1,1732 +0,0 @@ -#!/bin/ksh -############################################################################# -echo "----------------------------------------------------------------------" -echo "exglobal_dump.sh.ecf - Global (GDAS, GFS) network data dump processing" -echo "----------------------------------------------------------------------" -echo "History: Jan 18 2000 - Original script. " -echo " May 16 2007 - Added DBNet alerts for GFS products. " -echo " Apr 2014 - Pick up grib files for planned GFS upgrade. " -echo " Oct 2014 - Remove attempts to dump obsolete sources. " -echo " Nov 2014 - Use parallel scripting to process dump groups. " -echo " Widen hourly satwnd dump window for GFS/GDAS. " -echo " Add new satwnd subtypes for GFS & GDAS. " -echo " GFS/GDAS continue if surface file unavailable. " -echo " Remove DBNet alerts for old surface files. " -echo " Dec 3 2014 - CDAS network, split off into its own script " -echo " excdas_dump.sh.ecf. This script now tailored " -echo " exclusively to GDAS and GFS. " -echo " Feb 2 2015 - Dump window for new satwnd type NC005090 set " -echo " to 3.00 to +2.99 hours about center dump time. " -echo " Removed ADD_satwnd=\"005019 005080\" since " -echo " types are now part of "satwnd" dump group " -echo " mnemonic in bufr_dumplist. " -echo " Aug 22 2016 - GSPIPW dump window reset for new data stream " -echo " (moved to dump group #4 where TIME_TRIM=on) " -echo " Jan 5 2017 - Dump new satellite data types. Reordered to " -echo " improve run time with all the new data. " -echo " Feb 8 2017 - Update to run on Cray-XC40 or IBM iDataPlex " -############################################################################# - -# NOTE: NET is changed to gdas in the parent Job script for the gdas RUN -# (was gfs - NET remains gfs for gfs RUN) -# ----------------------------------------------------------------------- - -set -xau - -# function to highlight an echoed msg with surrounding hashed separator lines. - echo_hashed_msg () { - set +x - msg=$* - echo -e "\n ${msg//?/#}" - echo " ${msg}" - echo -e " ${msg//?/#}\n" - set -x - } -# end of function setup -# -# set some variables if they have not already been set - -set +u - -# JOB_NUMBER = 1 indicates the prepbufr dump job. -# JOB_NUMBER = 2 indicates the non-prepbufr dump job. -# JOB_NUMBER not present indicates dump BOTH prepbufr and non-prepbufr data. -# ----------------------------------------------------------------------------- -# Dump group #1 (non-pb, TIME_TRIM defaults to OFF) = -# avcsam eshrs3 ssmisu cris saphir atms 1bhrs4 sevcsr tesac mls -# esatms -# -# Dump group #2 (pb, TIME_TRIM defaults to OFF) = -# sfcshp atovs* adpsfc ascatt -# * - for GDAS only -# -# Dump group #3 (pb, TIME_TRIM defaults to OFF) = -# adpupa -# -# Dump group #4 (pb, TIME_TRIM defaults to ON) = -# aircar aircft proflr vadwnd rassda gpsipw -# -# Dump group #5 (pb, TIME_TRIM defaults to OFF) = -# msonet -# -# Dump group #6 (non-pb, TIME_TRIM defaults to OFF) = -# nexrad -# -# Dump group #7 (non-pb, TIME_TRIM defaults to OFF) = -# avcspm esmhs goesfv 1bmhs airsev atmsdb gome omi trkob gpsro -# escris -# -# Dump group #8 (pb, TIME_TRIM defaults to ON) = -# satwnd -# -# Dump group #9 (non-pb, TIME_TRIM defaults to ON) = -# geoimr -# -# Dump group #10 (non-pb, TIME_TRIM defaults to OFF) = -# esiasi mtiasi esamua crisdb iasidb sevasr 1bamua bathy osbuv8 -# -# Dump group #11 (non-pb, TIME_TRIM defaults to OFF) = -# amsr2 -# -# Dump group #12 STATUS FILE -# ----------------------------------------------------------------------------- - -#VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV -# The settings below are based on a future change when the DUMP job will dump -# only types that go into PREPBUFR and the DUMP2 job will dump only types that -# do not go into PREPBUFR. This will speed up the DUMP + PREP processing. -# Although the logic is in place to now do this (see below), for now we will -# continue to run only a DUMP job which will dump ALL types (no DUMP2 job) - -# since JOB_NUMBER is not imported to this script, the logic below will dump -# all types ... -# ----------------------------------------------------------------------------- -#^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ - -if [ -n "$JOB_NUMBER" ]; then -set -u - if [ $JOB_NUMBER = 2 ]; then - dump_ind=DUMP2 - DUMP_group1=${DUMP_group1:-"YES"} - DUMP_group2=${DUMP_group2:-"NO"} - DUMP_group3=${DUMP_group3:-"NO"} - DUMP_group4=${DUMP_group4:-"NO"} - DUMP_group5=${DUMP_group5:-"NO"} - DUMP_group6=${DUMP_group6:-"NO"} - DUMP_group7=${DUMP_group7:-"YES"} - DUMP_group8=${DUMP_group8:-"NO"} - DUMP_group9=${DUMP_group9:-"YES"} - DUMP_group10=${DUMP_group10:-"YES"} - DUMP_group11=${DUMP_group11:-"YES"} - else - dump_ind=DUMP - DUMP_group1=${DUMP_group1:-"NO"} - DUMP_group2=${DUMP_group2:-"YES"} - DUMP_group3=${DUMP_group3:-"YES"} - DUMP_group4=${DUMP_group4:-"YES"} - DUMP_group5=${DUMP_group5:-"NO"} - DUMP_group6=${DUMP_group6:-"NO"} - DUMP_group7=${DUMP_group7:-"NO"} - DUMP_group8=${DUMP_group8:-"YES"} - DUMP_group9=${DUMP_group9:-"NO"} - DUMP_group10=${DUMP_group10:-"NO"} - DUMP_group11=${DUMP_group11:-"NO"} - fi -else - dump_ind=DUMP - DUMP_group1=${DUMP_group1:-"YES"} - DUMP_group2=${DUMP_group2:-"YES"} - DUMP_group3=${DUMP_group3:-"YES"} - DUMP_group4=${DUMP_group4:-"YES"} - DUMP_group5=${DUMP_group5:-"NO"} - DUMP_group6=${DUMP_group6:-"NO"} - DUMP_group7=${DUMP_group7:-"YES"} - DUMP_group8=${DUMP_group8:-"YES"} - DUMP_group9=${DUMP_group9:-"YES"} - DUMP_group10=${DUMP_group10:-"YES"} - DUMP_group11=${DUMP_group11:-"YES"} -fi - -if [ "$NET" = 'gfs' ]; then - ADPUPA_wait=${ADPUPA_wait:-"YES"} -########ADPUPA_wait=${ADPUPA_wait:-"NO"} # saves time if ADPUPA_wait=NO -else - ADPUPA_wait=${ADPUPA_wait:-"NO"} -fi - - -# send extra output of DUMP2 for monitoring purposes. -set +u -if [ -n "$JOB_NUMBER" ]; then - [ $JOB_NUMBER = 2 ] && export PS4='$SECONDS + ' -fi -set -u - -# Make sure we are in the $DATA directory -cd $DATA - -msg="HAS BEGUN on `hostname`" -$DATA/postmsg "$jlogfile" "$msg" - -cat break > $pgmout - -export dumptime=`cut -c7-16 ncepdate` -export cycp=`echo $dumptime|cut -c9-10` - -export NET_uc=$(echo $NET | tr [a-z] [A-Z]) -export tmmark_uc=$(echo $tmmark | tr [a-z] [A-Z]) - -msg="$NET_uc ANALYSIS TIME IS $PDY$cyc" -$DATA/postmsg "$jlogfile" "$msg" - -set +x -echo -echo "CENTER DATA DUMP DATE-TIME FOR $tmmark_uc $NET_uc IS $dumptime" -echo -set -x - -export COMSP=$COMOUT/$RUN.${cycle}. - -if [ "$PROCESS_GRIBFLDS" = 'YES' ]; then - -######################################################## -######################################################## -## The following files are not *required* but will still -# be processed here for the near term (missing files -# will not cause job to fail) -# -# copy snogrb (0.5 deg) from $TANK_GRIBFLDS -# copy snogrb_t574 from $TANK_GRIBFLDS -# copy engicegrb from $COM_ENGICE -# copy sstgrb from $COM_SSTOI -# generate sstgrb index file -######################################################## -######################################################## - - snogrb=$TANK_GRIBFLDS/$PDY/wgrbbul/snowdepth.global.grb - snoold=$TANK_GRIBFLDS/$PDYm1/wgrbbul/snowdepth.global.grb - - if [ -s $snogrb ]; then - cp $snogrb ${COMSP}snogrb - msg="todays 0.5 degree snow grib file located and copied to ${COMSP}snogrb" - $DATA/postmsg "$jlogfile" "$msg" - elif [ -s $snoold ]; then - cp $snoold ${COMSP}snogrb - msg="**todays 0.5 degree snow grib file not located - copy 1-day old file" - $DATA/postmsg "$jlogfile" "$msg" - else - set +x - echo " " - echo " #####################################################" - echo " cannot locate 0.5 degree snow grib file" - echo " #####################################################" - echo " " - set -x - msg="***WARNING: CANNOT LOCATE 0.5 DEGREE SNOW GRIB FILE. Not critical." - $DATA/postmsg "$jlogfile" "$msg" - fi - - snogrb_t574=$TANK_GRIBFLDS/$PDY/wgrbbul/snowdepth.t574.grb - snoold_t574=$TANK_GRIBFLDS/$PDYm1/wgrbbul/snowdepth.t574.grb - - if [ -s $snogrb_t574 ]; then - cp $snogrb_t574 ${COMSP}snogrb_t574 - msg="todays T574 snow grib file located and copied to ${COMSP}snogrb_t574" - $DATA/postmsg "$jlogfile" "$msg" - elif [ -s $snoold_t574 ]; then - cp $snoold_t574 ${COMSP}snogrb_t574 - msg="**todays T574 snow grib file not located - copy 1-day old file" - $DATA/postmsg "$jlogfile" "$msg" - else - set +x - echo " " - echo " ###############################################" - echo " cannot locate T574 snow grib file" - echo " ###############################################" - echo " " - set -x - msg="***WARNING: CANNOT LOCATE T574 SNOW GRIB FILE. Not critical." - $DATA/postmsg "$jlogfile" "$msg" - fi - - engicegrb=${COM_ENGICE}.$PDY/engice.t00z.grb - engiceold=${COM_ENGICE}.$PDYm1/engice.t00z.grb - - if [ -s $engicegrb ]; then - cp $engicegrb ${COMSP}engicegrb - msg="todays engice grib file located and copied to ${COMSP}engicegrb" - $DATA/postmsg "$jlogfile" "$msg" - elif [ -s $engiceold ]; then - cp $engiceold ${COMSP}engicegrb - msg="**todays engice grib file not located - copy 1-day old file" - $DATA/postmsg "$jlogfile" "$msg" - else - set +x - echo " " - echo " ############################################" - echo " cannot locate engice grib file" - echo " ############################################" - echo " " - set -x - msg="***WARNING: CANNOT LOCATE LOW RES ENGICE GRIB FILE. Not critical." - $DATA/postmsg "$jlogfile" "$msg" - fi - - sstgrb=${COM_SSTOI}.$PDY/sstoi_grb - sstold=${COM_SSTOI}.$PDYm1/sstoi_grb - - if [ -s $sstgrb ]; then - cp $sstgrb ${COMSP}sstgrb - msg="todays lowres sst grib file located and copied to ${COMSP}sstgrb" - $DATA/postmsg "$jlogfile" "$msg" - elif [ -s $sstold ]; then - cp $sstold ${COMSP}sstgrb - msg="**todays lowres sst grib file not located - copy 1-day old file" - $DATA/postmsg "$jlogfile" "$msg" - else - set +x - echo " " - echo " #########################################" - echo " cannot locate lowres sst grib file" - echo " #########################################" - echo " " - set -x - msg="***WARNING: CANNOT LOCATE LOW RES SST GRIB FILE. Not critical." - $DATA/postmsg "$jlogfile" "$msg" - fi - - if [ -s ${COMSP}sstgrb ]; then - rm errfile - $GRBINDEX ${COMSP}sstgrb ${COMSP}sstgrb.index 2> errfile - errindx=$? - [ "$errindx" -ne '0' ] && cat errfile - rm errfile - else - echo_hashed_msg "cannot create grib index since sst file does not exist" - fi - -# The following may no longer be needed, but leave them in place for now. -# Print msg in the rare case the grib2 files cannot be created. - if [ "$NET" = 'gdas' ]; then - if [ -s ${COMSP}engicegrb ]; then - $CNVGRIB -g12 -p40 ${COMSP}engicegrb ${COMSP}engicegrb.grib2 - else - echo_hashed_msg "Skip engicegrb.grib2 since grib1 file does not exist" - fi - if [ -s ${COMSP}sstgrb ]; then - $CNVGRIB -g12 -p40 ${COMSP}sstgrb ${COMSP}sstgrb.grib2 - else - echo_hashed_msg "Skip sstgrb.grib2 since grib1 file does not exist" - fi - if [ -s ${COMSP}snogrb ]; then - $CNVGRIB -g12 -p40 ${COMSP}snogrb ${COMSP}snogrb.grib2 - else - echo_hashed_msg "Skip snogrb.grib2 since grib1 file does not exist" - fi - fi - - -###################################################################### -###################################################################### -# For the following, try as far as $ndaysback to find recent file. # -# Post warning if no file found for $ndaysback_warn or beyond. # -# The job will continue if no suitable file is available. # -# ---------------------------------------------------------------- # -# copy NPR.SNWN.SP.S1200.MESH16 from $TANK_GRIBFLDS # -# copy NPR.SNWS.SP.S1200.MESH16 from $TANK_GRIBFLDS # -# copy imssnow96.grb.grib2 from $TANK_GRIBFLDS # -# copy seaice.t00z.5min.grb from $COM_ICE5MIN # -# copy seaice.t00z.5min.grb.grib2 from $COM_ICE5MIN # -# copy rtgssthr_grb_0.083 from $COM_SSTRTG # -# copy rtgssthr_grb_0.083.grib2 from $COM_SSTRTG # -###################################################################### -###################################################################### - for gribfile in \ - NPR.SNWN.SP.S1200.MESH16 \ - NPR.SNWS.SP.S1200.MESH16 \ - imssnow96.grb.grib2 \ - seaice.t00z.5min.grb \ - seaice.t00z.5min.grb.grib2 \ - rtgssthr_grb_0.083 \ - rtgssthr_grb_0.083.grib2 - do -# set the values specific to each file - case $gribfile in - NPR.SNWN.SP.S1200.MESH16 | NPR.SNWS.SP.S1200.MESH16 ) # AFWA snow - grib_source='$TANK_GRIBFLDS/$DDATE/wgrbbul'; - target_filename=$gribfile.grb - ndaysback=1; - ndaysback_warn=1;; - imssnow96.grb.grib2 ) # IMS snow - grib_source='$TANK_GRIBFLDS/$DDATE/wgrbbul'; - target_filename=imssnow96.grib2 - ndaysback=1; - ndaysback_warn=1;; - seaice.t00z.5min.grb ) - grib_source='${COM_ICE5MIN}.$DDATE'; - target_filename=seaice.5min.grb - ndaysback=7; - ndaysback_warn=1;; - seaice.t00z.5min.grb.grib2 ) - grib_source='${COM_ICE5MIN}.$DDATE'; - target_filename=seaice.5min.grib2 - ndaysback=7; - ndaysback_warn=1;; - rtgssthr_grb_0.083 ) - grib_source='${COM_SSTRTG}.$DDATE'; - target_filename=rtgssthr.grb - ndaysback=10; - ndaysback_warn=1;; - rtgssthr_grb_0.083.grib2 ) - grib_source='${COM_SSTRTG}.$DDATE'; - target_filename=rtgssthr.grib2 - ndaysback=10; - ndaysback_warn=1;; - *) - msg="***FATAL ERROR: unexpected grib field file $gribfile"; - echo_hashed_msg "$msg" - $DATA/postmsg "$jlogfile" "$msg" - $DATA/err_exit;; - esac -# set up string of dates to check - if [ $ndaysback -gt 0 ];then -set +x; echo -e "\n---> path to finddate.sh below is: `which finddate.sh`"; set -x - CHECK_DATES="$PDY $(finddate.sh $PDY s-$ndaysback)" - else - CHECK_DATES=$PDY - fi - set +x; - echo -e "\nWill check as far back as ${CHECK_DATES##* } for $gribfile" - set -x - ndtry=0 - found=false -# loop through dates to check for this file type - for DDATE in $CHECK_DATES;do - ndtry=`expr $ndtry + 1` - eval tryfile=$grib_source/$gribfile - if [ -s $tryfile ];then - set +x; echo -e "\nPicking up file $tryfile\n"; set -x - cp $tryfile ${COMSP}$target_filename - found=true - break - fi - if [ $DDATE -ne ${CHECK_DATES##* } ]; then - set +x;echo -e "\n$tryfile not available. Try previous day.\n" - set -x - else - set +x;echo -e "\n$tryfile not available.\n";set -x - fi - if [ $ndtry -gt $ndaysback_warn ];then - msg="***WARNING: INVESTIGATE UNEXPECTED ABSENCE OF $tryfile" - echo_hashed_msg "$msg" - $DATA/postmsg "$jlogfile" "$msg" - fi - done - if [ $found != true ]; then - msg="***WARNING: NO USEFUL RECENT FILES FOUND FOR $gribfile!!!" - echo_hashed_msg "$msg" - $DATA/postmsg "$jlogfile" "$msg" - fi - done - if [ "$SENDECF" = "YES" ]; then - ecflow_client --event=release_sfcprep - fi - -# endif loop $PROCESS_GRIBFLDS -fi - - -echo "=======> Dump group 1 (thread_1) not executed." > $DATA/1.out -echo "=======> Dump group 2 (thread_2) not executed." > $DATA/2.out -echo "=======> Dump group 3 (thread_3) not executed." > $DATA/3.out -echo "=======> Dump group 4 (thread_4) not executed." > $DATA/4.out -echo "=======> Dump group 5 (thread_5) not executed." > $DATA/5.out -echo "=======> Dump group 6 (thread_6) not executed." > $DATA/6.out -echo "=======> Dump group 7 (thread_7) not executed." > $DATA/7.out -echo "=======> Dump group 8 (thread_8) not executed." > $DATA/8.out -echo "=======> Dump group 9 (thread_9) not executed." > $DATA/9.out -echo "=======> Dump group 10 (thread_10) not executed." > $DATA/10.out -echo "=======> Dump group 11 (thread_11) not executed." > $DATA/11.out - -err1=0 -err2=0 -err3=0 -err4=0 -err5=0 -err6=0 -err7=0 -err8=0 -err9=0 -err10=0 -err11=0 -if [ "$PROCESS_DUMP" = 'YES' ]; then - -#################################### -#################################### -# The data "dump" script for tm00 -#################################### -#################################### - -msg="START THE $tmmark_uc $NET_uc DATA $dump_ind CENTERED ON $dumptime" -$DATA/postmsg "$jlogfile" "$msg" - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_1; chmod +x thread_1 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_1 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=1 - -#========================================================================= -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump # 1 : AVCSAM: 1 subtype(s) -# ESHRS3: 1 subtype(s) -# SSMISU: 1 subtype(s) -# CRIS: 1 subtype(s) (if present in past 10 days of tanks) -# SAPHIR: 1 subtype(s) -# ATMS: 1 subtype(s) (if present in past 10 days of tanks) -# 1BHRS4: 1 subtype(s) -# SEVCSR: 1 subtype(s) -# TESAC: 1 subtype(s) -# MLS: 1 subtype(s) (if present in past 10 days of tanks) -# ESATMS: 1 subtype(s) (if present in past 10 days of tanks) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 11 -# -#========================================================================= - -DTIM_latest_avcsam=${DTIM_latest_avcsam:-"+2.99"} -DTIM_latest_eshrs3=${DTIM_latest_eshrs3:-"+2.99"} -DTIM_latest_ssmisu=${DTIM_latest_ssmisu:-"+2.99"} -#----------------------------------------------- -# check for cris tank presence in past 10 days -cris="" -err_check_tanks=0 -sh $USHobsproc_dump/check_tanks.sh cris -err_check_tanks=$? -if [ $err_check_tanks -eq 0 ];then - cris=cris - DTIM_latest_cris=${DTIM_latest_cris:-"+2.99"} -fi -#----------------------------------------------- -DTIM_latest_saphir=${DTIM_latest_saphir:-"+2.99"} -#----------------------------------------------- -# check for atms tank presence in past 10 days -atms="" -err_check_tanks=0 -sh $USHobsproc_dump/check_tanks.sh atms -err_check_tanks=$? -if [ $err_check_tanks -eq 0 ];then - atms=atms - DTIM_latest_atms=${DTIM_latest_atms:-"+2.99"} -fi -#----------------------------------------------- -DTIM_latest_1bhrs4=${DTIM_latest_1bhrs4:-"+2.99"} -DTIM_latest_sevcsr=${DTIM_latest_sevcsr:-"+2.99"} -DTIM_latest_tesac=${DTIM_latest_tesac:-"+2.99"} -#----------------------------------------------- -# check for mls tank presence in past 10 days -mls="" -err_check_tanks=0 -sh $USHobsproc_dump/check_tanks.sh mls -err_check_tanks=$? -if [ $err_check_tanks -eq 0 ];then - mls=mls - DTIM_latest_mls=${DTIM_latest_mls:-"+2.99"} -fi -#----------------------------------------------- -#----------------------------------------------- -# check for esatms tank presence in past 10 days -esatms="" -err_check_tanks=0 -sh $USHobsproc_dump/check_tanks.sh esatms -err_check_tanks=$? -if [ $err_check_tanks -eq 0 ];then - esatms=esatms - DTIM_latest_esatms=${DTIM_latest_esatms:-"+2.99"} -fi -#----------------------------------------------- - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM1:-off}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 avcsam eshrs3 ssmisu $cris \ - saphir $atms 1bhrs4 sevcsr tesac $mls $esatms -error1=$? -echo "$error1" > $DATA/error1 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_avcsam $job \ - ${COMSP}avcsam.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_eshrs3 $job \ - ${COMSP}eshrs3.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_ssmisu $job \ - ${COMSP}ssmisu.tm00.bufr_d - if [ "$cris" = cris ];then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_cris $job \ - ${COMSP}cris.tm00.bufr_d - fi -### restricted $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_saphir $job \ -### restricted ${COMSP}saphir.tm00.bufr_d - if [ "$atms" = atms ];then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_atms $job \ - ${COMSP}atms.tm00.bufr_d - fi - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_1bhrs4 $job \ - ${COMSP}1bhrs4.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_sevcsr $job \ - ${COMSP}sevcsr.tm00.bufr_d -####### ALERTS TURNED OFF UNTIL REQUESTED BY USER ######################### -# $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_tesac $job \ -# ${COMSP}tesac.tm00.bufr_d -########################################################################### - if [ "$mls" = mls ];then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_mls $job \ - ${COMSP}mls.tm00.bufr_d - fi - if [ "$esatms" = esatms ];then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esatms $job \ - ${COMSP}esatms.tm00.bufr_d - fi -fi - -set +x -echo "********************************************************************" -echo Script thread_1 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/1.out 2>&1 -EOF -set -x - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_2; chmod +x thread_2 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_2 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=2 - -#========================================================================== -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# (3) GDAS GSI doesn't use ATOVS, but NASA/GSFC is pulling them off our -# server, also CDAS may be running special tests using data from GDAS -# cutoff time (ATOVS is not dumped in GFS) -# -#-------------------------------------------------------------------------- -# GDAS: -# Dump # 2 : SFCSHP: 5 subtype(s) -# ATOVS: 1 subtype(s) -# ADPSFC: 4 subtype(s) -# ASCATT: 1 subtype(s) -# xxxxxxxxx WNDSAT: 1 subtype(s) (if present in past 10 days of tanks) -# ===> Dumping of WNDSAT removed from here until new ingest feed is established -# (had been dumped with a time window radius of -3.00 to +2.99 hours) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 11 -# -#-------------------------------------------------------------------------- -# GFS: -# Dump # 2 : SFCSHP: 5 subtype(s) -# ADPSFC: 4 subtype(s) -# ASCATT: 1 subtype(s) -# xxxxxxxxx WNDSAT: 1 subtype(s) (if present in past 10 days of tanks) -# ===> Dumping of WNDSAT removed from here until new ingest feed is established -# (had been dumped with a time window radius of -3.00 to +2.99 hours) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 10 -# -#========================================================================== - -DTIM_latest_sfcshp=${DTIM_latest_sfcshp:-"+2.99"} - -atovs="" -if [ "$NET" = 'gdas' ]; then - atovs=atovs - DTIM_latest_atovs=${DTIM_latest_atovs:-"+2.99"} -fi - -DTIM_latest_adpsfc=${DTIM_latest_adpsfc:-"+2.99"} -DTIM_latest_ascatt=${DTIM_latest_ascatt:-"+2.99"} -#----------------------------------------------- -# check for wndsat tank presence in past 10 days -wndsat="" -err_check_tanks=0 -##########sh $USHobsproc_dump/check_tanks.sh wndsat -##########err_check_tanks=$? -err_check_tanks=99 # comment out 2 lines above & add this line to ensure wndsat - # is not ever dumped -if [ $err_check_tanks -eq 0 ];then - wndsat=wndsat - DTIM_latest_wndsat=${DTIM_latest_wndsat:-"+2.99"} -fi -#----------------------------------------------- - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM2:-off}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 sfcshp $atovs adpsfc ascatt $wndsat -error2=$? -echo "$error2" > $DATA/error2 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_sfcshp $job \ - ${COMSP}sfcshp.tm00.bufr_d - [ -f ${COMSP}atovs.tm00.bufr_d ] && \ - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_atovs $job \ - ${COMSP}atovs.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_adpsfc $job \ - ${COMSP}adpsfc.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_ascatt $job \ - ${COMSP}ascatt.tm00.bufr_d - if [ "$NET" = 'gdas' ]; then - ####### ALERT TURNED ON for GDAS only ######################## - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_ascatw $job \ - ${COMSP}ascatw.tm00.bufr_d - fi - if [ "$wndsat" = wndsat ];then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_wndsat $job \ - ${COMSP}wndsat.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_wdsatr $job \ - ${COMSP}wdsatr.tm00.bufr_d - fi -fi - -set +x -echo "********************************************************************" -echo Script thread_2 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/2.out 2>&1 -EOF -set -x - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_3; chmod +x thread_3 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_3 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=3 - -#==================================================================== -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump #3: ADPUPA: 6 subtype(s) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 6 -# -#==================================================================== - -DTIM_latest_adpupa=${DTIM_latest_adpupa:-"+2.99"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM3:-off}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 adpupa -error3=$? -echo "$error3" > $DATA/error3 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_adpupa $job \ - ${COMSP}adpupa.tm00.bufr_d -fi - -set +x -echo "********************************************************************" -echo Script thread_3 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/3.out 2>&1 -EOF -set -x - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_4; chmod +x thread_4 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_4 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=4 - -#======================================================================= -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# EXCEPT: AIRCFT where it is +/- 3.25 hours -# AIRCAR where it is +/- 3.25 hours -# PROFLR where it is -4.00 to +3.99 hours -# GSPIPW where it is +/- 0.05 hours (+/- 3min) -# (2) TIME TRIMMING IS DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump # 4 : AIRCAR: 2 subtype(s) -# AIRCFT: 6 subtype(s) -# PROFLR: 4 subtype(s) -# VADWND: 1 subtype(s) -# RASSDA: 1 subtype(s) -# GPSIPW: 1 subtype(s) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 15 -# -#======================================================================= - -# Skip NeXRaD VAD WINDS FROM LEVEL 2 DECODER (not ready to be handled in GSI) - -export SKIP_002017=YES - -# Dump AIRCFT and AIRCAR with wide time window to improve PREPOBS_PREPACQC -# track-check performance -# (time window will be winnowed down to +/- 3.00 hours in output from -# PREPOBS_PREPACQC) - -# Dump PROFLR with wide time window to improve PREPOBS_PROFCQC performance -# (time window will be winnowed down in output from PREPOBS_PROFCQC, see -# parm cards for output time window) - -# Dump GPSIPW with narrow (+/- 3-min) time window since new Ground Based -# GPS-IPW/ZTD (from U.S.-ENI and foreign GNSS providers) is currently limited -# to obs only at cycle-time - -DTIM_earliest_aircft=${DTIM_earliest_aircft:-"-3.25"} -DTIM_latest_aircft=${DTIM_latest_aircft:-"+3.25"} - -DTIM_earliest_aircar=${DTIM_earliest_aircar:-"-3.25"} -DTIM_latest_aircar=${DTIM_latest_aircar:-"+3.25"} - -DTIM_earliest_proflr=${DTIM_earliest_proflr:-"-4.00"} -DTIM_latest_proflr=${DTIM_latest_proflr:-"+3.99"} - -DTIM_latest_vadwnd=${DTIM_latest_vadwnd:-"+2.99"} -DTIM_latest_rassda=${DTIM_latest_rassda:-"+2.99"} - -DTIM_earliest_gpsipw=${DTIM_latest_gpsipw:-"-0.05"} -DTIM_latest_gpsipw=${DTIM_latest_gpsipw:-"+0.05"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM4:-on}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 aircar aircft proflr vadwnd \ - rassda gpsipw -error4=$? -echo "$error4" > $DATA/error4 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_aircar $job \ - ${COMSP}aircar.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_aircft $job \ - ${COMSP}aircft.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_proflr $job \ - ${COMSP}proflr.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_vadwnd $job \ - ${COMSP}vadwnd.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_rassda $job \ - ${COMSP}rassda.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_gpsipw $job \ - ${COMSP}gpsipw.tm00.bufr_d -fi - -set +x -echo "********************************************************************" -echo Script thread_4 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/4.out 2>&1 -EOF -set -x - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_5; chmod +x thread_5 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_5 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=5 - -#=================================================================== -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Currently not executed in GDAS or GFS: -# Dump # 5 : MSONET: 30 subtype(s) -# --------------------- -# TOTAL NUMBER OF SUBTYPES = 30 -# -#=================================================================== - -DTIM_latest_msonet=${DTIM_latest_msonet:-"+2.99"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM5:-off}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 msonet -error5=$? -echo "$error5" > $DATA/error5 - -set +x -echo "********************************************************************" -echo Script thread_5 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/5.out 2>&1 -EOF -set -x - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_6; chmod +x thread_6 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_6 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=6 - -#=================================================================== -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Currently not executed in GDAS or GFS: -# Dump # 6 : NEXRAD: 8 subtype(s) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 8 -# -#=================================================================== - -DTIM_latest_nexrad=${DTIM_latest_nexrad:-"+2.99"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM6:-off}} - -# NEXRAD tanks are hourly -# Process only those hourly tanks w/i requested dump center cycle time window - -SKIP_006010=YES # radial wind 00Z -SKIP_006011=YES # radial wind 01Z -SKIP_006012=YES # radial wind 02Z -SKIP_006013=YES # radial wind 03Z -SKIP_006014=YES # radial wind 04Z -SKIP_006015=YES # radial wind 05Z -SKIP_006016=YES # radial wind 06Z -SKIP_006017=YES # radial wind 07Z -SKIP_006018=YES # radial wind 08Z -SKIP_006019=YES # radial wind 09Z -SKIP_006020=YES # radial wind 10Z -SKIP_006021=YES # radial wind 11Z -SKIP_006022=YES # radial wind 12Z -SKIP_006023=YES # radial wind 13Z -SKIP_006024=YES # radial wind 14Z -SKIP_006025=YES # radial wind 15Z -SKIP_006026=YES # radial wind 16Z -SKIP_006027=YES # radial wind 17Z -SKIP_006028=YES # radial wind 18Z -SKIP_006029=YES # radial wind 19Z -SKIP_006030=YES # radial wind 20Z -SKIP_006031=YES # radial wind 21Z -SKIP_006032=YES # radial wind 22Z -SKIP_006033=YES # radial wind 23Z - -SKIP_006040=YES # reflectivity 00Z -SKIP_006041=YES # reflectivity 01Z -SKIP_006042=YES # reflectivity 02Z -SKIP_006043=YES # reflectivity 03Z -SKIP_006044=YES # reflectivity 04Z -SKIP_006045=YES # reflectivity 05Z -SKIP_006046=YES # reflectivity 06Z -SKIP_006047=YES # reflectivity 07Z -SKIP_006048=YES # reflectivity 08Z -SKIP_006049=YES # reflectivity 09Z -SKIP_006050=YES # reflectivity 10Z -SKIP_006051=YES # reflectivity 11Z -SKIP_006052=YES # reflectivity 12Z -SKIP_006053=YES # reflectivity 13Z -SKIP_006054=YES # reflectivity 14Z -SKIP_006055=YES # reflectivity 15Z -SKIP_006056=YES # reflectivity 16Z -SKIP_006057=YES # reflectivity 17Z -SKIP_006058=YES # reflectivity 18Z -SKIP_006059=YES # reflectivity 19Z -SKIP_006060=YES # reflectivity 20Z -SKIP_006061=YES # reflectivity 21Z -SKIP_006062=YES # reflectivity 22Z -SKIP_006063=YES # reflectivity 23Z - -if [ $cycp -eq 00 ]; then # (22.5 - 01.5 Z) - unset SKIP_006032 # radial wind 22Z - unset SKIP_006033 # radial wind 23Z - unset SKIP_006010 # radial wind 00Z - unset SKIP_006011 # radial wind 01Z - unset SKIP_006062 # reflectivity 22Z - unset SKIP_006063 # reflectivity 23Z - unset SKIP_006040 # reflectivity 00Z - unset SKIP_006041 # reflectivity 01Z -elif [ $cycp -eq 06 ]; then # (04.5 - 07.5 Z) - unset SKIP_006014 # radial wind 04Z - unset SKIP_006015 # radial wind 05Z - unset SKIP_006016 # radial wind 06Z - unset SKIP_006017 # radial wind 07Z - unset SKIP_006044 # reflectivity 04Z - unset SKIP_006045 # reflectivity 05Z - unset SKIP_006046 # reflectivity 06Z - unset SKIP_006047 # reflectivity 07Z -elif [ $cycp -eq 12 ]; then # (10.5 - 13.5 Z) - unset SKIP_006020 # radial wind 10Z - unset SKIP_006021 # radial wind 11Z - unset SKIP_006022 # radial wind 12Z - unset SKIP_006023 # radial wind 13Z - unset SKIP_006050 # reflectivity 10Z - unset SKIP_006051 # reflectivity 11Z - unset SKIP_006052 # reflectivity 12Z - unset SKIP_006053 # reflectivity 13Z -elif [ $cycp -eq 18 ]; then # (16.5 - 19.5 Z) - unset SKIP_006026 # radial wind 16Z - unset SKIP_006027 # radial wind 17Z - unset SKIP_006028 # radial wind 18Z - unset SKIP_006029 # radial wind 19Z - unset SKIP_006056 # reflectivity 16Z - unset SKIP_006057 # reflectivity 17Z - unset SKIP_006058 # reflectivity 18Z - unset SKIP_006059 # reflectivity 19Z -fi - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 nexrad -error6=$? -echo "$error6" > $DATA/error6 - -set +x -echo "********************************************************************" -echo Script thread_6 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/6.out 2>&1 -EOF -set -x - -set +x -#------------------------------------------------------------------------------ -cat<<\EOF>thread_7; chmod +x thread_7 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_7 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=7 - -#========================================================================= -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump # 7 : AVCSPM: 1 subtype(s) -# ESMHS: 1 subtype(s) -# GOESFV: 1 subtype(s) -# 1BMHS: 1 subtype(s) -# AIRSEV: 1 subtype(s) -# ATMSDB: 1 subtype(s) -# GOME: 1 subtype(s) -# OMI: 1 subtype(s) -# TRKOB: 1 subtype(s) -# GPSRO: 1 subtype(s) -# ESCRIS: 1 subtype(s) (if present in past 10 days of tanks) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 11 -# -#========================================================================= - -DTIM_latest_avcspm=${DTIM_latest_avcspm:-"+2.99"} -DTIM_latest_esmhs=${DTIM_latest_esmhs:-"+2.99"} -DTIM_latest_goesfv=${DTIM_latest_goesfv:-"+2.99"} -DTIM_latest_1bmhs=${DTIM_latest_1bmhs:-"+2.99"} -DTIM_latest_airsev=${DTIM_latest_airsev:-"+2.99"} -DTIM_latest_atmsdb=${DTIM_latest_atmsdb:-"+2.99"} -DTIM_latest_gome=${DTIM_latest_gome:-"+2.99"} -DTIM_latest_omi=${DTIM_latest_omi:-"+2.99"} -DTIM_latest_trkob=${DTIM_latest_trkob:-"+2.99"} -DTIM_latest_gpsro=${DTIM_latest_gpsro:-"+2.99"} -#----------------------------------------------- -# check for escris tank presence in past 10 days -escris="" -err_check_tanks=0 -sh $USHobsproc_dump/check_tanks.sh escris -err_check_tanks=$? -if [ $err_check_tanks -eq 0 ];then - escris=escris - DTIM_latest_escris=${DTIM_latest_escris:-"+2.99"} -fi -#----------------------------------------------- - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM7:-off}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 avcspm esmhs goesfv 1bmhs \ - airsev atmsdb gome omi trkob gpsro $escris -error7=$? -echo "$error7" > $DATA/error7 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_avcspm $job \ - ${COMSP}avcspm.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esmhs $job \ - ${COMSP}esmhs.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_goesfv $job \ - ${COMSP}goesfv.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_1bmhs $job \ - ${COMSP}1bmhs.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_airsev $job \ - ${COMSP}airsev.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_atmsdb $job \ - ${COMSP}atmsdb.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_gome $job \ - ${COMSP}gome.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_omi $job \ - ${COMSP}omi.tm00.bufr_d -####### ALERTS TURNED OFF UNTIL REQUESTED BY USER ######################### -# $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_trkob $job \ -# ${COMSP}trkob.tm00.bufr_d -########################################################################### - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_gpsro $job \ - ${COMSP}gpsro.tm00.bufr_d - if [ "$escris" = escris ];then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_escris $job \ - ${COMSP}escris.tm00.bufr_d - fi -fi - -set +x -echo "********************************************************************" -echo Script thread_7 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/7.out 2>&1 -EOF -set -x - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_8; chmod +x thread_8 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_8 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=8 - -#======================================================================= -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is +/- 1.5 hrs for all SATWND types -# EXCEPT: SATWND subtypes 005/010, 005/011, 005/12, 005/019, 005/064, -# 005/065, 005/066, 005/070, 005/071, 005/080 and 005/090 where -# it is -3.00 to +2.99 hours. -# (2) TIME TRIMMING IS DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump # 8 : SATWND: 17 subtype(s) -# --------------------- -# TOTAL NUMBER OF SUBTYPES = 17 -# -#======================================================================= - -# satwnd types -# ------------ -DTIM_earliest_005010=${DTIM_earliest_005010:-"-3.00"} -DTIM_latest_005010=${DTIM_latest_005010:-"+2.99"} -DTIM_earliest_005011=${DTIM_earliest_005011:-"-3.00"} -DTIM_latest_005011=${DTIM_latest_005011:-"+2.99"} -DTIM_earliest_005012=${DTIM_earliest_005012:-"-3.00"} -DTIM_latest_005012=${DTIM_latest_005012:-"+2.99"} -DTIM_earliest_005019=${DTIM_earliest_005019:-"-3.00"} -DTIM_latest_005019=${DTIM_latest_005019:-"+2.99"} -DTIM_earliest_005064=${DTIM_earliest_005064:-"-3.00"} -DTIM_latest_005064=${DTIM_latest_005064:-"+2.99"} -DTIM_earliest_005065=${DTIM_earliest_005065:-"-3.00"} -DTIM_latest_005065=${DTIM_latest_005065:-"+2.99"} -DTIM_earliest_005066=${DTIM_earliest_005066:-"-3.00"} -DTIM_latest_005066=${DTIM_latest_005066:-"+2.99"} -DTIM_earliest_005070=${DTIM_earliest_005070:-"-3.00"} -DTIM_latest_005070=${DTIM_latest_005070:-"+2.99"} -DTIM_earliest_005071=${DTIM_earliest_005071:-"-3.00"} -DTIM_latest_005071=${DTIM_latest_005071:-"+2.99"} -DTIM_earliest_005080=${DTIM_earliest_005080:-"-3.00"} -DTIM_latest_005080=${DTIM_latest_005080:-"+2.99"} -DTIM_earliest_005090=${DTIM_earliest_005090:-"-3.00"} -DTIM_latest_005090=${DTIM_latest_005090:-"+2.99"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM8:-on}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 1.5 1 satwnd -error8=$? -echo "$error8" > $DATA/error8 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_satwnd $job \ - ${COMSP}satwnd.tm00.bufr_d -fi - -set +x -echo "********************************************************************" -echo Script thread_8 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/8.out 2>&1 -EOF -set -x - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_9; chmod +x thread_9 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_9 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=9 - -#======================================================================= -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# EXCEPT: GEOIMR where it is -0.50 to +0.50 hour -# (2) TIME TRIMMING IS DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump # 9 : GEOIMR: 1 subtype(s) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 1 -# -#======================================================================= - -DTIM_earliest_geoimr=${DTIM_earliest_geoimr:-"-0.50"} -DTIM_latest_geoimr=${DTIM_latest_geoimr:-"+0.50"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM9:-on}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 geoimr -error9=$? -echo "$error9" > $DATA/error9 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_geoimr $job \ - ${COMSP}geoimr.tm00.bufr_d -fi - -set +x -echo "********************************************************************" -echo Script thread_9 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/9.out 2>&1 -EOF -set -x - -set +x -#------------------------------------------------------------------------------ -cat<<\EOF>thread_10; chmod +x thread_10 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_10 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=10 - -#========================================================================= -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump #10 : ESIASI: 1 subtype(s) -# MTIASI: 1 subtype(s) -# ESAMUA: 1 subtype(s) -# CRISDB: 1 subtype(s) -# IASIDB: 1 subtype(s) -# SEVASR: 1 subtype(s) -# 1BAMUA: 1 subtype(s) -# BATHY: 1 subtype(s) -# OSBUV8: 1 subtype(s) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 9 -# -#========================================================================= - -DTIM_latest_esiasi=${DTIM_latest_esiasi:-"+2.99"} -DTIM_latest_mtiasi=${DTIM_latest_mtiasi:-"+2.99"} -DTIM_latest_esamua=${DTIM_latest_esamua:-"+2.99"} -DTIM_latest_crisdb=${DTIM_latest_crisdb:-"+2.99"} -DTIM_latest_iasidb=${DTIM_latest_iasidb:-"+2.99"} -DTIM_latest_sevasr=${DTIM_latest_sevasr:-"+2.99"} -DTIM_latest_1bamua=${DTIM_latest_1bamua:-"+2.99"} -DTIM_latest_bathy=${DTIM_latest_bathy:-"+2.99"} -DTIM_latest_osbuv8=${DTIM_latest_osbuv8:-"+2.99"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM10:-off}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 esiasi mtiasi esamua \ - crisdb iasidb sevasr 1bamua bathy osbuv8 -error10=$? -echo "$error10" > $DATA/error10 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esiasi $job \ - ${COMSP}esiasi.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_mtiasi $job \ - ${COMSP}mtiasi.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esamua $job \ - ${COMSP}esamua.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_crisdb $job \ - ${COMSP}crisdb.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_iasidb $job \ - ${COMSP}iasidb.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_sevasr $job \ - ${COMSP}sevasr.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_1bamua $job \ - ${COMSP}1bamua.tm00.bufr_d -####### ALERTS TURNED OFF UNTIL REQUESTED BY USER ######################### -# $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_bathy $job \ -# ${COMSP}bathy.tm00.bufr_d -########################################################################### - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_osbuv8 $job \ - ${COMSP}osbuv8.tm00.bufr_d -fi - -set +x -echo "********************************************************************" -echo Script thread_10 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/10.out 2>&1 -EOF -set -x - -set +x -#------------------------------------------------------------------------------ -cat<<\EOF>thread_11; chmod +x thread_11 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_11 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=11 - -#========================================================================= -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump #11 : AMSR2: 1 subtype(s) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 1 -# -#========================================================================= - -DTIM_latest_amsr2=${DTIM_latest_amsr2:-"+2.99"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM11:-off}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 amsr2 -error11=$? -echo "$error11" > $DATA/error11 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_amsr2 $job \ - ${COMSP}amsr2.tm00.bufr_d -fi - -set +x -echo "********************************************************************" -echo Script thread_11 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/11.out 2>&1 -EOF -set -x - - -#---------------------------------------------------------------- -# Now launch the threads - -# determine local system name and type if available -# ------------------------------------------------- -SITE=${SITE:-""} -sys_tp=${sys_tp:-$(getsystem.pl -tp)} -getsystp_err=$? -if [ $getsystp_err -ne 0 ]; then - msg="***WARNING: error using getsystem.pl to determine system type and phase" - set +u - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - set -u -fi -echo sys_tp is set to: $sys_tp - -if [ "$sys_tp" = 'Cray-XC40' -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - launcher=${launcher:-"aprun_cfp"} -else - launcher=${launcher:-"cfp"} -fi -if [ "$launcher" = aprun_cfp ]; then - # Get compute node count: Subtract one from the total number of unique - # hosts to account for the MAMU node that runs serial portion of job - typeset -i nodesall=$(echo -e "${LSB_HOSTS// /\\n}"|sort -u|wc -w) - typeset -i ncnodes=$(($nodesall-1)) # we want compute nodes only - if [ $ncnodes -lt 1 ]; then - set +x - echo - echo " ######################################################## " - echo " --> Could not get positive compute node count for aprun! " - echo " --> Check that BSUB directives included a reservation " - echo " request for one or more compute nodes. " - echo " --> @@ F A T A L E R R O R @@ -- ABNORMAL EXIT " - echo " ######################################################## " - echo - set -x - $DATA/err_exit "***FATAL: Check if compute nodes were allocated" - fi -elif [[ "$launcher" = cfp && -z "$LSB_HOSTS" ]]; then - set +x - echo - echo "You requested the cfp poe launcher but are not running under LSF!!" - echo "You must run under LSF to use cfp option on IBM. Exiting..." - echo - set -x - $DATA/err_exit -fi -if [ "$launcher" = cfp -o "$launcher" = aprun_cfp ]; then - > $DATA/poe.cmdfile - -# To better take advantage of cfp, execute the longer running commands first. -# Some reordering was done here based on recent sample runtimes. - [ $DUMP_group7 = YES ] && echo thread_7 >> $DATA/poe.cmdfile # moved up - [ $DUMP_group1 = YES ] && echo thread_1 >> $DATA/poe.cmdfile - [ $DUMP_group5 = YES ] && echo thread_5 >> $DATA/poe.cmdfile # moved up - [ $DUMP_group6 = YES ] && echo thread_6 >> $DATA/poe.cmdfile # moved up - [ $DUMP_group8 = YES ] && echo thread_8 >> $DATA/poe.cmdfile # moved up - [ $DUMP_group11 = YES ] && echo thread_11 >> $DATA/poe.cmdfile # moved up - [ $DUMP_group10 = YES ] && echo thread_10 >> $DATA/poe.cmdfile # moved up - [ $DUMP_group2 = YES ] && echo thread_2 >> $DATA/poe.cmdfile - [ $DUMP_group3 = YES -a $ADPUPA_wait != YES ] && echo thread_3 >> $DATA/poe.cmdfile - [ $DUMP_group4 = YES ] && echo thread_4 >> $DATA/poe.cmdfile - [ $DUMP_group9 = YES ] && echo thread_9 >> $DATA/poe.cmdfile - - if [ -s $DATA/poe.cmdfile ]; then - nthreads=$(cat $DATA/poe.cmdfile | wc -l) - if [ $nthreads -eq 1 ]; then # don't expect to need this, but just in case - echo "do not need cfp for 1 thread" - if [ "$launcher" = aprun_cfp ]; then - aprun -n 1 -N 1 -d 1 sh $DATA/poe.cmdfile - else - sh $DATA/poe.cmdfile - fi - elif [ "$launcher" = cfp ]; then # iDataPlex - module load cfp - export MP_CSS_INTERRUPT=yes - mpirun.lsf cfp $DATA/poe.cmdfile 2>&1 - elif [ "$launcher" = aprun_cfp ]; then - if [[ -z ${DUMPStpn:-""} ]]; then # pes per node - # cfp is faster with extra thread so add one if there is room. - # For now, going with 20 as default max rather than 24. - if [ $nthreads -lt 20 ]; then - DUMPStpn=$(($nthreads+1)) - else - DUMPStpn=20 - fi - fi - NPROCS=$(($ncnodes*$DUMPStpn)) # concurrent processes - aprun -j 1 -n${NPROCS} -N${DUMPStpn} -d 1 --cc depth cfp $DATA/poe.cmdfile - fi - errpoe=$? - if [ $errpoe -ne 0 ]; then - $DATA/err_exit "***FATAL: EXIT STATUS $errpoe RUNNING POE COMMAND FILE" - fi - else - echo - echo "==> There are no tasks in POE Command File - POE not run" - echo - fi -else - if [ "$sys_tp" = 'Cray-XC40' -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - set +x - echo - echo " ############################################################# " - echo " --> Option to use background threads is disabled on Cray-XC40." - echo " --> @@ F A T A L E R R O R @@ -- ABNORMAL EXIT " - echo " ############################################################# " - echo - set -x - $DATA/err_exit "***FATAL: Check if compute nodes were allocated" - else - echo "Spawning background threads" - [ $DUMP_group1 = YES ] && thread_1 & - [ $DUMP_group2 = YES ] && thread_2 & - [ $DUMP_group3 = YES -a $ADPUPA_wait != YES ] && thread_3 & - [ $DUMP_group4 = YES ] && thread_4 & - [ $DUMP_group5 = YES ] && thread_5 & - [ $DUMP_group6 = YES ] && thread_6 & - [ $DUMP_group7 = YES ] && thread_7 & - [ $DUMP_group8 = YES ] && thread_8 & - [ $DUMP_group9 = YES ] && thread_9 & - [ $DUMP_group10 = YES ] && thread_10 & - [ $DUMP_group11 = YES ] && thread_11 & - wait - fi -fi - -# if ADPUPA_wait is YES, adpupa is dumped AFTER all other dump threads have -# run (normally done in real-time GFS runs to dump as late as possible in -# order to maximize data availability in GFS network, particularly DROPs) -# -------------------------------------------------------------------------- - -[ $DUMP_group3 = YES -a $ADPUPA_wait = YES ] && thread_3 - -cat $DATA/1.out $DATA/2.out $DATA/3.out $DATA/4.out $DATA/5.out $DATA/6.out $DATA/7.out $DATA/8.out $DATA/9.out $DATA/10.out $DATA/11.out - -set +x -echo " " -echo " " -set -x - -[ -s $DATA/error1 ] && err1=`cat $DATA/error1` -[ -s $DATA/error2 ] && err2=`cat $DATA/error2` -[ -s $DATA/error3 ] && err3=`cat $DATA/error3` -[ -s $DATA/error4 ] && err4=`cat $DATA/error4` -[ -s $DATA/error5 ] && err5=`cat $DATA/error5` -[ -s $DATA/error6 ] && err6=`cat $DATA/error6` -[ -s $DATA/error7 ] && err7=`cat $DATA/error7` -[ -s $DATA/error8 ] && err8=`cat $DATA/error8` -[ -s $DATA/error9 ] && err9=`cat $DATA/error9` -[ -s $DATA/error10 ] && err10=`cat $DATA/error10` -[ -s $DATA/error11 ] && err11=`cat $DATA/error11` - - -#=============================================================================== - -export STATUS=YES -export DUMP_NUMBER=12 -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.00 1 null - -# endif loop $PROCESS_DUMP -fi - -echo " " >> $pgmout -echo "##################################################################\ -####################" >> $pgmout -echo " " >> $pgmout - -#================================================================ -#================================================================ - - -if [ "$PROCESS_DUMP" = 'YES' ]; then - - if [ "$err1" -gt '5' -o "$err2" -gt '5' -o "$err3" -gt '5' -o \ - "$err4" -gt '5' -o "$err5" -gt '5' -o "$err6" -gt '5' -o \ - "$err7" -gt '5' -o "$err8" -gt '5' -o "$err9" -gt '5' -o \ - "$err10" -gt '5' -o "$err11" -gt '5' ]; then - for n in $err1 $err2 $err3 $err4 $err5 $err6 $err7 $err8 $err9 $err10 $err11 - do - if [ "$n" -gt '5' ]; then - if [ "$n" -ne '11' -a "$n" -ne '22' ]; then - -## fatal error in dumping of BUFR obs. files - - set +x -echo -echo " ###################################################### " -echo " --> > 22 RETURN CODE FROM DATA DUMP, $err1, $err2, $err3, $err4, \ -$err5, $err6, $err7, $err8, $err9, $err10, $err11 " -echo " --> @@ F A T A L E R R O R @@ -- ABNORMAL EXIT " -echo " ###################################################### " -echo - set -x - $DATA/err_exit - exit 9 - fi - fi - done - -## a status code of 11 or 22 from dumping of BUFR obs. files -## is non-fatal but still worth noting - - set +x - echo - echo " ###################################################### " - echo " --> > 5 RETURN CODE FROM DATA DUMP, $err1, $err2, $err3, $err4, \ -$err5, $err6, $err7, $err8, $err9, $err10, $err11 " - echo " --> NOT ALL DATA DUMP FILES ARE COMPLETE - CONTINUE " - echo " ###################################################### " - echo - set -x - fi - -# endif loop $PROCESS_DUMP -fi - - -# GOOD RUN -set +x -echo " " -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " " -set -x - - -# save standard output -cat break $pgmout break > allout -cat allout -# rm allout - -sleep 10 - -msg='ENDED NORMALLY.' -$DATA/postmsg "$jlogfile" "$msg" - -################## END OF SCRIPT ####################### diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf.txt deleted file mode 100644 index 211909b..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf.txt +++ /dev/null @@ -1 +0,0 @@ -NO_SCUCH_VARIABLE_NAME=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0/scripts/exglobal_dump.sh.ecf diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf b/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf deleted file mode 100755 index 074c71b..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf +++ /dev/null @@ -1,578 +0,0 @@ -#!/bin/ksh -# Run under ksh - -############################################################################# -echo "----------------------------------------------------------------------" -echo "exglobal_makeprepbufr.sh.ecf - Global (GDAS, GFS) model prepbufr " -echo " processing " -echo "----------------------------------------------------------------------" -echo "History: Mar 1 2013 - Original script. " -echo " Dec 3 2014 - CDAS network, split off into its own script " -echo " excdas_makeprepbufr.sh.ecf. This script now " -echo " tailored exclusively to GDAS and GFS. " -echo " Mar 11 2017 - Update to handle nemsio filename patterns " -############################################################################# - -set -x - -# Make sure we are in the $DATA directory -cd $DATA - -msg="HAS BEGUN on `hostname`" -$DATA/postmsg "$jlogfile" "$msg" - -cat break > $pgmout - -CHGRP_RSTPROD=${CHGRP_RSTPROD:-YES} -MAKE_NSSTBUFR=${MAKE_NSSTBUFR:-YES} - -export COMSP=${COMSP:-$COMIN/${RUN}.${cycle}.} - - -if [ "$DO_QC" = 'YES' -a "$CQCBUFR" = 'YES' -a -n "$COM1" -a -n "$CQCC" ]; then - -# NOTE: The following logic currently does not apply to the GFS or GDAS -# network. (It applies only to the CDAS network.) It is maintained here -# in case it ever does. -# ----------------------------------------------------------------------------- -# If running PREPOBS_CQCBUFR, must check its data cards to see if -# namelist switch DOTMP is TRUE - if so, must get prepbufr_pre-qc files -# from t-24, t-12, t+12, t+24 to feed into PREPOBS_CQCBUFR - - DOTMP=`grep DOTMP $CQCC | awk -F, \ - '{print $1; print $2; print $3; print $4; print$5}' | grep DOTMP | \ - awk -F= '{print $2}'` - - if [[ $DOTMP = *T* ]]; then - [ -s ${COM1}${PDYm1}/${RUN}.${cycle}.prepbufr_pre-qc ] && \ - export PRPI_m24=${COM1}${PDYm1}/${RUN}.${cycle}.prepbufr_pre-qc - [ -s ${COM1}${PDYp1}/${RUN}.${cycle}.prepbufr_pre-qc ] && \ - export PRPI_p24=${COM1}${PDYp1}/${RUN}.${cycle}.prepbufr_pre-qc - tdate10=`$NDATE -12 $PDY$cyc` - cyc_m12=`echo $tdate10|cut -c9-10` - pdy_m12=`echo $tdate10|cut -c1-8` - [ -s ${COM1}${pdy_m12}/${RUN}.t${cyc_m12}z.prepbufr_pre-qc ] && \ - export PRPI_m12=${COM1}${pdy_m12}/${RUN}.t${cyc_m12}z.prepbufr_pre-qc - tdate10=`$NDATE +12 $PDY$cyc` - cyc_p12=`echo $tdate10|cut -c9-10` - pdy_p12=`echo $tdate10|cut -c1-8` - [ -s ${COM1}${pdy_p12}/${RUN}.t${cyc_p12}z.prepbufr_pre-qc ] && \ - export PRPI_p12=${COM1}${pdy_p12}/${RUN}.t${cyc_p12}z.prepbufr_pre-qc - fi -fi - -cdate10=`cut -c7-16 ncepdate` - -msg="CENTER TIME FOR PREPBUFR PROCESSING IS $cdate10" -$DATA/postmsg "$jlogfile" "$msg" - -ksh $ushscript_prep/prepobs_makeprepbufr.sh $cdate10 -errsc=$? - -[ "$errsc" -ne '0' ] && exit $errsc - -if [ "$CHGRP_RSTPROD" = 'YES' ]; then - msg="NOTE: These files (if present) are RESTRICTED to rstprod group: \ -prepbufr_pre-qc, prepbufr, prepbufr.acft_profiles*, acqc_???*, \ -acqc_merged*_sorted, tosslist, prepbufr.unblok" - $DATA/postmsg "$jlogfile" "$msg" -set +x - echo " " - echo "$msg" - echo " " -set -x -fi -warning=no - -if [ "$PREPDATA" = 'YES' ]; then - -# save snapshot of prepbufr file after PREPOBS_PREPDATA in COMOUT - cp prepda.prepdata $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc - chmod 664 $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc - - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc - else - cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc - warning=yes - fi - fi - -# save current prepbufr mnemonic table in COMOUT if either it isn't already -# there for a previous cycle or if it has changed from a previous cycle - if [ ! -s $COMOUT/*prep.bufrtable ]; then - cp prep.bufrtable $COMOUT/${RUN}.${cycle}.prep.bufrtable - else - diff `ls -t $COMOUT/*prep.bufrtable | head -n1` prep.bufrtable \ - > /dev/null 2>&1 - errdiff=$? - [ "$errdiff" -ne '0' ] && \ - cp prep.bufrtable $COMOUT/${RUN}.${cycle}.prep.bufrtable - fi -fi - -# save global guess file(s) in COMOUT if they haven't already been saved -# here by previous tropical cyclone relocation processing -# first block is for nemsio input, second is for sigio input -if [[ "$NEMSIO_IN" == .true. ]]; then - [ -s sgm3prep -a ! -s $COMOUT/${RUN}.${cycle}.atmgm3.nemsio ] && \ - cp sgm3prep $COMOUT/${RUN}.${cycle}.atmgm3.nemsio - [ -s sgp3prep -a ! -s $COMOUT/${RUN}.${cycle}.atmgp3.nemsio ] && \ - cp sgp3prep $COMOUT/${RUN}.${cycle}.atmgp3.nemsio - if [ -s sgesprep ]; then - if [ -s sgesprepA ]; then - cp sgesprep $COMOUT/${RUN}.${cycle}.atmges.nemsio_before - cp sgesprepA $COMOUT/${RUN}.${cycle}.atmges.nemsio_after - else - [ ! -s $COMOUT/${RUN}.${cycle}.atmges.nemsio ] && \ - cp sgesprep $COMOUT/${RUN}.${cycle}.atmges.nemsio - fi - fi -else - [ -s sgm3prep -a ! -s $COMOUT/${RUN}.${cycle}.sgm3prep ] && \ - cp sgm3prep $COMOUT/${RUN}.${cycle}.sgm3prep - [ -s sgp3prep -a ! -s $COMOUT/${RUN}.${cycle}.sgp3prep ] && \ - cp sgp3prep $COMOUT/${RUN}.${cycle}.sgp3prep - if [ -s sgesprep ]; then - if [ -s sgesprepA ]; then - cp sgesprep $COMOUT/${RUN}.${cycle}.sgesprep_before - cp sgesprepA $COMOUT/${RUN}.${cycle}.sgesprep_after - else - [ ! -s $COMOUT/${RUN}.${cycle}.sgesprep ] && \ - cp sgesprep $COMOUT/${RUN}.${cycle}.sgesprep - fi - fi -fi -# end nemsio vs sigio logic to copy guess files to COMOUT - -# save path name of global guess file valid at center PREPBUFR -# date/time (encoded into PREPBUFR file and used by q.c. programs) in COMOUT -# FOR NOW, staying with term "sgesprep" for these "pathname" filenames even for -# nemsio (as done in tropical cyclone relocation processing) - 03/2017 -if [ "$GETGUESS" = 'YES' ]; then - if [[ "$NEMSIO_IN" == .true. ]]; then - set +x; echo -e "\n\"sges_pathname\" files point to nemsio files\n";set -x - fi - if [ -s sgesprepA_pathname ]; then - cp sgesprep_pathname \ - $COMOUT/${RUN}.${cycle}.sgesprep_pathname_before.$tmmark - cp sgesprepA_pathname \ - $COMOUT/${RUN}.${cycle}.sgesprep_pathname_after.$tmmark - else - -# if the target file already exists, it was created in previous -# tropcy_relocate.sh script because either there was an error or no -# tcvitals were present - in this case the target file points to the orig. -# getges global sigma guess (since the guess was not modified by relocation) -# - otherwise sgesprep_pathname will either contain either the path to the -# getges guess (if tropical cyclone relocation did not run previously) or -# it will contain the path to the modified sgesprep guess (if tropical -# cyclone relocation did run previously and did modify the guess) -# --------------------------------------------------------------------------- - - [ ! -s $COMOUT/${RUN}.${cycle}.sgesprep_pathname.$tmmark ] && \ - cp sgesprep_pathname $COMOUT/${RUN}.${cycle}.sgesprep_pathname.$tmmark - fi -fi - -# save synthetic bogus files in COMOUT -[ -s bogrept ] && cp bogrept $COMOUT/${RUN}.${cycle}.syndata.bogrept -[ -s bogdata ] && cp bogdata $COMOUT/${RUN}.${cycle}.syndata.bogdata -[ -s dthistry ] && cp dthistry $COMOUT/${RUN}.${cycle}.syndata.dthistry - -if [[ "$SENDDBN" == "YES" ]]; then - if [[ "$RUN" == "gfs" || "$RUN" == "gdas" || "$RUN" == "gdas1" ]]; then - RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) - if [[ -s bogrept ]]; then - $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job $COMOUT/${RUN}.${cycle}.syndata.bogrept - fi - if [[ -s bogdata ]]; then - $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job $COMOUT/${RUN}.${cycle}.syndata.bogdata - fi - fi -fi - -if [ "$DO_QC" = 'YES' ]; then - -# save final form of prepbufr file in COMOUT - cp prepda.${cycle} $COMOUT/${RUN}.${cycle}.prepbufr - chmod 664 $COMOUT/${RUN}.${cycle}.prepbufr - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr - else - cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr - warning=yes - fi - fi - -# save prepacqc prepbufr.acft_profiles file in COMOUT - if [ -s prepbufr.acft_profiles ]; then - cp prepbufr.acft_profiles $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles - else - cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles - warning=yes - fi - fi - fi - -# save prepacqc prepbufr.acft_profiles_sfc file in COMOUT - if [ -s prepbufr.acft_profiles_sfc ]; then - cp prepbufr.acft_profiles_sfc \ - $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc - else - cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc - warning=yes - fi - fi - fi - -# save prepacqc output files in COMOUT - if [ -s acftqc_*.sus ]; then - mv acftqc_*.sus acftqc_sus - cp acftqc_sus $COMOUT/${RUN}.${cycle}.acqc_sus - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_sus - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_sus - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_sus - warning=yes - fi - fi - fi - - if [ -s acftqc_*.stk ]; then - mv acftqc_*.stk acftqc_stk - cp acftqc_stk $COMOUT/${RUN}.${cycle}.acqc_stk - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_stk - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_stk - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_stk - warning=yes - fi - fi - fi - - if [ -s acftqc_*.spk ]; then - mv acftqc_*.spk acftqc_spk - cp acftqc_spk $COMOUT/${RUN}.${cycle}.acqc_spk - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_spk - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_spk - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_spk - warning=yes - fi - fi - fi - - if [ -s acftqc_*.ord ]; then - mv acftqc_*.ord acftqc_ord - cp acftqc_ord $COMOUT/${RUN}.${cycle}.acqc_ord - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_ord - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_ord - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_ord - warning=yes - fi - fi - fi - - if [ -s acftqc_*.lst ]; then - mv acftqc_*.lst acftqc_lst - cp acftqc_lst $COMOUT/${RUN}.${cycle}.acqc_lst - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_lst - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_lst - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_lst - warning=yes - fi - fi - fi - - if [ -s acftqc_*.inv ]; then - mv acftqc_*.inv acftqc_inv - cp acftqc_inv $COMOUT/${RUN}.${cycle}.acqc_inv - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_inv - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_inv - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_inv - warning=yes - fi - fi - fi - - if [ -s acftqc_*.inc ]; then - mv acftqc_*.inc acftqc_inc - cp acftqc_inc $COMOUT/${RUN}.${cycle}.acqc_inc - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_inc - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_inc - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_inc - warning=yes - fi - fi - fi - - if [ -s acftqc_*.grc ]; then - mv acftqc_*.grc acftqc_grc - cp acftqc_grc $COMOUT/${RUN}.${cycle}.acqc_grc - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_grc - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_grc - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_grc - warning=yes - fi - fi - fi - - if [ -s acftqc_*.dup ]; then - mv acftqc_*.dup acftqc_dup - cp acftqc_dup $COMOUT/${RUN}.${cycle}.acqc_dup - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_dup - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_dup - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_dup - warning=yes - fi - fi - fi - - if [ -s acftqc_*.log ]; then - mv acftqc_*.log acftqc_log - cp acftqc_log $COMOUT/${RUN}.${cycle}.acqc_log - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_log - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_log - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_log - warning=yes - fi - fi - fi - - if [ -s merged.reports.post_acftobs_qc.sorted ]; then - cp merged.reports.post_acftobs_qc.sorted \ - $COMOUT/${RUN}.${cycle}.acqc_merged_sorted - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_merged_sorted - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_merged_sorted - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_merged_sorted - warning=yes - fi - fi - fi - - if [ -s merged.profile_reports.post_acftobs_qc.sorted ]; then - cp merged.profile_reports.post_acftobs_qc.sorted \ - $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted - warning=yes - fi - fi - fi - -# save cqcbufr output files in COMOUT - touch cqc_events - cp cqc_events $COMOUT/${RUN}.${cycle}.cqc_events - touch cqc_stncnt - cp cqc_stncnt $COMOUT/${RUN}.${cycle}.cqc_stncnt - touch cqc_stnlst - cp cqc_stnlst $COMOUT/${RUN}.${cycle}.cqc_stnlst - touch cqc_sdm - cp cqc_sdm $COMOUT/${RUN}.${cycle}.cqc_sdm - touch cqc_radcor - cp cqc_radcor $COMOUT/${RUN}.${cycle}.cqc_radcor - -# save oiqc tosslist in COMOUT (if it runs) - if [ -s tosslist ]; then - cp tosslist $COMOUT/${RUN}.${cycle}.tosslist - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.tosslist - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.tosslist - else - cp /dev/null $COMOUT/${RUN}.${cycle}.tosslist - warning=yes - fi - fi - fi - - # Remove the following logic to create unblocked prepbufr files once we know - # it is definitely no longer needed. - if [ "${PROCESS_UNBLKBUFR:-NO}" = 'YES' ]; then -# -# make unblocked prepbufr file -# ---> ON WCOSS prepbufr is already unblocked, so for now just copy it to the -# unblok file location used before on CCS - hopefully this can be removed -# someday! - cp -p prepda.${cycle} prepda.${cycle}.unblok - err_cp=$? - if [ $err_cp -eq 0 ]; then - cp prepda.${cycle}.unblok $COMOUT/${RUN}.${cycle}.prepbufr.unblok - chmod 664 $COMOUT/${RUN}.${cycle}.prepbufr.unblok - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr.unblok - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr.unblok - else - cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr.unblok - warning=yes - fi - fi - fi - fi ## end logic to potentially create unblok version of prepbufr file - - if [[ "$SENDDBN" == "YES" ]]; then - if [[ "$RUN" == "gdas" || "$RUN" == "gdas1" ]]; then - RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) - $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_BUFR_PREPda $job \ - $COMOUT/${RUN}.${cycle}.prepbufr - if [ "${PROCESS_UNBLKBUFR:-NO}" = 'YES' ]; then - $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_BUFR_PREPda_unblok $job \ - $COMOUT/${RUN}.${cycle}.prepbufr.unblok - fi - elif [[ "$RUN" == "gfs" ]]; then - $DBNROOT/bin/dbn_alert MODEL GFS_BUFR_PREPda $job \ - $COMOUT/${RUN}.${cycle}.prepbufr - if [ "${PROCESS_UNBLKBUFR:-NO}" = 'YES' ]; then - $DBNROOT/bin/dbn_alert MODEL GFS_BUFR_PREPda_unblok $job \ - $COMOUT/${RUN}.${cycle}.prepbufr.unblok - fi - if [[ "$NEMSIO_IN" == .true. ]]; then - $DBNROOT/bin/dbn_alert MODEL GFS_atmges_NEMSIO $job \ - $COMOUT/${RUN}.${cycle}.atmges.nemsio - $DBNROOT/bin/dbn_alert MODEL GFS_atmgm3_NEMSIO $job \ - $COMOUT/${RUN}.${cycle}.atmgm3.nemsio - $DBNROOT/bin/dbn_alert MODEL GFS_atmgp3_NEMSIO $job \ - $COMOUT/${RUN}.${cycle}.atmgp3.nemsio - else - $DBNROOT/bin/dbn_alert MODEL GFS_sges_PREP $job \ - $COMOUT/${RUN}.${cycle}.sgesprep - $DBNROOT/bin/dbn_alert MODEL GFS_sgm3_PREP $job \ - $COMOUT/${RUN}.${cycle}.sgm3prep - $DBNROOT/bin/dbn_alert MODEL GFS_sgp3_PREP $job \ - $COMOUT/${RUN}.${cycle}.sgp3prep - fi - fi - fi -fi -## create combined ocean data dump file expected by NSST -if [[ "$MAKE_NSSTBUFR" == 'YES' ]]; then - > nsstbufr - chgrp rstprod nsstbufr - errch=$? - if [ $errch -eq 0 ]; then - for type in sfcshp tesac bathy trkob; do - file=${COMSP}$type.$tmmark.bufr_d - if [ -s $file ]; then - cat $file >> nsstbufr - err=$? - if [ $err -ne 0 ]; then - msg="**WARNING: exit status $err from cat of $file to nsstbufr" - $DATA/postmsg "$jlogfile" "$msg" - fi - else - echo $file is empty or does not exist - fi - done - cp nsstbufr $COMOUT/${RUN}.${cycle}.nsstbufr - chgrp rstprod $COMOUT/${RUN}.${cycle}.nsstbufr - chmod 640 $COMOUT/${RUN}.${cycle}.nsstbufr - msg="NOTE: nsstbufr file contains RESTRICTED data, only users in \ -rstprod group have read permission" - $DATA/postmsg "$jlogfile" "$msg" - else - cp /dev/null $COMOUT/${RUN}.${cycle}.nsstbufr - warning=yes - fi -fi - -if [ "$warning" = 'yes' ]; then - msg="**WARNING: Since user $USER is not in rstprod group all RESTRICTED \ -files are replaced with a null file" - $DATA/postmsg "$jlogfile" "$msg" -set +x - echo " " - echo "$msg" - echo " " -set -x -fi - -######################################################## - -# GOOD RUN -set +x -echo " " -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " " -set -x - - -# save standard output -cat break $pgmout break > allout -cat allout -# rm allout - -sleep 10 - -msg='ENDED NORMALLY.' -$DATA/postmsg "$jlogfile" "$msg" - -################## END OF SCRIPT ####################### diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf.txt deleted file mode 100644 index 5452628..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf.txt +++ /dev/null @@ -1 +0,0 @@ -NO_SUCH_VARIABLe_NAME=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0/scripts/exglobal_makeprepbufr.sh.ecf diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.sh deleted file mode 100755 index 1672800..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.sh +++ /dev/null @@ -1,1385 +0,0 @@ -#!/bin/ksh -################################################################################ -# -# Name: getges.sh Author: Mark Iredell -# -# Abstract: -# This script copies the valid global guess file to a given file. -# Alternatively, it writes the name of the guess file to standard output. -# Specify option "-n network" for the job network (default global). -# Other options are gdas, gfs, cdas, mrf, prx, etc. -# Specify option "-e environment" for the job environment (default prod). -# Another option is test. -# Specify option "-f fhour" for the specific forecast hour wanted (default any). -# Specify option "-q" for quiet mode to turn off script messages. -# Specify option "-r resolution" for the resolution wanted (default high). -# Other options are 25464 17042, 12628, low, 6228, namopl, any. -# Specify option "-t filetype" for the filetype wanted from among these choices: -# sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3, -# sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3, -# biascr, satang, satcnt, gesfil -# pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3, -# sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl, -# enggrb, enggri, icegrb, icegri, snogrb, snogrb_high, snogri, sstgrb, sstgri. -# natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur, -# nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur, -# nstcur, nflges, nflgp3 -# Specify option "-v valid" for the valid date wanted (default $CDATE). -# Currently, the valid hours specified must be a multiple of 3. -# Either 2-digit or 4-digit years are currently allowed. -# Specify positional argument to be the file to which to copy the guess. -# If missing, the NAME of the guess file is written to standard output. -# A nonzero return code from this script means either the arguments are invalid -# or the guess could not be found; a message is written to standard error in -# this case, but neither a file copy nor a standard output write will be done. -# The file returned is guaranteed to exist and be readable. -# The script uses the utility commands NDATE and NHOUR. -# -# Example 1. Copy the production sigma guess for 1998100100 to the file sges. -# getges.sh -e prod -t sigges -v 1998100100 sges -# -# Example 2. Assign the pressure grib guess for the date 1998100121. -# export CDATE=1998100121 -# export XLFUNIT_12="$(getges.sh -qt pgbges||echo /dev/null)" -# -# Example 3. Get the PRX pgb analysis or the best valid guess at 1998100112. -# getges -e prx -t pgbcur -v 1998100112 pgbfile -# -# Example 5. Get the 24-hour GFS forecast sigma file valid at 1998100112. -# getges -t sigcur -v 1998100112 -f 24 -e gfs sigfile -# -# History: 1996 December Iredell Initial implementation -# 1997 March Iredell Nine new filetypes -# 1997 April Iredell Two new filetypes and -f option -# 1997 December Iredell Four new filetypes -# 1998 April Iredell 4-digit year allowed; -# sigges internal date no longer checked -# 1998 May Iredell T170L42 defaulted; four new filetypes -# and two filetypes deleted -# 1998 June Rogers Nam types added -# 1998 September Iredell high is default resolution -# 2000 March Iredell Cdas and -n option -# 2000 June Iredell Eight new filetypes -# 2002 April Treadon T254L64 defaulted; add angle dependent -# bias correction file -# 2003 March Iredell GFS network out to 384 hours -# 2003 August Iredell Hourly global guesses -# 2005 September Treadon Add satellite data count file (satcnt) -# 2006 September Gayno Add high-res snow analysis -# 2009 January Rogers Added sfluxgrb file -# 2011 April Rogers Added GFS pg2ges file -# 2016 May Menlove Changed GETGES_COM variable to $COMINmodel -# 2016 November Iredell Adapted getges for NEMS GSM -# Also removed a lot of dead wood -# -################################################################################ -#------------------------------------------------------------------------------- -# Set some default parameters. -fhbeg=03 # hour to begin searching backward for guess -fhinc=03 # hour to increment backward in search -fhend=384 # hour to end searching backward for guess - -#------------------------------------------------------------------------------- -# Get options and arguments. -netwk=global # default network -envir=prod # default environment -fhour=any # default forecast hour -quiet=NO # default quiet mode -trace=NO # default execution trace mode -resol=high # default resolution -typef=sigges # default filetype -valid=${CDATE:-'?'} # default valid date -err=0 - -while getopts n:e:f:qxr:t:v: opt;do - case $opt in - n) netwk="$OPTARG";; - e) envir="$OPTARG";; - f) fhour="$OPTARG";; - q) quiet=YES;; - x) trace=YES;; - r) resol="$OPTARG";; - t) typef="$OPTARG";; - v) valid="$OPTARG";; - \?) err=1;; - esac -done -shift $(($OPTIND-1)) -gfile=$1 -if [[ -z $valid ]];then - echo "$0: either -v option or environment variable CDATE must be set" >&2 -elif [[ $# -gt 1 ]];then - echo "$0: too many positional arguments" >&2 -elif [[ $err -ne 0 ]];then - echo "$0: invalid option" >&2 -fi -if [[ $gfile = '?' || $# -gt 1 || $err -ne 0 || -z $valid ||\ - $netwk = '?' || $envir = '?' || $fhour = '?' || $resol = '?' ||\ - $typef = '?' || $valid = '?' ]];then - echo "Usage: getges.sh [-n network] [-e environment] [-f fhour] [-q] [-r resolution]" >&2 - echo " [-t filetype] [-v valid] [gfile]" >&2 - if [[ $netwk = '?' ]];then - echo " network choices:" >&2 - echo " global (default), namopl, gdas, gfs, cdas, etc." >&2 - elif [[ $envir = '?' ]];then - echo " environment choices:" >&2 - echo " prod (default), test, para, dump, prx" >&2 - echo " (some network values allowed for compatibility)" >&2 - elif [[ $fhour = '?' ]];then - echo " fhour is optional specific forecast hour" >&2 - elif [[ $resol = '?' ]];then - echo " resolution choices:" >&2 - echo " high (default), 25464, 17042, 12628, low, 6228, namopl, any" >&2 - elif [[ $typef = '?' ]];then - echo " filetype choices:" >&2 - echo " sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3," >&2 - echo " sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3," >&2 - echo " sfgges, sfggp3, biascr, satang, satcnt, gesfil" >&2 - echo " pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3," >&2 - echo " sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl," >&2 - echo " enggrb, enggri, icegrb, icegri, snogrb, snogri, sstgrb, sstgri," >&2 - echo " pg2cur, pg2ges, restrt," >&2 - echo " natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur," >&2 - echo " nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur," >&2 - echo " nstcur, nflges, nflgp3," >&2 - elif [[ $valid = '?' ]];then - echo " valid is the valid date in yyyymmddhh or yymmddhh form" >&2 - echo " (default is environmental variable CDATE)" >&2 - elif [[ $gfile = '?' ]];then - echo " gfile is the guess file to write" >&2 - echo " (default is to write the guess file name to stdout)" >&2 - else - echo " (Note: set a given option to '?' for more details)" >&2 - fi - exit 1 -fi -[[ $trace == YES ]]&&set -x -if [[ $envir != prod && $envir != test && $envir != para && $envir != dump && $envir != pr? && $envir != dev ]];then - netwk=$envir - envir=prod - echo '************************************************************' >&2 - echo '* WARNING: Using "-e" is deprecated in this case. *' >&2 - echo '* Please use "-n" instead. *' >&2 - echo '************************************************************' >&2 -fi -if [[ "$netwk" = "namopl" || "$resol" = "namopl" ]];then - netwk=namopl - typef=restrt - resol=namopl -fi -[[ $resol = 57464 || $resol = 38264 || $resol = 19064 || $resol = 25464 || $resol = 17042 || $resol = 12628 ]]&&resol=high -[[ $resol = 6228 ]]&&resol=low -resolsuf="" -[[ $resol == *deg ]]&&resolsuf=.$resol -fhbeg=$(${NHOUR:?} $valid) -[[ $fhbeg -le 0 ]]&&fhbeg=03 -((fhbeg=(10#$fhbeg-1)/3*3+3)) -[[ $fhbeg -lt 10 ]]&&fhbeg=0$fhbeg -if [[ $typef = enggrb ]];then - typef=icegrb - echo '************************************************************' >&2 - echo '* WARNING: Using "-t enggrb" is now deprecated. *' >&2 - echo '* Please use "-t icegrb". *' >&2 - echo '************************************************************' >&2 -elif [[ $typef = enggri ]];then - typef=icegri - echo '************************************************************' >&2 - echo '* WARNING: Using "-t enggri" is now deprecated. *' >&2 - echo '* Please use "-t icegri". *' >&2 - echo '************************************************************' >&2 -fi - -#------------------------------------------------------------------------------- -# Assemble guess list in descending order from the best guess. -geslist="" -getlist00="" - -# GDAS -if [[ "$netwk" = "gdas" ]];then - if [ -z "$COMINgdas" ]; then - echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 - exit 1 - fi - fhend=12 - case $typef in - biascr) geslist=' - $COMINgdas/gdas.t${cyc}z.abias' - ;; - biascr_pc) geslist=' - $COMINgdas/gdas.t${cyc}z.abias_pc' - ;; - biascr_air) geslist=' - $COMINgdas/gdas.t${cyc}z.abias_air' - ;; - radstat) geslist=' - $COMINgdas/gdas.t${cyc}z.radstat' - ;; - pgbges) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh' - ;; - pg2ges) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' - ;; - pgbgm6) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6' - ;; - pgbgm3) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3' - ;; - pgbgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3' - ;; - pgbcur) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINgdas/gdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINgdas/gdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINgdas/gdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576' - fhbeg=00 - fhinc=06 - ;; - snogrb_1534) geslist=' - $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINgdas/gdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - natges) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' - ;; - natgm3) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio' - ;; - natgm2) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio' - ;; - natgm1) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio' - ;; - natgp1) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio' - ;; - natgp2) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio' - ;; - natgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio' - ;; - natcur) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' - getlist00=' - $COMINgdas/gdas.t${cyc}z.atmanl.nemsio' - fhbeg=00 - ;; - nsfges) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' - ;; - nsfgm3) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio' - ;; - nsfgm2) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio' - ;; - nsfgm1) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio' - ;; - nsfgp1) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio' - ;; - nsfgp2) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio' - ;; - nsfgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio' - ;; - nsfcur) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' - getlist00=' - $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio' - fhbeg=00 - ;; - nstcur) geslist=' - $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio' - getlist00=' - $COMINgdas/gdas.t${cyc}z.nstanl.nemsio' - fhbeg=00 - ;; - nflges) geslist=' - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' - ;; - nflgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio' - ;; - nflcur) geslist=' - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' - fhbeg=00 - ;; - esac - -# CFS-CDAS -elif [[ "$netwk" = "cfs-cdas" ]];then - if [ -z "$COMINcfs_cdas" ]; then - echo "getges.sh ERROR: The \$COMINcfs_cdas variable must be defined." >&2 - exit 1 - fi - fhend=12 - case $typef in - sigges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fh}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm3}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm2}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm1}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp1}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp2}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp3}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.abias' - ;; - satang) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.satang' - ;; - satcnt) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fh' - ;; - sfggp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fhp3' - ;; - pgbges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm6 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm6 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhp3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhp3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' - getlist00=' - $COMINcfs_cdas/cdas1.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' - getlist00=' - $COMINcfs_cdas/cdas1.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574 - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# GFS -elif [[ "$netwk" = "gfs" ]];then - if [ -z "$COMINgfs" ]; then - echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 - exit 1 - fi - fhend=384 - case $typef in - natges) geslist=' - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - ;; - pgbcur) geslist=' - $COMINgfs/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINgfs/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvitl) geslist=' - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINgfs/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINgfs/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_1534) geslist=' - $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINgfs/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - natcur) geslist=' - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - getlist00=' - $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' - fhbeg=00 - ;; - nsfcur) geslist=' - $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' - getlist00=' - $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' - fhbeg=00 - ;; - nstcur) geslist=' - $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' - getlist00=' - $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' - fhbeg=00 - ;; - nflcur) geslist=' - $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' - fhbeg=00 - ;; - esac - -# CDAS -elif [[ "$netwk" = "cdas" ]];then - if [ -z "$COMINcdas" ]; then - echo "getges.sh ERROR: The \$COMINcdas variable must be defined." >&2 - exit 1 - fi - fhbeg=06 - fhend=06 - case $typef in - sigges) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $COMINcdas/cdas.t${cyc}z.abias' - ;; - satang) geslist=' - $COMINcdas/cdas.t${cyc}z.satang' - ;; - satcnt) geslist=' - $COMINcdas/cdas.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $COMINcdas/cdas.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fh' - getlist00=' - $COMINcdas/cdas.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fh' - getlist00=' - $COMINcdas/cdas.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINcdas/cdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINcdas/cdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $COMINcdas/cdas.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINcdas/cdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $COMINcdas/cdas.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINcdas/cdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $COMINcdas/cdas.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# CDC CDAS -elif [[ "$netwk" = "cdc" ]];then - if [ -z "$COMINcdc" ]; then - echo "getges.sh ERROR: The \$COMINcdc variable must be defined." >&2 - exit 1 - fi - fhbeg=06 - fhend=06 - case $typef in - sigges) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $COMINcdc/cdas.t${cyc}z.abias' - ;; - satang) geslist=' - $COMINcdc/cdas.t${cyc}z.satang' - ;; - satcnt) geslist=' - $COMINcdc/cdas.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $COMINcdc/cdas.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fh' - getlist00=' - $COMINcdc/cdas.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fh' - getlist00=' - $COMINcdc/cdas.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINcdc/cdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINcdc/cdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $COMINcdc/cdas.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINcdc/cdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $COMINcdc/cdas.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINcdc/cdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $COMINcdc/cdas.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# Any resolution production -elif [[ "$netwk" = "global" ]];then - if [ -z "$COMINgdas" ]; then - echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 - exit 1 - fi - if [ -z "$COMINgfs" ]; then - echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 - exit 1 - fi - GETGES_NWG=${GETGES_NWG:-${GESROOT:?}} - case $typef in - biascr) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.abias - $COMINgdas/gdas.t${cyc}z.abias - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias - $COMINgfs/gfs.t${cyc}z.abias' - fhbeg=06 - fhinc=06 - ;; - pgbges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $COMINgfs/gfs.t${cyc}z.pgrbf$fh' - ;; - pgbgm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm6 - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm6 - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 - $COMINgfs/gfs.t${cyc}z.pgrbf$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm3 - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm3 - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 - $COMINgfs/gfs.t${cyc}z.pgrbf$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhp3 - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhp3 - $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 - $COMINgfs/gfs.t${cyc}z.pgrbf$fhp3' - ;; - pg2ges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$gh - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$gh - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' - ;; - pg2gm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm6 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm6' - ;; - pg2gm5) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm5 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm5' - ;; - pg2gm4) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm4 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm4' - ;; - pg2gm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm3 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm3' - ;; - pg2gm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm2 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm2' - ;; - pg2gm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm1 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm1' - ;; - pg2gp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp1 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp1' - ;; - pg2gp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp2 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp2' - ;; - pg2gp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp3 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp3' - ;; - pgbcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $COMINgfs/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p50.f$gh - $COMINgdas/gdas.t${cyc}z.pgrb2.0p50.f$gh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f$gh - $COMINgfs/gfs.t${cyc}z.pgrb2.0p50.f$gh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.prepbufr - $COMINgdas/gdas.t${cyc}z.prepbufr - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr - $COMINgfs/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.engicegrb - $COMINgdas/gdas.t${cyc}z.engicegrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb - $COMINgfs/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb - $COMINgdas/gdas.t${cyc}z.snogrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb - $COMINgfs/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t574.1152.576 - $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574.1152.576 - $COMINgfs/gfs.t${cyc}z.snogrb_t574.1152.576' - fhbeg=00 - fhinc=06 - ;; - snogrb_1534) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t1534.3072.1536 - $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t1534.3072.1536 - $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sstgrb - $COMINgdas/gdas.t${cyc}z.sstgrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb - $COMINgfs/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - natges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - ((vhr=$valid%100)) - if [[ $(($vhr % 3)) -ne 0 ]]; then - fhinc=01 - fi - ;; - natgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm3.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm3.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghm3.nemsio' - ;; - natgm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm2.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm2.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghm2.nemsio' - ;; - natgm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm1.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm1.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghm1.nemsio' - ;; - natgp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp1.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp1.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghp1.nemsio' - ;; - natgp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp2.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp2.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghp2.nemsio' - ;; - natgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp3.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp3.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghp3.nemsio' - ;; - natcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmanl.nemsio - $COMINgdas/gdas.t${cyc}z.atmanl.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmanl.nemsio - $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' - fhbeg=00 - ;; - nsfges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' - ;; - nsfgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm3.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm3.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghm3.nemsio' - ;; - nsfgm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm2.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm2.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghm2.nemsio' - ;; - nsfgm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm1.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm1.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghm1.nemsio' - ;; - nsfgp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp1.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp1.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghp1.nemsio' - ;; - nsfgp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp2.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp2.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghp2.nemsio' - ;; - nsfgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp3.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp3.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghp3.nemsio' - ;; - nsfcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcanl.nemsio - $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl.nemsio - $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' - fhbeg=00 - ;; - nstcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstanl.nemsio - $COMINgdas/gdas.t${cyc}z.nstanl.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstanl.nemsio - $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' - fhbeg=00 - ;; - nflges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' - ;; - nflgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$ghp3.nemsio - $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$ghp3.nemsio - $COMINgfs/gfs.t${cyc}z.flxf$ghp3.nemsio' - ;; - nflcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' - fhbeg=00 - ;; - esac -fi - -# Check validity of options. -if [[ $fhour != any ]];then - fhbeg=$fhour - fhend=$fhour -fi -if [[ $valid -lt 20000000 ]];then - valid=20$valid - echo '************************************************************' >&2 - echo '* WARNING: A 2-digit year was converted to a 4-digit year. *' >&2 - echo '* Please use full a 4-digit year in this utility. *' >&2 - echo '************************************************************' >&2 -fi -if [[ $($NDATE 0 $valid 2>/dev/null) != $valid ]];then - echo getges.sh: invalid date $valid >&2 - exit 2 -fi -if [[ -z "$geslist" ]];then - echo getges.sh: filetype $typef or resolution $resol not recognized >&2 - exit 2 -fi - -#------------------------------------------------------------------------------- -# Loop until guess is found. -fh=$fhbeg -if [ -z "$PDY" ];then echo "getges.sh WARNING: \$PDY variable not set" >&2; fi -while [[ $fh -le $fhend ]];do - ((fhm6=10#$fh-6)) - [[ $fhm6 -lt 10 && $fhm6 -ge 0 ]]&&fhm6=0$fhm6 - ((fhm5=10#$fh-5)) - [[ $fhm5 -lt 10 && $fhm5 -ge 0 ]]&&fhm5=0$fhm5 - ((fhm4=10#$fh-4)) - [[ $fhm4 -lt 10 && $fhm4 -ge 0 ]]&&fhm4=0$fhm4 - ((fhm3=10#$fh-3)) - [[ $fhm3 -lt 10 && $fhm3 -ge 0 ]]&&fhm3=0$fhm3 - ((fhm2=10#$fh-2)) - [[ $fhm2 -lt 10 && $fhm2 -ge 0 ]]&&fhm2=0$fhm2 - ((fhm1=10#$fh-1)) - [[ $fhm1 -lt 10 && $fhm1 -ge 0 ]]&&fhm1=0$fhm1 - ((fhp1=10#$fh+1)) - [[ $fhp1 -lt 10 ]]&&fhp1=0$fhp1 - ((fhp2=10#$fh+2)) - [[ $fhp2 -lt 10 ]]&&fhp2=0$fhp2 - ((fhp3=10#$fh+3)) - [[ $fhp3 -lt 10 ]]&&fhp3=0$fhp3 - gh=$fh;[[ $gh -lt 100 ]]&&gh=0$gh - ghm6=$fhm6;[[ $ghm6 -lt 100 ]]&&ghm6=0$ghm6 - ghm5=$fhm5;[[ $ghm5 -lt 100 ]]&&ghm5=0$ghm5 - ghm4=$fhm4;[[ $ghm4 -lt 100 ]]&&ghm4=0$ghm4 - ghm3=$fhm3;[[ $ghm3 -lt 100 ]]&&ghm3=0$ghm3 - ghm2=$fhm2;[[ $ghm2 -lt 100 ]]&&ghm2=0$ghm2 - ghm1=$fhm1;[[ $ghm1 -lt 100 ]]&&ghm1=0$ghm1 - ghp1=$fhp1;[[ $ghp1 -lt 100 ]]&&ghp1=0$ghp1 - ghp2=$fhp2;[[ $ghp2 -lt 100 ]]&&ghp2=0$ghp2 - ghp3=$fhp3;[[ $ghp3 -lt 100 ]]&&ghp3=0$ghp3 - id=$($NDATE -$fh $valid) - typeset -L8 day=$id - typeset -R2 cyc=$id - eval list=\$getlist$fh - [[ -z "$list" ]]&&list=${geslist} - for ges_var in $list;do - # Replace variables in guess with their values - eval ges_val=$ges_var - # Replace the current PDY with the valid date - ges=${ges_val/$PDY\//$day/} - [[ $quiet = NO ]]&&echo Checking: $ges >&2 - [[ -r $ges ]]&&break 2 - done - fh=$((10#$fh+10#$fhinc)) - [[ $fh -lt 10 ]]&&fh=0$fh -done -if [[ $fh -gt $fhend ]];then - echo getges.sh: unable to find $netwk.$envir.$typef.$resol.$valid >&2 - exit 8 -fi - -#------------------------------------------------------------------------------- -# Either copy guess to a file or write guess name to standard output. -if [[ -z "$gfile" ]];then - echo $ges - exit $? -else - cp $ges $gfile - exit $? -fi diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.txt deleted file mode 100644 index c31dc35..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.txt +++ /dev/null @@ -1 +0,0 @@ -GETGESprep=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/getges.sh diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges_sig.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges_sig.sh deleted file mode 100755 index ee050d4..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges_sig.sh +++ /dev/null @@ -1,3000 +0,0 @@ -#!/bin/ksh -################################################################################ -# -# Name: getges.sh Author: Mark Iredell -# -# Abstract: -# This script copies the valid global guess file to a given file. -# Alternatively, it writes the name of the guess file to standard output. -# Specify option "-n network" for the job network (default global). -# Other options are gdas, gfs, cdas, mrf, prx, etc. -# Specify option "-e environment" for the job environment (default prod). -# Another option is test. -# Specify option "-f fhour" for the specific forecast hour wanted (default any). -# Specify option "-q" for quiet mode to turn off script messages. -# Specify option "-r resolution" for the resolution wanted (default high). -# Other options are 25464 17042, 12628, low, 6228, namopl, any. -# Specify option "-t filetype" for the filetype wanted from among these choices: -# sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3, -# sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3, -# biascr, satang, satcnt, gesfil -# pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3, -# sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl, -# enggrb, enggri, icegrb, icegri, snogrb, snogrb_high, snogri, sstgrb, sstgri. -# Specify option "-v valid" for the valid date wanted (default $CDATE). -# Currently, the valid hours specified must be a multiple of 3. -# Either 2-digit or 4-digit years are currently allowed. -# Specify positional argument to be the file to which to copy the guess. -# If missing, the NAME of the guess file is written to standard output. -# A nonzero return code from this script means either the arguments are invalid -# or the guess could not be found; a message is written to standard error in -# this case, but neither a file copy nor a standard output write will be done. -# The file returned is guaranteed to exist and be readable. -# The script uses the utility commands NDATE and NHOUR. -# -# Example 1. Copy the production sigma guess for 1998100100 to the file sges. -# getges.sh -e prod -t sigges -v 1998100100 sges -# -# Example 2. Assign the pressure grib guess for the date 1998100121. -# export CDATE=1998100121 -# export XLFUNIT_12="$(getges.sh -qt pgbges||echo /dev/null)" -# -# Example 3. Get the PRX pgb analysis or the best valid guess at 1998100112. -# getges -e prx -t pgbcur -v 1998100112 pgbfile -# -# Example 5. Get the 24-hour GFS forecast sigma file valid at 1998100112. -# getges -t sigcur -v 1998100112 -f 24 -e gfs sigfile -# -# History: 1996 December Iredell Initial implementation -# 1997 March Iredell Nine new filetypes -# 1997 April Iredell Two new filetypes and -f option -# 1997 December Iredell Four new filetypes -# 1998 April Iredell 4-digit year allowed; -# sigges internal date no longer checked -# 1998 May Iredell T170L42 defaulted; four new filetypes -# and two filetypes deleted -# 1998 June Rogers Nam types added -# 1998 September Iredell high is default resolution -# 2000 March Iredell Cdas and -n option -# 2000 June Iredell Eight new filetypes -# 2002 April Treadon T254L64 defaulted; add angle dependent -# bias correction file -# 2003 March Iredell GFS network out to 384 hours -# 2003 August Iredell Hourly global guesses -# 2005 September Treadon Add satellite data count file (satcnt) -# 2006 September Gayno Add high-res snow analysis -# 2009 January Rogers Added sfluxgrb file -# 2011 April Rogers Added GFS pg2ges file -# -################################################################################ -#------------------------------------------------------------------------------- -# Set some default parameters. -fhbeg=03 # hour to begin searching backward for guess -fhinc=03 # hour to increment backward in search -fhend=384 # hour to end searching backward for guess - -#------------------------------------------------------------------------------- -# Get options and arguments. -netwk=global # default network -envir=prod # default environment -fhour=any # default forecast hour -quiet=NO # default quiet mode -resol=high # default resolution -typef=sigges # default filetype -valid=${CDATE:-'?'} # default valid date -valid=$CDATE # default valid date -err=0 -while getopts n:e:f:qr:t:v: opt;do - case $opt in - n) netwk="$OPTARG";; - e) envir="$OPTARG";; - f) fhour="$OPTARG";; - q) quiet=YES;; - r) resol="$OPTARG";; - t) typef="$OPTARG";; - v) valid="$OPTARG";; - \?) err=1;; - esac -done -shift $(($OPTIND-1)) -gfile=$1 -if [[ -z $valid ]];then - echo "$0: either -v option or environment variable CDATE must be set" >&2 -elif [[ $# -gt 1 ]];then - echo "$0: too many positional arguments" >&2 -elif [[ $err -ne 0 ]];then - echo "$0: invalid option" >&2 -fi -if [[ $gfile = '?' || $# -gt 1 || $err -ne 0 || -z $valid ||\ - $netwk = '?' || $envir = '?' || $fhour = '?' || $resol = '?' ||\ - $typef = '?' || $valid = '?' ]];then - echo "Usage: getges.sh [-n network] [-e environment] [-f fhour] [-q] [-r resolution]" >&2 - echo " [-t filetype] [-v valid] [gfile]" >&2 - if [[ $netwk = '?' ]];then - echo " network choices:" >&2 - echo " global (default), namopl, gdas, gfs, cdas, etc." >&2 - elif [[ $envir = '?' ]];then - echo " environment choices:" >&2 - echo " prod (default), test, para, dump, prx" >&2 - echo " (some network values allowed for compatibility)" >&2 - elif [[ $fhour = '?' ]];then - echo " fhour is optional specific forecast hour" >&2 - elif [[ $resol = '?' ]];then - echo " resolution choices:" >&2 - echo " high (default), 25464, 17042, 12628, low, 6228, namopl, any" >&2 - elif [[ $typef = '?' ]];then - echo " filetype choices:" >&2 - echo " sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3," >&2 - echo " sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3," >&2 - echo " sfgges, sfggp3, biascr, satang, satcnt, gesfil" >&2 - echo " pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3," >&2 - echo " sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl," >&2 - echo " enggrb, enggri, icegrb, icegri, snogrb, snogri, sstgrb, sstgri," >&2 - echo " pg2cur, pg2ges, restrt" >&2 - elif [[ $valid = '?' ]];then - echo " valid is the valid date in yyyymmddhh or yymmddhh form" >&2 - echo " (default is environmental variable CDATE)" >&2 - elif [[ $gfile = '?' ]];then - echo " gfile is the guess file to write" >&2 - echo " (default is to write the guess file name to stdout)" >&2 - else - echo " (Note: set a given option to '?' for more details)" >&2 - fi - exit 1 -fi -#[[ $quiet = NO ]]&&set -x -if [[ $envir != prod && $envir != test && $envir != para && $envir != dump && $envir != pr? && $envir != dev ]];then - netwk=$envir - envir=prod - echo '************************************************************' >&2 - echo '* CAUTION: Using "-e" is deprecated in this case. *' >&2 - echo '* Please use "-n" instead. *' >&2 - echo '************************************************************' >&2 -fi -if [[ $netwk = namopl || $resol = namopl ]];then - netwk=namopl - typef=restrt - resol=namopl -fi -[[ $resol = 57464 || $resol = 38264 || $resol = 19064 || $resol = 25464 || $resol = 17042 || $resol = 12628 ]]&&resol=high -[[ $resol = 6228 ]]&&resol=low -resolsuf="" -[[ $resol == *deg ]]&&resolsuf=.$resol -fhbeg=$($NHOUR $valid) -[[ $fhbeg -le 0 ]]&&fhbeg=03 -((fhbeg=(10#$fhbeg-1)/3*3+3)) -[[ $fhbeg -lt 10 ]]&&fhbeg=0$fhbeg -if [[ $typef = enggrb ]];then - typef=icegrb - echo '************************************************************' >&2 - echo '* CAUTION: Using "-t enggrb" is now deprecated. *' >&2 - echo '* Please use "-t icegrb". *' >&2 - echo '************************************************************' >&2 -elif [[ $typef = enggri ]];then - typef=icegri - echo '************************************************************' >&2 - echo '* CAUTION: Using "-t enggri" is now deprecated. *' >&2 - echo '* Please use "-t icegri". *' >&2 - echo '************************************************************' >&2 -fi - -#------------------------------------------------------------------------------- -# Default top level directories. -export GETGES_COM=${GETGES_COM:-${COMROOT}} -export GETGES_NWG=${GETGES_NWG:-${GESROOT}} -export GETGES_GLO=${GETGES_GLO:-/gloptmp} - -#------------------------------------------------------------------------------- -# Assemble guess list in descending order from the best guess. -geslist="" -geslist00="" - -# GDAS -if [[ $netwk = gdas ]];then - fhend=12 - case $typef in - sigges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias' - ;; - biascr_pc) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias_pc' - ;; - biascr_air) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias_air' - ;; - radstat) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.radstat' - ;; - satang) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh' - ;; - sfggp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fhp3' - ;; - pgbges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh' - ;; - pg2ges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2f$fh$resolsuf' - ;; - pgiges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2f$fh$resolsuf' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574.1152.576' - fhbeg=00 - fhinc=06 - ;; - snogrb_1534) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t1534.3072.1536' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# CFS-CDAS -elif [[ $netwk = cfs-cdas ]];then - fhend=12 - case $typef in - sigges) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fh}.LIS - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhm3}.LIS - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhm2}.LIS - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhm1}.LIS - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhp1}.LIS - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhp2}.LIS - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhp3}.LIS - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.abias' - ;; - satang) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sfluxgrbf$fh' - ;; - sfggp3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sfluxgrbf$fhp3' - ;; - pgbges) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fhm6 - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fhm6 - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fhm3 - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fhm3 - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fhp3 - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fhp3 - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# GFS -elif [[ $netwk = gfs ]];then - fhend=384 - case $typef in - sigges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' - ;; - satang) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' - ;; - sfggp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3' - ;; - pgbges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - ;; - pg2ges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f0$fh$resolsuf' - ;; - pgiges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f0$fh$resolsuf' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# GFS -elif [[ $netwk = gfs ]];then - fhend=126 - case $typef in - sigges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' - ;; - satang) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' - ;; - sfggp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3' - ;; - pgbges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - echo '************************************************************' >&2 - echo '* CAUTION: Using "-n gfs" is now deprecated. *' >&2 - echo '* Please use "-n gfs". *' >&2 - echo '************************************************************' >&2 - -# CDAS -elif [[ $netwk = cdas ]];then - fhbeg=06 - fhend=06 - case $typef in - sigges) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.abias' - ;; - satang) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# CDC CDAS -elif [[ $netwk = cdc ]];then - fhbeg=06 - fhend=06 - case $typef in - sigges) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.abias' - ;; - satang) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# MRF -elif [[ $netwk = mrf ]];then - fhend=384 - case $typef in - sigges) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias' - ;; - satang) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - echo '************************************************************' >&2 - echo '* CAUTION: Using "-n mrf" is now deprecated. *' >&2 - echo '* Please use "-n gfs". *' >&2 - echo '************************************************************' >&2 - -# PRZ -elif [[ $netwk = prz ]];then - fhend=384 - case $typef in - sigges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.abias' - ;; - satang) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm6 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm6 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - echo '************************************************************' >&2 - echo '* CAUTION: Using "-n prz" is now deprecated. *' >&2 - echo '* Please use "-n gfs". *' >&2 - echo '************************************************************' >&2 - -# High resolution production -elif [[ $netwk = global && $resol = high ]];then - case $typef in - sigges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.abias - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' - fhbeg=06 - fhinc=06 - ;; - satang) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satang - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satang - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' - fhbeg=06 - fhinc=06 - ;; - satcnt) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satcnt - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satcnt - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' - fhbeg=06 - fhinc=06 - ;; - gesfil) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.gesfile - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.gesfile - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' - ;; - sfggp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3' - ;; - pgbges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' - ;; - pg2ges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh' - ;; - pg2gm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6' - ;; - pg2gm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3' - ;; - pg2gp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3' - ;; - sigcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sanl - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sanl - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sanl - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# Low resolution production -elif [[ $netwk = global && $resol = low ]];then - case $typef in - sigges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm2 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm1 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp1 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp2 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm2 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm1 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp1 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp2 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.abias - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.abias - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.abias - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.abias' - fhbeg=06 - fhinc=06 - ;; - satang) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.satang - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satang - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.satang - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.satang' - fhbeg=06 - fhinc=06 - ;; - satcnt) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.satcnt - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satcnt - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.satcnt - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.satcnt' - fhbeg=06 - fhinc=06 - ;; - gesfil) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.gesfile - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.gesfile - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.gesfile - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' - ;; - pgbges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm6 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm6 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm6 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm6 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhp3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhp3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhp3' - ;; - pg2ges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh' - ;; - pg2gm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6' - ;; - pg2gm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3' - ;; - pg2gp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3' - ;; - sigcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sanl - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sanl - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sanl - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sfcanl - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sfcanl - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sfcanl - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - esac - -# Any resolution production -elif [[ $netwk = global && $resol = any ]];then - case $typef in - sigges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.abias - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' - fhbeg=06 - fhinc=06 - ;; - satang) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satang - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satang - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' - fhbeg=06 - fhinc=06 - ;; - satcnt) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satcnt - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satcnt - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' - fhbeg=06 - fhinc=06 - ;; - gesfil) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.gesfile - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.gesfile - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' - ;; - pgbges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhp3 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3' - ;; - pg2ges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh' - ;; - pg2gm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6' - ;; - pg2gm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3' - ;; - pg2gp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3' - ;; - sigcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sanl - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sanl - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sanl - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# Early nam-32 resolution -elif [[ $netwk = namopl && $resol = namopl ]];then - fhbeg=03 - fhinc=03 - fhend=12 - case $typef in - restrt) geslist=' - $GETGES_NWG/$envir/nam.$day/nam.t${cyc}z.restrt$fh.tm00' - ;; - esac -fi - -# Global parallel -if [[ $envir = dump || $envir = pr? ]];then - fhend=384 - if [[ $netwk = global ]];then - case $typef in - sigges) geslist=' - $GETGES_GLO/$envir/sigf$fh.gdas.$id - $GETGES_GLO/$envir/sigf$fh.gfs.$id' - ;; - siggm3) geslist=' - $GETGES_GLO/$envir/sigf$fhm3.gdas.$id - $GETGES_GLO/$envir/sigf$fhm3.gfs.$id' - ;; - siggm2) geslist=' - $GETGES_GLO/$envir/sigf$fhm2.gdas.$id - $GETGES_GLO/$envir/sigf$fhm2.gfs.$id' - ;; - siggm1) geslist=' - $GETGES_GLO/$envir/sigf$fhm1.gdas.$id - $GETGES_GLO/$envir/sigf$fhm1.gfs.$id' - ;; - siggp1) geslist=' - $GETGES_GLO/$envir/sigf$fhp1.gdas.$id - $GETGES_GLO/$envir/sigf$fhp1.gfs.$id' - ;; - siggp2) geslist=' - $GETGES_GLO/$envir/sigf$fhp2.gdas.$id - $GETGES_GLO/$envir/sigf$fhp2.gfs.$id' - ;; - siggp3) geslist=' - $GETGES_GLO/$envir/sigf$fhp3.gdas.$id - $GETGES_GLO/$envir/sigf$fhp3.gfs.$id' - ;; - sfcges) geslist=' - $GETGES_GLO/$envir/sfcf$fh.gdas.$id - $GETGES_GLO/$envir/sfcf$fh.gfs.$id' - ;; - sfcgm3) geslist=' - $GETGES_GLO/$envir/sfcf$fhm3.gdas.$id - $GETGES_GLO/$envir/sfcf$fhm3.gfs.$id' - ;; - sfcgm2) geslist=' - $GETGES_GLO/$envir/sfcf$fhm2.gdas.$id - $GETGES_GLO/$envir/sfcf$fhm2.gfs.$id' - ;; - sfcgm1) geslist=' - $GETGES_GLO/$envir/sfcf$fhm1.gdas.$id - $GETGES_GLO/$envir/sfcf$fhm1.gfs.$id' - ;; - sfcgp1) geslist=' - $GETGES_GLO/$envir/sfcf$fhp1.gdas.$id - $GETGES_GLO/$envir/sfcf$fhp1.gfs.$id' - ;; - sfcgp2) geslist=' - $GETGES_GLO/$envir/sfcf$fhp2.gdas.$id - $GETGES_GLO/$envir/sfcf$fhp2.gfs.$id' - ;; - sfcgp3) geslist=' - $GETGES_GLO/$envir/sfcf$fhp3.gdas.$id - $GETGES_GLO/$envir/sfcf$fhp3.gfs.$id' - ;; - biascr) geslist=' - $GETGES_GLO/$envir/biascr.gdas.$id - $GETGES_GLO/$envir/biascr.gfs.$id' - fhbeg=06 - fhinc=06 - ;; - satang) geslist=' - $GETGES_GLO/$envir/satang.gdas.$id - $GETGES_GLO/$envir/satang.gfs.$id' - fhbeg=06 - fhinc=06 - ;; - satcnt) geslist=' - $GETGES_GLO/$envir/satcnt.gdas.$id - $GETGES_GLO/$envir/satcnt.gfs.$id' - fhbeg=06 - fhinc=06 - ;; - gesfil) geslist=' - $GETGES_GLO/$envir/gesfile.gdas.$id - $GETGES_GLO/$envir/gesfile.gfs.$id' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $GETGES_GLO/$envir/pgbf$fh.gdas.$id - $GETGES_GLO/$envir/pgbf$fh.gfs.$id' - ;; - pgbgm6) geslist=' - $GETGES_GLO/$envir/pgbf$fhm6.gdas.$id - $GETGES_GLO/$envir/pgbf$fhm6.gfs.$id' - ;; - pgbgm3) geslist=' - $GETGES_GLO/$envir/pgbf$fhm3.gdas.$id - $GETGES_GLO/$envir/pgbf$fhm3.gfs.$id' - ;; - pgbgp3) geslist=' - $GETGES_GLO/$envir/pgbf$fhp3.gdas.$id - $GETGES_GLO/$envir/pgbf$fhp3.gfs.$id' - ;; - sigcur) geslist=' - $GETGES_GLO/$envir/sigf$fh.gdas.$id - $GETGES_GLO/$envir/sigf$fh.gfs.$id' - getlist00=' - $GETGES_GLO/$envir/siganl.gdas.$id - $GETGES_GLO/$envir/siganl.gfs.$id' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_GLO/$envir/sfcf$fh.gdas.$id - $GETGES_GLO/$envir/sfcf$fh.gfs.$id' - getlist00=' - $GETGES_GLO/$envir/sfcanl.gdas.$id - $GETGES_GLO/$envir/sfcanl.gfs.$id' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_GLO/$envir/pgbf$fh.gdas.$id - $GETGES_GLO/$envir/pgbf$fh.gfs.$id' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_GLO/$envir/prepqc.gdas.$id - $GETGES_GLO/$envir/prepqc.gfs.$id' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_GLO/$envir/tcvitl.gdas.$id - $GETGES_GLO/$envir/tcvitl.gfs.$id' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_GLO/$envir/tcvitl.gdas.$id - $GETGES_GLO/$envir/tcvitl.gfs.$id' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_GLO/$envir/tcvitl.gdas.$id - $GETGES_GLO/$envir/tcvitl.gfs.$id' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_GLO/$envir/icegrb.gdas.$id - $GETGES_GLO/$envir/icegrb.gfs.$id' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_GLO/$envir/snogrb.gdas.$id - $GETGES_GLO/$envir/snogrb.gfs.$id' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_GLO/$envir/sstgrb.gdas.$id - $GETGES_GLO/$envir/sstgrb.gfs.$id' - fhbeg=00 - fhinc=06 - ;; - esac - else - case $typef in - sigges) geslist=' - $GETGES_GLO/$envir/sigf$fh.$netwk.$id' - ;; - siggm3) geslist=' - $GETGES_GLO/$envir/sigf$fhm3.$netwk.$id' - ;; - siggm2) geslist=' - $GETGES_GLO/$envir/sigf$fhm2.$netwk.$id' - ;; - siggm1) geslist=' - $GETGES_GLO/$envir/sigf$fhm1.$netwk.$id' - ;; - siggp1) geslist=' - $GETGES_GLO/$envir/sigf$fhp1.$netwk.$id' - ;; - siggp2) geslist=' - $GETGES_GLO/$envir/sigf$fhp2.$netwk.$id' - ;; - siggp3) geslist=' - $GETGES_GLO/$envir/sigf$fhp3.$netwk.$id' - ;; - sfcges) geslist=' - $GETGES_GLO/$envir/sfcf$fh.$netwk.$id' - ;; - sfcgm3) geslist=' - $GETGES_GLO/$envir/sfcf$fhm3.$netwk.$id' - ;; - sfcgm2) geslist=' - $GETGES_GLO/$envir/sfcf$fhm2.$netwk.$id' - ;; - sfcgm1) geslist=' - $GETGES_GLO/$envir/sfcf$fhm1.$netwk.$id' - ;; - sfcgp1) geslist=' - $GETGES_GLO/$envir/sfcf$fhp1.$netwk.$id' - ;; - sfcgp2) geslist=' - $GETGES_GLO/$envir/sfcf$fhp2.$netwk.$id' - ;; - sfcgp3) geslist=' - $GETGES_GLO/$envir/sfcf$fhp3.$netwk.$id' - ;; - biascr) geslist=' - $GETGES_GLO/$envir/biascr.$netwk.$id' - fhbeg=06 - fhinc=06 - ;; - satang) geslist=' - $GETGES_GLO/$envir/satang.$netwk.$id' - fhbeg=06 - fhinc=06 - ;; - satcnt) geslist=' - $GETGES_GLO/$envir/satcnt.$netwk.$id' - fhbeg=06 - fhinc=06 - ;; - gesfil) geslist=' - $GETGES_GLO/$envir/gesfile.$netwk.$id' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $GETGES_GLO/$envir/pgbf$fh.$netwk.$id' - ;; - pgbgm6) geslist=' - $GETGES_GLO/$envir/pgbf$fhm6.$netwk.$id' - ;; - pgbgm3) geslist=' - $GETGES_GLO/$envir/pgbf$fhm3.$netwk.$id' - ;; - pgbgp3) geslist=' - $GETGES_GLO/$envir/pgbf$fhp3.$netwk.$id' - ;; - sigcur) geslist=' - $GETGES_GLO/$envir/sigf$fh.$netwk.$id' - getlist00=' - $GETGES_GLO/$envir/siganl.$netwk.$id' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_GLO/$envir/sfcf$fh.$netwk.$id' - getlist00=' - $GETGES_GLO/$envir/sfcanl.$netwk.$id' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_GLO/$envir/pgbf$fh.$netwk.$id' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_GLO/$envir/prepqc.$netwk.$id' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_GLO/$envir/tcvitl.$netwk.$id' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_GLO/$envir/tcvitl.$netwk.$id' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_GLO/$envir/tcvitl.$netwk.$id' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_GLO/$envir/icegrb.$netwk.$id' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_GLO/$envir/snogrb.$netwk.$id' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_GLO/$envir/sstgrb.$netwk.$id' - fhbeg=00 - fhinc=06 - ;; - esac - fi -fi - -#------------------------------------------------------------------------------- -# Check validity of options. -if [[ $fhour != any ]];then - fhbeg=$fhour - fhend=$fhour -fi -if [[ $valid -lt 20000000 ]];then - valid=20$valid - echo '************************************************************' >&2 - echo '* CAUTION: A 2-digit year was converted to a 4-digit year. *' >&2 - echo '* Please use full a 4-digit year in this utility. *' >&2 - echo '************************************************************' >&2 -elif [[ $valid -lt 100000000 ]];then - valid=19$valid - echo '************************************************************' >&2 - echo '* CAUTION: A 2-digit year was converted to a 4-digit year. *' >&2 - echo '* Please use full a 4-digit year in this utility. *' >&2 - echo '************************************************************' >&2 -fi -if [[ $($NDATE 0 $valid 2>/dev/null) != $valid ]];then - echo getges.sh: invalid date $valid >&2 - exit 2 -fi -if [[ -z $geslist ]];then - echo getges.sh: filetype $typef or resolution $resol not recognized >&2 - exit 2 -fi - -#------------------------------------------------------------------------------- -# Loop until guess is found. -fh=$fhbeg -while [[ $fh -le $fhend ]];do - ((fhm6=10#$fh-6)) - [[ $fhm6 -lt 10 && $fhm6 -ge 0 ]]&&fhm6=0$fhm6 - ((fhm3=10#$fh-3)) - [[ $fhm3 -lt 10 && $fhm3 -ge 0 ]]&&fhm3=0$fhm3 - ((fhm2=10#$fh-2)) - [[ $fhm2 -lt 10 && $fhm2 -ge 0 ]]&&fhm2=0$fhm2 - ((fhm1=10#$fh-1)) - [[ $fhm1 -lt 10 && $fhm1 -ge 0 ]]&&fhm1=0$fhm1 - ((fhp1=10#$fh+1)) - [[ $fhp1 -lt 10 ]]&&fhp1=0$fhp1 - ((fhp2=10#$fh+2)) - [[ $fhp2 -lt 10 ]]&&fhp2=0$fhp2 - ((fhp3=10#$fh+3)) - [[ $fhp3 -lt 10 ]]&&fhp3=0$fhp3 - id=$($NDATE -$fh $valid) - typeset -L8 day=$id - typeset -R2 cyc=$id - eval list=\$getlist$fh - [[ -z $list ]]&&list=${geslist} - for gestest in $list;do - eval ges=$gestest - [[ $quiet = NO ]]&&echo Checking: $ges >&2 - [[ -r $ges ]]&&break 2 - done - fh=$((10#$fh+10#$fhinc)) - [[ $fh -lt 10 ]]&&fh=0$fh -done -if [[ $fh -gt $fhend ]];then - echo getges.sh: unable to find $netwk.$envir.$typef.$resol.$valid >&2 - exit 8 -fi - -#------------------------------------------------------------------------------- -# Either copy guess to a file or write guess name to standard output. -if [[ -z "$gfile" ]];then - echo $ges - exit $? -else - cp $ges $gfile - exit $? -fi diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.sh deleted file mode 100755 index bb8f950..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.sh +++ /dev/null @@ -1,101 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - - -# This script performs VAD wind complex quality control checking -# -# It is normally executed by the script prepobs_makeprepbufr.sh -# but can also be executed from a checkout parent script -# -------------------------------------------------------------- - -set -aux - -qid=$$ - -# Positional parameters passed in: -# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr -# file upon successful completion of this script (note that input -# prepbufr file is NOT saved by this script) -# 2 - ncep production date (YYYYMMDDHH) - -# Imported variables that must be passed in: -# DATA - path to working directory -# VQCX - path to PREPOBS_CQCVAD program executable - -# Imported variables that can be passed in: -# pgmout - string indicating path to for standard output file (skipped -# over by this script if not passed in) - -cd $DATA -PRPI=$1 -if [ ! -s $PRPI ] ; then exit 1;fi -CDATE10=$2 - -set +x -cat <<\EOFc > cqcvad05 - &NAMLST - HONOR_FLAGS=TRUE, ! If TRUE then levels with bad q.m. flags are honored - PRINT_52=TRUE, ! If TRUE then writes bird quality control information - ! to unit 52 - PRINT_53=FALSE, ! If TRUE then writes a final report listing with q.c. - ! information to unit 53 - PRINT_60=FALSE, ! If TRUE then writes event information to unit 60 - TEST=FALSE ! If TRUE then writes diagnostic print to stdout (unit 06) - / -EOFc -set -x - -rm $PRPI.cqcvad - -pgm=`basename $VQCX` -if [ -s $DATA/prep_step ]; then - set +u - . $DATA/prep_step - set -u -else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -fi - -export FORT11=$PRPI -echo "$CDATE10" > cdate10.dat -export FORT14=cdate10.dat -export FORT51=$PRPI.cqcvad -export FORT52=cqcvad.birdqc -export FORT53=cqcvad.unit53.wrk -export FORT55=cqcvad.unit55.wrk -export FORT60=cqcvad.unit60.wrk -TIMEIT=${TIMEIT:-""} -[ -s $DATA/time ] && TIMEIT="$DATA/time -p" -$TIMEIT $VQCX < cqcvad05 > outout 2> errfile -err=$? -###cat errfile -cat errfile >> outout -cat outout >> cqcvad.out -set +u -[ -n "$pgmout" ] && cat outout >> $pgmout -set -u -rm outout -set +x -echo -echo 'The foreground exit status for PREPOBS_CQCVAD is ' $err -echo -set -x -if [ -s $DATA/err_chk ]; then - $DATA/err_chk -else - if test "$err" -gt '0' - then -######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out - # in ops - exit 55 - fi -fi - -if [ "$err" -gt '0' ]; then - exit 9 -else - mv $PRPI.cqcvad $PRPI -fi - -exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.txt deleted file mode 100644 index 1898aed..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.txt +++ /dev/null @@ -1 +0,0 @@ -VQCX=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_cqcvad diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.sh deleted file mode 100755 index ff85639..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.sh +++ /dev/null @@ -1,2466 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - -#### UNIX Script Documentation Block -# -# Script name: prepobs_makeprepbufr.sh -# Script description: Prepares & quality controls PREPBUFR file -# -# Author: Keyser Org: EMC Date: 2017-04-20 -# -# Abstract: This script creates the PREPBUFR file containing observational data -# assimilated by all versions of NCEP atmospheric analyses. It points to BUFR -# observational data dumps as input files. PREPOBS_PREPDATA combines them to -# generate an initial form of the PREPBUFR file which also contains the -# background guess as well as the observational error field. If tropical -# cyclone relocation processing has previously occurred, the background global -# guess read in by PREPOBS_PREPDATA (and later by SYNDAT_SYNDATA if it runs) -# is the relocated guess valid at the center date/time for the PREPBUFR -# processing. Otherwise it is the regular (unrelocated) global atmosperic -# guess obtained via the getges utility script. After PREPOBS_PREPDATA runs, -# this script can execute SYNDAT_SYNDATA to generate synthetic wind bogus -# data, as well as their background guess and observational error fields, -# which are appended to the PREPBUFR file. -# -# In the global networks the decision to append synthetic wind bogus data in -# the SYNDATA processing is determined by the outcome of the previous -# tropical cyclone relocation processing (if it was run). There are three -# possible outcomes: -# 1) If all storms in the original tcvitals file have vorticies of at -# least medium intensity such that a relocation was previously -# performed for each, then SYNDAT_SYNDATA will still run but will not -# append synthetic wind bogus data to the PREPBUFR file for any storm. -# It will input the original tcvitals file (output from qctropcy -# processing) and (if the option is set) it will flag dropwinsonde -# winds in the vicinity of each tropical storm in the file. -# 2) If all storms in the original tcvitals file (output from qctropcy -# processing) have weak vorticies such that a relocation was not -# previously performed for any, then SYNDAT_SYNDATA will run, inputting -# the original tcvitals file, and it will append synthetic wind bogus -# data to the PREPBUFR file for each storm in it. It will also -# possibly flag mass pressure and/or dropwinsonde wind reports in the -# vicinity of each storm (if requested). -# 3) If some storms in the original tcvitals file (output from qctropcy -# processing) have weak vorticies, such that a relocation was not -# previously performed for them, and others have vorticies of at least -# medium intensity, such that a relocation was previously performed for -# these, then SYNDAT_SYNDATA will run twice. The first time, it will -# input the relocation-generated tcvitals file, which contains all of -# the weak storms, and it will append synthetic wind bogus data to the -# PREPBUFR file for each storm in it. It will also possibly flag mass -# pressure and/or dropwinsonde wind reports in the vicinity of each of -# these storms (if requested). The second time SYNDAT_SYNDATA runs, it -# will input any storm records that were in the original tcvitals file -# but not in the relocation-generated tcvitals file (i.e., storms with -# vorticies of at least medium intensity). It will not append -# synthetic wind bogus data to the PREPBUFR file for any of these -# storms, but it will flag dropwinsonde winds in the vicinity of each -# storm in the original tcvitals file but not in the relocation- -# generated tcvitals file (if requested). -# If this is the nam network, the only reason relocation processing would -# have been previously run would be to update the first guess read in here by -# PREPOBS_PREPDATA and SYNDAT_SYNDATA. In this case, SYNDAT_SYNDATA inputs -# the original tcvitals file (output from qctropcy processing), appends -# synthetic wind bogus data to the PREPBUFR file for each storm in it, and -# possibly also flags mass pressure and/or dropwinsonde wind reports in the -# vicinity of each storm in the file (if requested). -# -# After all of this, the script then executes a series of quality control -# programs which can change the observation value and/or its quality marker. -# The PREPBUFR file is set up such that all changes to data are stacked on -# top of previous values. Such changes are considered to be "events", with -# the event containing an associated program code and reason code to describe -# it. This allows the PREPBUFR file to internally contain a record of all -# events preformed on the observations. This script has been designed to be -# executed by either an "operational J-job" script, a "test J-job" script, a -# "parallel J-job" script, or a stand-alone batch run initiated by a user. -# -# Script history log: -# 1999-07-20 Dennis A. Keyser -- Original version for implementation -# 2000-06-14 Dennis A. Keyser -- Added the tropical cyclone relocation -# processing -# 2001-03-20 Dennis A. Keyser -- Now gets tcvitals file for t-12 as well as -# t-06 in tropical cyclone relocation processing and passes both to ush -# relocate_relocate_ts.sh as new pos. parameters 3 and 4 -# 2004-01-15 Dennis A. Keyser -- Reads file *aircar_status_flag* in $COMSP -# path to see whether ARINC (primary) or AFWA (backup) reports in AIRCAR -# dump should be read and processed as ACARS data in PREPBUFR (flag file -# generated in upstream dump process and is based on a comparison of report -# counts), inserts proper switch in parm cards read by PREPOBS_PREPDATA -# program -# 2004-08-30 Dennis A. Keyser -- Connects new data dumps to PREPDATA -# processing, copies t-3 and t+3 sigma guess files for GFS and GDAS even if -# DO_RELOCATE = NO (unless GETGUESS = NO); Copies the "PRE-QC" snapshot of -# the PREPBUFR file AFTER SYNDAT_SYNDATA runs (if it does) rather than -# before it runs (ensures that the PRE-QC PREPBUFR file contains ALL of the -# observations); Variable PRVT (observational error table file path) is now -# read by NAM network and defaults to $FIXPREP/prepobs_errtable.nam if not -# imported (obs. errors are now read into PREPBUFR file in NAM network in -# preparation for the switch to the GSI analysis, the operational 3DVAR -# analysis ignores the obs errors in PREPBUFR and still reads them in from -# $PARMPREP/nam_errtable.r3dv) -# 2005-07-01 Dennis A. Keyser -- Logic changes to run SYNDAT_SYNDATA in all -# networks where requested regardless of outcome of relocation processing, -# but sets new script variable DO_BOGUS to NO if SYNDAT_SYNDATA is to NOT -# generate synthetic wind bogus reports and append them to PREPBUFR file -# (SYNDAT_SYNDATA program also modified to read in this variable) - change -# needed because (if requested) SYNDAT_SYNDATA will now flag all -# dropwinsonde wind reports in vicinity of each storm in original tcvitals -# file, regardless of whether or not bogus winds are generated -# 2006-03-22 Dennis A. Keyser -- Accounts for possibility of split dump status -# files (status1 and status2) at the time this runs {in the test for the -# presence of the dump status file(s)} -# 2006-06-13 Dennis A. Keyser -- Adds dump file "wdsatr" to default BUFRLIST -# value and assigns it to unit 38 read in to PREPOBS_PREPDATA; Removed -# tropical cyclone relocation processing, this is now done (if requested) -# in a new script called tropcy_relocate.sh which runs in the new -# TROPCY_QC_RELOC job prior to the PREP job that executes this script -# (TROPCY_QC_RELOC first performs qctropcy processing, this was moved from -# the DUMP job) - this was done to allow the TROPCY_QC_RELOC job to run at -# the same time as the DUMP job in order to speed up overall obs -# processing and remove variability in the PREP job executing this script -# (i.e., this job had run faster when no tropical storms were present) -# 2007-09-14 Dennis A. Keyser -- Replaced "export XLFUNIT_xx=" with -# "ln .sf fort.xx" in preparation for PREPOBS_PREPDATA -# interfacing with global spectral guess files using sigio routines (via -# W3LIB routine GBLEVENTS - sigio requires explicit open statements in the -# code and this conflicts with XLFUNIT statements; Removed test on -# existence of status2 file from NAM_DUMP2 and NDAS_DUMP2 jobs since dump -# files here (currently only "nexrad") are not processed into the PREPBUFR -# file - PREP job initiation may soon no longer be dependent upon -# completion of DUMP2 job in NAM and NDAS networks; in the case where an -# input (normally, pre-QC) PREPBUFR file is passed into the script via the -# variable PREPBUFR_IN, it had been assumed that this file had already -# been run through SYNDATA processing (but that was not the case prior to -# 12Z 25 Jan 2005) - this script changed to use the value of variable -# SYNDATA to determine if the file in PREPBUFR_IN should be run through -# SYNDATA processing (i.e., if SYNDATA=YES, run it through SYNDATA -# processing) (this change will allow reanalysis runs prior to 12Z 25 Jan -# 2005 to work properly) -# 2008-09-25 Dennis A. Keyser -- Added dump file "ascatw" to default BUFRLIST -# value and assigns it to unit 39 read in to PREPOBS_PREPDATA; in -# preparation for future NRL aircraft QC code NRLACQC, added new script -# variables NRLACQC (def=NO), USHNQC (def=${HOMEALL}/ush), NQCX -# (def=$EXECPREP/prepobs_nrlacqc) and NQCC -# (def=$PARMPREP/prepobs_nrlacqc.${NET}.parm"), if NRLACQC=YES will -# execute script USHNQC to perform NRL aircraft QC (not yet ready) -# 2011-10-14 D.A. Keyser -- Updated to handle new "rap" (Rapid Refresh) -# network and its model runs "rap", "rap_p" and "rap_e" -# 2012-05-09 S. Bender/D. Keyser -- Removed all prior references to "NRL" -# aircraft QC script variables (never actually used) since the NRL -# aircraft QC nomenclature is being dropped in place of the existing -# PREPACQC nomenclature and will use its existing script variables; -# removed all references to the ACARSQC processing since it is no longer -# executed (ACARS QC is now performed within the revamped PREPACQC -# processing); removed script variables no longer used by the new version -# of the PREPACQC processing; added new script variables which are -# associated with the new program PREPOBS_PREPACPF which now runs as a -# second program within the PREPACQC processing (after program -# PREPOBS_PREPACQC) in the ush script prepobs_prepacqc.sh {PROCESS_ACQC -# (def=YES), PROCESS_ACPF (def=YES), DICTPREP (def=$HOMEALL/dictionaries), -# DICT (def=$DICTPREP/metar.tbl), APFX (def=$EXECPREP/prepobs_prepacpf), -# and the new second argument "$DATA/adpsfc" passed to -# prepobs_prepacqc.sh} -# 2013-03-05 D.A. Keyser -- Modified to properly run on WCOSS system: replaced -# all usage of "timex" with "time -p."; replaced script variables -# XLFUNIT_n with FORTn (where n is the unit number connected to the -# filename defined by the variable FORTn) - needed because ifort uses -# FORTn; script is now set to run under ksh shell as the default; added -# script variable "BACK" which, when YES, threads the mp_prepdata herefile -# into background shells that run simultaneously (an alternative option -# to poe which is not ready on WCOSS); touches all dump files not included -# in BUFRLIST so that they will not cause a read error if PREPOBS_PREPDATA -# tries to read them -# 2014-01-15 S. Melchior -- Placed into new OBSPROC_PREP vertical directory -# structure/environmental equivalence paradigm. As a result: imports new -# environment variable $HOMEobsproc_prep which points to directory path for -# generic prep subdirectories under version control (in production this is -# normally /nwprod/obsproc_prep.vX.Y.Z where X.Y.Z is version number being -# used, usually the latest); and imports new environment variable -# $HOMEobsproc_network which points to directory path for network-specific -# prep subdirectories under version control (in production this is normally -# /nwprod//obsproc_NETWORK.vX.Y.Z where NETWORK is, e.g., global, namp, rap, -# rtma, urma, and X.Y.Z is version number being used, usually the latest) - -# these replace /nw${envir} in order to point to files moved from -# horizontal to vertical directory structure. -# 2014-02-25 D.A. Keyser -- Removed all references to RUC. Removed option -# to run on MACHINE=sgi - this is obsolete (as a result variables $MACHINE -# and $HOMEALL are no longer used in this script). Replaced variable -# $EXECUTIL with $utilexec for directory path to utility program ndate -# (both were exported from job scripts with same value, $EXECUTIL has now -# been removed from all job scripts). Removed all references to "cdc" -# network (this is obsolete). -# 2014-07-23 D.A. Keyser -- Imported script environment variable DICTPREP now -# defaults to new vertical structure directory path location for metar.tbl -# dictionary, /nw${envir}/decoders/decod_shared/dictionaries, rather than -# old horizontal structure location, /nw${envir}/dictionaries (the latter -# will be removed in September 2014). -# 2016-02-05 JWhiting -- Use NCO-established variables to point to root -# directories for main software components and input/output directories in -# order to run on WCOSS Phase 1 or Phase 2 (here, $COMROOT which replaces -# hardwire to "/com", $NWROOT which replaces hardwire to "/nwprod" in -# comments only). Use NCO-established variables (presumably obtained from -# modules) to point to prod utilities [here, $NDATE from module prod_util -# (default or specified version, loaded in each network which executes this -# script) which replaces executable ndate in non-versioned, horizontal -# structure utility directory path defined by imported variable $utilexec]. -# 2016-04-29 D.A. Keyser -- Updated logic such that when tropical cyclone -# relocation has not run, a first guess is required, the network is gfs or -# gdas, but the cycle time is not 00, 06, 12 or 18z, no attempt will be -# made to obtain a guess 3-hrs before and after cycle time (since it can -# fail). Instead this is treated the same as any 3- or 1-hrly cycle run -# (like rap, e.g.) meaning two guess files will be obtained at the -# spanning 3 hour interval around any cycle time not a multiple of 3 hrs. -# BENEFIT: Allows future hourly WAM model to run properly. -# 2016-07-12 D.C. Stokes -- Reinstated poe option to run multiple instances -# of the PREPDATA processing script in parallel. New variable $launcher -# defines the parallel scripting launch mechanism (description below). -# Added logic to create scaled down versions of err_chk and err_exit -# scripts if they don't exist in the working directory and eliminated -# similar blocks of logic that had been repeated throughout the script. -# Updated USHGETGES default to pick up more recent versions of getges.sh. -# 2017-02-07 D.C. Stokes -- Updated to run on Cray-XC40 as well as iDataPlex. -# If on Cray-XC40, default parallel scripting launching mechanism is cfp -# inovked by aprun. Variable name used for launching mechanism changed from -# "launcher" to "launcher_PREP". Variable COMDATEROOT is now the primary -# default for the root of the directory containing NCEP date files. The -# variable NWROOTp1 is now the default root for directory DICTPREP. Logic -# used to determine if $COMSP points to production "com" directory was -# updated to recognize full path name (as needed on luna/surge). -# 2017-03-19 D.C. Stokes/D.A. Keyser -- Updated to input nemsio atmopheric -# guess files -or- the older sigio atmospheric files. The nemsio option -# is triggered by flag NEMSIO_IN=.true. For nemsio runs, a single guess -# file valid at the prepbufr center time is picked up, even for runs with -# center time that is not a multiple of 3. Also the dbn_alert subtype is -# now dependent upon $RUN (for transition from "gdas1" to "gdas"). -# 2017-04-20 D.C. Stokes -- Relocated assignments of variable stype to ensure -# it always passes the proper value to the getges utility script. -# -# -# Usage: prepobs_makeprepbufr.sh yyyymmddhh -# -# Input script positional parameters: -# 1 String indicating the center date/time for the PREPBUFR -# processing - if missing, then this time -# is obtained from the ${COMDATEROOT}/date/$cycle file -# -# Imported Shell Variables: -# -# These must ALWAYS be exported to this script by the parent script -- -# -# COMROOT Root to input/output "com" directory (in production, -# normally "/com", "/com2", or "/gpfs/hps/nco/ops/com") -# NSPLIT Number of parts into which the PREPDATA processing shell -# script (herefile MP_PREPDATA) will be split in order to -# run in parallel for computational efficiency (either using -# multiple tasks when POE is not "NO" or in background threads -# when BACK is "YES") -# NOTE : This is required ONLY if the imported shell variable -# POE is not "NO" (see below) or the imported shell -# variable BACK is "YES" (see below) (i.e., a parallel -# environment), and the imported shell variable -# PREPDATA=YES (see below) -# NET String indicating system network {either "gfs", "gdas", -# "cdas", "nam", "rap", "rtma" or "urma"} -# NOTE : NET is changed to gdas in the parent Job script for -# RUN=gdas or RUN=gdas1 (was gfs) -# RUN String indicating model run {either "gfs", "gdas", "gdas1", -# "cdas", "nam", "ndas", "rap", "rap_p", "rap_e", -# "rtma", or "urma"} -# cycle String indicating the center cycle hour for PREPBUFR -# processing {"txxz", where xx is two-digit hour of the day -# (UTC)} -# NOTE : This is required ONLY if input script positional -# parameter 1 is missing (see above) -# DATA String indicating the working directory path (usually a -# temporary location) -# COMSP String indicating the directory/filename path to input BUFR -# observational data dumps, tropical cyclone location -# (tcvitals) files, global atmos guess files, and status -# files (e.g., "$COMROOT/gfs/prod/gfs.20060612/gfs.t12z.") -# DBNROOT String indicating directory path to bin/dbn_alert file -# location -# NOTE : This is required ONLY if the imported shell variable -# SENDDBN is "YES" (see below) -# job - String indicating job name (e.g., 'gdas_prep_12') -# NOTE : This is required ONLY if the imported shell variable -# SENDDBN is "YES" (see below) -# $HOMEobsproc_prep - string indicating directory path to generic prep -# subdirectories under version control -# (in production this is normally -# ${NWROOT}/obsproc_prep.vX.Y.Z where X.Y.Z is -# version number being used, usually the latest) -# $HOMEobsproc_network - string indicating directory path to network- -# specific prep subdirectories under version control -# (in production this is normally -# ${NWROOT}/obsproc_NETWORK.vX.Y.Z where NETWORK is, -# e.g., global, nam, rap, rtma, urma, and X.Y.Z is -# version number being used, usually the latest) -# -# These will be set to their default value in this script if not exported -# to this script by the parent script -- -# -# SITE Site name (may have been set by local shell startup script) -# Default is "" -# sys_tp System type and phase. If not imported, an attempt is made -# to set it using getsystem.pl (an NCO prod_util script). -# A failed attempt results in an empty string. -# NEMSIO_IN Flag that if ".true." indicates that nemsio atmospheric -# background fields will be input rather than sigio. -# Default is "" -# SENDDBN String indicating whether or not to alert an output file to -# the NWS/TOC (= "YES" - invoke alert; anything else - do not -# invoke alert) -# Default is "NO" -# NPROCS Number of "poe" tasks to use for mpmd (must be .GE. $NSPLIT) -# NOTE : This is applicable ONLY if the imported shell -# variable POE is not "NO" (see below) and variable -# launcher_PREP is not "cfp" or "aprun" (see below) and -# the imported shell variable PREPDATA=YES (see below) -# For LSF jobs, the count of hosts listed in string $LSB_HOSTS -# will be used to set NPROCS (overriding any imported value). -# Default is "$NSPLIT" -# envir String indicating environment under which job runs ('prod' -# or 'test') -# Default is "prod" -# envir_getges String indicating environment under which GETGES utility -# ush runs (see getges.sh docblock for more information) -# Default is "$envir" -# network_getges -# String indicating job network under which GETGES utility -# ush runs (see getges.sh docblock for more information) -# Default is "global" unless the center PREPBUFR processing -# date/time is not a multiple of 3-hrs and the global guess is -# sigio-based, then the default is "gfs" -# pgmout String indicating file containing standard output (output -# always contatenated onto this file) -# Default is "/dev/null" -# tstsp String indicating the directory/filename path to one or -# more BUFR observational data dumps and/or tropical cyclone -# location (tcvitals) files and/or global atmos guess files -# and/or status files that are to override the corresponding -# file in $COMSP (this should be imported with the same -# naming convention as $COMSP; e.g., -# "/gpfstmp/wx22dk/test_dump/ndas.20060612/ndas.t12z." - -# (if tstsp is not imported, the default is used and no -# overriding file would exist; if tstsp is imported then any -# file found would override the correspoding file in $COMSP) -# Default is "/tmp/null/" -# tmmark - string indicating hour for center PREPBUFR processing date/ -# time relative to the analysis time embedded in $tstsp or -# $COMSP (e.g., "tm12", "tm09", "tm06", "tm03", "tm00") -# Default is "tm00" -# BUFRLIST String indicating list of BUFR data dump file names to -# process -# Default is "adpupa proflr aircar aircft satwnd adpsfc \ -# sfcshp sfcbog vadwnd goesnd spssmi erscat qkswnd msonet \ -# gpsipw rassda wdsatr ascatw" -# POE String indicating whether or not to use a poe-like launcher -# to spread instances of the PREPBUFR processing herefile -# MP_PREPDATA over multiple pes in parallel. (= "NO" - -# do not invoke invoke "poe"; anything else - invoke "poe") -# Default is "YES" -# launcher_PREP Parallel scripting launch tool. Settings are in place for -# aprun, mpirun.lsf, and cfp but a different tool can be -# specified. -# NOTE : This is applicable ONLY if the imported shell -# variable POE is not "NO" and the imported shell -# variable PREPDATA=YES (see below) -# Default on Cray-XC40 is "aprun". Otherwise: "mpirun.lsf" -# BACK String indicating whether or not to run background shells -# (on the same task) for the PREPBUFR processing (= "YES" - -# run background shells; anything else - do not run -# background shells). IF BACK=YES on Cray-XC40, the shells -# are invoked by aprun. -# USHSYND String indicating directory path for SYNDATA ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHPREV String indicating directory path for PREVENTS ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHCQC String indicating directory path for CQCBUFR ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHPQC String indicating directory path for PROFCQC ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHVQC String indicating directory path for CQCVAD ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHAQC String indicating directory path for PREPACQC ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHOIQC String indicating directory path for OIQCBUFR ush file -# Default is "${HOMEobsproc_prep}/ush" -# EXECPREP String indicating directory path for PREPOBS executables -# Default is "${HOMEobsproc_prep}/exec" -# PARMPREP String indicating directory path for PREPOBS parm files -# Default is "${HOMEobsproc_network}/parm" -# FIXPREP String indicating directory path for PREPOBS fix-field -# files -# Default is "${HOMEobsproc_prep}/fix" -# DICTPREP String indicating directory path for PREPOBS dictionary -# files -# Default is "${NWROOTp1}/decoders/decod_shared/dictionaries" -# EXECSYND String indicating directory path for SYNTHETIC data -# executables -# Default is "${HOMEobsproc_prep}/exec" -# PARMSYND String indicating directory path for SYNTHETIC parm files -# Default is "${HOMEobsproc_network}/parm" -# FIXSYND String indicating directory path for SYNTHETIC data fix- -# field files -# Default is "${HOMEobsproc_prep}/fix" -# GETGUESS String: if = "YES" will encode first guess (background) -# values interpolated by the program PREPOBS_PREPDATA to -# observation locations in the PREPBUFR file for use by the -# q.c. programs. This guess is always from a global atmos -# guess file valid at the center PREPBUFR processing date/ -# time or from an interpolated guess obtained from global -# atmos guess files valid at times 3-hours apart which span -# the PREPBUFR processing date/time (the latter is performed -# by the program PREPOBS_PREPDATA and occurs when the guess -# files are sigio-based and the PREPBUFR date/time hour is not -# a multiple of 3, e.g. 02Z rap or tm04 nam catchup runs). The -# guess file (or files) may be obtained in one of two ways: -# 1) From pre-existing files in the working directory -# $DATA called sgesprep and sgesprepA (either copied -# there prior to the execution of this script, or -# copied there earlier in this script from either -# $tstsp, or if not found there, $COMSP which was -# populated by the previous running of tropical -# cyclone relocation processing -# NOTE 1: sgesprepA is needed only when the guess is -# sigio-based and the PREPBUFR processing -# date/time is not a multiple of 3-hrs. -# NOTE 2: if previous tropical cyclone relocation -# processing was run, then an sgesprepA file -# is NEVER generated, not a problem since -# previous tropical cyclone relocation -# processing is not run in rap, rap_p or -# rap_e runs -# 2) Via the execution of the GETGES utility ush to -# obtain sgesprep (if pre-existing file $DATA/sgesprep -# does not exist), and possibly via the execution of -# the GETGES utility ush to obtain sgesprepA (if -# PREPBUFR processing date/time is not a multiple of -# 3-hrs and the global guess is sigio-based, and the -# pre-existing file $DATA/sgesprepA does not exist) -# Default is "YES" -# NOTE: If GETGUESS=NO, then the program PREPOBS_PREPDATA -# will NOT call w3emc routine GBLEVENTS to perform -# "prevents" processing -# PREPDATA String: if = "YES" will perform PREPDATA processing -# (in either a parallel or serial environment depending upon -# the values for POE and BACK) -# Default is "YES" -# SYNDATA String: if = "YES" will attempt to perform synthetic bogus -# processing (generation of synthetic bogus winds to be -# appended to PREPBUFR file and, possibly, flagging of mass -# pressure data "near" storms; and, possibly, flagging of -# dropwinsonde wind data "near" storms) -# Default is "YES" -# DO_QC String: if = "YES" will perform quality control -# Default is "YES" -# PREVENTS String: if = "YES" will encode background and obs. errors -# into PREPBUFR file (usually this should be "NO" since the -# programs PREPOBS_PREPDATA and SYNDAT_SYNDATA normally are -# set to perform this function) -# NOTE: Only invoked if DO_QC=YES -# Default is "NO" -# CQCBUFR String: if = "YES" will complex quality control radiosonde -# data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# PROFCQC String: if = "YES" will quality control wind profiler data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# CQCVAD String: if = "YES" will quality control VAD wind data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# PREPACQC String: if = "YES" will quality control aircraft data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# PROCESS_ACQC String: if = "YES" will execute PREPOBS_PREPACQC program as -# part of PREPACQC processing -# NOTE: Only invoked if PREPACQC=YES -# Default is "YES" -# PROCESS_ACPF String: if = "YES" will execute PREPOBS_PREPACPF program as -# part of PREPACQC processing -# NOTE: Only invoked if PREPACQC=YES -# Default is "YES" -# OIQCBUFR String: if = "YES" will perform final oi-based quality -# control on all data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# MPCOPYX String indicating executable path for PREPOBS_MPCOPYBUFR -# program -# Default is "$EXECPREP/prepobs_mpcopybufr" -# PRPX String indicating executable path for PREPOBS_PREPDATA -# program -# Default is "$EXECPREP/prepobs_prepdata" -# errPREPDATA_limit -# String indicating the highest allowed foreground exit -# status for program PREPOBS_PREPDATA (any exit status higher -# than this is considered a failure) -# (Note: errPREPDATA_limit=1 is ALWAYS considered a FAILURE) -# Default is "0" -# PRPC String indicating data card path for PREPOBS_PREPDATA -# program -# Default is "$PARMPREP/prepobs_prepdata.${NET}.parm" -# PRPT String indicating bufrtable file path for PREPOBS_PREPDATA -# program -# Default is "$FIXPREP/prepobs_prep.bufrtable" -# LANDC String indicating land/sea mask file path for -# PREPOBS_PREPDATA program -# Default is "$FIXPREP/prepobs_landc" -# PRVT String indicating observational error table file path for -# PREPOBS_PREPDATA, SYNDAT_SYNDATA and PREPOBS_PREVENTS -# programs (used by GBLEVENTS subroutine) -# NOTE: Only read by gdas, gfs, cdas and nam networks -# If imported "NET=gdas" or "NET=gfs", default is -# "$HOMEobproc_network/fix/prepobs_errtable.global"; -# if imported "NET=cdas", default is -# "$HOMEobsproc_network/fix/prepobs_errtable.cdas"; -# if imported "NET=nam", default is -# "$HOMEobsproc_network/fix/prepobs_errtable.nam" -# otherwise, default is "$DATA/scratch.PRVT" a null file -# LISTHDX String indicating executable path for PREPOBS_LISTHEADERS -# program -# Default is "$EXECPREP/prepobs_listheaders" -# MONOBFRX String indicating executable path for PREPOBS_MONOPREPBUFR -# program -# Default is "$EXECPREP/prepobs_monoprepbufr" -# SYNDX String indicating executable path for SYNDAT_SYNDATA -# program -# Default is "$EXECSYND/syndat_syndata" -# SYNDC String indicating data card path for SYNDAT_SYNDATA program -# Default is "$PARMSYND/syndat_syndata.${NET}.parm" -# PREX String indicating executable path for PREPOBS_PREVENTS -# program -# Default is "$EXECPREP/prepobs_prevents" -# PREC String indicating data card path for PREPOBS_PREVENTS -# program -# Default is "$PARMPREP/prepobs_prevents.${NET}.parm" -# AQCX String indicating executable path for PREPOBS_PREPACQC -# program -# Default is "$EXECPREP/prepobs_prepacqc" -# AQCC String indicating data card path for PREPOBS_PREPACQC -# program -# Default is "$PARMPREP/prepobs_prepacqc.${NET}.parm" -# APFX String indicating executable path for PREPOBS_PREPACPF -# program -# Default is "$EXECPREP/prepobs_prepacpf" -# DICT String indicating METAR station dictionary path for -# PREPOBS_PREPACPF program -# Default is "$DICTPREP/metar.tbl" -# PQCX String indicating executable path for PREPOBS_PROFCQC -# program -# Default is "$EXECPREP/prepobs_profcqc" -# PQCC String indicating data card path for PREPOBS_PROFCQC -# program -# Default is "$PARMPREP/prepobs_profcqc.${NET}.parm" -# VQCX String indicating executable path for PREPOBS_CQCVAD -# program -# Default is "$EXECPREP/prepobs_cqcvad" -# CQCX String indicating executable path for PREPOBS_CQCBUFR -# program -# Default is "$EXECPREP/prepobs_cqcbufr" -# CQCC String indicating data card path for PREPOBS_CQCBUFR -# program -# Default is "$PARMPREP/prepobs_cqcbufr.${NET}.parm" -# CQCS String indicating statbge path for PREPOBS_CQCBUFR program -# Default is "$FIXPREP/prepobs_cqc_statbge" -# OIQCX String indicating executable path for PREPOBS_OIQCBUFR -# program -# Default is "$EXECPREP/prepobs_oiqcbufr" -# OIQCT String indicating observational error table file path for -# PREPOBS_OIQCBUFR program -# NOTE: If imported "NET=cdas", default is -# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas"; -# otherwise default is -# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs" -# -# These do not have to be exported to this script. If they are, they will -# be used by the script. If they are not, they will be skipped -# over by the script. -# -# PREPBUFR_APP String indicating path to output PREPBUFR file for -# PREPOBS_PREPDATA program. -# If present and POE is "NO" and BACK is not "YES" (i.e., a -# serial environment), PREPOBS_PREPDATA will append all -# output BUFR messages to a copy of this file (prepda) in -# the current working directory, using the internal BUFR -# mnemonic table in the first several BUFR messages at the -# top of the file -# NOTE 1: In this case, it is assumed the the switch APPEND -# is set to TRUE in the parm cards $PRPC (careful, -# if APPEND is FALSE, the original copy of -# $PREPBUFR_APP will be wiped out and the case below -# will occur) -# NOTE 2: When POE is not "NO" or BACK is "YES" (i.e., a -# parallel environment), appending makes no sense -# because the original output PREPBUFR file is -# monolithic -# If not present or POE is not "NO" or BACK is "YES" (i.e., a -# parallel environment), PREPOBS_PREPDATA will write all -# output BUFR messages to a new file (prepda) in the current -# working directory using the external BUFR mnemonic table -# in the file $PRPT -# NOTE 3: In this case, it is assumed the the switch APPEND -# is set to FALSE in the parm cards $PRPC (careful, -# if APPEND is TRUE, PREPOBS_PREPDATA will abort -# because the original empty PREPBUFR file has no -# internal BUFR mnemonic table) -# PREPBUFR_IN String indicating path to input PREPBUFR file -# If present, this file will be used by SYNDAT_SYNDATA (if -# SYNDATA=YES - see @ below) and by all applicable Q.C. -# programs (set to to be invoked here) rather than the -# PREPBUFR file generated in this script by PREPOBS_PREPDATA -# (normally this would be used when PREPDATA=NO) -# @ - if the PREPBUFR_IN target file is obtained from -# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, -# then for all runs on and after 12Z 25 Jan 2005, -# SYNDATA should be NO because the target files -# will already contain synthetic bogus data; -# if the PREPBUFR_IN target file is obtained from -# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, -# then for all runs prior to 12Z 25 Jan 2005, -# SYNDATA should be YES because the target files -# will not have contain synthetic bogus data. -# If not present, then the PREPBUFR file generated in this -# script by PREPOBS_PREPDATA and possibly appended to by -# SYNDAT_SYNDATA is passed on as input to all applicable -# Q.C. programs -# jlogfile String indicating path to joblog file -# -# These do not have be exported to this script. -# -# COMDATEROOT Primary default for the root of the directory containing -# produciton date files. -# -# NWROOTp1 Root directory for production software on WCOSS Phase 1. -# -# USHGETGES String indicating directory path for GETGES utility script. -# Default is $HOMEobsproc_prep/ush. -# -# GETGESprep GETGES utility script. If NEMSIO_IN=.true., defaults to: -# $USHGETGES/getges.sh -# otherwise, defaults to: -# $USHGETGES/getges_sig.sh -# -# PREPDATAtpn Tasks per node when invoking cfp on Cray-XC40. Will be -# computed if needed but was not imported. -# -# These do not have to be exported to this script. If they are, they will -# be passed on to the script $USHCQC/prepobs_cqcbufr.sh. They are not used -# by this script. -# -# PRPI_m24 See documentation in $USHCQC/prepobs_cqcbufr.sh -# PRPI_m12 See documentation in $USHCQC/prepobs_cqcbufr.sh -# PRPI_p12 See documentation in $USHCQC/prepobs_cqcbufr.sh -# PRPI_p24 See documentation in $USHCQC/prepobs_cqcbufr.sh -# -# Exported Shell Variables: -# CDATE10 String indicating the center date/time for the PREPBUFR -# processing -# SGES Either ... -# 1) String indicating the full path name for global -# sigio-based or nemsio-based guess file valid at the -# center PREPBUFR processing date/time (in which case the -# center PREPBUFR processing date/time is a multiple of -# 3-hrs, or for any PREPBUFR center hour if global guess -# is nemsio-based) - This guess file will be encoded -# into the PREPBUFR file for use by the q.c. programs. -# -- or -- -# 2) String indicating the full path name for the global -# atmosperic guess file valid at the nearest cycle time -# prior to the center PREPBUFR processing date/time which -# is a multiple of 3 (in which case the center PREPBUFR -# processing date/time is not a multiple of 3-hrs and the -# global guess is sigio-based) - A linear interpolation -# (of the spectal coefficients) between this file and the -# guess file indicated by SGESA case 2 below will be -# performed by program PREPOBS_PREPDATA and encoded into -# the PREPBUFR file for use by the q.c. programs. The -# SGES file is always from the GFS in this case. -# NOTE 1: Only case 1 above is valid when tropical cyclone -# relocation processing previously occurred. -# NOTE 2: Case 2 above is necessary because the w3emc lib -# routine gblevents called by PREPOBS_PREPDATA -# expects that sigio-based guess files will only -# have valid hours which are a multiple of 3 -# NOTE 3: Only case 1 above is valid when global guess is -# nemsio-based. -# SGESA Either ... -# 1) String set to "/dev/null" for case 1 of SGES above -# (default) -# -- or -- -# 2) String indicating the full path name for the global -# sigma guess file valid at the nearest cycle time after -# the center PREPBUFR processing/date time which is a -# multiple of 3 for case 2 of SGES above - A linear -# interpolation (of the spectal coefficients) between -# this guess file and the guess file indicated by SGES -# above (see case 2 for SGES) will be performed by the -# program PREPOBS_PREPDATA and encoded into the PREPBUFR -# file for use by the q.c. programs. The SGESA file is -# always from the GFS in this case and its forecast hour -# is 3-hrs later than the SGES file (thus both initiate -# at the same time). -# NOTE 1: Only case 1 above is valid when tropical cyclone -# relocation processing previously occurred. -# NOTE 2: Case 2 above is necessary because the w3emc lib -# routine gblevents called by PREPOBS_PREPDATA -# expects that sigio-based guess files will only -# have valid hours which are a multiple of 3 -# NOTE 3: Only case 1 above is valid when global guess is -# nemsio-based. -# -# -# Modules and files referenced: -# herefiles : $DATA/MP_PREPDATA -# $DATA/MERGE_MSGS -# scripts : $USHGETGES/getges.sh -# $USHGETGES/getges_sig.sh -# $USHSYND/prepobs_syndata.sh -# $USHPREV/prepobs_prevents.sh -# $USHCQC/prepobs_cqcbufr.sh -# $USHPQC/prepobs_profcqc.sh -# $USHVQC/prepobs_cqcvad.sh -# $USHAQC/prepobs_prepacqc.sh -# $USHOIQC/prepobs_oiqcbufr.sh -# $DATA/postmsg (required ONLY if "$jlogfile" is present) -# $DATA/prep_step {here and by referenced script(s)} -# $DATA/err_exit -# $DATA/err_chk {here and by referenced script(s)} -# (NOTE: The last three scripts above are NOT REQUIRED -# utilities. If $DATA/prep_step not found, a scaled down -# version of it is executed in-line. If $DATA/err_exit -# or $DATA/err_chk are not found, scaled down versions, -# created in-line, are executed. -# executables: $NDATE (from prod_util module) -# programs : -# PREPOBS_MPCOPYBUFR - executable: $MPCOPYX -# PREPOBS_PREPDATA - executable: $PRPX -# land/sea mask: $LANDC -# bufr mnemonic user table: $PRPT -# obs. error table: $PRVT -# data cards: $PRPC -# PREPOBS_LISTHEADERS - executable: $LISTHDX -# PREPOBS_MONOPREPBUFR - executable: $MONOBFRX -# SYNDAT_SYNDATA - executable: $SYNDX -# T126 gaussian land/sea mask: -# $FIXSYND/syndat_syndata.slmask.t126.gaussian -# weights: $FIXSYND/syndat_weight -# obs. error table: $PRVT -# data cards: $SYNDC -# PREPOBS_PREVENTS - executable: $PREX -# obs. error table: $PRVT -# data cards: $PREC -# PREPOBS_PREPACQC - executable: $AQCX -# data cards: $AQCC -# PREPOBS_PREPACPF - executable: $APFX -# dictionary: $DICT -# PREPOBS_PROFCQC - executable: $PQCX -# data cards: $PQCC -# PREPOBS_CQCVAD - executable: $VQCX -# PREPOBS_CQCBUFR - executable: $CQCX -# data cards: $CQCC -# PREPOBS_OIQCBUFR - executable: $OIQCX -# obs. error table: $OIQCT -# -# Remarks: -# -# Condition codes -# 0 - no problem encountered -# >0 - some problem encountered -# -# Attributes: -# Language: Korn shell under linux -# Machine: NCEP WCOSS -# -#### - -set -aux - -NEMSIO_IN=${NEMSIO_IN:=""} -jlogfile=${jlogfile:=""} -SENDDBN=${SENDDBN:-NO} - -if [ ! -d $DATA ] ; then mkdir -p $DATA ;fi - -cd $DATA - -qid=$$ - -##################################################### -##################################################### -# create error check and exit utilities if necessary. -# (as may be the case for some developer runs) -##################################################### - -if [ ! -x $DATA/err_exit ]; then -cat <<\EOFerrexit > $DATA/err_exit - set -x - if [ -n "$LSB_JOBID" ]; then - bkill $LSB_JOBID - sleep 60 - date - else - set -e - kill -n 9 $qid - fi - exit 7 # for extra measure -EOFerrexit -chmod 775 $DATA/err_exit -fi - -if [ ! -x $DATA/err_chk ]; then -cat <<\EOFerrchk > $DATA/err_chk - set -x - if [ "$err" != '0' ]; then - $DATA/err_exit - fi -EOFerrchk -chmod 775 $DATA/err_chk -fi - -##################################################### -##################################################### - - -# determine local system name and type if available -# ------------------------------------------------- -SITE=${SITE:-""} -sys_tp=${sys_tp:-$(getsystem.pl -tp)} -getsystp_err=$? -if [ $getsystp_err -ne 0 ]; then - msg="***WARNING: error using getsystem.pl to determine system type and phase" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" -fi -echo sys_tp is set to: $sys_tp - -#------------------------------------------------------------------------------- - -# obtain the center date/time for PREPBUFR processing -# --------------------------------------------------- - -if [ $# -ne 1 ] ; then - cp ${COMDATEROOT:-$COMROOT}/date/$cycle ncepdate - err0=$? - CDATE10=`cut -c7-16 ncepdate` -else - CDATE10=$1 - if [ "${#CDATE10}" -ne '10' ]; then - err0=1 - else - cycle=t`echo $CDATE10|cut -c9-10`z - err0=0 - fi -fi - -if test $err0 -ne 0 -then -# problem with obtaining date record so exit - set +x - echo - echo "problem with obtaining date record;" - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure -fi - -cyc=`echo $CDATE10|cut -c9-10` -modhr=`expr $cyc % 3` - -set +x -echo -echo "CENTER DATE/TIME FOR PREPBUFR PROCESSING IS $CDATE10" -echo -set -x - -#---------------------------------------------------------------------------- - -# Create variables needed for this script and its children -# -------------------------------------------------------- - -envir=${envir:-prod} - -envir_getges=${envir_getges:-$envir} -if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then - network_getges=${network_getges:-global} -else - network_getges=${network_getges:-gfs} -fi - -pgmout=${pgmout:-/dev/null} - -tstsp=${tstsp:-/tmp/null/} -tmmark=${tmmark:-tm00} - -BUFRLIST=${BUFRLIST:-"adpupa proflr aircar aircft satwnd adpsfc sfcshp \ - sfcbog vadwnd goesnd spssmi erscat qkswnd msonet gpsipw rassda wdsatr \ - ascatw"} - -PREPDATA=${PREPDATA:-YES} - -if [ "$PREPDATA" != 'YES' ] ; then - POE=NO - BACK=NO -else - set +u - [ -z "$POE" -a "$BACK" = 'YES' ] && POE=NO - POE=${POE:-YES} - if [ "$POE" != 'NO' -a "$BACK" = 'YES' ]; then - set -u - set +x -echo -echo "YOU have set both POE and BACK to YES - choose one or the other!!" -echo "Defaults are POE=YES and BACK=NO, as is preferable for WCOSS." -echo - set -x - exit 99 - fi - BACK=${BACK:-NO} - PARALLEL=NO - [ "$POE" != 'NO' -o "$BACK" = 'YES' ] && PARALLEL=YES - if [ "$POE" != 'NO' ] ; then - if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - launcher_PREP=${launcher_PREP:-aprun} - else - launcher_PREP=${launcher_PREP:-mpirun.lsf} - fi - if [ "$launcher_PREP" != 'cfp' -a "$launcher_PREP" != aprun ]; then - if [ -n ${LSB_HOSTS:-""} ]; then - NPROCS=$(echo $LSB_HOSTS|wc -w) - set +x; echo "Setting NPROCS based on LSB_HOSTS count"; set -x - else - NPROCS=${NPROCS:-$NSPLIT} - fi - if [ $NPROCS -lt $NSPLIT ]; then - set +x -echo "********************************************************************" -echo " P R O B L E M ! ! ! " -echo "********************************************************************" -echo " NPROCS=$NPROCS IS NOT SUFFICIENT FOR NSPLIT=$NSPLIT " -echo " NPROCS must be greater than NSPLIT when using a " -echo " parallel processing launcher other than cfp " -echo "********************************************************************" - set -x - msg="***FATAL ERROR: Insufficient NPROCS for NSPLIT=$NSPLIT" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - $DATA/err_exit - exit 55 # for extra measure - fi - fi - elif [ "$BACK" = 'YES' ] ; then - NPROCS=$NSPLIT - fi -# fi for PREPDATA != YES -fi - -USHSYND=${USHSYND:-${HOMEobsproc_prep}/ush} -USHPREV=${USHPREV:-${HOMEobsproc_prep}/ush} -USHCQC=${USHCQC:-${HOMEobsproc_prep}/ush} -USHPQC=${USHPQC:-${HOMEobsproc_prep}/ush} -USHVQC=${USHVQC:-${HOMEobsproc_prep}/ush} -USHAQC=${USHAQC:-${HOMEobsproc_prep}/ush} -USHOIQC=${USHOIQC:-${HOMEobsproc_prep}/ush} - -EXECPREP=${EXECPREP:-${HOMEobsproc_prep}/exec} -PARMPREP=${PARMPREP:-${HOMEobsproc_network}/parm} -FIXPREP=${FIXPREP:-${HOMEobsproc_prep}/fix} -DICTPREP=${DICTPREP:-${NWROOTp1}/decoders/decod_shared/dictionaries} - -EXECSYND=${EXECSYND:-${HOMEobsproc_prep}/exec} -PARMSYND=${PARMSYND:-${HOMEobsproc_network}/parm} -FIXSYND=${FIXSYND:-${HOMEobsproc_prep}/fix} - -GETGUESS=${GETGUESS:-YES} -if [ "$GETGUESS" = 'YES' ]; then - USHGETGES=${USHGETGES:-${HOMEobsproc_prep}/ush} - if [ "$NEMSIO_IN" = .true. ]; then - GETGESprep=${GETGESprep:-$USHGETGES/getges.sh} - else - GETGESprep=${GETGESprep:-$USHGETGES/getges_sig.sh} - fi -fi - -PREPDATA=${PREPDATA:-YES} - -SYNDATA=${SYNDATA:-YES} - -DO_QC=${DO_QC:-YES} - -PREVENTS=${PREVENTS:-NO} -CQCBUFR=${CQCBUFR:-YES} -PROFCQC=${PROFCQC:-YES} -CQCVAD=${CQCVAD:-YES} -PREPACQC=${PREPACQC:-YES} -PROCESS_ACQC=${PROCESS_ACQC:-YES} -PROCESS_ACPF=${PROCESS_ACPF:-YES} -OIQCBUFR=${OIQCBUFR:-YES} - -MPCOPYX=${MPCOPYX:-$EXECPREP/prepobs_mpcopybufr} -PRPX=${PRPX:-$EXECPREP/prepobs_prepdata} -errPREPDATA_limit=${errPREPDATA_limit:-0} -PRPC=${PRPC:-$PARMPREP/prepobs_prepdata.${NET}.parm} -PRPT=${PRPT:-$FIXPREP/prepobs_prep.bufrtable} -cp $PRPT prep.bufrtable -LANDC=${LANDC:-$FIXPREP/prepobs_landc} -if [ "$NET" = 'gdas' -o "$NET" = 'gfs' ]; then - PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.global} -elif [ "$NET" = 'cdas' ]; then - PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.cdas} -elif [ "$NET" = 'nam' ]; then - PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.nam} -else - cp /dev/null $DATA/scratch.PRVT - PRVT=${PRVT:-$DATA/scratch.PRVT} -fi -LISTHDX=${LISTHDX:-$EXECPREP/prepobs_listheaders} -MONOBFRX=${MONOBFRX:-$EXECPREP/prepobs_monoprepbufr} -SYNDX=${SYNDX:-$EXECSYND/syndat_syndata} -SYNDC=${SYNDC:-$PARMSYND/syndat_syndata.${NET}.parm} -PREX=${PREX:-$EXECPREP/prepobs_prevents} -PREC=${PREC:-$PARMPREP/prepobs_prevents.${NET}.parm} -AQCX=${AQCX:-$EXECPREP/prepobs_prepacqc} -AQCC=${AQCC:-$PARMPREP/prepobs_prepacqc.${NET}.parm} -APFX=${APFX:-$EXECPREP/prepobs_prepacpf} -DICT=${DICT:-$DICTPREP/metar.tbl} -PQCX=${PQCX:-$EXECPREP/prepobs_profcqc} -PQCC=${PQCC:-$PARMPREP/prepobs_profcqc.${NET}.parm} -VQCX=${VQCX:-$EXECPREP/prepobs_cqcvad} -CQCX=${CQCX:-$EXECPREP/prepobs_cqcbufr} -CQCC=${CQCC:-$PARMPREP/prepobs_cqcbufr.${NET}.parm} -CQCS=${CQCS:-$FIXPREP/prepobs_cqc_statbge} -OIQCX=${OIQCX:-$EXECPREP/prepobs_oiqcbufr} -if [ "$NET" = 'cdas' ]; then - OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas} -else - OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs} -fi -TIMEIT=${TIMEIT:-""} -[ -s $DATA/time ] && TIMEIT="$DATA/time -p" - - -# See if tropical cyclone relocation previously ran for this network and cycle -# by checking for status file in first in $tstsp, and if not found there, -# then in $COMSP -# ---------------------------------------------------------------------------- - -relo_rec=no # this will remain no even if relocation run, in the event it did - # not process an tropical cyclone records -if [ -s ${tstsp}tropcy_relocation_status.$tmmark ]; then - RELOCATION_HAS_RUN=YES - msg="Tropical cyclone RELOCATION RAN prior to this job - \ -`cat ${tstsp}tropcy_relocation_status.$tmmark`" - [ "`cat ${tstsp}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ - && relo_rec=yes -elif [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then - RELOCATION_HAS_RUN=YES - msg="Tropical cyclone RELOCATION RAN prior to this job - \ -`cat ${COMSP}tropcy_relocation_status.$tmmark`" - [ "`cat ${COMSP}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ - && relo_rec=yes -else - RELOCATION_HAS_RUN=NO - msg="Tropical cyclone RELOCATION did NOT run prior to this job" -fi -[ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - -if [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then - if [ "$SENDDBN" = "YES" ]; then - if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then - RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) - $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job \ - ${COMSP}tropcy_relocation_status.$tmmark - fi - fi -fi - -if [ "$RELOCATION_HAS_RUN" != 'YES' -a "$GETGUESS" != 'NO' ]; then - - if [ $cyc = 00 -o $cyc = 06 -o $cyc = 12 -o $cyc = 18 ]; then - -# The GFS and GDAS networks at 00, 06, 12 and 18z will get the t-3 and t+3 -# atmos guess files here since they are needed by the GSI even if tropical -# cyclone relocation was not previously performed (RELOCATION_HAS_RUN=NO) -# (NOTE 1: Normally RELOCATION_HAS_RUN=YES for these networks) -# (NOTE 2: If RELOCATION_HAS_RUN=YES, the t-3 and t+3 atmos guess files have -# already been obtained for all networks including the GFS and GDAS) -# (NOTE 3: This is not done if GETGUESS is NO) -# - - if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then - for fhr in -3 +3 ;do - if [ "$NEMSIO_IN" = .true. ]; then - if [ $fhr = "-3" ] ; then - sges=sgm3prep - stype=natgm3 - echo $sges - else - sges=sgp3prep - stype=natgp3 - echo $sges - fi - else - if [ $fhr = "-3" ] ; then - sges=sgm3prep - stype=siggm3 - echo $sges - else - sges=sgp3prep - stype=siggp3 - echo $sges - fi - fi - if [ ! -s $sges ]; then - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Tropical cylone relocation HAS NOT previously run" -echo " Get global atmospheric GUESS valid for $fhr hrs relative to center" -echo " PREPBUFR processing date/time" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - $GETGESprep -e $envir_getges -n $network_getges \ - -v $CDATE10 -t $stype $sges - errges=$? - if test $errges -ne 0; then -# problem obtaining global atmospheric first guess so exit - set +x - echo - echo "problem obtaining global atmos guess valid $fhr hrs \ -relative to center PREPBUFR date/time;" - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - set +x - echo -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - fi - done - fi - fi - -elif [ "$RELOCATION_HAS_RUN" = 'YES' ]; then - -# If Tropical cyclone relocation previously ran for this network and cycle -# copy the t-3, t+0 and t+3 atmos guess files and the tcvitals_relocate file -# from either $tstsp or, if not found there, $COMSP to working directory -# (Note: tcvitals_relocate file can be empty, but it must exist) -# -------------------------------------------------------------------------- - - qual_last=".$tmmark" # need this because gfs and gdas don't add $tmmark - # qualifier to end of output atmos guess files - [ $NET = gfs -o $NET = gdas ] && qual_last="" - for file in sgm3prep sgesprep sgp3prep tcvitals.relocate.$tmmark; do - case $file in - tcvitals.relocate.$tmmark) infile=$file; qual_last="";; # already has $tmmark at end - sgm3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgm3.nemsio;else infile=$file;fi;; - sgesprep) if [ "$NEMSIO_IN" = .true. ];then infile=atmges.nemsio;else infile=$file;fi;; - sgp3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgp3.nemsio;else infile=$file;fi;; - esac - if [ -s ${tstsp}${infile}${qual_last} ]; then - cp ${tstsp}${infile}${qual_last} $file - continue - elif [ -s ${COMSP}${infile}${qual_last} ]; then - cp ${COMSP}${infile}${qual_last} $file - continue - else - if [ $file = tcvitals.relocate.$tmmark ]; then - if [ -f ${tstsp}$file ]; then - > $file - continue - elif [ -f ${COMSP}$file ]; then - > $file - continue - fi - fi - fi -# either t-3,t+0 or t+3 atmos guess file or the tcvitals_relocate file not -# found in expected location so exit - set +x - echo - echo "$file file not found in expected location where it should have \ -populated by earlier tropical cyclone relocation processing" - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - done - cp tcvitals.relocate.$tmmark tcvitals - if [ $relo_rec = yes ]; then # come here if relocation ran and processed - # 1 or more records, means it updated - # sgesprep - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" -echo " Global atmospheric GUESS valid for 0 hrs relative to center" -echo " PREPBUFR processing date/time was generated by" -echo " previous tropical cyclone relocation processing" -echo " It will be encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - else # come here if relocation ran but did not - # process any records, means it did not update - # sgesprep (sgesprep obtained via getges used) - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" -echo " Global atmospheric GUESS valid for 0 hrs relative to center" -echo " PREPBUFR processing date/time was obtained via GETGES" -echo " It will be encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - fi - -fi - -############################################################################### -# POSSIBLY OBTAIN GBL ATMOS GUESS FILE(S) FOR LATER ENCODING INTO PREPBUFR FILE -############################################################################### - -if [ "$PREPDATA" = 'YES' -o "$SYNDATA" = 'YES' -o "$PREVENTS" = 'YES' ]; then - - SGES=/dev/null - SGESA=/dev/null - > sgesprep_pathname - > sgesprepA_pathname - - if [ "$GETGUESS" != 'NO' ]; then - -# Either ... -# If the global background guess will be nemsio-based -OR- if the global -# background guess will be sigio-based and the center PREPBUFR processing -# date/time is a multiple of 3-hrs, then get a global atmospheric guess valid -# at the center PREPBUFR processing date/time - this will be interpolated to -# observation locations by PREPDATA and encoded into the PREPBUFR file for -# use by the q.c. programs; if a non-zero length file sgesprep exists in the -# working directory, then this guess is used - otherwise: the GETGES utility -# is executed to obtain the global atmospheric guess file here -# -# (NOTE 1: a pre-existing sgesprep file in the working directory at this -# point was either: -# copied there prior to the execution of this script -# or -# copied there earlier in this script from either $tstsp, or if -# not found there, $COMSP which was populated by the previous -# running of tropical cyclone relocation processing -# (NOTE 2: If imported variable GETGUESS=NO, then bypass this step - a -# global atmos guess valid at center PREPBUFR time is not obtained) -# -# -- or -- -# -# (AND THIS APPLIES ONLY TO A GLOBAL SIGIO-BASED GUESS!!) -# -# If center PREPBUFR processing date/time is not a multiple of 3-hrs -AND- -# global guess is sigio-based, then get a global sigma guess valid at the -# nearest cycle time prior to the center PREPBUFR processing date/time which -# is a multiple of 3, then get a global sigma guess valid at the nearest -# cycle time after the center PREPBUFR processing date/time which is a -# multiple of 3 - the spectral coefficients will be linearly interpolated to -# the center PREPBUFR processing date/time by the program PREPOBS_PREPDATA -# and this guess will then be interpolated to observation locations (again by -# the program PREPOBS_PREPDATA) and encoded into the PREPBUFR file for use by -# the q.c. programs; if a non-zero length file sgesprep exists in the working -# directory, then this guess is used for time prior to the center PREPBUFR -# processing date/time - otherwise: the utility ush GETGES is executed to -# obtain the global atmos guess file here (will always be from GFS network); -# -# likewise if a non-zero length file sgesprepA exists in the working -# directory, then this guess is used for time after the center PREPBUFR -# processing date/time - otherwise: the utility ush GETGES is executed to -# obtain the global atmos guess file here (will always be from the GFS -# network and initiate at the same time as the guess file valid prior to the -# PREPBUFR processing date/time) -# -# (NOTE 1: a pre-existing sgesprep file in the working directory at this -# point was either: -# copied there prior to the execution of this script -# or -# copied there earlier in this script from either $tstsp, or if -# not found there, $COMSP which was populated by the previous -# running of tropical cyclone relocation processing -# (NOTE 2: a pre-existing sgesprepA file in the working directory at this -# point was copied there prior to the execution of this script - -# it could not have been copied from either $tstsp or $COMSP -# because previous tropical cyclone relocation processing can run -# only when the center tropical cyclone relocation (or PREPBUFR) -# processing date/time is a multiple of 3) -# (NOTE 3: this case is necessary because the gblevents subroutine used to -# add background forecast values to the prepbufr file expects sigio- -# based files to be valid only at hours that are a multiple of 3) -# (NOTE 4: if imported variable GETGUESS=NO, then bypass this step - a -# global atmos guess valid at center PREPBUFR time is not obtained) -# ---------------------------------------------------------------------- - - for sfx in "" A; do - if [ ! -s sgesprep${sfx} ]; then - fhr=any - if [ "$NEMSIO_IN" = .true. ]; then - dhr=0 - stype=natges - else - dhr=`expr 0 - $modhr` - stype=sigges - fi - if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then - [ "$sfx" = 'A' ] && break - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Either center PREPBUFR processing date/time is a multiple of 3-hrs" -echo " -OR-" -echo " global guess is nemsio-based" -echo " Use GETGES to get global sigio-based or nemsio-based GUESS valid for" -echo " 0 hrs relative to center PREPBUFR processing date/time" -echo " Will be encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - else - if [ "$sfx" = 'A' ]; then - typeset -Z2 fhr - fhr=`awk -F"sf" '{print$2}' sgesprep_pathname | cut -c1-2` - fhr=`expr $fhr + 03` - dhr=`expr 3 - $modhr` - fi - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Center PREPBUFR processing date/time is not a multiple of 3-hrs" - if [ "$sfx" != 'A' ]; then -echo " Get global atmos GUESS valid at the nearest cycle time prior to" - else -echo " Get global atmos GUESS valid at the nearest cycle time after" - fi -echo " center PREPBUFR processing date/time which is a multiple of 3" -echo " Will be used to generate an interpolated guess which will be" -echo " encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - fi - $GETGESprep -e $envir_getges -n $network_getges -t $stype\ - -f $fhr -v `${NDATE} $dhr $CDATE10` > sgesprep${sfx}_pathname - errges=$? - if test $errges -ne 0 - then -# problem obtaining global sigio-based or nemsio-based guess - exit if center -# PREPBUFR processing date/time is a multiple of 3-hrs or if global guess is -# nemsio-based, otherwise continue running but set GETGUESS=NO meaning a -# first guess will NOT be encoded in PREPBUFR file - if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then - if [ "$NEMSIO_IN" = .true. ]; then - set +x - echo -echo "problem obtaining global nemsio-based guess;" - else - set +x - echo -echo "problem obtaining global sigio-based guess valid 0 hrs relative to \ -center PREPBUFR date/time;" - fi -echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - else - set +x - echo -echo "problem obtaining global atmos guess valid at the nearest cycle time " - if [ "$sfx" != 'A' ]; then -echo "prior to center PREPBUFR processing date/time which is a multiple of 3" - else -echo "after center PREPBUFR processing date/time which is a multiple of 3" - fi -echo "will continue running but a GUESS will NOT be encoded in PREPBUFR file!!" - echo - set -x - msg="PROBLEM OBTAINING ONE OR BOTH SPANNING ATMOS GUESS \ -FILES, GUESS NOT ENCODED IN PREPBUFR FILE --> non-fatal" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - GETGUESS=NO - SGES=/dev/null - SGESA=/dev/null - > sgesprep - > sgesprepA - > sgesprep_pathname - > sgesprepA_pathname - break - fi - fi - cp `cat sgesprep${sfx}_pathname | awk '{ print $1 }'` sgesprep${sfx} - set +x - echo -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - else - if [ $RELOCATION_HAS_RUN = YES ]; then - -# If relocation ran, then ${sfx} is always "" (null) because relocation will -# only run on center times that are a multiple of 3-hrs - come here to -# store the pathname for the sgesprep file in sgesprep${sfx}_pathname - -# note that it will not be stored here if relocation did not process any -# records, i.e., it did not update the guess, because it was already stored -# in tropcy_relocate.sh (with the getges path) -# -------------------------------------------------------------------------- - - qual_last=".$tmmark" # need this because gfs and gdas don't add - # $tmmark qualifer to end of output atmos - # guess files - [ $NET = gfs -o $NET = gdas ] && qual_last="" - if [ "$NEMSIO_IN" = .true. ]; then - gesbase="atmges.nemsio" - else - gesbase="sgesprep" - fi - if [ -s ${tstsp}${gesbase}${qual_last} ]; then - echo "${tstsp}${gesbase}${qual_last}" > sgesprep${sfx}_pathname - elif [ -s ${COMSP}${gesbase}${qual_last} ]; then - echo "${COMSP}${gesbase}${qual_last}" > sgesprep${sfx}_pathname - fi - else - -# If relocation did not run, then the guess files in $DATA were copied there -# prior to the execution of this script by the user - just echo the path -# to this guess file in $DATA into sgesprep${sfx}_pathname -# -------------------------------------------------------------------------- - - echo "$DATA/sgesprep${sfx}" > sgesprep${sfx}_pathname - fi - fi - eval SGES${sfx}=$DATA/sgesprep${sfx} - done - fi -fi - -################################ -# EXECUTE PREPDATA PROCESSING -################################ - -if [ "$PREPDATA" = 'YES' ]; then - - cd $DATA - -set +u - if [ -z "$PREPBUFR_APP" -o "$PARALLEL" = 'YES' ]; then -set -u - if [ ! -s ${tstsp}status.${tmmark}.bufr_d -a \ - ! -s ${COMSP}status.${tmmark}.bufr_d ]; then - -#########if [ \( ! -s ${tstsp}status1.${tmmark}.bufr_d -o \ -######### ! -s ${tstsp}status2.${tmmark}.bufr_d \) -a \ -######### \( ! -s ${COMSP}status1.${tmmark}.bufr_d -o \ -######### ! -s ${COMSP}status2.${tmmark}.bufr_d \) ]; then - if [ ! -s ${tstsp}status1.${tmmark}.bufr_d -a \ - ! -s ${COMSP}status1.${tmmark}.bufr_d ]; then - -# problem: status file not found - indicates some or all data dumps were not -# found (produced) for requested time ... -# If highest level directory pointing to input BUFR observational -# data dumps is /com or /com2 then EXIT (assumes all data dumps are -# required) -# Otherwise, just echo a diagnostic (assumes only some data dumps are -# required) -# ---------------------------------------------------------------------------- - -echo -echo "Some or all BUFR data dumps were not found for requested time ... " -echo - set -x - - if [[ "$COMSP" =~ (^/com/|^/com2/|^/gpfs/.../nco/ops/com/) && \ - "$tstsp" =~ (^/tmp/null) ]]; then - set +x -echo -echo "ABNORMAL EXIT!!!!!!!!!!!" -echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - fi - fi - - echo $BUFRLIST | grep adpsfc - grp_adpsfc=$? - echo $BUFRLIST | grep adpupa - grp_adpupa=$? - if [ \( ! -f ${COMSP}adpsfc.${tmmark}.bufr_d -a \ - ! -f ${tstsp}adpsfc.${tmmark}.bufr_d -a $grp_adpsfc -eq 0 \) -o \ - \( ! -f ${COMSP}adpupa.${tmmark}.bufr_d -a \ - ! -f ${tstsp}adpupa.${tmmark}.bufr_d -a $grp_adpupa -eq 0 \) ] - then - -# problem: either adpsfc (surface land) or adpupa (raob/pibal/recco) file, or -# both, not found for requested time - this is unacceptable; EXIT -# (unless the culprit file was not included in the $BUFRLIST) -# --------------------------------------------------------------------------- - - set +x -echo -echo "ADPSFC and/or ADPUPA BUFR data dump was not produced for requested" -echo " time (but is in BUFRLIST); ABNORMAL EXIT!!!!!!!!!!!" -echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - - fi - - for name in ${BUFRLIST} ;do - > $name - if [ -f ${tstsp}${name}.${tmmark}.bufr_d ]; then - cp ${tstsp}${name}.${tmmark}.bufr_d $name - elif [ -s ${COMSP}${name}.${tmmark}.bufr_d ]; then - cp ${COMSP}${name}.${tmmark}.bufr_d $name - fi - done - - > prep_exec.cmd - - > prepda.${cycle} - - echo " $CDATE10" > cdate10.dat - -# If GETGUESS=YES, then either ... -# a global sigio-based guess file valid at the center PREPBUFR processing -# date/time which is a multiple of 3-hrs is valid at this point -# -- or -- -# global sigio-based guess files valid at times which are multiples of 3-hrs -# and span the center PREPBUFR processing date/time which is NOT a multiple of -# 3-hrs are available and valid at this point -# -- or -- -# a global nemsio-based guess file valid at the center PREPBUFR processing -# date/time for any hour is valid at this point - -# In any case, namelist "GBLEVN" with PREVEN=T is cat'ed to the beginning -# of the PREPOBS_PREPDATA program data cards file - this means -# PREPOBS_PREPDATA will call w3emc routine GBLEVENTS to do the "prevents" -# processing (otherwise PREVEN=F by default) - - > prepdata.stdin - [ "$GETGUESS" != 'NO' ] && echo " &gblevn preven=true /" >>prepdata.stdin - cat $PRPC >> prepdata.stdin - -# Check contents of *aircar_status_flag* file in $tstsp, or if not found there, -# $COMSP path - this was generated by previous bufr_dump_obs.sh script: if it -# exists and indicates that there were more AFWA (backup) ACARS reports than -# ARINC (primary) ACARS reports in the AIRCAR dump, then skip processing of -# ARINC ACARS messages in PREPOBS_PREPDATA (meaning process ONLY AFWA ACARS -# messages); otherwise, as is usually the case, skip processing of AFWA ACARS -# messages (meaning process only ARINC ACARS messages in PREPOBS_PREPDATA) - - echo " SUBSKP(004,007) = TRUE," > insert - if [ -s ${tstsp}aircar_status_flag.${tmmark}.bufr_d ]; then - grep -q -Fe "004.007" ${tstsp}aircar_status_flag.${tmmark}.bufr_d - err_grep=$? - if [ $err_grep -eq 0 ]; then - echo " SUBSKP(004,004) = TRUE," > insert - msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ -backup AFWA ACARS into PREPBUFR" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - fi - elif [ -s ${COMSP}aircar_status_flag.${tmmark}.bufr_d ]; then - grep -q -Fe "004.007" ${COMSP}aircar_status_flag.${tmmark}.bufr_d - err_grep=$? - if [ $err_grep -eq 0 ]; then - echo " SUBSKP(004,004) = TRUE," > insert - msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ -backup AFWA ACARS into PREPBUFR" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - fi - fi - - grep -q -Fe "`cat insert`" prepdata.stdin - err_grep=$? - if [ $err_grep -ne 0 ]; then - nlines=`cat < prepdata.stdin | wc -l` - line=`grep -n -Fe "&LDTA" prepdata.stdin | cut -f1 -d:` - head -n $line prepdata.stdin > top_part - mlines=`expr $nlines - $line` - tail -n $mlines prepdata.stdin > bottom_part - [ $mlines -gt 2 ] && cat top_part insert bottom_part > prepdata.stdin - rm top_part bottom_part - fi - rm insert - - -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## -## HEREFILE MP_PREPDATA ## -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## - -set +x -cat <<\EOFmpp > MP_PREPDATA - -{ echo - -# This herefile script performs the "prepdata" processing. It is designed to -# run in either a parallel (e.g., poe/mpi or background threads) or serial -# environment. In the parallel environment, it first splits the input BUFR -# data dump files into $NSPLIT equally-sized parts (analogous to dealing -# multiple sets of cards to $NSPLIT players, where each set of cards is a -# different BUFR data dump file). Next, in either the parallel or serial -# environment, it runs PREPOBS_PREPDATA to write out prepbufr files (either -# a single complete file in the serial environment or $NSPLIT partial -# PREPBUFR files in the parallel environment). Finally, it generates a list of -# PREPBUFR message headers which, in the parallel environment, is needed to -# later merge the partial PREPBUFR files together in the proper order. -# -# IMPORTANT: This script assumes that the BUFR data dump files it is to -# process have been copied into the $DATA directory and that each -# file name is the same as in $BUFRLIST. It also assumes that the -# NCEP production date file is present in the $DATA directory and -# that it is called cdate10.dat. Finally, it assumes that the -# PREPOBS_PREPDATA program data cards (parm) file is present in the -# $DATA directory and it is called prepdata.stdin -# ----------------------------------------------------------------------------- -# -# Positional parameters passed in: -# 1 - Stream index ($multi) (0 to $NSPLIT-1) -# -# Imported variables that must be passed in: -# DATA - path to working directory -# PARALLEL - indicates whether or not this script is running in a parallel -# (e.g., poe/mpi or background threads) or serial environment -# "YES" - running in a parallel environment; "NO" running in a -# serial environment) -# NSPLIT number of parts into which the input BUFR data dump files are to -# be evenly divided (applicable only when PARALLEL is "YES") -# BUFRLIST - list of BUFR data dump files to process -# MPCOPYX - path to PREPOBS_MPCOPYBUFR program executable -# PRPT - path to PREPOBS_PREPDATA bufrtable file -# LANDC - path to land/sea mask file -# SGES - path to COPY OF global sigio-based or nemsio-based first guess -# file valid at either center PREPBUFR processing date/time or, -# for global sigio-based guess only, nearest 3-hrly cycle time -# prior to center PREPBUFR processing date/time -# SGESA - path to COPY OF global sigio-based guess file valid at nearest -# 3-hrly cycle AFTER center PREPBUFR processing date/time (if -# needed, otherwise /dev/null). Only used if SGES is valid at -# 3-hrly cycle time PRIOR to center PREPBUFR processing date/time -# (and thus not used if NEMSIO_IN=.true.) -# PRVT - path to observation error table file -# PRPX - path to PREPOBS_PREPDATA program executable -# LISTHDX - path to PREPOBS_LISTHEADERS program executable - -set -aux -multi=$1 - -data=$DATA/multi$multi - -if [ ! -d $DATA/multi$multi ] ; then - mkdir -p $DATA/multi$multi -fi - -status=$data/mstatus ; > $status -mp_pgmout=$data/mp_pgmout ; > $mp_pgmout - - -{ echo -set +x -echo -echo "********************************************************************" -echo "This is stream (task/thread) $multi executing on node `hostname -s`" -echo "Starting time: `date`" -echo "********************************************************************" -echo -set -x -} >> $mp_pgmout - -cd $data - -if [ "$PARALLEL" = 'YES' ]; then - - n=0 - - pgm=`basename $MPCOPYX` -#-----mimics prep_step----- - set +x - echo $pgm > pgmname - set +u - [ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" - set -u - [ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout - rm pgmname - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` - [ -s $DATA/tracer ] && cat $DATA/tracer > errfile - set -x -#-------------------------- - - for name in ${BUFRLIST[*]} ;do - > $name - if [ -s $DATA/$name ] ; then - ((n+=1)) - export FORT$((10+n))=$DATA/$name - export FORT$((50+n))=$name - fi - done - - cat<> $mp_pgmout 2>&1 - &namin nfiles=$n / - &mp nprocs=$NSPLIT,mp_process=$multi / -EOF - err=$? - set +x - echo - echo "The foreground exit status for PREPOBS_MPCOPYBUFR is " $err - echo - set -x - - [ "$err" -gt '0' ] && exit - - dump_dir=$data - -else - - dump_dir=$DATA - -# fi for $PARALLEL = YES -fi - - -pgm=`basename $PRPX` -#-----mimics prep_step----- -set +x -echo $pgm > pgmname -set +u -[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" -set -u -[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout -rm pgmname -[ -f errfile ] && rm errfile -unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -[ -s $DATA/tracer ] && cat $DATA/tracer > errfile -set -x -#-------------------------- - -set +u -[ -n "$PREPBUFR_APP" -a "$PARALLEL" = 'NO' ] && \ - cp $PREPBUFR_APP prepda -set -u - -# Namelist "TASK" with mp_process set to the value of $multi - either the poe/ -# mpi task number (for POE not equal to "NO") or to the background thread -# number (for BACK equal to "YES") in the parallel environment, or hardwired -# to zero in the serial environment, is cat'ed to the beginning of the -# PREPOBS_PREPDATA program data cards (parm) file - this will allow -# PREPOBS_PREPDATA to identify this stream - -> prepdata.stdin -echo " &task mp_process=$multi /" >>prepdata.stdin -cat $DATA/prepdata.stdin >> prepdata.stdin - -BUFRLIST_all="adpupa aircar aircft satwnd proflr vadwnd rassda adpsfc sfcshp \ - sfcbog msonet spssmi erscat qkswnd wdsatr ascatw rtovs atovs goesnd gpsipw" -###BUFRLIST_all_array=($BUFRLIST_all) # this does not work on all platforms -set -A BUFRLIST_all_array `echo $BUFRLIST_all` # this works on all platforms - - -# Any dump file not included in BUFRLIST is "touched" so that it will not -# cause a read error in the event that PREPOBS_PREPDATA still tries to read it - -for name in $BUFRLIST_all;do -[ ! -f $dump_dir/$name ] && > $dump_dir/$name -done - -export FORT11=$DATA/cdate10.dat -export FORT12=$PRPT -export FORT15=$LANDC -## export FORT18=$SGES -## export FORT19=$SGESA - -# The PREPOBS_PREPDATA code opens GFS spectral coefficient guess files using -# sigio routines or GFS gaussian grid guess files using nemsio routines (via -# W3EMC routine GBLEVENTS) in a manner that may not recognize the FORTxx -# variables above. So, the above statements setting FORTxx vars for $SGES and -# $SGESA are replaced by the soft links below. - -ln -sf $SGES fort.18 -ln -sf $SGESA fort.19 -export FORT20=$PRVT -export FORT21=$dump_dir/${BUFRLIST_all_array[0]} -export FORT22=$dump_dir/${BUFRLIST_all_array[1]} -export FORT23=$dump_dir/${BUFRLIST_all_array[2]} -export FORT24=$dump_dir/${BUFRLIST_all_array[3]} -export FORT25=$dump_dir/${BUFRLIST_all_array[4]} -export FORT26=$dump_dir/${BUFRLIST_all_array[5]} -export FORT27=$dump_dir/${BUFRLIST_all_array[6]} -export FORT31=$dump_dir/${BUFRLIST_all_array[7]} -export FORT32=$dump_dir/${BUFRLIST_all_array[8]} -export FORT33=$dump_dir/${BUFRLIST_all_array[9]} -export FORT34=$dump_dir/${BUFRLIST_all_array[10]} -export FORT35=$dump_dir/${BUFRLIST_all_array[11]} -export FORT36=$dump_dir/${BUFRLIST_all_array[12]} -export FORT37=$dump_dir/${BUFRLIST_all_array[13]} -export FORT38=$dump_dir/${BUFRLIST_all_array[14]} -export FORT39=$dump_dir/${BUFRLIST_all_array[15]} -export FORT41=$dump_dir/${BUFRLIST_all_array[16]} -export FORT42=$dump_dir/${BUFRLIST_all_array[17]} -export FORT46=$dump_dir/${BUFRLIST_all_array[18]} -export FORT48=$dump_dir/${BUFRLIST_all_array[19]} -export FORT51=prepda -export FORT52=prevents.filtering.prepdata - -#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) -#If program ever fails, try changing 64000000 to 20000000 -#set +u -#[ -n "$LOADL_PROCESSOR_LIST" ] && XLSMPOPTS=parthds=2:stack=64000000 -#set -u - -# The following improves performance on Cray-XC40 if $PRPX was -# linked to the IOBUF i/o buffering library -export IOBUF_PARAMS='*prevents.filtering.prepdata:verbose' - -$TIMEIT $PRPX >$mp_pgmout 2>&1 -errPREPDATA=$? -unset IOBUF_PARAMS -cat prevents.filtering.prepdata >> $mp_pgmout -set +x -echo -echo "The foreground exit status for PREPOBS_PREPDATA is " $errPREPDATA -echo -set -x - -[ "$errPREPDATA" -gt '4' -o "$errPREPDATA" -eq '1' ] && exit - -# Will execute PREPOBS_LISTHEADERS even if PARALLEL is "NO", because it will -# reorder the monolithic PREPBUFR file to ensure that all messages of the same -# subtype will always be grouped together in sequential messages, arranged in -# the order found in $PRPT (Note: This is a necessity when PARALLEL is "YES" -# because the later program PREPOBS_MONOPREPBUFR must merge the $NSPLIT -# individual (partial) PREPBUFR files together in the proper order) - - -# Build listhdx.stdin from bufrtable entries of possible message headers first -# line is count, followed by list - -grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|wc -l|tee listhdx.stdin -grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|tee -a listhdx.stdin - -pgm=`basename $LISTHDX` -#-----mimics prep_step----- -set +x -echo $pgm > pgmname -set +u -[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" -set -u -[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout -rm pgmname -[ -f errfile ] && rm errfile -unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -[ -s $DATA/tracer ] && cat $DATA/tracer > errfile -set -x -#-------------------------- - -export FORT11=prepda -export FORT51=prepda.reorder -export FORT52=prepda.hdrs - -$TIMEIT $LISTHDX < listhdx.stdin >>$mp_pgmout 2>&1 -err=$? -cat prepda.hdrs -set +x -echo -echo "The foreground exit status for PREPOBS_LISTHEADERS is " $err -echo -set -x - -[ "$err" -gt '0' ] && exit - -mv prepda.reorder prepda -rm listhdx.stdin - -echo "$multi finished -- errPREPDATA = $errPREPDATA" > $status - -{ echo -set +x -echo -echo "********************************************************************" -echo "Finished executing on node `hostname -s`" -echo "Ending time : `date`" -echo "********************************************************************" -echo -set -x -} >> $mp_pgmout - -} 1> $DATA/mp_stream${1}.stdout 2> $DATA/mp_stream${1}.errfile - -exit 0 -EOFmpp -set -x - -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## -## end of HEREFILE MP_PREPDATA ## -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## - - chmod 775 MP_PREPDATA - - if [ "$PARALLEL" = 'YES' ]; then - -# In the parallel environment, either cat the multiple MP_PREPDATA tasks -# into a poe command file (for poe/mpi/cfp) - or - set up a script that will -# fire off each MP_PREPDATA thread as a background process -# ----------------------------------------------------------------------- - if [ "$POE" != 'NO' ]; then - multi=-1 - while [ $((multi+=1)) -lt $NSPLIT ] ; do - echo "ksh $DATA/MP_PREPDATA $multi "|tee -a $DATA/prep_exec.cmd - done - if [ "$launcher_PREP" != cfp -a "$launcher_PREP" != aprun ]; then - # fill in empty tasks - multi=$((multi-=1)) #need to go back one - while [ $((multi+=1)) -lt $NPROCS ] ; do - echo "echo do-nothing" >> $DATA/prep_exec.cmd - done - fi - elif [ $BACK = 'YES' ] ; then - multi=-1 - echo "#!/bin/ksh" > $DATA/prepthrds.sh - while [ $((multi+=1)) -lt $NSPLIT ] ; do - echo "$DATA/MP_PREPDATA $multi &" >> $DATA/prepthrds.sh - echo "echo $DATA/MP_PREPDATA $multi submitted in background" \ - >> $DATA/prepthrds.sh - done - echo "wait" >> $DATA/prepthrds.sh - chmod 775 $DATA/prepthrds.sh - fi - -# In the parallel environment, next either execute the poe wrapper (for poe/ -# mpi/cfp) (do not execute a time command with poe!) - or - run prepthrds.sh -# to kick off background processes and wait for them to complete -# -------------------------------------------------------------------------- - if [ "$POE" != 'NO' ]; then - if [ "$launcher_PREP" = mpirun.lsf ]; then - export MP_CMDFILE=$DATA/prep_exec.cmd - export MP_PGMMODEL=mpmd - export MP_PULSE=0 - export MP_DEBUG_NOTIMEOUT=yes - export MP_LABELIO=yes - export MP_STDOUTMODE=ordered - mpirun.lsf - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - elif [ "$launcher_PREP" = cfp ]; then - export MP_CSS_INTERRUPT=yes - export MP_LABELIO=yes - export MP_STDOUTMODE=ordered - mpirun.lsf cfp $DATA/prep_exec.cmd - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - elif [ "$launcher_PREP" = aprun ]; then - ## Determine tasks per node (PREPDATAtpn) and - ## max number of concurrent procs (PREPDATAprocs) for cfp - typeset -i nodesall=$(echo -e "${LSB_HOSTS// /\\n}"|sort -u|wc -w) - typeset -i ncnodes=$(($nodesall-1)) # we want compute nodes only - if [ $ncnodes -lt 1 ]; then - set +x - echo - echo " ** Could not get positive compute node count for aprun **" - echo " ** Are we using LSF queue with compute node access? **" - echo - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - if [[ -z ${PREPDATAtpn:-""} ]]; then - PREPDATAtpn=$((($NSPLIT+$ncnodes-1)/$ncnodes)) - # cfp is faster with extra thread so add one if there is room. - # (this logic needs an update to avoid hardwired 24) - [ $PREPDATAtpn -lt 24 ] && PREPDATAtpn=$(($PREPDATAtpn+1)) - fi - if [[ -z ${PREPDATAprocs:-""} ]]; then - PREPDATAprocs=$(($ncnodes*$PREPDATAtpn)) # max concurrent processes - fi - aprun -j 1 -n${PREPDATAprocs} -N${PREPDATAtpn} -d1 cfp $DATA/prep_exec.cmd - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - else # unknown launcher and options (eg, for use on R&D system) - $launcher_PREP - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - fi - elif [ $BACK = 'YES' ] ; then - if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - aprun -n 1 -d $NSPLIT $DATA/prepthrds.sh - else - $DATA/prepthrds.sh - fi - fi - totalt=$NSPLIT - else - -# In the serial environment, just fire off a single thread of MP_PREPDATA -# ----------------------------------------------------------------------- - multi=0 - if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - aprun -n 1 -N 1 ksh $DATA/MP_PREPDATA $multi - else - $DATA/MP_PREPDATA $multi - fi - totalt=1 - - # fi for $PARALLEL = YES - fi - - set +x - multi=0 - while [ $multi -lt $totalt ]; do -echo -echo "********************************************************************" -echo " ++ Script STDOUT from MP_PREPDATA for stream (task/thread) $multi ++" -echo "********************************************************************" -echo - cat $DATA/mp_stream${multi}.stdout -echo "********************************************************************" -echo " ++ End of Script STDOUT from MP_PREPDATA for stream $multi ++ " -echo "********************************************************************" - multi=`expr $multi + 1` - done - -echo -echo "********************************************************************" -echo " ++ Script trace from MP_PREPDATA for stream (task/thread) 0 ++ " - if [ "$PARALLEL" = 'YES' ]; then -echo -echo " In order to conserve space, the script trace from other " -echo " streams is not invoked unless the stream failed. " - fi -echo "********************************************************************" -echo - - cat mp_stream0.errfile - -echo -echo "********************************************************************" -echo " ++ End of Script trace from MP_PREPDATA for stream 0 ++ " -echo "********************************************************************" -echo - set -x - -# check status files -# ------------------ - - errSTATUS=0 - errPREPDATA=0 - four_check=yes - multi=0 - while [ $multi -lt $totalt ]; do - cat $DATA/multi$multi/mp_pgmout >> prepdata.out - cat $DATA/multi$multi/mp_pgmout >> $pgmout - status=$DATA/multi$multi/mstatus - if [ ! -s $status ]; then - set +x -echo -echo "********************************************************************" -echo " P R O B L E M ! ! ! " -echo "********************************************************************" -echo " ###> MP_PREPDATA stream (task/thread) $multi FAILED - Cycle date: \ -$CDATE10" -echo " Current working directory: $DATA " -echo -echo " Script trace from MP_PREPDATA for stream $multi follows ... " -echo "********************************************************************" -echo - cat $DATA/mp_stream${multi}.errfile -echo -echo "********************************************************************" -echo " ++ End of Script trace from MP_PREPDATA for stream $multi ++ " -echo "********************************************************************" -echo - set -x - errSTATUS=99 - else - err_this=`cut -f 2 -d = $status` - [ "$err_this" -gt "$errPREPDATA" ] && errPREPDATA=$err_this - [ "$err_this" -eq '0' ] && four_check=no - fi - multi=`expr $multi + 1` - done - - if [ "$errSTATUS" -gt '0' ]; then - $DATA/err_exit - exit 55 # for extra measure - fi - - [ "$errPREPDATA" -eq '4' -a "$four_check" = 'no' ] && errPREPDATA=0 - - set +x - echo - echo "For all MP_PREPDATA Streams, the largest foreground exit status \ - amongst all PREPOBS_PREPDATA runs is " $errPREPDATA - echo - set -x - - if [ "$errPREPDATA" -le "$errPREPDATA_limit" -a $errPREPDATA -ne 1 ]; then - err=0 - if [ "$errPREPDATA" -eq '4' ]; then - set +x - echo - echo "WARNING: PREPOBS_PREPDATA FOUND EITHER NO ADPUPA OR NO ADPSFC DATA" - echo "-------- THESE DATA WILL NOT BE AVAILABLE TO ANALYSES" - echo - set -x - fi - else - err=$errPREPDATA - fi - - pgm=`basename $PRPX` - touch errfile - $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - - if [ "$PARALLEL" = 'YES' ]; then - -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## -## HEREFILE MERGE_MSGS ## -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## - -set +x -cat <<\EOFmrg > MERGE_MSGS - -# This herefile script merges the individual partial PREPBUFR files present at -# this point into a complete, monolithic PREPBUFR file in the proper message -# type order. It is the last step in the PREPDATA processing. It runs only -# in the parallel environment. -# ---------------------------------------------------------------------------- - -# Positional parameters passed in: -# 1 - Number of input partial PREPBUFR files that are going to be merged -# ($nfiles) -# 2 - Working directory path ($DATA) (contains separate partial PREPBUFR -# files and text files containing headers for each, one directory down) -# 3 - Beginning string of sub-directories in $DATA ($subdir) (each sub- -# directory contains an input partial PREPBUFR file and a text file -# containing headers for all messages in that PREPBUFR file) -# 4 - File in each sub-directory containing headers for all messages in -# partial PREPBUFR file in same sub-directory (file name only - same name -# in all sub-directories) ($header_file_name) -# 5 - Partial PREPBUFR file in each sub-directory (file name only - same name -# in all sub-directories) ($prep_in) -# 6 - Output monolithic PREPBUFR file name (file name only) ($prep_out) -# -# Imported variables that must be passed in: -# MONOBFRX - path to PREPOBS_MONOPREPBUFR program executable -# -# Imported variables that can be passed in: -# pgmout - string indicating path to for standard output file (skipped over -# by this script if not passed in) - - -if [ $# -ne 6 ] ; then - echo "Usage: $0 nfiles DATA subdir header_file_name prep_in prep_out" - exit 1 -fi - -set -aux - -qid=$$ - -nfiles=$1;DATA=$2;subdir=$3;header_file_name=$4;prep_in=$5;prep_out=$6 - - -# From all the header files, extract the header counts and names build -# namelist input to drive $MONOBFRX program -# --------------------------------------------------------------------- - -nheaders=`cat $DATA/${subdir}*/$header_file_name|awk '{print $1}'|sort -u|wc -l` -((nheaders+=0)) - ->$DATA/input echo -echo " &namin nfiles=$nfiles, nheaders=$nheaders," >>$DATA/input - -cd $DATA - - -# Assign the fort units to the files -# ----------------------------------- - -pgm=`basename $MONOBFRX` -if [ -s $DATA/prep_step ]; then - . $DATA/prep_step -else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -fi - - -n=-1 -while [ $((n+=1)) -lt $nfiles ] ;do - [ ! -s $DATA/${subdir}$n/$prep_in ] && exit 1 - export FORT$((11+n))=$DATA/${subdir}$n/$prep_in -done -export FORT51=$prep_out -set +x - - -# Extract the total span of headers by searching through all the header files -# --------------------------------------------------------------------------- - -n=-1 -while [ $((n+=1)) -lt $nfiles ]; do - file=$DATA/${subdir}$n/$header_file_name - [ ! -s $file ] && exit 1 - if [ `cat $file|awk '{print $1}'| \ - sort -u|wc -l` -eq $nheaders ] ; then - headers="" - nlines=`cat $file|wc -l` - i=0 - while [ $((i+=1)) -le $nlines ]; do - line=`sed -n $i,${i}p $file` - header=`echo $line|awk '{print $1}'` - echo " cheaders($i)='$header',">>$DATA/input - headers="$headers $header" - done - break - fi -done - - -# Tranlate the hdrs file contents into namelist array -# --------------------------------------------------- - -n=-1 -while [ $((n+=1)) -lt $nfiles ]; do - file=$DATA/${subdir}$n/$header_file_name - line= - i=0 - for hdr in $headers; do - ((i+=1)) - count=`grep $hdr $file|awk '{print $2}'` - set +u - [ -z "$count" ] && count=0 - set -u - line="${line}msgs($i,$((n+1)))=$count," - done - echo " $line " >>$DATA/input -done - -echo " &end" >>$DATA/input -set -x -cat $DATA/input - -$TIMEIT $MONOBFRX <$DATA/input > outout 2> errfile -export err=$? -###cat errfile -cat errfile >> outout -cat outout >> monoprepbufr.out -set +u -[ -n "$pgmout" ] && cat outout >> $pgmout -set -u -rm outout -set +x -echo -echo "The foreground exit status for PREPOBS_MONOPREPBUFR is " $err -echo -set -x -$DATA/err_chk -[ $err != 0 ] && exit 55 # for extra measure - -exit 0 -EOFmrg -set -x - -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## -## end of HEREFILE MERGE_MSGS ## -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## - - chmod 775 MERGE_MSGS - -# In parallel environment, make monolithic PREPBUFR file by meriging the -# partial PREPBUFR files -# ---------------------------------------------------------------------- - $TIMEIT $DATA/MERGE_MSGS $NSPLIT $DATA multi prepda.hdrs prepda \ - prepda.${cycle} - errsc=$? - if test $errsc -ne 0 - then -# problem with merge script - $DATA/err_exit - exit 55 # for extra measure - fi - else - -# In serial environment, already have a monolithic PREPBUFR file - just -# copy it to expected local monolithic PREPBUFR file location -# --------------------------------------------------------------------- - cp $DATA/multi0/prepda prepda.${cycle} - - # fi for $PARALLEL = YES - fi - -# fi for $PREPDATA = YES -fi - -set +u -[ -n "$PREPBUFR_IN" ] && cp $PREPBUFR_IN $DATA/prepda.${cycle} -set -u - - -############################################ -# EXECUTE SYNTHETIC CYCLONE DATA PROCESSING -############################################ - -if [ "$SYNDATA" = 'YES' ]; then - -# Check condition code - SDM can shut-off synthetic cyclone bogusing -# ------------------------------------------------------------------ -# ==> this switch is NOT YET in place, so it will be hardwired to "YES" - -###cp ???????????? syndata_cond - echo "YES" > syndata_cond - SYN=`cat tcvitals_orig_sort - sort tcvitals > tcvitals_sort - comm -23 tcvitals_orig_sort tcvitals_sort > tcvitals_removed - [ -s tcvitals_removed ] && run_syndat_twice=yes - fi - fi - - $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ - $DATA/tcvitals $CDATE10 - - if [ $run_syndat_twice = yes ]; then - -# Run SYNDATA a second time when switch "run_syndat_twice" was set to "yes" in -# above logic (see %% above) - - DO_BOGUS=NO - $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ - $DATA/tcvitals_removed $CDATE10 - fi - fi -fi - -[ "$PREPDATA" = 'YES' ] && cp prepda.${cycle} prepda.prepdata - - -########################################### -# EXECUTE GSI QUALITY-CONTROL PROCESSING -########################################### - -if [ "$DO_QC" = 'YES' ]; then - if [ "$PREVENTS" = 'YES' ];then - $TIMEIT $USHPREV/prepobs_prevents.sh $DATA/prepda.${cycle} $CDATE10 - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$CQCBUFR" = 'YES' ];then - $TIMEIT $USHCQC/prepobs_cqcbufr.sh $DATA/prepda.${cycle} - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$PROFCQC" = 'YES' ];then - $TIMEIT $USHPQC/prepobs_profcqc.sh $DATA/prepda.${cycle} - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$CQCVAD" = 'YES' ];then - $TIMEIT $USHVQC/prepobs_cqcvad.sh $DATA/prepda.${cycle} $CDATE10 - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$PREPACQC" = 'YES' ];then - $TIMEIT $USHAQC/prepobs_prepacqc.sh $DATA/prepda.${cycle} $DATA/adpsfc - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$OIQCBUFR" = 'YES' ];then - $TIMEIT $USHOIQC/prepobs_oiqcbufr.sh $DATA/prepda.${cycle} $CDATE10 - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi -fi - - -# Look for "OVERLARGE" subsets in stdout (print out of bufrlib when subset -# discarded because it is too big to fit in a BUFR message) -- post to -# jlogfile if appropriate - -msg=`grep "OVERLARGE SUBSET DISCARDED" $pgmout` -err=$? -if [ "$err" -eq '0' ]; then - set +x - echo - echo "$msg" - echo - set -x - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" -fi - -exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.txt deleted file mode 100644 index 7d83a25..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.txt +++ /dev/null @@ -1 +0,0 @@ -KEPREPBUFRSH=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/prepobs_makeprepbufr.sh diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/repobs_makeprepbufr.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/repobs_makeprepbufr.txt deleted file mode 100644 index 22e7705..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/repobs_makeprepbufr.txt +++ /dev/null @@ -1 +0,0 @@ -MAKEPREPBUFRSH=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/prepobs_makeprepbufr.sh diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.sh deleted file mode 100755 index 7d131ab..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.sh +++ /dev/null @@ -1,501 +0,0 @@ - -################################################################################ -#### UNIX Script Documentation Block -# . . -# Script name: global_nceppost.sh -# Script description: Posts the global pressure GRIB file -# -# Author: Mark Iredell Org: NP23 Date: 1999-05-01 -# -# Abstract: This script reads a single global GFS IO file and (optionally) -# a global flux file and creates a global pressure GRIB file. -# The resolution and generating code of the output GRIB file can also -# be set in the argument list. -# -# Script history log: -# 1999-05-01 Mark Iredell -# 2007-04-04 Huiya Chuang: Modify the script to run unified post -# 2012-06-04 Jun Wang: add grib2 option -# 2015-03-20 Lin Gan: add Perl for Post XML performance upgrade -# 2016-02-08 Lin Gan: Modify to use Vertical Structure -# -# Usage: global_postgp.sh SIGINP FLXINP FLXIOUT PGBOUT PGIOUT IGEN -# -# Input script positional parameters: -# 1 Input sigma file -# defaults to $SIGINP -# 2 Input flux file -# defaults to $FLXINP -# 3 Output flux index file -# defaults to $FLXIOUT -# 4 Output pressure GRIB file -# defaults to $PGBOUT -# 5 Output pressure GRIB index file -# defaults to $PGIOUT, then to none -# 8 Model generating code, -# defaults to $IGEN, then to input sigma generating code -# -# Imported Shell Variables: -# SIGINP Input sigma file -# overridden by $1 -# FLXINP Input flux file -# overridden by $2 -# FLXIOUT Output flux index file -# overridden by $3 -# PGBOUT Output pressure GRIB file -# overridden by $4. If not defined, -# post will use the filename specified in -# the control file -# PGIOUT Output pressure GRIB index file -# overridden by $5; defaults to none -# IGEN Model generating code -# overridden by $8; defaults to input sigma generating code -##### Moorthi: Add new imported shell variable for running chgres -# CHGRESSH optional: the script to run chgres -# default to to ${USHglobal}/global_chgres.sh -# SIGLEVEL optional: the coordinate text file -# default to to /nwprod/fix/global_hyblev.l${LEVS}.txt -##### Chuang: Add new imported Shell Variable for ncep post -# OUTTYP Output file type read in by post -# 1: if user has a sigma file and needs post to run chgres to convert to gfs io file -# 2: if user already has a gfs io file -# 3: if user uses post to read sigma file directly -# 0: if user wishes to generate both gfsio and sigma files -# 4: if user uses post to read nemsio file directly -# VDATE Verifying date 10 digits yyyymmddhh -# GFSOUT Optional, output file name from chgres which is input file name to nceppost -# if model already runs gfs io, make sure GFSOUT is linked to the gfsio file -# CTLFILE Optional, Your version of control file if not using operational one -# OVERPARMEXEC Optional, the executable for changing Grib KPDS ID -# default to to ${EXECglobal}/overparm_grib -# CHGRESTHREAD Optional, speed up chgres by using multiple threads -# default to 1 -# FILTER Optional, set to 1 to filter SLP and 500 mb height using copygb -# D3DINP Optional, Inout D3D file, if not defined, post will run -# without processing D3D file -# D3DOUT Optional, output D3D file, if not defined, post will -# use the file name specified in the control file -# IPVOUT Optional, output IPV file, if not defined, post will -# use the file name specified in the control file -# GENPSICHI Optional, set to YES will generate psi and chi and -# append it to the end of PGBOUT. Default to NO -# GENPSICHIEXE Optional, specify where executable is for generating -# psi and chi. -######################################################################## -# EXECUTIL Directory for utility executables -# defaults to /nwprod/util/exec -# USHUTIL Directory for utility scripts -# defaults to /nwprod/util/ush -# EXECglobal Directory for global executables -# defaults to /nwprod/exec -# USHglobal Directory for global scripts -# defaults to /nwprod/ush -# DATA working directory -# (if nonexistent will be made, used and deleted) -# defaults to current working directory -# MP Multi-processing type ("p" or "s") -# defaults to "p", or "s" if LOADL_STEP_TYPE is not PARALLEL -# XC Suffix to add to executables -# defaults to none -# POSTGPEXEC Global post executable -# defaults to ${EXECglobal}/ncep_post -# GRBINDEX GRIB index maker -# defaults to ${EXECUTIL}/grbindex$XC -# ANOMCATSH Global anomaly GRIB script -# defaults to ${USHglobal/global_anomcat.sh -# POSTGPLIST File containing further namelist inputs -# defaults to /dev/null -# INISCRIPT Preprocessing script -# defaults to none -# LOGSCRIPT Log posting script -# defaults to none -# ERRSCRIPT Error processing script -# defaults to 'eval [[ $err = 0 ]]' -# ENDSCRIPT Postprocessing script -# defaults to none -# POSTGPVARS Other namelist inputs to the global post executable -# such as IDRT,KO,PO,KTT,KT,PT,KZZ,ZZ, -# NCPUS,MXBIT,IDS,POB,POT,MOO,MOOA,MOW,MOWA, -# ICEN,ICEN2,IENST,IENSI -# defaults to none set -# NTHREADS Number of threads -# defaults to 1 -# NTHSTACK Size of stack per thread -# defaults to 64000000 -# VERBOSE Verbose flag (YES or NO) -# defaults to NO -# PGMOUT Executable standard output -# defaults to $pgmout, then to '&1' -# PGMERR Executable standard error -# defaults to $pgmerr, then to '&1' -# pgmout Executable standard output default -# pgmerr Executable standard error default -# REDOUT standard output redirect ('1>' or '1>>') -# defaults to '1>', or to '1>>' to append if $PGMOUT is a file -# REDERR standard error redirect ('2>' or '2>>') -# defaults to '2>', or to '2>>' to append if $PGMERR is a file -# -# Exported Shell Variables: -# PGM Current program name -# pgm -# ERR Last return code -# err -# -# Modules and files referenced: -# scripts : $INISCRIPT -# $LOGSCRIPT -# $ERRSCRIPT -# $ENDSCRIPT -# $ANOMCATSH -# -# programs : $POSTGPEXEC -# $GRBINDEX -# -# input data : $1 or $SIGINP -# $2 or $SFCINP -# $POSTGPLIST -# -# output data: $3 or $FLXIOUT -# $4 or $PGBOUT -# $5 or $PGIOUT -# $PGMOUT -# $PGMERR -# -# scratch : ${DATA}/postgp.inp.sig -# ${DATA}/postgp.inp.flx -# ${DATA}/postgp.out.pgb -# -# Remarks: -# -# Condition codes -# 0 - no problem encountered -# >0 - some problem encountered -# -# Control variable resolution priority -# 1 Command line argument. -# 2 Environment variable. -# 3 Inline default. -# -# Attributes: -# Language: POSIX shell -# Machine: IBM SP -# -#### -################################################################################ -# Set environment. -export VERBOSE=${VERBOSE:-"NO"} -if [[ "$VERBOSE" = "YES" ]] -then - echo $(date) EXECUTING $0 $* >&2 - set -x -fi -# Command line arguments. -export SIGINP=${1:-${SIGINP}} -export FLXINP=${2:-${FLXINP}} -export FLXIOUT=${3:-${FLXIOUT}} -export PGBOUT=${4:-${PGBOUT}} -#export PGIOUT=${5:-${PGIOUT}} -export PGIOUT=${PGIOUT:-pgb.idx} -export IO=${6:-${IO:-0}} -export JO=${7:-${JO:-0}} -export IGEN=${8:-${IGEN:-0}} -# Directories. -export NWPROD=${NWPROD:-/nwprod} -export EXECUTIL=${EXECUTIL:-$NWPROD/util/exec} -export USHUTIL=${USHUTIL:-$NWPROD/util/ush} -export EXECglobal=${EXECglobal:-$NWPROD/exec} -export USHglobal=${USHglobal:-$NWPROD/ush} -export DATA=${DATA:-$(pwd)} -# Filenames. -export MP=${MP:-$([[ $LOADL_STEP_TYPE = PARALLEL ]]&&echo "p"||echo "s")} -export XC=${XC} -export POSTGPEXEC=${POSTGPEXEC:-${EXECglobal}/ncep_post} -export OVERPARMEXEC=${OVERPARMEXEC:-${EXECglobal}/overparm_grib} -export ANOMCATSH=${ANOMCATSH:-${USHglobal}/global_anomcat.sh} -export CHGRESSH=${CHGRESSH:-${USHglobal}/global_chgres.sh} -export POSTGPLIST=${POSTGPLIST:-/dev/null} -export INISCRIPT=${INISCRIPT} -export ERRSCRIPT=${ERRSCRIPT:-'eval [[ $err = 0 ]]'} -export LOGSCRIPT=${LOGSCRIPT} -export ENDSCRIPT=${ENDSCRIPT} -export GFSOUT=${GFSOUT:-gfsout} -export CTLFILE=${CTLFILE:-$NWPROD/parm/gfs_cntrl.parm} -export MODEL_OUT_FORM=${MODEL_OUT_FORM:-binarynemsiompiio} -export GRIBVERSION=${GRIBVERSION:-'grib1'} -# Other variables. -export POSTGPVARS=${POSTGPVARS} -export NTHREADS=${NTHREADS:-1} -export NTHSTACK=${NTHSTACK:-64000000} -export PGMOUT=${PGMOUT:-${pgmout:-'&1'}} -export PGMERR=${PGMERR:-${pgmerr:-'&2'}} -export CHGRESTHREAD=${CHGRESTHREAD:-1} -export FILTER=${FILTER:-1} -export GENPSICHI=${GENPSICHI:-NO} -export GENPSICHIEXE=${GENPSICHIEXE:-${EXECglobal}/genpsiandchi} -export ens=${ens:-NO} -#export D3DINP=${D3DINP:-/dev/null} -typeset -L1 l=$PGMOUT -[[ $l = '&' ]]&&a=''||a='>' -export REDOUT=${REDOUT:-'1>'$a} -typeset -L1 l=$PGMERR -[[ $l = '&' ]]&&a=''||a='>' -export REDERR=${REDERR:-'2>'$a} -################################################################################ -# Preprocessing -$INISCRIPT - -# Chuang: Run chgres if OUTTYP=1 or 0 - -export APRUN=${APRUNP:-${APRUN:-""}} - -# exit if SIGINP does not exist -if [ ${OUTTYP} -le 3 ] ; then - if [ ! -s $SIGINP ] ; then - echo "sigma file not found, exitting" - exit 111 - fi -fi - -export SIGHDR=${SIGHDR:-$NWPROD/exec/global_sighdr} -export IDRT=${IDRT:-4} - -if [ ${OUTTYP} -le 1 ] ; then - export JCAP=${JCAP:-`echo jcap|$SIGHDR ${SIGINP}`} - export LEVS=${LEVS:-`echo levs|$SIGHDR ${SIGINP}`} - export IDVC=${IDVC:-$(echo idvc|$SIGHDR ${SIGINP})} - export IDVM=${IDVM:-$(echo idvm|$SIGHDR ${SIGINP})} - export NVCOORD=${NVCOORD:-$(echo nvcoord|$SIGHDR ${SIGINP})} - export IVSSIG=${IVSSIG:-$(echo ivs|$SIGHDR ${SIGINP})} - export LATCH=${LATCH:-8} - if [ ${OUTTYP} -eq 1 ] ; then - export CHGRESVARS="IDVC=$IDVC,IDVM=$IDVM,NVCOORD=$NVCOORD,IVSSIG=$IVSSIG,LATCH=$LATCH," - elif [ ${OUTTYP} -eq 0 ] ; then - export CHGRESVARS="LATCH=$LATCH,$CHGRESVARS" - fi - #export SIGLEVEL=${SIGLEVEL:-""} - export SIGLEVEL=${SIGLEVEL:-"$NWPROD/fix/global_hyblev.l${LEVS}.txt"} - # specify threads for running chgres - export OMP_NUM_THREADS=$CHGRESTHREAD - export NTHREADS=$OMP_NUM_THREADS - if [ ${JCAP} -eq 574 -a ${IDRT} -eq 4 ] - then - export NTHSTACK=1024000000 - fi - export XLSMPOPTS="parthds=$NTHREADS:stack=$NTHSTACK" - - $CHGRESSH - - export ERR=$? - export err=$ERR - $ERRSCRIPT||exit 1 - -# run post to read sigma file directly if OUTTYP=3 -elif [ ${OUTTYP} -eq 3 ] ; then - export LONB=${LONB:-`echo lonb|$SIGHDR ${SIGINP}`} - export LATB=${LATB:-`echo latb|$SIGHDR ${SIGINP}`} - export MODEL_OUT_FORM=sigio - export GFSOUT=${SIGINP} - -# run post to read nemsio file if OUTTYP=4 -elif [ ${OUTTYP} -eq 4 ] ; then - export nemsioget=${nemsioget:-$EXECglobal/nemsio_get} - export LONB=${LONB:-$($nemsioget $NEMSINP lonf |grep -i "lonf" |awk -F"= " '{print $2}' |awk -F" " '{print $1}')} - export LATB=${LATB:-$($nemsioget $NEMSINP latg |grep -i "latg" |awk -F"= " '{print $2}' |awk -F" " '{print $1}')} - export JCAP=${JCAP:-$($nemsioget $NEMSINP jcap |grep -i "jcap" |awk -F"= " '{print $2}' |awk -F" " '{print $1}')} - - export MODEL_OUT_FORM=${MODEL_OUT_FORM:-binarynemsiompiio} - export GFSOUT=${NEMSINP} - ln -sf $FIXglobal/fix_am/global_lonsperlat.t${JCAP}.${LONB}.${LATB}.txt ./lonsperlat.dat - ln -sf $FIXglobal/fix_am/global_hyblev.l${LEVS}.txt ./global_hyblev.txt -fi - -# allow threads to use threading in Jim's sp lib -# but set default to 1 -export OMP_NUM_THREADS=${OMP_NUM_THREADS:-1} - -pwd=$(pwd) -if [[ -d $DATA ]] -then - mkdata=NO -else - mkdir -p $DATA - mkdata=YES -fi -cd $DATA||exit 99 -################################################################################ -# Post GRIB -export PGM=$POSTGPEXEC -export pgm=$PGM -$LOGSCRIPT -cat <postgp.inp.nml$$ - &NAMPGB - $POSTGPVARS -EOF - -cat <>postgp.inp.nml$$ - / -EOF -if [[ "$VERBOSE" = "YES" ]] -then - cat postgp.inp.nml$$ -fi - -# making the time stamp format for ncep post -export YY=`echo $VDATE | cut -c1-4` -export MM=`echo $VDATE | cut -c5-6` -export DD=`echo $VDATE | cut -c7-8` -export HH=`echo $VDATE | cut -c9-10` - -cat > itag <> itag - -cat itag - -rm -f fort.* - -#ln -sf $SIGINP postgp.inp.sig$$ -#ln -sf $FLXINP postgp.inp.flx$$ -#ln -sf $PGBOUT postgp.out.pgb$$ - -# change model generating Grib number -if [ ${GRIBVERSION} = grib1 ]; then - - if [ ${IGEN} -le 9 ] ; then - cat ${CTLFILE}|sed s:00082:0000${IGEN}:>./gfs_cntrl.parm - elif [ ${IGEN} -le 99 ] ; then - cat ${CTLFILE}|sed s:00082:000${IGEN}:>./gfs_cntrl.parm - elif [ ${IGEN} -le 999 ] ; then - cat ${CTLFILE}|sed s:00082:00${IGEN}:>./gfs_cntrl.parm - else - ln -sf ${CTLFILE} ./gfs_cntrl.parm - fi - ln -sf ./gfs_cntrl.parm fort.14 - -elif [ ${GRIBVERSION} = grib2 ]; then - cp ${POSTGRB2TBL} . - cp ${PostFlatFile} ./postxconfig-NT.txt - if [ ${ens} = "YES" ] ; then - sed < ${PostFlatFile} -e "s#negatively_pert_fcst#${ens_pert_type}#" > ./postxconfig-NT.txt - fi -# cp ${CTLFILE} postcntrl.xml - -fi -export CTL=`basename $CTLFILE` - -ln -sf griddef.out fort.110 -cp ${PARMglobal}/nam_micro_lookup.dat ./eta_micro_lookup.dat - -${APRUN:-mpirun.lsf} $POSTGPEXEC < itag > outpost_gfs_${VDATE}_${CTL} - -export ERR=$? -export err=$ERR -$ERRSCRIPT||exit 2 - -if [ $FILTER = "1" ] ; then - -# Filter SLP and 500 mb height using copygb, change GRIB ID, and then -# cat the filtered fields to the pressure GRIB file, from Iredell - -if [ $GRIBVERSION = grib1 ]; then - $COPYGB -x -i'4,0,80' -k'4*-1,1,102' $PGBOUT tfile - ln -s -f tfile fort.11 - ln -s -f prmsl fort.51 - echo 0 2|$OVERPARMEXEC - $COPYGB -x -i'4,1,5' -k'4*-1,7,100,500' $PGBOUT tfile - ln -s -f tfile fort.11 - ln -s -f h5wav fort.51 - echo 0 222|$OVERPARMEXEC - -#cat $PGBOUT prmsl h5wav >> $PGBOUT - cat prmsl h5wav >> $PGBOUT - -elif [ $GRIBVERSION = grib2 ]; then - if [ ${ens} = YES ] ; then - $COPYGB2 -x -i'4,0,80' -k'1 3 0 7*-9999 101 0 0' $PGBOUT tfile - else - $COPYGB2 -x -i'4,0,80' -k'0 3 0 7*-9999 101 0 0' $PGBOUT tfile - fi - $WGRIB2 tfile -set_byte 4 11 1 -grib prmsl - if [ ${ens} = YES ] ; then - $COPYGB2 -x -i'4,1,5' -k'1 3 5 7*-9999 100 0 50000' $PGBOUT tfile - else - $COPYGB2 -x -i'4,1,5' -k'0 3 5 7*-9999 100 0 50000' $PGBOUT tfile - fi - $WGRIB2 tfile -set_byte 4 11 193 -grib h5wav - -#cat $PGBOUT prmsl h5wav >> $PGBOUT - cat prmsl h5wav >> $PGBOUT - -fi - -fi - -################################################################################ -# Anomaly concatenation -# for now just do anomaly concentration for grib1 -if [ $GRIBVERSION = grib1 ]; then - - if [[ -x $ANOMCATSH ]] - then - if [[ -n $PGIOUT ]] - then - $GRBINDEX $PGBOUT $PGIOUT - fi - export PGM=$ANOMCATSH - export pgm=$PGM - $LOGSCRIPT - - eval $ANOMCATSH $PGBOUT $PGIOUT - - export ERR=$? - export err=$ERR - $ERRSCRIPT||exit 3 - fi -fi -################################################################################ -# Make GRIB index file -if [[ -n $PGIOUT ]] -then - if [ $GRIBVERSION = grib2 ]; then - # JY $GRBINDEX2 $PGBOUT $PGIOUT - $GRB2INDEX $PGBOUT $PGIOUT - else - $GRBINDEX $PGBOUT $PGIOUT - fi -fi -if [[ -r $FLXINP && -n $FLXIOUT && $OUTTYP -le 3 ]] -then - $GRBINDEX $FLXINP $FLXIOUT -fi -################################################################################ -# generate psi and chi -echo "GENPSICHI= " $GENPSICHI -if [ $GENPSICHI = YES ] ; then -#echo "PGBOUT PGIOUT=" $PGBOUT $PGIOUT -#echo "YY MM=" $YY $MM - export psichifile=./psichi.grb - $GENPSICHIEXE < postgp.inp.nml$$ - rc=$? - if [[ $rc -ne 0 ]] ; then echo 'Nonzero return code rc= '$rc ; exit 3 ; fi - cat ./psichi.grb >> $PGBOUT -fi -################################################################################ -# Postprocessing -cd $pwd -[[ $mkdata = YES ]]&&rmdir $DATA -$ENDSCRIPT -set +x -if [[ "$VERBOSE" = "YES" ]] -then - echo $(date) EXITING $0 with return code $err >&2 -fi -exit $err diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.txt deleted file mode 100644 index a63b13f..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.txt +++ /dev/null @@ -1 +0,0 @@ -STGPSH=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/post/tags/ncep_post.v7.7.2/ush/global_nceppost.sh diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.sh deleted file mode 100755 index 1672800..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.sh +++ /dev/null @@ -1,1385 +0,0 @@ -#!/bin/ksh -################################################################################ -# -# Name: getges.sh Author: Mark Iredell -# -# Abstract: -# This script copies the valid global guess file to a given file. -# Alternatively, it writes the name of the guess file to standard output. -# Specify option "-n network" for the job network (default global). -# Other options are gdas, gfs, cdas, mrf, prx, etc. -# Specify option "-e environment" for the job environment (default prod). -# Another option is test. -# Specify option "-f fhour" for the specific forecast hour wanted (default any). -# Specify option "-q" for quiet mode to turn off script messages. -# Specify option "-r resolution" for the resolution wanted (default high). -# Other options are 25464 17042, 12628, low, 6228, namopl, any. -# Specify option "-t filetype" for the filetype wanted from among these choices: -# sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3, -# sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3, -# biascr, satang, satcnt, gesfil -# pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3, -# sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl, -# enggrb, enggri, icegrb, icegri, snogrb, snogrb_high, snogri, sstgrb, sstgri. -# natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur, -# nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur, -# nstcur, nflges, nflgp3 -# Specify option "-v valid" for the valid date wanted (default $CDATE). -# Currently, the valid hours specified must be a multiple of 3. -# Either 2-digit or 4-digit years are currently allowed. -# Specify positional argument to be the file to which to copy the guess. -# If missing, the NAME of the guess file is written to standard output. -# A nonzero return code from this script means either the arguments are invalid -# or the guess could not be found; a message is written to standard error in -# this case, but neither a file copy nor a standard output write will be done. -# The file returned is guaranteed to exist and be readable. -# The script uses the utility commands NDATE and NHOUR. -# -# Example 1. Copy the production sigma guess for 1998100100 to the file sges. -# getges.sh -e prod -t sigges -v 1998100100 sges -# -# Example 2. Assign the pressure grib guess for the date 1998100121. -# export CDATE=1998100121 -# export XLFUNIT_12="$(getges.sh -qt pgbges||echo /dev/null)" -# -# Example 3. Get the PRX pgb analysis or the best valid guess at 1998100112. -# getges -e prx -t pgbcur -v 1998100112 pgbfile -# -# Example 5. Get the 24-hour GFS forecast sigma file valid at 1998100112. -# getges -t sigcur -v 1998100112 -f 24 -e gfs sigfile -# -# History: 1996 December Iredell Initial implementation -# 1997 March Iredell Nine new filetypes -# 1997 April Iredell Two new filetypes and -f option -# 1997 December Iredell Four new filetypes -# 1998 April Iredell 4-digit year allowed; -# sigges internal date no longer checked -# 1998 May Iredell T170L42 defaulted; four new filetypes -# and two filetypes deleted -# 1998 June Rogers Nam types added -# 1998 September Iredell high is default resolution -# 2000 March Iredell Cdas and -n option -# 2000 June Iredell Eight new filetypes -# 2002 April Treadon T254L64 defaulted; add angle dependent -# bias correction file -# 2003 March Iredell GFS network out to 384 hours -# 2003 August Iredell Hourly global guesses -# 2005 September Treadon Add satellite data count file (satcnt) -# 2006 September Gayno Add high-res snow analysis -# 2009 January Rogers Added sfluxgrb file -# 2011 April Rogers Added GFS pg2ges file -# 2016 May Menlove Changed GETGES_COM variable to $COMINmodel -# 2016 November Iredell Adapted getges for NEMS GSM -# Also removed a lot of dead wood -# -################################################################################ -#------------------------------------------------------------------------------- -# Set some default parameters. -fhbeg=03 # hour to begin searching backward for guess -fhinc=03 # hour to increment backward in search -fhend=384 # hour to end searching backward for guess - -#------------------------------------------------------------------------------- -# Get options and arguments. -netwk=global # default network -envir=prod # default environment -fhour=any # default forecast hour -quiet=NO # default quiet mode -trace=NO # default execution trace mode -resol=high # default resolution -typef=sigges # default filetype -valid=${CDATE:-'?'} # default valid date -err=0 - -while getopts n:e:f:qxr:t:v: opt;do - case $opt in - n) netwk="$OPTARG";; - e) envir="$OPTARG";; - f) fhour="$OPTARG";; - q) quiet=YES;; - x) trace=YES;; - r) resol="$OPTARG";; - t) typef="$OPTARG";; - v) valid="$OPTARG";; - \?) err=1;; - esac -done -shift $(($OPTIND-1)) -gfile=$1 -if [[ -z $valid ]];then - echo "$0: either -v option or environment variable CDATE must be set" >&2 -elif [[ $# -gt 1 ]];then - echo "$0: too many positional arguments" >&2 -elif [[ $err -ne 0 ]];then - echo "$0: invalid option" >&2 -fi -if [[ $gfile = '?' || $# -gt 1 || $err -ne 0 || -z $valid ||\ - $netwk = '?' || $envir = '?' || $fhour = '?' || $resol = '?' ||\ - $typef = '?' || $valid = '?' ]];then - echo "Usage: getges.sh [-n network] [-e environment] [-f fhour] [-q] [-r resolution]" >&2 - echo " [-t filetype] [-v valid] [gfile]" >&2 - if [[ $netwk = '?' ]];then - echo " network choices:" >&2 - echo " global (default), namopl, gdas, gfs, cdas, etc." >&2 - elif [[ $envir = '?' ]];then - echo " environment choices:" >&2 - echo " prod (default), test, para, dump, prx" >&2 - echo " (some network values allowed for compatibility)" >&2 - elif [[ $fhour = '?' ]];then - echo " fhour is optional specific forecast hour" >&2 - elif [[ $resol = '?' ]];then - echo " resolution choices:" >&2 - echo " high (default), 25464, 17042, 12628, low, 6228, namopl, any" >&2 - elif [[ $typef = '?' ]];then - echo " filetype choices:" >&2 - echo " sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3," >&2 - echo " sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3," >&2 - echo " sfgges, sfggp3, biascr, satang, satcnt, gesfil" >&2 - echo " pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3," >&2 - echo " sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl," >&2 - echo " enggrb, enggri, icegrb, icegri, snogrb, snogri, sstgrb, sstgri," >&2 - echo " pg2cur, pg2ges, restrt," >&2 - echo " natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur," >&2 - echo " nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur," >&2 - echo " nstcur, nflges, nflgp3," >&2 - elif [[ $valid = '?' ]];then - echo " valid is the valid date in yyyymmddhh or yymmddhh form" >&2 - echo " (default is environmental variable CDATE)" >&2 - elif [[ $gfile = '?' ]];then - echo " gfile is the guess file to write" >&2 - echo " (default is to write the guess file name to stdout)" >&2 - else - echo " (Note: set a given option to '?' for more details)" >&2 - fi - exit 1 -fi -[[ $trace == YES ]]&&set -x -if [[ $envir != prod && $envir != test && $envir != para && $envir != dump && $envir != pr? && $envir != dev ]];then - netwk=$envir - envir=prod - echo '************************************************************' >&2 - echo '* WARNING: Using "-e" is deprecated in this case. *' >&2 - echo '* Please use "-n" instead. *' >&2 - echo '************************************************************' >&2 -fi -if [[ "$netwk" = "namopl" || "$resol" = "namopl" ]];then - netwk=namopl - typef=restrt - resol=namopl -fi -[[ $resol = 57464 || $resol = 38264 || $resol = 19064 || $resol = 25464 || $resol = 17042 || $resol = 12628 ]]&&resol=high -[[ $resol = 6228 ]]&&resol=low -resolsuf="" -[[ $resol == *deg ]]&&resolsuf=.$resol -fhbeg=$(${NHOUR:?} $valid) -[[ $fhbeg -le 0 ]]&&fhbeg=03 -((fhbeg=(10#$fhbeg-1)/3*3+3)) -[[ $fhbeg -lt 10 ]]&&fhbeg=0$fhbeg -if [[ $typef = enggrb ]];then - typef=icegrb - echo '************************************************************' >&2 - echo '* WARNING: Using "-t enggrb" is now deprecated. *' >&2 - echo '* Please use "-t icegrb". *' >&2 - echo '************************************************************' >&2 -elif [[ $typef = enggri ]];then - typef=icegri - echo '************************************************************' >&2 - echo '* WARNING: Using "-t enggri" is now deprecated. *' >&2 - echo '* Please use "-t icegri". *' >&2 - echo '************************************************************' >&2 -fi - -#------------------------------------------------------------------------------- -# Assemble guess list in descending order from the best guess. -geslist="" -getlist00="" - -# GDAS -if [[ "$netwk" = "gdas" ]];then - if [ -z "$COMINgdas" ]; then - echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 - exit 1 - fi - fhend=12 - case $typef in - biascr) geslist=' - $COMINgdas/gdas.t${cyc}z.abias' - ;; - biascr_pc) geslist=' - $COMINgdas/gdas.t${cyc}z.abias_pc' - ;; - biascr_air) geslist=' - $COMINgdas/gdas.t${cyc}z.abias_air' - ;; - radstat) geslist=' - $COMINgdas/gdas.t${cyc}z.radstat' - ;; - pgbges) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh' - ;; - pg2ges) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' - ;; - pgbgm6) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6' - ;; - pgbgm3) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3' - ;; - pgbgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3' - ;; - pgbcur) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINgdas/gdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINgdas/gdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINgdas/gdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576' - fhbeg=00 - fhinc=06 - ;; - snogrb_1534) geslist=' - $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINgdas/gdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - natges) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' - ;; - natgm3) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio' - ;; - natgm2) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio' - ;; - natgm1) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio' - ;; - natgp1) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio' - ;; - natgp2) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio' - ;; - natgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio' - ;; - natcur) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' - getlist00=' - $COMINgdas/gdas.t${cyc}z.atmanl.nemsio' - fhbeg=00 - ;; - nsfges) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' - ;; - nsfgm3) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio' - ;; - nsfgm2) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio' - ;; - nsfgm1) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio' - ;; - nsfgp1) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio' - ;; - nsfgp2) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio' - ;; - nsfgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio' - ;; - nsfcur) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' - getlist00=' - $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio' - fhbeg=00 - ;; - nstcur) geslist=' - $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio' - getlist00=' - $COMINgdas/gdas.t${cyc}z.nstanl.nemsio' - fhbeg=00 - ;; - nflges) geslist=' - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' - ;; - nflgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio' - ;; - nflcur) geslist=' - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' - fhbeg=00 - ;; - esac - -# CFS-CDAS -elif [[ "$netwk" = "cfs-cdas" ]];then - if [ -z "$COMINcfs_cdas" ]; then - echo "getges.sh ERROR: The \$COMINcfs_cdas variable must be defined." >&2 - exit 1 - fi - fhend=12 - case $typef in - sigges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fh}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm3}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm2}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm1}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp1}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp2}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp3}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.abias' - ;; - satang) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.satang' - ;; - satcnt) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fh' - ;; - sfggp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fhp3' - ;; - pgbges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm6 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm6 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhp3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhp3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' - getlist00=' - $COMINcfs_cdas/cdas1.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' - getlist00=' - $COMINcfs_cdas/cdas1.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574 - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# GFS -elif [[ "$netwk" = "gfs" ]];then - if [ -z "$COMINgfs" ]; then - echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 - exit 1 - fi - fhend=384 - case $typef in - natges) geslist=' - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - ;; - pgbcur) geslist=' - $COMINgfs/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINgfs/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvitl) geslist=' - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINgfs/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINgfs/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_1534) geslist=' - $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINgfs/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - natcur) geslist=' - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - getlist00=' - $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' - fhbeg=00 - ;; - nsfcur) geslist=' - $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' - getlist00=' - $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' - fhbeg=00 - ;; - nstcur) geslist=' - $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' - getlist00=' - $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' - fhbeg=00 - ;; - nflcur) geslist=' - $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' - fhbeg=00 - ;; - esac - -# CDAS -elif [[ "$netwk" = "cdas" ]];then - if [ -z "$COMINcdas" ]; then - echo "getges.sh ERROR: The \$COMINcdas variable must be defined." >&2 - exit 1 - fi - fhbeg=06 - fhend=06 - case $typef in - sigges) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $COMINcdas/cdas.t${cyc}z.abias' - ;; - satang) geslist=' - $COMINcdas/cdas.t${cyc}z.satang' - ;; - satcnt) geslist=' - $COMINcdas/cdas.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $COMINcdas/cdas.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fh' - getlist00=' - $COMINcdas/cdas.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fh' - getlist00=' - $COMINcdas/cdas.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINcdas/cdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINcdas/cdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $COMINcdas/cdas.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINcdas/cdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $COMINcdas/cdas.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINcdas/cdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $COMINcdas/cdas.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# CDC CDAS -elif [[ "$netwk" = "cdc" ]];then - if [ -z "$COMINcdc" ]; then - echo "getges.sh ERROR: The \$COMINcdc variable must be defined." >&2 - exit 1 - fi - fhbeg=06 - fhend=06 - case $typef in - sigges) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $COMINcdc/cdas.t${cyc}z.abias' - ;; - satang) geslist=' - $COMINcdc/cdas.t${cyc}z.satang' - ;; - satcnt) geslist=' - $COMINcdc/cdas.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $COMINcdc/cdas.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fh' - getlist00=' - $COMINcdc/cdas.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fh' - getlist00=' - $COMINcdc/cdas.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINcdc/cdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINcdc/cdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $COMINcdc/cdas.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINcdc/cdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $COMINcdc/cdas.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINcdc/cdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $COMINcdc/cdas.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# Any resolution production -elif [[ "$netwk" = "global" ]];then - if [ -z "$COMINgdas" ]; then - echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 - exit 1 - fi - if [ -z "$COMINgfs" ]; then - echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 - exit 1 - fi - GETGES_NWG=${GETGES_NWG:-${GESROOT:?}} - case $typef in - biascr) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.abias - $COMINgdas/gdas.t${cyc}z.abias - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias - $COMINgfs/gfs.t${cyc}z.abias' - fhbeg=06 - fhinc=06 - ;; - pgbges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $COMINgfs/gfs.t${cyc}z.pgrbf$fh' - ;; - pgbgm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm6 - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm6 - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 - $COMINgfs/gfs.t${cyc}z.pgrbf$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm3 - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm3 - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 - $COMINgfs/gfs.t${cyc}z.pgrbf$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhp3 - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhp3 - $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 - $COMINgfs/gfs.t${cyc}z.pgrbf$fhp3' - ;; - pg2ges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$gh - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$gh - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' - ;; - pg2gm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm6 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm6' - ;; - pg2gm5) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm5 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm5' - ;; - pg2gm4) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm4 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm4' - ;; - pg2gm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm3 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm3' - ;; - pg2gm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm2 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm2' - ;; - pg2gm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm1 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm1' - ;; - pg2gp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp1 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp1' - ;; - pg2gp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp2 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp2' - ;; - pg2gp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp3 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp3' - ;; - pgbcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $COMINgfs/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p50.f$gh - $COMINgdas/gdas.t${cyc}z.pgrb2.0p50.f$gh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f$gh - $COMINgfs/gfs.t${cyc}z.pgrb2.0p50.f$gh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.prepbufr - $COMINgdas/gdas.t${cyc}z.prepbufr - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr - $COMINgfs/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.engicegrb - $COMINgdas/gdas.t${cyc}z.engicegrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb - $COMINgfs/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb - $COMINgdas/gdas.t${cyc}z.snogrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb - $COMINgfs/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t574.1152.576 - $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574.1152.576 - $COMINgfs/gfs.t${cyc}z.snogrb_t574.1152.576' - fhbeg=00 - fhinc=06 - ;; - snogrb_1534) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t1534.3072.1536 - $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t1534.3072.1536 - $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sstgrb - $COMINgdas/gdas.t${cyc}z.sstgrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb - $COMINgfs/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - natges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - ((vhr=$valid%100)) - if [[ $(($vhr % 3)) -ne 0 ]]; then - fhinc=01 - fi - ;; - natgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm3.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm3.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghm3.nemsio' - ;; - natgm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm2.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm2.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghm2.nemsio' - ;; - natgm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm1.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm1.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghm1.nemsio' - ;; - natgp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp1.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp1.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghp1.nemsio' - ;; - natgp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp2.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp2.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghp2.nemsio' - ;; - natgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp3.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp3.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghp3.nemsio' - ;; - natcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmanl.nemsio - $COMINgdas/gdas.t${cyc}z.atmanl.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmanl.nemsio - $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' - fhbeg=00 - ;; - nsfges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' - ;; - nsfgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm3.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm3.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghm3.nemsio' - ;; - nsfgm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm2.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm2.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghm2.nemsio' - ;; - nsfgm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm1.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm1.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghm1.nemsio' - ;; - nsfgp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp1.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp1.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghp1.nemsio' - ;; - nsfgp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp2.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp2.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghp2.nemsio' - ;; - nsfgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp3.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp3.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghp3.nemsio' - ;; - nsfcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcanl.nemsio - $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl.nemsio - $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' - fhbeg=00 - ;; - nstcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstanl.nemsio - $COMINgdas/gdas.t${cyc}z.nstanl.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstanl.nemsio - $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' - fhbeg=00 - ;; - nflges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' - ;; - nflgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$ghp3.nemsio - $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$ghp3.nemsio - $COMINgfs/gfs.t${cyc}z.flxf$ghp3.nemsio' - ;; - nflcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' - fhbeg=00 - ;; - esac -fi - -# Check validity of options. -if [[ $fhour != any ]];then - fhbeg=$fhour - fhend=$fhour -fi -if [[ $valid -lt 20000000 ]];then - valid=20$valid - echo '************************************************************' >&2 - echo '* WARNING: A 2-digit year was converted to a 4-digit year. *' >&2 - echo '* Please use full a 4-digit year in this utility. *' >&2 - echo '************************************************************' >&2 -fi -if [[ $($NDATE 0 $valid 2>/dev/null) != $valid ]];then - echo getges.sh: invalid date $valid >&2 - exit 2 -fi -if [[ -z "$geslist" ]];then - echo getges.sh: filetype $typef or resolution $resol not recognized >&2 - exit 2 -fi - -#------------------------------------------------------------------------------- -# Loop until guess is found. -fh=$fhbeg -if [ -z "$PDY" ];then echo "getges.sh WARNING: \$PDY variable not set" >&2; fi -while [[ $fh -le $fhend ]];do - ((fhm6=10#$fh-6)) - [[ $fhm6 -lt 10 && $fhm6 -ge 0 ]]&&fhm6=0$fhm6 - ((fhm5=10#$fh-5)) - [[ $fhm5 -lt 10 && $fhm5 -ge 0 ]]&&fhm5=0$fhm5 - ((fhm4=10#$fh-4)) - [[ $fhm4 -lt 10 && $fhm4 -ge 0 ]]&&fhm4=0$fhm4 - ((fhm3=10#$fh-3)) - [[ $fhm3 -lt 10 && $fhm3 -ge 0 ]]&&fhm3=0$fhm3 - ((fhm2=10#$fh-2)) - [[ $fhm2 -lt 10 && $fhm2 -ge 0 ]]&&fhm2=0$fhm2 - ((fhm1=10#$fh-1)) - [[ $fhm1 -lt 10 && $fhm1 -ge 0 ]]&&fhm1=0$fhm1 - ((fhp1=10#$fh+1)) - [[ $fhp1 -lt 10 ]]&&fhp1=0$fhp1 - ((fhp2=10#$fh+2)) - [[ $fhp2 -lt 10 ]]&&fhp2=0$fhp2 - ((fhp3=10#$fh+3)) - [[ $fhp3 -lt 10 ]]&&fhp3=0$fhp3 - gh=$fh;[[ $gh -lt 100 ]]&&gh=0$gh - ghm6=$fhm6;[[ $ghm6 -lt 100 ]]&&ghm6=0$ghm6 - ghm5=$fhm5;[[ $ghm5 -lt 100 ]]&&ghm5=0$ghm5 - ghm4=$fhm4;[[ $ghm4 -lt 100 ]]&&ghm4=0$ghm4 - ghm3=$fhm3;[[ $ghm3 -lt 100 ]]&&ghm3=0$ghm3 - ghm2=$fhm2;[[ $ghm2 -lt 100 ]]&&ghm2=0$ghm2 - ghm1=$fhm1;[[ $ghm1 -lt 100 ]]&&ghm1=0$ghm1 - ghp1=$fhp1;[[ $ghp1 -lt 100 ]]&&ghp1=0$ghp1 - ghp2=$fhp2;[[ $ghp2 -lt 100 ]]&&ghp2=0$ghp2 - ghp3=$fhp3;[[ $ghp3 -lt 100 ]]&&ghp3=0$ghp3 - id=$($NDATE -$fh $valid) - typeset -L8 day=$id - typeset -R2 cyc=$id - eval list=\$getlist$fh - [[ -z "$list" ]]&&list=${geslist} - for ges_var in $list;do - # Replace variables in guess with their values - eval ges_val=$ges_var - # Replace the current PDY with the valid date - ges=${ges_val/$PDY\//$day/} - [[ $quiet = NO ]]&&echo Checking: $ges >&2 - [[ -r $ges ]]&&break 2 - done - fh=$((10#$fh+10#$fhinc)) - [[ $fh -lt 10 ]]&&fh=0$fh -done -if [[ $fh -gt $fhend ]];then - echo getges.sh: unable to find $netwk.$envir.$typef.$resol.$valid >&2 - exit 8 -fi - -#------------------------------------------------------------------------------- -# Either copy guess to a file or write guess name to standard output. -if [[ -z "$gfile" ]];then - echo $ges - exit $? -else - cp $ges $gfile - exit $? -fi diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.txt deleted file mode 100644 index 87bbf4e..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.txt +++ /dev/null @@ -1 +0,0 @@ -GETGESpre=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/getges.sh diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.sh deleted file mode 100755 index 4448067..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.sh +++ /dev/null @@ -1,127 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - - -# This script performs rawinsonde upper-air complex quality control checking -# -# It is normally executed by the script prepobs_makeprepbufr.sh -# but can also be executed from a checkout parent script -# -------------------------------------------------------------------------- - -set -aux - -qid=$$ - -# Positional parameters passed in: -# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr -# file upon successful completion of this script (note that input -# prepbufr file is NOT saved by this script) - -# Imported variables that must be passed in: -# DATA - path to working directory -# CQCS - path to PREPOBS_CQCBUFR program statbge file -# CQCX - path to PREPOBS_CQCBUFR program executable -# CQCC - path to PREPOBS_CQCBUFR program parm cards - -# Imported variables that can be passed in: -# pgmout - string indicating path to for standard output file -# (skipped over by this script if not passed in) -# PRPI_m24 - string indicating path to prepbufr file valid 24-hours previous -# (only needed if temporal checking is being done) -# (skipped over by this script if not passed in) -# PRPI_m12 - string indicating path to prepbufr file valid 12-hours previous -# (only needed if temporal checking is being done) -# (skipped over by this script if not passed in) -# PRPI_p12 - string indicating path to prepbufr file valid 12-hours ahead -# (only needed if temporal checking is being done) -# (skipped over by this script if not passed in) -# PRPI_p24 - string indicating path to prepbufr file valid 24-hours ahead -# (only needed if temporal checking is being done) -# (skipped over by this script if not passed in) - -cd $DATA -PRPI=$1 -if [ ! -s $PRPI ] ; then exit 1 ;fi - -cp /dev/null $DATA/prepbufr_m24 -cp /dev/null $DATA/prepbufr_m12 -cp /dev/null $DATA/prepbufr_p12 -cp /dev/null $DATA/prepbufr_p24 - -set +u -[ -n "$PRPI_m24" ] && cp $PRPI_m24 prepbufr_m24 -[ -n "$PRPI_m12" ] && cp $PRPI_m12 prepbufr_m12 -[ -n "$PRPI_p12" ] && cp $PRPI_p12 prepbufr_p12 -[ -n "$PRPI_p24" ] && cp $PRPI_p24 prepbufr_p24 -set -u - -rm $PRPI.cqcbufr -rm cqc_events cqc_stncnt cqc_stnlst - -pgm=`basename $CQCX` -if [ -s $DATA/prep_step ]; then - set +u - . $DATA/prep_step - set -u -else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -fi - -export FORT4=cqcbufr.unit04.wrk -export FORT12=cqc_events -export FORT14=$PRPI -export FORT15=cqc_stncnt -export FORT16=cqc_stnlst -export FORT17=prepbufr_m24 -export FORT18=prepbufr_m12 -export FORT19=prepbufr_p12 -export FORT20=prepbufr_p24 -export FORT22=cqc_wndpbm -export FORT23=$CQCS -export FORT51=$PRPI.cqcbufr -export FORT52=cqc_sdm -export FORT60=cqcbufr.unit60.wrk -export FORT61=cqcbufr.unit61.wrk -export FORT62=cqcbufr.unit62.wrk -export FORT64=cqcbufr.unit64.wrk -export FORT68=cqc_radcor -export FORT80=cqcbufr.unit80.wrk -TIMEIT=${TIMEIT:-""} -[ -s $DATA/time ] && TIMEIT="$DATA/time -p" -# The following improves performance on Cray-XC40 if $CQCX was -# linked to the IOBUF i/o buffering library -export IOBUF_PARAMS='*wrk:verbose,*cqc_*:verbose' -$TIMEIT $CQCX< $CQCC > outout 2> errfile -err=$? -unset IOBUF_PARAMS -###cat errfile -cat errfile >> outout -cat outout >> cqcbufr.out -set +u -[ -n "$pgmout" ] && cat outout >> $pgmout -set -u -rm outout -set +x -echo -echo 'The foreground exit status for PREPOBS_CQCBUFR is ' $err -echo -set -x -if [ -s $DATA/err_chk ]; then - $DATA/err_chk -else - if test "$err" -gt '0' - then -######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out - # in ops - exit 55 - fi -fi - -if [ "$err" -gt '0' ]; then - exit 9 -else - mv $PRPI.cqcbufr $PRPI -fi - -exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.txt deleted file mode 100644 index 0395d77..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.txt +++ /dev/null @@ -1 +0,0 @@ -CQCX=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_cqcbufr diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.sh deleted file mode 100755 index bb8f950..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.sh +++ /dev/null @@ -1,101 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - - -# This script performs VAD wind complex quality control checking -# -# It is normally executed by the script prepobs_makeprepbufr.sh -# but can also be executed from a checkout parent script -# -------------------------------------------------------------- - -set -aux - -qid=$$ - -# Positional parameters passed in: -# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr -# file upon successful completion of this script (note that input -# prepbufr file is NOT saved by this script) -# 2 - ncep production date (YYYYMMDDHH) - -# Imported variables that must be passed in: -# DATA - path to working directory -# VQCX - path to PREPOBS_CQCVAD program executable - -# Imported variables that can be passed in: -# pgmout - string indicating path to for standard output file (skipped -# over by this script if not passed in) - -cd $DATA -PRPI=$1 -if [ ! -s $PRPI ] ; then exit 1;fi -CDATE10=$2 - -set +x -cat <<\EOFc > cqcvad05 - &NAMLST - HONOR_FLAGS=TRUE, ! If TRUE then levels with bad q.m. flags are honored - PRINT_52=TRUE, ! If TRUE then writes bird quality control information - ! to unit 52 - PRINT_53=FALSE, ! If TRUE then writes a final report listing with q.c. - ! information to unit 53 - PRINT_60=FALSE, ! If TRUE then writes event information to unit 60 - TEST=FALSE ! If TRUE then writes diagnostic print to stdout (unit 06) - / -EOFc -set -x - -rm $PRPI.cqcvad - -pgm=`basename $VQCX` -if [ -s $DATA/prep_step ]; then - set +u - . $DATA/prep_step - set -u -else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -fi - -export FORT11=$PRPI -echo "$CDATE10" > cdate10.dat -export FORT14=cdate10.dat -export FORT51=$PRPI.cqcvad -export FORT52=cqcvad.birdqc -export FORT53=cqcvad.unit53.wrk -export FORT55=cqcvad.unit55.wrk -export FORT60=cqcvad.unit60.wrk -TIMEIT=${TIMEIT:-""} -[ -s $DATA/time ] && TIMEIT="$DATA/time -p" -$TIMEIT $VQCX < cqcvad05 > outout 2> errfile -err=$? -###cat errfile -cat errfile >> outout -cat outout >> cqcvad.out -set +u -[ -n "$pgmout" ] && cat outout >> $pgmout -set -u -rm outout -set +x -echo -echo 'The foreground exit status for PREPOBS_CQCVAD is ' $err -echo -set -x -if [ -s $DATA/err_chk ]; then - $DATA/err_chk -else - if test "$err" -gt '0' - then -######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out - # in ops - exit 55 - fi -fi - -if [ "$err" -gt '0' ]; then - exit 9 -else - mv $PRPI.cqcvad $PRPI -fi - -exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.txt deleted file mode 100644 index 1898aed..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.txt +++ /dev/null @@ -1 +0,0 @@ -VQCX=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_cqcvad diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.sh deleted file mode 100755 index ff85639..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.sh +++ /dev/null @@ -1,2466 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - -#### UNIX Script Documentation Block -# -# Script name: prepobs_makeprepbufr.sh -# Script description: Prepares & quality controls PREPBUFR file -# -# Author: Keyser Org: EMC Date: 2017-04-20 -# -# Abstract: This script creates the PREPBUFR file containing observational data -# assimilated by all versions of NCEP atmospheric analyses. It points to BUFR -# observational data dumps as input files. PREPOBS_PREPDATA combines them to -# generate an initial form of the PREPBUFR file which also contains the -# background guess as well as the observational error field. If tropical -# cyclone relocation processing has previously occurred, the background global -# guess read in by PREPOBS_PREPDATA (and later by SYNDAT_SYNDATA if it runs) -# is the relocated guess valid at the center date/time for the PREPBUFR -# processing. Otherwise it is the regular (unrelocated) global atmosperic -# guess obtained via the getges utility script. After PREPOBS_PREPDATA runs, -# this script can execute SYNDAT_SYNDATA to generate synthetic wind bogus -# data, as well as their background guess and observational error fields, -# which are appended to the PREPBUFR file. -# -# In the global networks the decision to append synthetic wind bogus data in -# the SYNDATA processing is determined by the outcome of the previous -# tropical cyclone relocation processing (if it was run). There are three -# possible outcomes: -# 1) If all storms in the original tcvitals file have vorticies of at -# least medium intensity such that a relocation was previously -# performed for each, then SYNDAT_SYNDATA will still run but will not -# append synthetic wind bogus data to the PREPBUFR file for any storm. -# It will input the original tcvitals file (output from qctropcy -# processing) and (if the option is set) it will flag dropwinsonde -# winds in the vicinity of each tropical storm in the file. -# 2) If all storms in the original tcvitals file (output from qctropcy -# processing) have weak vorticies such that a relocation was not -# previously performed for any, then SYNDAT_SYNDATA will run, inputting -# the original tcvitals file, and it will append synthetic wind bogus -# data to the PREPBUFR file for each storm in it. It will also -# possibly flag mass pressure and/or dropwinsonde wind reports in the -# vicinity of each storm (if requested). -# 3) If some storms in the original tcvitals file (output from qctropcy -# processing) have weak vorticies, such that a relocation was not -# previously performed for them, and others have vorticies of at least -# medium intensity, such that a relocation was previously performed for -# these, then SYNDAT_SYNDATA will run twice. The first time, it will -# input the relocation-generated tcvitals file, which contains all of -# the weak storms, and it will append synthetic wind bogus data to the -# PREPBUFR file for each storm in it. It will also possibly flag mass -# pressure and/or dropwinsonde wind reports in the vicinity of each of -# these storms (if requested). The second time SYNDAT_SYNDATA runs, it -# will input any storm records that were in the original tcvitals file -# but not in the relocation-generated tcvitals file (i.e., storms with -# vorticies of at least medium intensity). It will not append -# synthetic wind bogus data to the PREPBUFR file for any of these -# storms, but it will flag dropwinsonde winds in the vicinity of each -# storm in the original tcvitals file but not in the relocation- -# generated tcvitals file (if requested). -# If this is the nam network, the only reason relocation processing would -# have been previously run would be to update the first guess read in here by -# PREPOBS_PREPDATA and SYNDAT_SYNDATA. In this case, SYNDAT_SYNDATA inputs -# the original tcvitals file (output from qctropcy processing), appends -# synthetic wind bogus data to the PREPBUFR file for each storm in it, and -# possibly also flags mass pressure and/or dropwinsonde wind reports in the -# vicinity of each storm in the file (if requested). -# -# After all of this, the script then executes a series of quality control -# programs which can change the observation value and/or its quality marker. -# The PREPBUFR file is set up such that all changes to data are stacked on -# top of previous values. Such changes are considered to be "events", with -# the event containing an associated program code and reason code to describe -# it. This allows the PREPBUFR file to internally contain a record of all -# events preformed on the observations. This script has been designed to be -# executed by either an "operational J-job" script, a "test J-job" script, a -# "parallel J-job" script, or a stand-alone batch run initiated by a user. -# -# Script history log: -# 1999-07-20 Dennis A. Keyser -- Original version for implementation -# 2000-06-14 Dennis A. Keyser -- Added the tropical cyclone relocation -# processing -# 2001-03-20 Dennis A. Keyser -- Now gets tcvitals file for t-12 as well as -# t-06 in tropical cyclone relocation processing and passes both to ush -# relocate_relocate_ts.sh as new pos. parameters 3 and 4 -# 2004-01-15 Dennis A. Keyser -- Reads file *aircar_status_flag* in $COMSP -# path to see whether ARINC (primary) or AFWA (backup) reports in AIRCAR -# dump should be read and processed as ACARS data in PREPBUFR (flag file -# generated in upstream dump process and is based on a comparison of report -# counts), inserts proper switch in parm cards read by PREPOBS_PREPDATA -# program -# 2004-08-30 Dennis A. Keyser -- Connects new data dumps to PREPDATA -# processing, copies t-3 and t+3 sigma guess files for GFS and GDAS even if -# DO_RELOCATE = NO (unless GETGUESS = NO); Copies the "PRE-QC" snapshot of -# the PREPBUFR file AFTER SYNDAT_SYNDATA runs (if it does) rather than -# before it runs (ensures that the PRE-QC PREPBUFR file contains ALL of the -# observations); Variable PRVT (observational error table file path) is now -# read by NAM network and defaults to $FIXPREP/prepobs_errtable.nam if not -# imported (obs. errors are now read into PREPBUFR file in NAM network in -# preparation for the switch to the GSI analysis, the operational 3DVAR -# analysis ignores the obs errors in PREPBUFR and still reads them in from -# $PARMPREP/nam_errtable.r3dv) -# 2005-07-01 Dennis A. Keyser -- Logic changes to run SYNDAT_SYNDATA in all -# networks where requested regardless of outcome of relocation processing, -# but sets new script variable DO_BOGUS to NO if SYNDAT_SYNDATA is to NOT -# generate synthetic wind bogus reports and append them to PREPBUFR file -# (SYNDAT_SYNDATA program also modified to read in this variable) - change -# needed because (if requested) SYNDAT_SYNDATA will now flag all -# dropwinsonde wind reports in vicinity of each storm in original tcvitals -# file, regardless of whether or not bogus winds are generated -# 2006-03-22 Dennis A. Keyser -- Accounts for possibility of split dump status -# files (status1 and status2) at the time this runs {in the test for the -# presence of the dump status file(s)} -# 2006-06-13 Dennis A. Keyser -- Adds dump file "wdsatr" to default BUFRLIST -# value and assigns it to unit 38 read in to PREPOBS_PREPDATA; Removed -# tropical cyclone relocation processing, this is now done (if requested) -# in a new script called tropcy_relocate.sh which runs in the new -# TROPCY_QC_RELOC job prior to the PREP job that executes this script -# (TROPCY_QC_RELOC first performs qctropcy processing, this was moved from -# the DUMP job) - this was done to allow the TROPCY_QC_RELOC job to run at -# the same time as the DUMP job in order to speed up overall obs -# processing and remove variability in the PREP job executing this script -# (i.e., this job had run faster when no tropical storms were present) -# 2007-09-14 Dennis A. Keyser -- Replaced "export XLFUNIT_xx=" with -# "ln .sf fort.xx" in preparation for PREPOBS_PREPDATA -# interfacing with global spectral guess files using sigio routines (via -# W3LIB routine GBLEVENTS - sigio requires explicit open statements in the -# code and this conflicts with XLFUNIT statements; Removed test on -# existence of status2 file from NAM_DUMP2 and NDAS_DUMP2 jobs since dump -# files here (currently only "nexrad") are not processed into the PREPBUFR -# file - PREP job initiation may soon no longer be dependent upon -# completion of DUMP2 job in NAM and NDAS networks; in the case where an -# input (normally, pre-QC) PREPBUFR file is passed into the script via the -# variable PREPBUFR_IN, it had been assumed that this file had already -# been run through SYNDATA processing (but that was not the case prior to -# 12Z 25 Jan 2005) - this script changed to use the value of variable -# SYNDATA to determine if the file in PREPBUFR_IN should be run through -# SYNDATA processing (i.e., if SYNDATA=YES, run it through SYNDATA -# processing) (this change will allow reanalysis runs prior to 12Z 25 Jan -# 2005 to work properly) -# 2008-09-25 Dennis A. Keyser -- Added dump file "ascatw" to default BUFRLIST -# value and assigns it to unit 39 read in to PREPOBS_PREPDATA; in -# preparation for future NRL aircraft QC code NRLACQC, added new script -# variables NRLACQC (def=NO), USHNQC (def=${HOMEALL}/ush), NQCX -# (def=$EXECPREP/prepobs_nrlacqc) and NQCC -# (def=$PARMPREP/prepobs_nrlacqc.${NET}.parm"), if NRLACQC=YES will -# execute script USHNQC to perform NRL aircraft QC (not yet ready) -# 2011-10-14 D.A. Keyser -- Updated to handle new "rap" (Rapid Refresh) -# network and its model runs "rap", "rap_p" and "rap_e" -# 2012-05-09 S. Bender/D. Keyser -- Removed all prior references to "NRL" -# aircraft QC script variables (never actually used) since the NRL -# aircraft QC nomenclature is being dropped in place of the existing -# PREPACQC nomenclature and will use its existing script variables; -# removed all references to the ACARSQC processing since it is no longer -# executed (ACARS QC is now performed within the revamped PREPACQC -# processing); removed script variables no longer used by the new version -# of the PREPACQC processing; added new script variables which are -# associated with the new program PREPOBS_PREPACPF which now runs as a -# second program within the PREPACQC processing (after program -# PREPOBS_PREPACQC) in the ush script prepobs_prepacqc.sh {PROCESS_ACQC -# (def=YES), PROCESS_ACPF (def=YES), DICTPREP (def=$HOMEALL/dictionaries), -# DICT (def=$DICTPREP/metar.tbl), APFX (def=$EXECPREP/prepobs_prepacpf), -# and the new second argument "$DATA/adpsfc" passed to -# prepobs_prepacqc.sh} -# 2013-03-05 D.A. Keyser -- Modified to properly run on WCOSS system: replaced -# all usage of "timex" with "time -p."; replaced script variables -# XLFUNIT_n with FORTn (where n is the unit number connected to the -# filename defined by the variable FORTn) - needed because ifort uses -# FORTn; script is now set to run under ksh shell as the default; added -# script variable "BACK" which, when YES, threads the mp_prepdata herefile -# into background shells that run simultaneously (an alternative option -# to poe which is not ready on WCOSS); touches all dump files not included -# in BUFRLIST so that they will not cause a read error if PREPOBS_PREPDATA -# tries to read them -# 2014-01-15 S. Melchior -- Placed into new OBSPROC_PREP vertical directory -# structure/environmental equivalence paradigm. As a result: imports new -# environment variable $HOMEobsproc_prep which points to directory path for -# generic prep subdirectories under version control (in production this is -# normally /nwprod/obsproc_prep.vX.Y.Z where X.Y.Z is version number being -# used, usually the latest); and imports new environment variable -# $HOMEobsproc_network which points to directory path for network-specific -# prep subdirectories under version control (in production this is normally -# /nwprod//obsproc_NETWORK.vX.Y.Z where NETWORK is, e.g., global, namp, rap, -# rtma, urma, and X.Y.Z is version number being used, usually the latest) - -# these replace /nw${envir} in order to point to files moved from -# horizontal to vertical directory structure. -# 2014-02-25 D.A. Keyser -- Removed all references to RUC. Removed option -# to run on MACHINE=sgi - this is obsolete (as a result variables $MACHINE -# and $HOMEALL are no longer used in this script). Replaced variable -# $EXECUTIL with $utilexec for directory path to utility program ndate -# (both were exported from job scripts with same value, $EXECUTIL has now -# been removed from all job scripts). Removed all references to "cdc" -# network (this is obsolete). -# 2014-07-23 D.A. Keyser -- Imported script environment variable DICTPREP now -# defaults to new vertical structure directory path location for metar.tbl -# dictionary, /nw${envir}/decoders/decod_shared/dictionaries, rather than -# old horizontal structure location, /nw${envir}/dictionaries (the latter -# will be removed in September 2014). -# 2016-02-05 JWhiting -- Use NCO-established variables to point to root -# directories for main software components and input/output directories in -# order to run on WCOSS Phase 1 or Phase 2 (here, $COMROOT which replaces -# hardwire to "/com", $NWROOT which replaces hardwire to "/nwprod" in -# comments only). Use NCO-established variables (presumably obtained from -# modules) to point to prod utilities [here, $NDATE from module prod_util -# (default or specified version, loaded in each network which executes this -# script) which replaces executable ndate in non-versioned, horizontal -# structure utility directory path defined by imported variable $utilexec]. -# 2016-04-29 D.A. Keyser -- Updated logic such that when tropical cyclone -# relocation has not run, a first guess is required, the network is gfs or -# gdas, but the cycle time is not 00, 06, 12 or 18z, no attempt will be -# made to obtain a guess 3-hrs before and after cycle time (since it can -# fail). Instead this is treated the same as any 3- or 1-hrly cycle run -# (like rap, e.g.) meaning two guess files will be obtained at the -# spanning 3 hour interval around any cycle time not a multiple of 3 hrs. -# BENEFIT: Allows future hourly WAM model to run properly. -# 2016-07-12 D.C. Stokes -- Reinstated poe option to run multiple instances -# of the PREPDATA processing script in parallel. New variable $launcher -# defines the parallel scripting launch mechanism (description below). -# Added logic to create scaled down versions of err_chk and err_exit -# scripts if they don't exist in the working directory and eliminated -# similar blocks of logic that had been repeated throughout the script. -# Updated USHGETGES default to pick up more recent versions of getges.sh. -# 2017-02-07 D.C. Stokes -- Updated to run on Cray-XC40 as well as iDataPlex. -# If on Cray-XC40, default parallel scripting launching mechanism is cfp -# inovked by aprun. Variable name used for launching mechanism changed from -# "launcher" to "launcher_PREP". Variable COMDATEROOT is now the primary -# default for the root of the directory containing NCEP date files. The -# variable NWROOTp1 is now the default root for directory DICTPREP. Logic -# used to determine if $COMSP points to production "com" directory was -# updated to recognize full path name (as needed on luna/surge). -# 2017-03-19 D.C. Stokes/D.A. Keyser -- Updated to input nemsio atmopheric -# guess files -or- the older sigio atmospheric files. The nemsio option -# is triggered by flag NEMSIO_IN=.true. For nemsio runs, a single guess -# file valid at the prepbufr center time is picked up, even for runs with -# center time that is not a multiple of 3. Also the dbn_alert subtype is -# now dependent upon $RUN (for transition from "gdas1" to "gdas"). -# 2017-04-20 D.C. Stokes -- Relocated assignments of variable stype to ensure -# it always passes the proper value to the getges utility script. -# -# -# Usage: prepobs_makeprepbufr.sh yyyymmddhh -# -# Input script positional parameters: -# 1 String indicating the center date/time for the PREPBUFR -# processing - if missing, then this time -# is obtained from the ${COMDATEROOT}/date/$cycle file -# -# Imported Shell Variables: -# -# These must ALWAYS be exported to this script by the parent script -- -# -# COMROOT Root to input/output "com" directory (in production, -# normally "/com", "/com2", or "/gpfs/hps/nco/ops/com") -# NSPLIT Number of parts into which the PREPDATA processing shell -# script (herefile MP_PREPDATA) will be split in order to -# run in parallel for computational efficiency (either using -# multiple tasks when POE is not "NO" or in background threads -# when BACK is "YES") -# NOTE : This is required ONLY if the imported shell variable -# POE is not "NO" (see below) or the imported shell -# variable BACK is "YES" (see below) (i.e., a parallel -# environment), and the imported shell variable -# PREPDATA=YES (see below) -# NET String indicating system network {either "gfs", "gdas", -# "cdas", "nam", "rap", "rtma" or "urma"} -# NOTE : NET is changed to gdas in the parent Job script for -# RUN=gdas or RUN=gdas1 (was gfs) -# RUN String indicating model run {either "gfs", "gdas", "gdas1", -# "cdas", "nam", "ndas", "rap", "rap_p", "rap_e", -# "rtma", or "urma"} -# cycle String indicating the center cycle hour for PREPBUFR -# processing {"txxz", where xx is two-digit hour of the day -# (UTC)} -# NOTE : This is required ONLY if input script positional -# parameter 1 is missing (see above) -# DATA String indicating the working directory path (usually a -# temporary location) -# COMSP String indicating the directory/filename path to input BUFR -# observational data dumps, tropical cyclone location -# (tcvitals) files, global atmos guess files, and status -# files (e.g., "$COMROOT/gfs/prod/gfs.20060612/gfs.t12z.") -# DBNROOT String indicating directory path to bin/dbn_alert file -# location -# NOTE : This is required ONLY if the imported shell variable -# SENDDBN is "YES" (see below) -# job - String indicating job name (e.g., 'gdas_prep_12') -# NOTE : This is required ONLY if the imported shell variable -# SENDDBN is "YES" (see below) -# $HOMEobsproc_prep - string indicating directory path to generic prep -# subdirectories under version control -# (in production this is normally -# ${NWROOT}/obsproc_prep.vX.Y.Z where X.Y.Z is -# version number being used, usually the latest) -# $HOMEobsproc_network - string indicating directory path to network- -# specific prep subdirectories under version control -# (in production this is normally -# ${NWROOT}/obsproc_NETWORK.vX.Y.Z where NETWORK is, -# e.g., global, nam, rap, rtma, urma, and X.Y.Z is -# version number being used, usually the latest) -# -# These will be set to their default value in this script if not exported -# to this script by the parent script -- -# -# SITE Site name (may have been set by local shell startup script) -# Default is "" -# sys_tp System type and phase. If not imported, an attempt is made -# to set it using getsystem.pl (an NCO prod_util script). -# A failed attempt results in an empty string. -# NEMSIO_IN Flag that if ".true." indicates that nemsio atmospheric -# background fields will be input rather than sigio. -# Default is "" -# SENDDBN String indicating whether or not to alert an output file to -# the NWS/TOC (= "YES" - invoke alert; anything else - do not -# invoke alert) -# Default is "NO" -# NPROCS Number of "poe" tasks to use for mpmd (must be .GE. $NSPLIT) -# NOTE : This is applicable ONLY if the imported shell -# variable POE is not "NO" (see below) and variable -# launcher_PREP is not "cfp" or "aprun" (see below) and -# the imported shell variable PREPDATA=YES (see below) -# For LSF jobs, the count of hosts listed in string $LSB_HOSTS -# will be used to set NPROCS (overriding any imported value). -# Default is "$NSPLIT" -# envir String indicating environment under which job runs ('prod' -# or 'test') -# Default is "prod" -# envir_getges String indicating environment under which GETGES utility -# ush runs (see getges.sh docblock for more information) -# Default is "$envir" -# network_getges -# String indicating job network under which GETGES utility -# ush runs (see getges.sh docblock for more information) -# Default is "global" unless the center PREPBUFR processing -# date/time is not a multiple of 3-hrs and the global guess is -# sigio-based, then the default is "gfs" -# pgmout String indicating file containing standard output (output -# always contatenated onto this file) -# Default is "/dev/null" -# tstsp String indicating the directory/filename path to one or -# more BUFR observational data dumps and/or tropical cyclone -# location (tcvitals) files and/or global atmos guess files -# and/or status files that are to override the corresponding -# file in $COMSP (this should be imported with the same -# naming convention as $COMSP; e.g., -# "/gpfstmp/wx22dk/test_dump/ndas.20060612/ndas.t12z." - -# (if tstsp is not imported, the default is used and no -# overriding file would exist; if tstsp is imported then any -# file found would override the correspoding file in $COMSP) -# Default is "/tmp/null/" -# tmmark - string indicating hour for center PREPBUFR processing date/ -# time relative to the analysis time embedded in $tstsp or -# $COMSP (e.g., "tm12", "tm09", "tm06", "tm03", "tm00") -# Default is "tm00" -# BUFRLIST String indicating list of BUFR data dump file names to -# process -# Default is "adpupa proflr aircar aircft satwnd adpsfc \ -# sfcshp sfcbog vadwnd goesnd spssmi erscat qkswnd msonet \ -# gpsipw rassda wdsatr ascatw" -# POE String indicating whether or not to use a poe-like launcher -# to spread instances of the PREPBUFR processing herefile -# MP_PREPDATA over multiple pes in parallel. (= "NO" - -# do not invoke invoke "poe"; anything else - invoke "poe") -# Default is "YES" -# launcher_PREP Parallel scripting launch tool. Settings are in place for -# aprun, mpirun.lsf, and cfp but a different tool can be -# specified. -# NOTE : This is applicable ONLY if the imported shell -# variable POE is not "NO" and the imported shell -# variable PREPDATA=YES (see below) -# Default on Cray-XC40 is "aprun". Otherwise: "mpirun.lsf" -# BACK String indicating whether or not to run background shells -# (on the same task) for the PREPBUFR processing (= "YES" - -# run background shells; anything else - do not run -# background shells). IF BACK=YES on Cray-XC40, the shells -# are invoked by aprun. -# USHSYND String indicating directory path for SYNDATA ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHPREV String indicating directory path for PREVENTS ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHCQC String indicating directory path for CQCBUFR ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHPQC String indicating directory path for PROFCQC ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHVQC String indicating directory path for CQCVAD ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHAQC String indicating directory path for PREPACQC ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHOIQC String indicating directory path for OIQCBUFR ush file -# Default is "${HOMEobsproc_prep}/ush" -# EXECPREP String indicating directory path for PREPOBS executables -# Default is "${HOMEobsproc_prep}/exec" -# PARMPREP String indicating directory path for PREPOBS parm files -# Default is "${HOMEobsproc_network}/parm" -# FIXPREP String indicating directory path for PREPOBS fix-field -# files -# Default is "${HOMEobsproc_prep}/fix" -# DICTPREP String indicating directory path for PREPOBS dictionary -# files -# Default is "${NWROOTp1}/decoders/decod_shared/dictionaries" -# EXECSYND String indicating directory path for SYNTHETIC data -# executables -# Default is "${HOMEobsproc_prep}/exec" -# PARMSYND String indicating directory path for SYNTHETIC parm files -# Default is "${HOMEobsproc_network}/parm" -# FIXSYND String indicating directory path for SYNTHETIC data fix- -# field files -# Default is "${HOMEobsproc_prep}/fix" -# GETGUESS String: if = "YES" will encode first guess (background) -# values interpolated by the program PREPOBS_PREPDATA to -# observation locations in the PREPBUFR file for use by the -# q.c. programs. This guess is always from a global atmos -# guess file valid at the center PREPBUFR processing date/ -# time or from an interpolated guess obtained from global -# atmos guess files valid at times 3-hours apart which span -# the PREPBUFR processing date/time (the latter is performed -# by the program PREPOBS_PREPDATA and occurs when the guess -# files are sigio-based and the PREPBUFR date/time hour is not -# a multiple of 3, e.g. 02Z rap or tm04 nam catchup runs). The -# guess file (or files) may be obtained in one of two ways: -# 1) From pre-existing files in the working directory -# $DATA called sgesprep and sgesprepA (either copied -# there prior to the execution of this script, or -# copied there earlier in this script from either -# $tstsp, or if not found there, $COMSP which was -# populated by the previous running of tropical -# cyclone relocation processing -# NOTE 1: sgesprepA is needed only when the guess is -# sigio-based and the PREPBUFR processing -# date/time is not a multiple of 3-hrs. -# NOTE 2: if previous tropical cyclone relocation -# processing was run, then an sgesprepA file -# is NEVER generated, not a problem since -# previous tropical cyclone relocation -# processing is not run in rap, rap_p or -# rap_e runs -# 2) Via the execution of the GETGES utility ush to -# obtain sgesprep (if pre-existing file $DATA/sgesprep -# does not exist), and possibly via the execution of -# the GETGES utility ush to obtain sgesprepA (if -# PREPBUFR processing date/time is not a multiple of -# 3-hrs and the global guess is sigio-based, and the -# pre-existing file $DATA/sgesprepA does not exist) -# Default is "YES" -# NOTE: If GETGUESS=NO, then the program PREPOBS_PREPDATA -# will NOT call w3emc routine GBLEVENTS to perform -# "prevents" processing -# PREPDATA String: if = "YES" will perform PREPDATA processing -# (in either a parallel or serial environment depending upon -# the values for POE and BACK) -# Default is "YES" -# SYNDATA String: if = "YES" will attempt to perform synthetic bogus -# processing (generation of synthetic bogus winds to be -# appended to PREPBUFR file and, possibly, flagging of mass -# pressure data "near" storms; and, possibly, flagging of -# dropwinsonde wind data "near" storms) -# Default is "YES" -# DO_QC String: if = "YES" will perform quality control -# Default is "YES" -# PREVENTS String: if = "YES" will encode background and obs. errors -# into PREPBUFR file (usually this should be "NO" since the -# programs PREPOBS_PREPDATA and SYNDAT_SYNDATA normally are -# set to perform this function) -# NOTE: Only invoked if DO_QC=YES -# Default is "NO" -# CQCBUFR String: if = "YES" will complex quality control radiosonde -# data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# PROFCQC String: if = "YES" will quality control wind profiler data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# CQCVAD String: if = "YES" will quality control VAD wind data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# PREPACQC String: if = "YES" will quality control aircraft data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# PROCESS_ACQC String: if = "YES" will execute PREPOBS_PREPACQC program as -# part of PREPACQC processing -# NOTE: Only invoked if PREPACQC=YES -# Default is "YES" -# PROCESS_ACPF String: if = "YES" will execute PREPOBS_PREPACPF program as -# part of PREPACQC processing -# NOTE: Only invoked if PREPACQC=YES -# Default is "YES" -# OIQCBUFR String: if = "YES" will perform final oi-based quality -# control on all data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# MPCOPYX String indicating executable path for PREPOBS_MPCOPYBUFR -# program -# Default is "$EXECPREP/prepobs_mpcopybufr" -# PRPX String indicating executable path for PREPOBS_PREPDATA -# program -# Default is "$EXECPREP/prepobs_prepdata" -# errPREPDATA_limit -# String indicating the highest allowed foreground exit -# status for program PREPOBS_PREPDATA (any exit status higher -# than this is considered a failure) -# (Note: errPREPDATA_limit=1 is ALWAYS considered a FAILURE) -# Default is "0" -# PRPC String indicating data card path for PREPOBS_PREPDATA -# program -# Default is "$PARMPREP/prepobs_prepdata.${NET}.parm" -# PRPT String indicating bufrtable file path for PREPOBS_PREPDATA -# program -# Default is "$FIXPREP/prepobs_prep.bufrtable" -# LANDC String indicating land/sea mask file path for -# PREPOBS_PREPDATA program -# Default is "$FIXPREP/prepobs_landc" -# PRVT String indicating observational error table file path for -# PREPOBS_PREPDATA, SYNDAT_SYNDATA and PREPOBS_PREVENTS -# programs (used by GBLEVENTS subroutine) -# NOTE: Only read by gdas, gfs, cdas and nam networks -# If imported "NET=gdas" or "NET=gfs", default is -# "$HOMEobproc_network/fix/prepobs_errtable.global"; -# if imported "NET=cdas", default is -# "$HOMEobsproc_network/fix/prepobs_errtable.cdas"; -# if imported "NET=nam", default is -# "$HOMEobsproc_network/fix/prepobs_errtable.nam" -# otherwise, default is "$DATA/scratch.PRVT" a null file -# LISTHDX String indicating executable path for PREPOBS_LISTHEADERS -# program -# Default is "$EXECPREP/prepobs_listheaders" -# MONOBFRX String indicating executable path for PREPOBS_MONOPREPBUFR -# program -# Default is "$EXECPREP/prepobs_monoprepbufr" -# SYNDX String indicating executable path for SYNDAT_SYNDATA -# program -# Default is "$EXECSYND/syndat_syndata" -# SYNDC String indicating data card path for SYNDAT_SYNDATA program -# Default is "$PARMSYND/syndat_syndata.${NET}.parm" -# PREX String indicating executable path for PREPOBS_PREVENTS -# program -# Default is "$EXECPREP/prepobs_prevents" -# PREC String indicating data card path for PREPOBS_PREVENTS -# program -# Default is "$PARMPREP/prepobs_prevents.${NET}.parm" -# AQCX String indicating executable path for PREPOBS_PREPACQC -# program -# Default is "$EXECPREP/prepobs_prepacqc" -# AQCC String indicating data card path for PREPOBS_PREPACQC -# program -# Default is "$PARMPREP/prepobs_prepacqc.${NET}.parm" -# APFX String indicating executable path for PREPOBS_PREPACPF -# program -# Default is "$EXECPREP/prepobs_prepacpf" -# DICT String indicating METAR station dictionary path for -# PREPOBS_PREPACPF program -# Default is "$DICTPREP/metar.tbl" -# PQCX String indicating executable path for PREPOBS_PROFCQC -# program -# Default is "$EXECPREP/prepobs_profcqc" -# PQCC String indicating data card path for PREPOBS_PROFCQC -# program -# Default is "$PARMPREP/prepobs_profcqc.${NET}.parm" -# VQCX String indicating executable path for PREPOBS_CQCVAD -# program -# Default is "$EXECPREP/prepobs_cqcvad" -# CQCX String indicating executable path for PREPOBS_CQCBUFR -# program -# Default is "$EXECPREP/prepobs_cqcbufr" -# CQCC String indicating data card path for PREPOBS_CQCBUFR -# program -# Default is "$PARMPREP/prepobs_cqcbufr.${NET}.parm" -# CQCS String indicating statbge path for PREPOBS_CQCBUFR program -# Default is "$FIXPREP/prepobs_cqc_statbge" -# OIQCX String indicating executable path for PREPOBS_OIQCBUFR -# program -# Default is "$EXECPREP/prepobs_oiqcbufr" -# OIQCT String indicating observational error table file path for -# PREPOBS_OIQCBUFR program -# NOTE: If imported "NET=cdas", default is -# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas"; -# otherwise default is -# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs" -# -# These do not have to be exported to this script. If they are, they will -# be used by the script. If they are not, they will be skipped -# over by the script. -# -# PREPBUFR_APP String indicating path to output PREPBUFR file for -# PREPOBS_PREPDATA program. -# If present and POE is "NO" and BACK is not "YES" (i.e., a -# serial environment), PREPOBS_PREPDATA will append all -# output BUFR messages to a copy of this file (prepda) in -# the current working directory, using the internal BUFR -# mnemonic table in the first several BUFR messages at the -# top of the file -# NOTE 1: In this case, it is assumed the the switch APPEND -# is set to TRUE in the parm cards $PRPC (careful, -# if APPEND is FALSE, the original copy of -# $PREPBUFR_APP will be wiped out and the case below -# will occur) -# NOTE 2: When POE is not "NO" or BACK is "YES" (i.e., a -# parallel environment), appending makes no sense -# because the original output PREPBUFR file is -# monolithic -# If not present or POE is not "NO" or BACK is "YES" (i.e., a -# parallel environment), PREPOBS_PREPDATA will write all -# output BUFR messages to a new file (prepda) in the current -# working directory using the external BUFR mnemonic table -# in the file $PRPT -# NOTE 3: In this case, it is assumed the the switch APPEND -# is set to FALSE in the parm cards $PRPC (careful, -# if APPEND is TRUE, PREPOBS_PREPDATA will abort -# because the original empty PREPBUFR file has no -# internal BUFR mnemonic table) -# PREPBUFR_IN String indicating path to input PREPBUFR file -# If present, this file will be used by SYNDAT_SYNDATA (if -# SYNDATA=YES - see @ below) and by all applicable Q.C. -# programs (set to to be invoked here) rather than the -# PREPBUFR file generated in this script by PREPOBS_PREPDATA -# (normally this would be used when PREPDATA=NO) -# @ - if the PREPBUFR_IN target file is obtained from -# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, -# then for all runs on and after 12Z 25 Jan 2005, -# SYNDATA should be NO because the target files -# will already contain synthetic bogus data; -# if the PREPBUFR_IN target file is obtained from -# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, -# then for all runs prior to 12Z 25 Jan 2005, -# SYNDATA should be YES because the target files -# will not have contain synthetic bogus data. -# If not present, then the PREPBUFR file generated in this -# script by PREPOBS_PREPDATA and possibly appended to by -# SYNDAT_SYNDATA is passed on as input to all applicable -# Q.C. programs -# jlogfile String indicating path to joblog file -# -# These do not have be exported to this script. -# -# COMDATEROOT Primary default for the root of the directory containing -# produciton date files. -# -# NWROOTp1 Root directory for production software on WCOSS Phase 1. -# -# USHGETGES String indicating directory path for GETGES utility script. -# Default is $HOMEobsproc_prep/ush. -# -# GETGESprep GETGES utility script. If NEMSIO_IN=.true., defaults to: -# $USHGETGES/getges.sh -# otherwise, defaults to: -# $USHGETGES/getges_sig.sh -# -# PREPDATAtpn Tasks per node when invoking cfp on Cray-XC40. Will be -# computed if needed but was not imported. -# -# These do not have to be exported to this script. If they are, they will -# be passed on to the script $USHCQC/prepobs_cqcbufr.sh. They are not used -# by this script. -# -# PRPI_m24 See documentation in $USHCQC/prepobs_cqcbufr.sh -# PRPI_m12 See documentation in $USHCQC/prepobs_cqcbufr.sh -# PRPI_p12 See documentation in $USHCQC/prepobs_cqcbufr.sh -# PRPI_p24 See documentation in $USHCQC/prepobs_cqcbufr.sh -# -# Exported Shell Variables: -# CDATE10 String indicating the center date/time for the PREPBUFR -# processing -# SGES Either ... -# 1) String indicating the full path name for global -# sigio-based or nemsio-based guess file valid at the -# center PREPBUFR processing date/time (in which case the -# center PREPBUFR processing date/time is a multiple of -# 3-hrs, or for any PREPBUFR center hour if global guess -# is nemsio-based) - This guess file will be encoded -# into the PREPBUFR file for use by the q.c. programs. -# -- or -- -# 2) String indicating the full path name for the global -# atmosperic guess file valid at the nearest cycle time -# prior to the center PREPBUFR processing date/time which -# is a multiple of 3 (in which case the center PREPBUFR -# processing date/time is not a multiple of 3-hrs and the -# global guess is sigio-based) - A linear interpolation -# (of the spectal coefficients) between this file and the -# guess file indicated by SGESA case 2 below will be -# performed by program PREPOBS_PREPDATA and encoded into -# the PREPBUFR file for use by the q.c. programs. The -# SGES file is always from the GFS in this case. -# NOTE 1: Only case 1 above is valid when tropical cyclone -# relocation processing previously occurred. -# NOTE 2: Case 2 above is necessary because the w3emc lib -# routine gblevents called by PREPOBS_PREPDATA -# expects that sigio-based guess files will only -# have valid hours which are a multiple of 3 -# NOTE 3: Only case 1 above is valid when global guess is -# nemsio-based. -# SGESA Either ... -# 1) String set to "/dev/null" for case 1 of SGES above -# (default) -# -- or -- -# 2) String indicating the full path name for the global -# sigma guess file valid at the nearest cycle time after -# the center PREPBUFR processing/date time which is a -# multiple of 3 for case 2 of SGES above - A linear -# interpolation (of the spectal coefficients) between -# this guess file and the guess file indicated by SGES -# above (see case 2 for SGES) will be performed by the -# program PREPOBS_PREPDATA and encoded into the PREPBUFR -# file for use by the q.c. programs. The SGESA file is -# always from the GFS in this case and its forecast hour -# is 3-hrs later than the SGES file (thus both initiate -# at the same time). -# NOTE 1: Only case 1 above is valid when tropical cyclone -# relocation processing previously occurred. -# NOTE 2: Case 2 above is necessary because the w3emc lib -# routine gblevents called by PREPOBS_PREPDATA -# expects that sigio-based guess files will only -# have valid hours which are a multiple of 3 -# NOTE 3: Only case 1 above is valid when global guess is -# nemsio-based. -# -# -# Modules and files referenced: -# herefiles : $DATA/MP_PREPDATA -# $DATA/MERGE_MSGS -# scripts : $USHGETGES/getges.sh -# $USHGETGES/getges_sig.sh -# $USHSYND/prepobs_syndata.sh -# $USHPREV/prepobs_prevents.sh -# $USHCQC/prepobs_cqcbufr.sh -# $USHPQC/prepobs_profcqc.sh -# $USHVQC/prepobs_cqcvad.sh -# $USHAQC/prepobs_prepacqc.sh -# $USHOIQC/prepobs_oiqcbufr.sh -# $DATA/postmsg (required ONLY if "$jlogfile" is present) -# $DATA/prep_step {here and by referenced script(s)} -# $DATA/err_exit -# $DATA/err_chk {here and by referenced script(s)} -# (NOTE: The last three scripts above are NOT REQUIRED -# utilities. If $DATA/prep_step not found, a scaled down -# version of it is executed in-line. If $DATA/err_exit -# or $DATA/err_chk are not found, scaled down versions, -# created in-line, are executed. -# executables: $NDATE (from prod_util module) -# programs : -# PREPOBS_MPCOPYBUFR - executable: $MPCOPYX -# PREPOBS_PREPDATA - executable: $PRPX -# land/sea mask: $LANDC -# bufr mnemonic user table: $PRPT -# obs. error table: $PRVT -# data cards: $PRPC -# PREPOBS_LISTHEADERS - executable: $LISTHDX -# PREPOBS_MONOPREPBUFR - executable: $MONOBFRX -# SYNDAT_SYNDATA - executable: $SYNDX -# T126 gaussian land/sea mask: -# $FIXSYND/syndat_syndata.slmask.t126.gaussian -# weights: $FIXSYND/syndat_weight -# obs. error table: $PRVT -# data cards: $SYNDC -# PREPOBS_PREVENTS - executable: $PREX -# obs. error table: $PRVT -# data cards: $PREC -# PREPOBS_PREPACQC - executable: $AQCX -# data cards: $AQCC -# PREPOBS_PREPACPF - executable: $APFX -# dictionary: $DICT -# PREPOBS_PROFCQC - executable: $PQCX -# data cards: $PQCC -# PREPOBS_CQCVAD - executable: $VQCX -# PREPOBS_CQCBUFR - executable: $CQCX -# data cards: $CQCC -# PREPOBS_OIQCBUFR - executable: $OIQCX -# obs. error table: $OIQCT -# -# Remarks: -# -# Condition codes -# 0 - no problem encountered -# >0 - some problem encountered -# -# Attributes: -# Language: Korn shell under linux -# Machine: NCEP WCOSS -# -#### - -set -aux - -NEMSIO_IN=${NEMSIO_IN:=""} -jlogfile=${jlogfile:=""} -SENDDBN=${SENDDBN:-NO} - -if [ ! -d $DATA ] ; then mkdir -p $DATA ;fi - -cd $DATA - -qid=$$ - -##################################################### -##################################################### -# create error check and exit utilities if necessary. -# (as may be the case for some developer runs) -##################################################### - -if [ ! -x $DATA/err_exit ]; then -cat <<\EOFerrexit > $DATA/err_exit - set -x - if [ -n "$LSB_JOBID" ]; then - bkill $LSB_JOBID - sleep 60 - date - else - set -e - kill -n 9 $qid - fi - exit 7 # for extra measure -EOFerrexit -chmod 775 $DATA/err_exit -fi - -if [ ! -x $DATA/err_chk ]; then -cat <<\EOFerrchk > $DATA/err_chk - set -x - if [ "$err" != '0' ]; then - $DATA/err_exit - fi -EOFerrchk -chmod 775 $DATA/err_chk -fi - -##################################################### -##################################################### - - -# determine local system name and type if available -# ------------------------------------------------- -SITE=${SITE:-""} -sys_tp=${sys_tp:-$(getsystem.pl -tp)} -getsystp_err=$? -if [ $getsystp_err -ne 0 ]; then - msg="***WARNING: error using getsystem.pl to determine system type and phase" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" -fi -echo sys_tp is set to: $sys_tp - -#------------------------------------------------------------------------------- - -# obtain the center date/time for PREPBUFR processing -# --------------------------------------------------- - -if [ $# -ne 1 ] ; then - cp ${COMDATEROOT:-$COMROOT}/date/$cycle ncepdate - err0=$? - CDATE10=`cut -c7-16 ncepdate` -else - CDATE10=$1 - if [ "${#CDATE10}" -ne '10' ]; then - err0=1 - else - cycle=t`echo $CDATE10|cut -c9-10`z - err0=0 - fi -fi - -if test $err0 -ne 0 -then -# problem with obtaining date record so exit - set +x - echo - echo "problem with obtaining date record;" - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure -fi - -cyc=`echo $CDATE10|cut -c9-10` -modhr=`expr $cyc % 3` - -set +x -echo -echo "CENTER DATE/TIME FOR PREPBUFR PROCESSING IS $CDATE10" -echo -set -x - -#---------------------------------------------------------------------------- - -# Create variables needed for this script and its children -# -------------------------------------------------------- - -envir=${envir:-prod} - -envir_getges=${envir_getges:-$envir} -if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then - network_getges=${network_getges:-global} -else - network_getges=${network_getges:-gfs} -fi - -pgmout=${pgmout:-/dev/null} - -tstsp=${tstsp:-/tmp/null/} -tmmark=${tmmark:-tm00} - -BUFRLIST=${BUFRLIST:-"adpupa proflr aircar aircft satwnd adpsfc sfcshp \ - sfcbog vadwnd goesnd spssmi erscat qkswnd msonet gpsipw rassda wdsatr \ - ascatw"} - -PREPDATA=${PREPDATA:-YES} - -if [ "$PREPDATA" != 'YES' ] ; then - POE=NO - BACK=NO -else - set +u - [ -z "$POE" -a "$BACK" = 'YES' ] && POE=NO - POE=${POE:-YES} - if [ "$POE" != 'NO' -a "$BACK" = 'YES' ]; then - set -u - set +x -echo -echo "YOU have set both POE and BACK to YES - choose one or the other!!" -echo "Defaults are POE=YES and BACK=NO, as is preferable for WCOSS." -echo - set -x - exit 99 - fi - BACK=${BACK:-NO} - PARALLEL=NO - [ "$POE" != 'NO' -o "$BACK" = 'YES' ] && PARALLEL=YES - if [ "$POE" != 'NO' ] ; then - if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - launcher_PREP=${launcher_PREP:-aprun} - else - launcher_PREP=${launcher_PREP:-mpirun.lsf} - fi - if [ "$launcher_PREP" != 'cfp' -a "$launcher_PREP" != aprun ]; then - if [ -n ${LSB_HOSTS:-""} ]; then - NPROCS=$(echo $LSB_HOSTS|wc -w) - set +x; echo "Setting NPROCS based on LSB_HOSTS count"; set -x - else - NPROCS=${NPROCS:-$NSPLIT} - fi - if [ $NPROCS -lt $NSPLIT ]; then - set +x -echo "********************************************************************" -echo " P R O B L E M ! ! ! " -echo "********************************************************************" -echo " NPROCS=$NPROCS IS NOT SUFFICIENT FOR NSPLIT=$NSPLIT " -echo " NPROCS must be greater than NSPLIT when using a " -echo " parallel processing launcher other than cfp " -echo "********************************************************************" - set -x - msg="***FATAL ERROR: Insufficient NPROCS for NSPLIT=$NSPLIT" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - $DATA/err_exit - exit 55 # for extra measure - fi - fi - elif [ "$BACK" = 'YES' ] ; then - NPROCS=$NSPLIT - fi -# fi for PREPDATA != YES -fi - -USHSYND=${USHSYND:-${HOMEobsproc_prep}/ush} -USHPREV=${USHPREV:-${HOMEobsproc_prep}/ush} -USHCQC=${USHCQC:-${HOMEobsproc_prep}/ush} -USHPQC=${USHPQC:-${HOMEobsproc_prep}/ush} -USHVQC=${USHVQC:-${HOMEobsproc_prep}/ush} -USHAQC=${USHAQC:-${HOMEobsproc_prep}/ush} -USHOIQC=${USHOIQC:-${HOMEobsproc_prep}/ush} - -EXECPREP=${EXECPREP:-${HOMEobsproc_prep}/exec} -PARMPREP=${PARMPREP:-${HOMEobsproc_network}/parm} -FIXPREP=${FIXPREP:-${HOMEobsproc_prep}/fix} -DICTPREP=${DICTPREP:-${NWROOTp1}/decoders/decod_shared/dictionaries} - -EXECSYND=${EXECSYND:-${HOMEobsproc_prep}/exec} -PARMSYND=${PARMSYND:-${HOMEobsproc_network}/parm} -FIXSYND=${FIXSYND:-${HOMEobsproc_prep}/fix} - -GETGUESS=${GETGUESS:-YES} -if [ "$GETGUESS" = 'YES' ]; then - USHGETGES=${USHGETGES:-${HOMEobsproc_prep}/ush} - if [ "$NEMSIO_IN" = .true. ]; then - GETGESprep=${GETGESprep:-$USHGETGES/getges.sh} - else - GETGESprep=${GETGESprep:-$USHGETGES/getges_sig.sh} - fi -fi - -PREPDATA=${PREPDATA:-YES} - -SYNDATA=${SYNDATA:-YES} - -DO_QC=${DO_QC:-YES} - -PREVENTS=${PREVENTS:-NO} -CQCBUFR=${CQCBUFR:-YES} -PROFCQC=${PROFCQC:-YES} -CQCVAD=${CQCVAD:-YES} -PREPACQC=${PREPACQC:-YES} -PROCESS_ACQC=${PROCESS_ACQC:-YES} -PROCESS_ACPF=${PROCESS_ACPF:-YES} -OIQCBUFR=${OIQCBUFR:-YES} - -MPCOPYX=${MPCOPYX:-$EXECPREP/prepobs_mpcopybufr} -PRPX=${PRPX:-$EXECPREP/prepobs_prepdata} -errPREPDATA_limit=${errPREPDATA_limit:-0} -PRPC=${PRPC:-$PARMPREP/prepobs_prepdata.${NET}.parm} -PRPT=${PRPT:-$FIXPREP/prepobs_prep.bufrtable} -cp $PRPT prep.bufrtable -LANDC=${LANDC:-$FIXPREP/prepobs_landc} -if [ "$NET" = 'gdas' -o "$NET" = 'gfs' ]; then - PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.global} -elif [ "$NET" = 'cdas' ]; then - PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.cdas} -elif [ "$NET" = 'nam' ]; then - PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.nam} -else - cp /dev/null $DATA/scratch.PRVT - PRVT=${PRVT:-$DATA/scratch.PRVT} -fi -LISTHDX=${LISTHDX:-$EXECPREP/prepobs_listheaders} -MONOBFRX=${MONOBFRX:-$EXECPREP/prepobs_monoprepbufr} -SYNDX=${SYNDX:-$EXECSYND/syndat_syndata} -SYNDC=${SYNDC:-$PARMSYND/syndat_syndata.${NET}.parm} -PREX=${PREX:-$EXECPREP/prepobs_prevents} -PREC=${PREC:-$PARMPREP/prepobs_prevents.${NET}.parm} -AQCX=${AQCX:-$EXECPREP/prepobs_prepacqc} -AQCC=${AQCC:-$PARMPREP/prepobs_prepacqc.${NET}.parm} -APFX=${APFX:-$EXECPREP/prepobs_prepacpf} -DICT=${DICT:-$DICTPREP/metar.tbl} -PQCX=${PQCX:-$EXECPREP/prepobs_profcqc} -PQCC=${PQCC:-$PARMPREP/prepobs_profcqc.${NET}.parm} -VQCX=${VQCX:-$EXECPREP/prepobs_cqcvad} -CQCX=${CQCX:-$EXECPREP/prepobs_cqcbufr} -CQCC=${CQCC:-$PARMPREP/prepobs_cqcbufr.${NET}.parm} -CQCS=${CQCS:-$FIXPREP/prepobs_cqc_statbge} -OIQCX=${OIQCX:-$EXECPREP/prepobs_oiqcbufr} -if [ "$NET" = 'cdas' ]; then - OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas} -else - OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs} -fi -TIMEIT=${TIMEIT:-""} -[ -s $DATA/time ] && TIMEIT="$DATA/time -p" - - -# See if tropical cyclone relocation previously ran for this network and cycle -# by checking for status file in first in $tstsp, and if not found there, -# then in $COMSP -# ---------------------------------------------------------------------------- - -relo_rec=no # this will remain no even if relocation run, in the event it did - # not process an tropical cyclone records -if [ -s ${tstsp}tropcy_relocation_status.$tmmark ]; then - RELOCATION_HAS_RUN=YES - msg="Tropical cyclone RELOCATION RAN prior to this job - \ -`cat ${tstsp}tropcy_relocation_status.$tmmark`" - [ "`cat ${tstsp}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ - && relo_rec=yes -elif [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then - RELOCATION_HAS_RUN=YES - msg="Tropical cyclone RELOCATION RAN prior to this job - \ -`cat ${COMSP}tropcy_relocation_status.$tmmark`" - [ "`cat ${COMSP}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ - && relo_rec=yes -else - RELOCATION_HAS_RUN=NO - msg="Tropical cyclone RELOCATION did NOT run prior to this job" -fi -[ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - -if [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then - if [ "$SENDDBN" = "YES" ]; then - if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then - RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) - $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job \ - ${COMSP}tropcy_relocation_status.$tmmark - fi - fi -fi - -if [ "$RELOCATION_HAS_RUN" != 'YES' -a "$GETGUESS" != 'NO' ]; then - - if [ $cyc = 00 -o $cyc = 06 -o $cyc = 12 -o $cyc = 18 ]; then - -# The GFS and GDAS networks at 00, 06, 12 and 18z will get the t-3 and t+3 -# atmos guess files here since they are needed by the GSI even if tropical -# cyclone relocation was not previously performed (RELOCATION_HAS_RUN=NO) -# (NOTE 1: Normally RELOCATION_HAS_RUN=YES for these networks) -# (NOTE 2: If RELOCATION_HAS_RUN=YES, the t-3 and t+3 atmos guess files have -# already been obtained for all networks including the GFS and GDAS) -# (NOTE 3: This is not done if GETGUESS is NO) -# - - if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then - for fhr in -3 +3 ;do - if [ "$NEMSIO_IN" = .true. ]; then - if [ $fhr = "-3" ] ; then - sges=sgm3prep - stype=natgm3 - echo $sges - else - sges=sgp3prep - stype=natgp3 - echo $sges - fi - else - if [ $fhr = "-3" ] ; then - sges=sgm3prep - stype=siggm3 - echo $sges - else - sges=sgp3prep - stype=siggp3 - echo $sges - fi - fi - if [ ! -s $sges ]; then - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Tropical cylone relocation HAS NOT previously run" -echo " Get global atmospheric GUESS valid for $fhr hrs relative to center" -echo " PREPBUFR processing date/time" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - $GETGESprep -e $envir_getges -n $network_getges \ - -v $CDATE10 -t $stype $sges - errges=$? - if test $errges -ne 0; then -# problem obtaining global atmospheric first guess so exit - set +x - echo - echo "problem obtaining global atmos guess valid $fhr hrs \ -relative to center PREPBUFR date/time;" - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - set +x - echo -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - fi - done - fi - fi - -elif [ "$RELOCATION_HAS_RUN" = 'YES' ]; then - -# If Tropical cyclone relocation previously ran for this network and cycle -# copy the t-3, t+0 and t+3 atmos guess files and the tcvitals_relocate file -# from either $tstsp or, if not found there, $COMSP to working directory -# (Note: tcvitals_relocate file can be empty, but it must exist) -# -------------------------------------------------------------------------- - - qual_last=".$tmmark" # need this because gfs and gdas don't add $tmmark - # qualifier to end of output atmos guess files - [ $NET = gfs -o $NET = gdas ] && qual_last="" - for file in sgm3prep sgesprep sgp3prep tcvitals.relocate.$tmmark; do - case $file in - tcvitals.relocate.$tmmark) infile=$file; qual_last="";; # already has $tmmark at end - sgm3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgm3.nemsio;else infile=$file;fi;; - sgesprep) if [ "$NEMSIO_IN" = .true. ];then infile=atmges.nemsio;else infile=$file;fi;; - sgp3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgp3.nemsio;else infile=$file;fi;; - esac - if [ -s ${tstsp}${infile}${qual_last} ]; then - cp ${tstsp}${infile}${qual_last} $file - continue - elif [ -s ${COMSP}${infile}${qual_last} ]; then - cp ${COMSP}${infile}${qual_last} $file - continue - else - if [ $file = tcvitals.relocate.$tmmark ]; then - if [ -f ${tstsp}$file ]; then - > $file - continue - elif [ -f ${COMSP}$file ]; then - > $file - continue - fi - fi - fi -# either t-3,t+0 or t+3 atmos guess file or the tcvitals_relocate file not -# found in expected location so exit - set +x - echo - echo "$file file not found in expected location where it should have \ -populated by earlier tropical cyclone relocation processing" - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - done - cp tcvitals.relocate.$tmmark tcvitals - if [ $relo_rec = yes ]; then # come here if relocation ran and processed - # 1 or more records, means it updated - # sgesprep - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" -echo " Global atmospheric GUESS valid for 0 hrs relative to center" -echo " PREPBUFR processing date/time was generated by" -echo " previous tropical cyclone relocation processing" -echo " It will be encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - else # come here if relocation ran but did not - # process any records, means it did not update - # sgesprep (sgesprep obtained via getges used) - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" -echo " Global atmospheric GUESS valid for 0 hrs relative to center" -echo " PREPBUFR processing date/time was obtained via GETGES" -echo " It will be encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - fi - -fi - -############################################################################### -# POSSIBLY OBTAIN GBL ATMOS GUESS FILE(S) FOR LATER ENCODING INTO PREPBUFR FILE -############################################################################### - -if [ "$PREPDATA" = 'YES' -o "$SYNDATA" = 'YES' -o "$PREVENTS" = 'YES' ]; then - - SGES=/dev/null - SGESA=/dev/null - > sgesprep_pathname - > sgesprepA_pathname - - if [ "$GETGUESS" != 'NO' ]; then - -# Either ... -# If the global background guess will be nemsio-based -OR- if the global -# background guess will be sigio-based and the center PREPBUFR processing -# date/time is a multiple of 3-hrs, then get a global atmospheric guess valid -# at the center PREPBUFR processing date/time - this will be interpolated to -# observation locations by PREPDATA and encoded into the PREPBUFR file for -# use by the q.c. programs; if a non-zero length file sgesprep exists in the -# working directory, then this guess is used - otherwise: the GETGES utility -# is executed to obtain the global atmospheric guess file here -# -# (NOTE 1: a pre-existing sgesprep file in the working directory at this -# point was either: -# copied there prior to the execution of this script -# or -# copied there earlier in this script from either $tstsp, or if -# not found there, $COMSP which was populated by the previous -# running of tropical cyclone relocation processing -# (NOTE 2: If imported variable GETGUESS=NO, then bypass this step - a -# global atmos guess valid at center PREPBUFR time is not obtained) -# -# -- or -- -# -# (AND THIS APPLIES ONLY TO A GLOBAL SIGIO-BASED GUESS!!) -# -# If center PREPBUFR processing date/time is not a multiple of 3-hrs -AND- -# global guess is sigio-based, then get a global sigma guess valid at the -# nearest cycle time prior to the center PREPBUFR processing date/time which -# is a multiple of 3, then get a global sigma guess valid at the nearest -# cycle time after the center PREPBUFR processing date/time which is a -# multiple of 3 - the spectral coefficients will be linearly interpolated to -# the center PREPBUFR processing date/time by the program PREPOBS_PREPDATA -# and this guess will then be interpolated to observation locations (again by -# the program PREPOBS_PREPDATA) and encoded into the PREPBUFR file for use by -# the q.c. programs; if a non-zero length file sgesprep exists in the working -# directory, then this guess is used for time prior to the center PREPBUFR -# processing date/time - otherwise: the utility ush GETGES is executed to -# obtain the global atmos guess file here (will always be from GFS network); -# -# likewise if a non-zero length file sgesprepA exists in the working -# directory, then this guess is used for time after the center PREPBUFR -# processing date/time - otherwise: the utility ush GETGES is executed to -# obtain the global atmos guess file here (will always be from the GFS -# network and initiate at the same time as the guess file valid prior to the -# PREPBUFR processing date/time) -# -# (NOTE 1: a pre-existing sgesprep file in the working directory at this -# point was either: -# copied there prior to the execution of this script -# or -# copied there earlier in this script from either $tstsp, or if -# not found there, $COMSP which was populated by the previous -# running of tropical cyclone relocation processing -# (NOTE 2: a pre-existing sgesprepA file in the working directory at this -# point was copied there prior to the execution of this script - -# it could not have been copied from either $tstsp or $COMSP -# because previous tropical cyclone relocation processing can run -# only when the center tropical cyclone relocation (or PREPBUFR) -# processing date/time is a multiple of 3) -# (NOTE 3: this case is necessary because the gblevents subroutine used to -# add background forecast values to the prepbufr file expects sigio- -# based files to be valid only at hours that are a multiple of 3) -# (NOTE 4: if imported variable GETGUESS=NO, then bypass this step - a -# global atmos guess valid at center PREPBUFR time is not obtained) -# ---------------------------------------------------------------------- - - for sfx in "" A; do - if [ ! -s sgesprep${sfx} ]; then - fhr=any - if [ "$NEMSIO_IN" = .true. ]; then - dhr=0 - stype=natges - else - dhr=`expr 0 - $modhr` - stype=sigges - fi - if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then - [ "$sfx" = 'A' ] && break - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Either center PREPBUFR processing date/time is a multiple of 3-hrs" -echo " -OR-" -echo " global guess is nemsio-based" -echo " Use GETGES to get global sigio-based or nemsio-based GUESS valid for" -echo " 0 hrs relative to center PREPBUFR processing date/time" -echo " Will be encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - else - if [ "$sfx" = 'A' ]; then - typeset -Z2 fhr - fhr=`awk -F"sf" '{print$2}' sgesprep_pathname | cut -c1-2` - fhr=`expr $fhr + 03` - dhr=`expr 3 - $modhr` - fi - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Center PREPBUFR processing date/time is not a multiple of 3-hrs" - if [ "$sfx" != 'A' ]; then -echo " Get global atmos GUESS valid at the nearest cycle time prior to" - else -echo " Get global atmos GUESS valid at the nearest cycle time after" - fi -echo " center PREPBUFR processing date/time which is a multiple of 3" -echo " Will be used to generate an interpolated guess which will be" -echo " encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - fi - $GETGESprep -e $envir_getges -n $network_getges -t $stype\ - -f $fhr -v `${NDATE} $dhr $CDATE10` > sgesprep${sfx}_pathname - errges=$? - if test $errges -ne 0 - then -# problem obtaining global sigio-based or nemsio-based guess - exit if center -# PREPBUFR processing date/time is a multiple of 3-hrs or if global guess is -# nemsio-based, otherwise continue running but set GETGUESS=NO meaning a -# first guess will NOT be encoded in PREPBUFR file - if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then - if [ "$NEMSIO_IN" = .true. ]; then - set +x - echo -echo "problem obtaining global nemsio-based guess;" - else - set +x - echo -echo "problem obtaining global sigio-based guess valid 0 hrs relative to \ -center PREPBUFR date/time;" - fi -echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - else - set +x - echo -echo "problem obtaining global atmos guess valid at the nearest cycle time " - if [ "$sfx" != 'A' ]; then -echo "prior to center PREPBUFR processing date/time which is a multiple of 3" - else -echo "after center PREPBUFR processing date/time which is a multiple of 3" - fi -echo "will continue running but a GUESS will NOT be encoded in PREPBUFR file!!" - echo - set -x - msg="PROBLEM OBTAINING ONE OR BOTH SPANNING ATMOS GUESS \ -FILES, GUESS NOT ENCODED IN PREPBUFR FILE --> non-fatal" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - GETGUESS=NO - SGES=/dev/null - SGESA=/dev/null - > sgesprep - > sgesprepA - > sgesprep_pathname - > sgesprepA_pathname - break - fi - fi - cp `cat sgesprep${sfx}_pathname | awk '{ print $1 }'` sgesprep${sfx} - set +x - echo -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - else - if [ $RELOCATION_HAS_RUN = YES ]; then - -# If relocation ran, then ${sfx} is always "" (null) because relocation will -# only run on center times that are a multiple of 3-hrs - come here to -# store the pathname for the sgesprep file in sgesprep${sfx}_pathname - -# note that it will not be stored here if relocation did not process any -# records, i.e., it did not update the guess, because it was already stored -# in tropcy_relocate.sh (with the getges path) -# -------------------------------------------------------------------------- - - qual_last=".$tmmark" # need this because gfs and gdas don't add - # $tmmark qualifer to end of output atmos - # guess files - [ $NET = gfs -o $NET = gdas ] && qual_last="" - if [ "$NEMSIO_IN" = .true. ]; then - gesbase="atmges.nemsio" - else - gesbase="sgesprep" - fi - if [ -s ${tstsp}${gesbase}${qual_last} ]; then - echo "${tstsp}${gesbase}${qual_last}" > sgesprep${sfx}_pathname - elif [ -s ${COMSP}${gesbase}${qual_last} ]; then - echo "${COMSP}${gesbase}${qual_last}" > sgesprep${sfx}_pathname - fi - else - -# If relocation did not run, then the guess files in $DATA were copied there -# prior to the execution of this script by the user - just echo the path -# to this guess file in $DATA into sgesprep${sfx}_pathname -# -------------------------------------------------------------------------- - - echo "$DATA/sgesprep${sfx}" > sgesprep${sfx}_pathname - fi - fi - eval SGES${sfx}=$DATA/sgesprep${sfx} - done - fi -fi - -################################ -# EXECUTE PREPDATA PROCESSING -################################ - -if [ "$PREPDATA" = 'YES' ]; then - - cd $DATA - -set +u - if [ -z "$PREPBUFR_APP" -o "$PARALLEL" = 'YES' ]; then -set -u - if [ ! -s ${tstsp}status.${tmmark}.bufr_d -a \ - ! -s ${COMSP}status.${tmmark}.bufr_d ]; then - -#########if [ \( ! -s ${tstsp}status1.${tmmark}.bufr_d -o \ -######### ! -s ${tstsp}status2.${tmmark}.bufr_d \) -a \ -######### \( ! -s ${COMSP}status1.${tmmark}.bufr_d -o \ -######### ! -s ${COMSP}status2.${tmmark}.bufr_d \) ]; then - if [ ! -s ${tstsp}status1.${tmmark}.bufr_d -a \ - ! -s ${COMSP}status1.${tmmark}.bufr_d ]; then - -# problem: status file not found - indicates some or all data dumps were not -# found (produced) for requested time ... -# If highest level directory pointing to input BUFR observational -# data dumps is /com or /com2 then EXIT (assumes all data dumps are -# required) -# Otherwise, just echo a diagnostic (assumes only some data dumps are -# required) -# ---------------------------------------------------------------------------- - -echo -echo "Some or all BUFR data dumps were not found for requested time ... " -echo - set -x - - if [[ "$COMSP" =~ (^/com/|^/com2/|^/gpfs/.../nco/ops/com/) && \ - "$tstsp" =~ (^/tmp/null) ]]; then - set +x -echo -echo "ABNORMAL EXIT!!!!!!!!!!!" -echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - fi - fi - - echo $BUFRLIST | grep adpsfc - grp_adpsfc=$? - echo $BUFRLIST | grep adpupa - grp_adpupa=$? - if [ \( ! -f ${COMSP}adpsfc.${tmmark}.bufr_d -a \ - ! -f ${tstsp}adpsfc.${tmmark}.bufr_d -a $grp_adpsfc -eq 0 \) -o \ - \( ! -f ${COMSP}adpupa.${tmmark}.bufr_d -a \ - ! -f ${tstsp}adpupa.${tmmark}.bufr_d -a $grp_adpupa -eq 0 \) ] - then - -# problem: either adpsfc (surface land) or adpupa (raob/pibal/recco) file, or -# both, not found for requested time - this is unacceptable; EXIT -# (unless the culprit file was not included in the $BUFRLIST) -# --------------------------------------------------------------------------- - - set +x -echo -echo "ADPSFC and/or ADPUPA BUFR data dump was not produced for requested" -echo " time (but is in BUFRLIST); ABNORMAL EXIT!!!!!!!!!!!" -echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - - fi - - for name in ${BUFRLIST} ;do - > $name - if [ -f ${tstsp}${name}.${tmmark}.bufr_d ]; then - cp ${tstsp}${name}.${tmmark}.bufr_d $name - elif [ -s ${COMSP}${name}.${tmmark}.bufr_d ]; then - cp ${COMSP}${name}.${tmmark}.bufr_d $name - fi - done - - > prep_exec.cmd - - > prepda.${cycle} - - echo " $CDATE10" > cdate10.dat - -# If GETGUESS=YES, then either ... -# a global sigio-based guess file valid at the center PREPBUFR processing -# date/time which is a multiple of 3-hrs is valid at this point -# -- or -- -# global sigio-based guess files valid at times which are multiples of 3-hrs -# and span the center PREPBUFR processing date/time which is NOT a multiple of -# 3-hrs are available and valid at this point -# -- or -- -# a global nemsio-based guess file valid at the center PREPBUFR processing -# date/time for any hour is valid at this point - -# In any case, namelist "GBLEVN" with PREVEN=T is cat'ed to the beginning -# of the PREPOBS_PREPDATA program data cards file - this means -# PREPOBS_PREPDATA will call w3emc routine GBLEVENTS to do the "prevents" -# processing (otherwise PREVEN=F by default) - - > prepdata.stdin - [ "$GETGUESS" != 'NO' ] && echo " &gblevn preven=true /" >>prepdata.stdin - cat $PRPC >> prepdata.stdin - -# Check contents of *aircar_status_flag* file in $tstsp, or if not found there, -# $COMSP path - this was generated by previous bufr_dump_obs.sh script: if it -# exists and indicates that there were more AFWA (backup) ACARS reports than -# ARINC (primary) ACARS reports in the AIRCAR dump, then skip processing of -# ARINC ACARS messages in PREPOBS_PREPDATA (meaning process ONLY AFWA ACARS -# messages); otherwise, as is usually the case, skip processing of AFWA ACARS -# messages (meaning process only ARINC ACARS messages in PREPOBS_PREPDATA) - - echo " SUBSKP(004,007) = TRUE," > insert - if [ -s ${tstsp}aircar_status_flag.${tmmark}.bufr_d ]; then - grep -q -Fe "004.007" ${tstsp}aircar_status_flag.${tmmark}.bufr_d - err_grep=$? - if [ $err_grep -eq 0 ]; then - echo " SUBSKP(004,004) = TRUE," > insert - msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ -backup AFWA ACARS into PREPBUFR" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - fi - elif [ -s ${COMSP}aircar_status_flag.${tmmark}.bufr_d ]; then - grep -q -Fe "004.007" ${COMSP}aircar_status_flag.${tmmark}.bufr_d - err_grep=$? - if [ $err_grep -eq 0 ]; then - echo " SUBSKP(004,004) = TRUE," > insert - msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ -backup AFWA ACARS into PREPBUFR" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - fi - fi - - grep -q -Fe "`cat insert`" prepdata.stdin - err_grep=$? - if [ $err_grep -ne 0 ]; then - nlines=`cat < prepdata.stdin | wc -l` - line=`grep -n -Fe "&LDTA" prepdata.stdin | cut -f1 -d:` - head -n $line prepdata.stdin > top_part - mlines=`expr $nlines - $line` - tail -n $mlines prepdata.stdin > bottom_part - [ $mlines -gt 2 ] && cat top_part insert bottom_part > prepdata.stdin - rm top_part bottom_part - fi - rm insert - - -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## -## HEREFILE MP_PREPDATA ## -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## - -set +x -cat <<\EOFmpp > MP_PREPDATA - -{ echo - -# This herefile script performs the "prepdata" processing. It is designed to -# run in either a parallel (e.g., poe/mpi or background threads) or serial -# environment. In the parallel environment, it first splits the input BUFR -# data dump files into $NSPLIT equally-sized parts (analogous to dealing -# multiple sets of cards to $NSPLIT players, where each set of cards is a -# different BUFR data dump file). Next, in either the parallel or serial -# environment, it runs PREPOBS_PREPDATA to write out prepbufr files (either -# a single complete file in the serial environment or $NSPLIT partial -# PREPBUFR files in the parallel environment). Finally, it generates a list of -# PREPBUFR message headers which, in the parallel environment, is needed to -# later merge the partial PREPBUFR files together in the proper order. -# -# IMPORTANT: This script assumes that the BUFR data dump files it is to -# process have been copied into the $DATA directory and that each -# file name is the same as in $BUFRLIST. It also assumes that the -# NCEP production date file is present in the $DATA directory and -# that it is called cdate10.dat. Finally, it assumes that the -# PREPOBS_PREPDATA program data cards (parm) file is present in the -# $DATA directory and it is called prepdata.stdin -# ----------------------------------------------------------------------------- -# -# Positional parameters passed in: -# 1 - Stream index ($multi) (0 to $NSPLIT-1) -# -# Imported variables that must be passed in: -# DATA - path to working directory -# PARALLEL - indicates whether or not this script is running in a parallel -# (e.g., poe/mpi or background threads) or serial environment -# "YES" - running in a parallel environment; "NO" running in a -# serial environment) -# NSPLIT number of parts into which the input BUFR data dump files are to -# be evenly divided (applicable only when PARALLEL is "YES") -# BUFRLIST - list of BUFR data dump files to process -# MPCOPYX - path to PREPOBS_MPCOPYBUFR program executable -# PRPT - path to PREPOBS_PREPDATA bufrtable file -# LANDC - path to land/sea mask file -# SGES - path to COPY OF global sigio-based or nemsio-based first guess -# file valid at either center PREPBUFR processing date/time or, -# for global sigio-based guess only, nearest 3-hrly cycle time -# prior to center PREPBUFR processing date/time -# SGESA - path to COPY OF global sigio-based guess file valid at nearest -# 3-hrly cycle AFTER center PREPBUFR processing date/time (if -# needed, otherwise /dev/null). Only used if SGES is valid at -# 3-hrly cycle time PRIOR to center PREPBUFR processing date/time -# (and thus not used if NEMSIO_IN=.true.) -# PRVT - path to observation error table file -# PRPX - path to PREPOBS_PREPDATA program executable -# LISTHDX - path to PREPOBS_LISTHEADERS program executable - -set -aux -multi=$1 - -data=$DATA/multi$multi - -if [ ! -d $DATA/multi$multi ] ; then - mkdir -p $DATA/multi$multi -fi - -status=$data/mstatus ; > $status -mp_pgmout=$data/mp_pgmout ; > $mp_pgmout - - -{ echo -set +x -echo -echo "********************************************************************" -echo "This is stream (task/thread) $multi executing on node `hostname -s`" -echo "Starting time: `date`" -echo "********************************************************************" -echo -set -x -} >> $mp_pgmout - -cd $data - -if [ "$PARALLEL" = 'YES' ]; then - - n=0 - - pgm=`basename $MPCOPYX` -#-----mimics prep_step----- - set +x - echo $pgm > pgmname - set +u - [ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" - set -u - [ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout - rm pgmname - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` - [ -s $DATA/tracer ] && cat $DATA/tracer > errfile - set -x -#-------------------------- - - for name in ${BUFRLIST[*]} ;do - > $name - if [ -s $DATA/$name ] ; then - ((n+=1)) - export FORT$((10+n))=$DATA/$name - export FORT$((50+n))=$name - fi - done - - cat<> $mp_pgmout 2>&1 - &namin nfiles=$n / - &mp nprocs=$NSPLIT,mp_process=$multi / -EOF - err=$? - set +x - echo - echo "The foreground exit status for PREPOBS_MPCOPYBUFR is " $err - echo - set -x - - [ "$err" -gt '0' ] && exit - - dump_dir=$data - -else - - dump_dir=$DATA - -# fi for $PARALLEL = YES -fi - - -pgm=`basename $PRPX` -#-----mimics prep_step----- -set +x -echo $pgm > pgmname -set +u -[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" -set -u -[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout -rm pgmname -[ -f errfile ] && rm errfile -unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -[ -s $DATA/tracer ] && cat $DATA/tracer > errfile -set -x -#-------------------------- - -set +u -[ -n "$PREPBUFR_APP" -a "$PARALLEL" = 'NO' ] && \ - cp $PREPBUFR_APP prepda -set -u - -# Namelist "TASK" with mp_process set to the value of $multi - either the poe/ -# mpi task number (for POE not equal to "NO") or to the background thread -# number (for BACK equal to "YES") in the parallel environment, or hardwired -# to zero in the serial environment, is cat'ed to the beginning of the -# PREPOBS_PREPDATA program data cards (parm) file - this will allow -# PREPOBS_PREPDATA to identify this stream - -> prepdata.stdin -echo " &task mp_process=$multi /" >>prepdata.stdin -cat $DATA/prepdata.stdin >> prepdata.stdin - -BUFRLIST_all="adpupa aircar aircft satwnd proflr vadwnd rassda adpsfc sfcshp \ - sfcbog msonet spssmi erscat qkswnd wdsatr ascatw rtovs atovs goesnd gpsipw" -###BUFRLIST_all_array=($BUFRLIST_all) # this does not work on all platforms -set -A BUFRLIST_all_array `echo $BUFRLIST_all` # this works on all platforms - - -# Any dump file not included in BUFRLIST is "touched" so that it will not -# cause a read error in the event that PREPOBS_PREPDATA still tries to read it - -for name in $BUFRLIST_all;do -[ ! -f $dump_dir/$name ] && > $dump_dir/$name -done - -export FORT11=$DATA/cdate10.dat -export FORT12=$PRPT -export FORT15=$LANDC -## export FORT18=$SGES -## export FORT19=$SGESA - -# The PREPOBS_PREPDATA code opens GFS spectral coefficient guess files using -# sigio routines or GFS gaussian grid guess files using nemsio routines (via -# W3EMC routine GBLEVENTS) in a manner that may not recognize the FORTxx -# variables above. So, the above statements setting FORTxx vars for $SGES and -# $SGESA are replaced by the soft links below. - -ln -sf $SGES fort.18 -ln -sf $SGESA fort.19 -export FORT20=$PRVT -export FORT21=$dump_dir/${BUFRLIST_all_array[0]} -export FORT22=$dump_dir/${BUFRLIST_all_array[1]} -export FORT23=$dump_dir/${BUFRLIST_all_array[2]} -export FORT24=$dump_dir/${BUFRLIST_all_array[3]} -export FORT25=$dump_dir/${BUFRLIST_all_array[4]} -export FORT26=$dump_dir/${BUFRLIST_all_array[5]} -export FORT27=$dump_dir/${BUFRLIST_all_array[6]} -export FORT31=$dump_dir/${BUFRLIST_all_array[7]} -export FORT32=$dump_dir/${BUFRLIST_all_array[8]} -export FORT33=$dump_dir/${BUFRLIST_all_array[9]} -export FORT34=$dump_dir/${BUFRLIST_all_array[10]} -export FORT35=$dump_dir/${BUFRLIST_all_array[11]} -export FORT36=$dump_dir/${BUFRLIST_all_array[12]} -export FORT37=$dump_dir/${BUFRLIST_all_array[13]} -export FORT38=$dump_dir/${BUFRLIST_all_array[14]} -export FORT39=$dump_dir/${BUFRLIST_all_array[15]} -export FORT41=$dump_dir/${BUFRLIST_all_array[16]} -export FORT42=$dump_dir/${BUFRLIST_all_array[17]} -export FORT46=$dump_dir/${BUFRLIST_all_array[18]} -export FORT48=$dump_dir/${BUFRLIST_all_array[19]} -export FORT51=prepda -export FORT52=prevents.filtering.prepdata - -#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) -#If program ever fails, try changing 64000000 to 20000000 -#set +u -#[ -n "$LOADL_PROCESSOR_LIST" ] && XLSMPOPTS=parthds=2:stack=64000000 -#set -u - -# The following improves performance on Cray-XC40 if $PRPX was -# linked to the IOBUF i/o buffering library -export IOBUF_PARAMS='*prevents.filtering.prepdata:verbose' - -$TIMEIT $PRPX >$mp_pgmout 2>&1 -errPREPDATA=$? -unset IOBUF_PARAMS -cat prevents.filtering.prepdata >> $mp_pgmout -set +x -echo -echo "The foreground exit status for PREPOBS_PREPDATA is " $errPREPDATA -echo -set -x - -[ "$errPREPDATA" -gt '4' -o "$errPREPDATA" -eq '1' ] && exit - -# Will execute PREPOBS_LISTHEADERS even if PARALLEL is "NO", because it will -# reorder the monolithic PREPBUFR file to ensure that all messages of the same -# subtype will always be grouped together in sequential messages, arranged in -# the order found in $PRPT (Note: This is a necessity when PARALLEL is "YES" -# because the later program PREPOBS_MONOPREPBUFR must merge the $NSPLIT -# individual (partial) PREPBUFR files together in the proper order) - - -# Build listhdx.stdin from bufrtable entries of possible message headers first -# line is count, followed by list - -grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|wc -l|tee listhdx.stdin -grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|tee -a listhdx.stdin - -pgm=`basename $LISTHDX` -#-----mimics prep_step----- -set +x -echo $pgm > pgmname -set +u -[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" -set -u -[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout -rm pgmname -[ -f errfile ] && rm errfile -unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -[ -s $DATA/tracer ] && cat $DATA/tracer > errfile -set -x -#-------------------------- - -export FORT11=prepda -export FORT51=prepda.reorder -export FORT52=prepda.hdrs - -$TIMEIT $LISTHDX < listhdx.stdin >>$mp_pgmout 2>&1 -err=$? -cat prepda.hdrs -set +x -echo -echo "The foreground exit status for PREPOBS_LISTHEADERS is " $err -echo -set -x - -[ "$err" -gt '0' ] && exit - -mv prepda.reorder prepda -rm listhdx.stdin - -echo "$multi finished -- errPREPDATA = $errPREPDATA" > $status - -{ echo -set +x -echo -echo "********************************************************************" -echo "Finished executing on node `hostname -s`" -echo "Ending time : `date`" -echo "********************************************************************" -echo -set -x -} >> $mp_pgmout - -} 1> $DATA/mp_stream${1}.stdout 2> $DATA/mp_stream${1}.errfile - -exit 0 -EOFmpp -set -x - -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## -## end of HEREFILE MP_PREPDATA ## -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## - - chmod 775 MP_PREPDATA - - if [ "$PARALLEL" = 'YES' ]; then - -# In the parallel environment, either cat the multiple MP_PREPDATA tasks -# into a poe command file (for poe/mpi/cfp) - or - set up a script that will -# fire off each MP_PREPDATA thread as a background process -# ----------------------------------------------------------------------- - if [ "$POE" != 'NO' ]; then - multi=-1 - while [ $((multi+=1)) -lt $NSPLIT ] ; do - echo "ksh $DATA/MP_PREPDATA $multi "|tee -a $DATA/prep_exec.cmd - done - if [ "$launcher_PREP" != cfp -a "$launcher_PREP" != aprun ]; then - # fill in empty tasks - multi=$((multi-=1)) #need to go back one - while [ $((multi+=1)) -lt $NPROCS ] ; do - echo "echo do-nothing" >> $DATA/prep_exec.cmd - done - fi - elif [ $BACK = 'YES' ] ; then - multi=-1 - echo "#!/bin/ksh" > $DATA/prepthrds.sh - while [ $((multi+=1)) -lt $NSPLIT ] ; do - echo "$DATA/MP_PREPDATA $multi &" >> $DATA/prepthrds.sh - echo "echo $DATA/MP_PREPDATA $multi submitted in background" \ - >> $DATA/prepthrds.sh - done - echo "wait" >> $DATA/prepthrds.sh - chmod 775 $DATA/prepthrds.sh - fi - -# In the parallel environment, next either execute the poe wrapper (for poe/ -# mpi/cfp) (do not execute a time command with poe!) - or - run prepthrds.sh -# to kick off background processes and wait for them to complete -# -------------------------------------------------------------------------- - if [ "$POE" != 'NO' ]; then - if [ "$launcher_PREP" = mpirun.lsf ]; then - export MP_CMDFILE=$DATA/prep_exec.cmd - export MP_PGMMODEL=mpmd - export MP_PULSE=0 - export MP_DEBUG_NOTIMEOUT=yes - export MP_LABELIO=yes - export MP_STDOUTMODE=ordered - mpirun.lsf - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - elif [ "$launcher_PREP" = cfp ]; then - export MP_CSS_INTERRUPT=yes - export MP_LABELIO=yes - export MP_STDOUTMODE=ordered - mpirun.lsf cfp $DATA/prep_exec.cmd - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - elif [ "$launcher_PREP" = aprun ]; then - ## Determine tasks per node (PREPDATAtpn) and - ## max number of concurrent procs (PREPDATAprocs) for cfp - typeset -i nodesall=$(echo -e "${LSB_HOSTS// /\\n}"|sort -u|wc -w) - typeset -i ncnodes=$(($nodesall-1)) # we want compute nodes only - if [ $ncnodes -lt 1 ]; then - set +x - echo - echo " ** Could not get positive compute node count for aprun **" - echo " ** Are we using LSF queue with compute node access? **" - echo - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - if [[ -z ${PREPDATAtpn:-""} ]]; then - PREPDATAtpn=$((($NSPLIT+$ncnodes-1)/$ncnodes)) - # cfp is faster with extra thread so add one if there is room. - # (this logic needs an update to avoid hardwired 24) - [ $PREPDATAtpn -lt 24 ] && PREPDATAtpn=$(($PREPDATAtpn+1)) - fi - if [[ -z ${PREPDATAprocs:-""} ]]; then - PREPDATAprocs=$(($ncnodes*$PREPDATAtpn)) # max concurrent processes - fi - aprun -j 1 -n${PREPDATAprocs} -N${PREPDATAtpn} -d1 cfp $DATA/prep_exec.cmd - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - else # unknown launcher and options (eg, for use on R&D system) - $launcher_PREP - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - fi - elif [ $BACK = 'YES' ] ; then - if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - aprun -n 1 -d $NSPLIT $DATA/prepthrds.sh - else - $DATA/prepthrds.sh - fi - fi - totalt=$NSPLIT - else - -# In the serial environment, just fire off a single thread of MP_PREPDATA -# ----------------------------------------------------------------------- - multi=0 - if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - aprun -n 1 -N 1 ksh $DATA/MP_PREPDATA $multi - else - $DATA/MP_PREPDATA $multi - fi - totalt=1 - - # fi for $PARALLEL = YES - fi - - set +x - multi=0 - while [ $multi -lt $totalt ]; do -echo -echo "********************************************************************" -echo " ++ Script STDOUT from MP_PREPDATA for stream (task/thread) $multi ++" -echo "********************************************************************" -echo - cat $DATA/mp_stream${multi}.stdout -echo "********************************************************************" -echo " ++ End of Script STDOUT from MP_PREPDATA for stream $multi ++ " -echo "********************************************************************" - multi=`expr $multi + 1` - done - -echo -echo "********************************************************************" -echo " ++ Script trace from MP_PREPDATA for stream (task/thread) 0 ++ " - if [ "$PARALLEL" = 'YES' ]; then -echo -echo " In order to conserve space, the script trace from other " -echo " streams is not invoked unless the stream failed. " - fi -echo "********************************************************************" -echo - - cat mp_stream0.errfile - -echo -echo "********************************************************************" -echo " ++ End of Script trace from MP_PREPDATA for stream 0 ++ " -echo "********************************************************************" -echo - set -x - -# check status files -# ------------------ - - errSTATUS=0 - errPREPDATA=0 - four_check=yes - multi=0 - while [ $multi -lt $totalt ]; do - cat $DATA/multi$multi/mp_pgmout >> prepdata.out - cat $DATA/multi$multi/mp_pgmout >> $pgmout - status=$DATA/multi$multi/mstatus - if [ ! -s $status ]; then - set +x -echo -echo "********************************************************************" -echo " P R O B L E M ! ! ! " -echo "********************************************************************" -echo " ###> MP_PREPDATA stream (task/thread) $multi FAILED - Cycle date: \ -$CDATE10" -echo " Current working directory: $DATA " -echo -echo " Script trace from MP_PREPDATA for stream $multi follows ... " -echo "********************************************************************" -echo - cat $DATA/mp_stream${multi}.errfile -echo -echo "********************************************************************" -echo " ++ End of Script trace from MP_PREPDATA for stream $multi ++ " -echo "********************************************************************" -echo - set -x - errSTATUS=99 - else - err_this=`cut -f 2 -d = $status` - [ "$err_this" -gt "$errPREPDATA" ] && errPREPDATA=$err_this - [ "$err_this" -eq '0' ] && four_check=no - fi - multi=`expr $multi + 1` - done - - if [ "$errSTATUS" -gt '0' ]; then - $DATA/err_exit - exit 55 # for extra measure - fi - - [ "$errPREPDATA" -eq '4' -a "$four_check" = 'no' ] && errPREPDATA=0 - - set +x - echo - echo "For all MP_PREPDATA Streams, the largest foreground exit status \ - amongst all PREPOBS_PREPDATA runs is " $errPREPDATA - echo - set -x - - if [ "$errPREPDATA" -le "$errPREPDATA_limit" -a $errPREPDATA -ne 1 ]; then - err=0 - if [ "$errPREPDATA" -eq '4' ]; then - set +x - echo - echo "WARNING: PREPOBS_PREPDATA FOUND EITHER NO ADPUPA OR NO ADPSFC DATA" - echo "-------- THESE DATA WILL NOT BE AVAILABLE TO ANALYSES" - echo - set -x - fi - else - err=$errPREPDATA - fi - - pgm=`basename $PRPX` - touch errfile - $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - - if [ "$PARALLEL" = 'YES' ]; then - -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## -## HEREFILE MERGE_MSGS ## -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## - -set +x -cat <<\EOFmrg > MERGE_MSGS - -# This herefile script merges the individual partial PREPBUFR files present at -# this point into a complete, monolithic PREPBUFR file in the proper message -# type order. It is the last step in the PREPDATA processing. It runs only -# in the parallel environment. -# ---------------------------------------------------------------------------- - -# Positional parameters passed in: -# 1 - Number of input partial PREPBUFR files that are going to be merged -# ($nfiles) -# 2 - Working directory path ($DATA) (contains separate partial PREPBUFR -# files and text files containing headers for each, one directory down) -# 3 - Beginning string of sub-directories in $DATA ($subdir) (each sub- -# directory contains an input partial PREPBUFR file and a text file -# containing headers for all messages in that PREPBUFR file) -# 4 - File in each sub-directory containing headers for all messages in -# partial PREPBUFR file in same sub-directory (file name only - same name -# in all sub-directories) ($header_file_name) -# 5 - Partial PREPBUFR file in each sub-directory (file name only - same name -# in all sub-directories) ($prep_in) -# 6 - Output monolithic PREPBUFR file name (file name only) ($prep_out) -# -# Imported variables that must be passed in: -# MONOBFRX - path to PREPOBS_MONOPREPBUFR program executable -# -# Imported variables that can be passed in: -# pgmout - string indicating path to for standard output file (skipped over -# by this script if not passed in) - - -if [ $# -ne 6 ] ; then - echo "Usage: $0 nfiles DATA subdir header_file_name prep_in prep_out" - exit 1 -fi - -set -aux - -qid=$$ - -nfiles=$1;DATA=$2;subdir=$3;header_file_name=$4;prep_in=$5;prep_out=$6 - - -# From all the header files, extract the header counts and names build -# namelist input to drive $MONOBFRX program -# --------------------------------------------------------------------- - -nheaders=`cat $DATA/${subdir}*/$header_file_name|awk '{print $1}'|sort -u|wc -l` -((nheaders+=0)) - ->$DATA/input echo -echo " &namin nfiles=$nfiles, nheaders=$nheaders," >>$DATA/input - -cd $DATA - - -# Assign the fort units to the files -# ----------------------------------- - -pgm=`basename $MONOBFRX` -if [ -s $DATA/prep_step ]; then - . $DATA/prep_step -else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -fi - - -n=-1 -while [ $((n+=1)) -lt $nfiles ] ;do - [ ! -s $DATA/${subdir}$n/$prep_in ] && exit 1 - export FORT$((11+n))=$DATA/${subdir}$n/$prep_in -done -export FORT51=$prep_out -set +x - - -# Extract the total span of headers by searching through all the header files -# --------------------------------------------------------------------------- - -n=-1 -while [ $((n+=1)) -lt $nfiles ]; do - file=$DATA/${subdir}$n/$header_file_name - [ ! -s $file ] && exit 1 - if [ `cat $file|awk '{print $1}'| \ - sort -u|wc -l` -eq $nheaders ] ; then - headers="" - nlines=`cat $file|wc -l` - i=0 - while [ $((i+=1)) -le $nlines ]; do - line=`sed -n $i,${i}p $file` - header=`echo $line|awk '{print $1}'` - echo " cheaders($i)='$header',">>$DATA/input - headers="$headers $header" - done - break - fi -done - - -# Tranlate the hdrs file contents into namelist array -# --------------------------------------------------- - -n=-1 -while [ $((n+=1)) -lt $nfiles ]; do - file=$DATA/${subdir}$n/$header_file_name - line= - i=0 - for hdr in $headers; do - ((i+=1)) - count=`grep $hdr $file|awk '{print $2}'` - set +u - [ -z "$count" ] && count=0 - set -u - line="${line}msgs($i,$((n+1)))=$count," - done - echo " $line " >>$DATA/input -done - -echo " &end" >>$DATA/input -set -x -cat $DATA/input - -$TIMEIT $MONOBFRX <$DATA/input > outout 2> errfile -export err=$? -###cat errfile -cat errfile >> outout -cat outout >> monoprepbufr.out -set +u -[ -n "$pgmout" ] && cat outout >> $pgmout -set -u -rm outout -set +x -echo -echo "The foreground exit status for PREPOBS_MONOPREPBUFR is " $err -echo -set -x -$DATA/err_chk -[ $err != 0 ] && exit 55 # for extra measure - -exit 0 -EOFmrg -set -x - -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## -## end of HEREFILE MERGE_MSGS ## -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## - - chmod 775 MERGE_MSGS - -# In parallel environment, make monolithic PREPBUFR file by meriging the -# partial PREPBUFR files -# ---------------------------------------------------------------------- - $TIMEIT $DATA/MERGE_MSGS $NSPLIT $DATA multi prepda.hdrs prepda \ - prepda.${cycle} - errsc=$? - if test $errsc -ne 0 - then -# problem with merge script - $DATA/err_exit - exit 55 # for extra measure - fi - else - -# In serial environment, already have a monolithic PREPBUFR file - just -# copy it to expected local monolithic PREPBUFR file location -# --------------------------------------------------------------------- - cp $DATA/multi0/prepda prepda.${cycle} - - # fi for $PARALLEL = YES - fi - -# fi for $PREPDATA = YES -fi - -set +u -[ -n "$PREPBUFR_IN" ] && cp $PREPBUFR_IN $DATA/prepda.${cycle} -set -u - - -############################################ -# EXECUTE SYNTHETIC CYCLONE DATA PROCESSING -############################################ - -if [ "$SYNDATA" = 'YES' ]; then - -# Check condition code - SDM can shut-off synthetic cyclone bogusing -# ------------------------------------------------------------------ -# ==> this switch is NOT YET in place, so it will be hardwired to "YES" - -###cp ???????????? syndata_cond - echo "YES" > syndata_cond - SYN=`cat tcvitals_orig_sort - sort tcvitals > tcvitals_sort - comm -23 tcvitals_orig_sort tcvitals_sort > tcvitals_removed - [ -s tcvitals_removed ] && run_syndat_twice=yes - fi - fi - - $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ - $DATA/tcvitals $CDATE10 - - if [ $run_syndat_twice = yes ]; then - -# Run SYNDATA a second time when switch "run_syndat_twice" was set to "yes" in -# above logic (see %% above) - - DO_BOGUS=NO - $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ - $DATA/tcvitals_removed $CDATE10 - fi - fi -fi - -[ "$PREPDATA" = 'YES' ] && cp prepda.${cycle} prepda.prepdata - - -########################################### -# EXECUTE GSI QUALITY-CONTROL PROCESSING -########################################### - -if [ "$DO_QC" = 'YES' ]; then - if [ "$PREVENTS" = 'YES' ];then - $TIMEIT $USHPREV/prepobs_prevents.sh $DATA/prepda.${cycle} $CDATE10 - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$CQCBUFR" = 'YES' ];then - $TIMEIT $USHCQC/prepobs_cqcbufr.sh $DATA/prepda.${cycle} - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$PROFCQC" = 'YES' ];then - $TIMEIT $USHPQC/prepobs_profcqc.sh $DATA/prepda.${cycle} - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$CQCVAD" = 'YES' ];then - $TIMEIT $USHVQC/prepobs_cqcvad.sh $DATA/prepda.${cycle} $CDATE10 - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$PREPACQC" = 'YES' ];then - $TIMEIT $USHAQC/prepobs_prepacqc.sh $DATA/prepda.${cycle} $DATA/adpsfc - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$OIQCBUFR" = 'YES' ];then - $TIMEIT $USHOIQC/prepobs_oiqcbufr.sh $DATA/prepda.${cycle} $CDATE10 - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi -fi - - -# Look for "OVERLARGE" subsets in stdout (print out of bufrlib when subset -# discarded because it is too big to fit in a BUFR message) -- post to -# jlogfile if appropriate - -msg=`grep "OVERLARGE SUBSET DISCARDED" $pgmout` -err=$? -if [ "$err" -eq '0' ]; then - set +x - echo - echo "$msg" - echo - set -x - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" -fi - -exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.txt deleted file mode 100644 index 22e7705..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.txt +++ /dev/null @@ -1 +0,0 @@ -MAKEPREPBUFRSH=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/prepobs_makeprepbufr.sh diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.sh deleted file mode 100755 index dbb6630..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.sh +++ /dev/null @@ -1,152 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - -# This script performs an oi-based quality control on all data -# -# It is normally executed by the script prepobs_makeprepbufr.sh -# but can also be executed from a checkout parent script -# -------------------------------------------------------------- - -set -aux - -qid=$$ - -# Positional parameters passed in: -# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr -# file upon successful completion of this script (note that input -# prepbufr file is NOT saved by this script) -# 2 - NCEP production date (YYYYMMDDHH) - -# Imported variables that must be passed in: -# DATA - path to working directory -# OIQCT - path to observation error table file -# OIQCX - path to PREPOBS_OIQCBUFR program executable - -# Imported variables that can be passed in: -# jlogfile - string indicating path to joblog file (skipped over by this -# script if not passed in) -# pgmout - string indicating path to for standard output file (skipped -# over by this script if not passed in) -# sys_tp - system type and phase. (if not passed in, an attempt is made to -# set this string using getsystem.pl, an NCO script in prod_util) -# SITE - site name (may have been set by local shell startup script) -# launcher_OIQCX - launcher for OIQCX executable (on Cray-XC40, defaults to -# aprun using 16 tasks) - -cd $DATA -PRPI=$1 -if [ ! -s $PRPI ] ; then exit 1;fi -CDATE10=$2 - -jlogfile=${jlogfile:=""} - -rm $PRPI.oiqcbufr -rm tosslist - -pgm=`basename $OIQCX` -if [ -s $DATA/prep_step ]; then - set +u - . $DATA/prep_step - set -u -else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -fi - -#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) -#set +u -#[ -n "$LOADL_PROCESSOR_LIST" ] && export XLSMPOPTS=parthds=2:usrthds=2:stack=64000000 -#set -u - -echo " $CDATE10" > cdate.dat -export FORT11=cdate.dat -export FORT14=$PRPI -export FORT17=$OIQCT -export FORT18=obprt_ipoint.wrk -export FORT20=tolls.wrk -export FORT61=toss.sfc_z -export FORT62=toss.temp_wind -export FORT63=toss.sat_temp -export FORT64=toss.ssmi_wind -export FORT65=tosslist -export FORT70=$PRPI.oiqcbufr -export FORT81=obogram.out -export FORT82=obogram.bin -TIMEIT=${TIMEIT:-""} -[ -s $DATA/time ] && TIMEIT="$DATA/time -p" -# $TIMEIT mpirun $OIQCX > outout 2> errfile -#$TIMEIT mpirun -genvall -n $LSB_DJOB_NUMPROC -machinefile $LSB_DJOB_HOSTFILE $OIQCX > outout 2> errfile - -SITE=${SITE:-""} -sys_tp=${sys_tp:-$(getsystem.pl -tp)} -getsystp_err=$? -if [ $getsystp_err -ne 0 ]; then - msg="***WARNING: error using getsystem.pl to determine system type and phase" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" -fi -echo sys_tp is set to: $sys_tp -if [ "$sys_tp" = "Cray-XC40" -o "$SITE" = "SURGE" -o "$SITE" = "LUNA" ]; then - launcher_OIQCX=${launcher_OIQCX:-"aprun -n 16 -N 16 -j 1"} # consistent with tide/gyre -# launcher_OIQCX=${launcher_OIQCX:-"aprun -n 24 -N 24 -j 1"} # slightly faster -else - launcher_OIQCX=${launcher_OIQCX:-"mpirun.lsf"} -#########################module load ibmpe ics lsf uncomment if not in profile -# seems to run ok w next 10 lines commented out (even though Jack had them in -# his version of this script) -###export LANG=en_US -###export MP_EAGER_LIMIT=65536 -###export MP_EUIDEVELOP=min -###export MP_EUIDEVICE=sn_all -###export MP_EUILIB=us -###export MP_MPILIB=mpich2 -###export MP_USE_BULK_XFER=yes -###export MPICH_ALLTOALL_THROTTLE=0 -###export MP_COLLECTIVE_OFFLOAD=yes -###export KMP_STACKSIZE=1024m -fi - -$TIMEIT $launcher_OIQCX $OIQCX > outout 2> errfile - -err=$? -###cat errfile -cat errfile >> outout -cat outout >> oiqcbufr.out -cp outout obcnt.out -set +u -[ -n "$pgmout" ] && cat outout >> $pgmout -set -u -rm outout -set +x -echo -echo 'The foreground exit status for PREPOBS_OIQCBUFR is ' $err -echo -set -x -if [ "$err" -eq '4' ]; then -msg="WRNG: SOME OBS NOT QC'd BY PGM PREPOBS_OIQCBUFR - # OF OBS > LIMIT \ ---> non-fatal" - set +x - echo - echo "$msg" - echo - set -x - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - err=0 -fi -if [ -s $DATA/err_chk ]; then - $DATA/err_chk -else - if test "$err" -gt '0' - then -######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out - # in ops - exit 55 - fi -fi - -if [ "$err" -gt '0' ]; then - exit 9 -else - mv $PRPI.oiqcbufr $PRPI -fi - -exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.txt deleted file mode 100644 index 72b016f..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.txt +++ /dev/null @@ -1 +0,0 @@ -OIQCX=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_oiqcbufr diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.sh deleted file mode 100755 index 0fc1c6e..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.sh +++ /dev/null @@ -1,211 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - - -# This script performs two tasks: -# 1) Execute program PREPOBS_PREPACQC to perform aircraft quality control -# checking -# 2) Execute program PREPOBS_PREPACPF to append a surface level to profile -# reports in the PREPBUFR-format aircraft profiles file which is output -# from PREPOBS_PREPACQC -# Both tasks are optional in case the executing job wants to perform only one -# of these two tasks. The default to to perform both tasks. -# -# This script is normally executed by the script prepobs_makeprepbufr.sh -# but can also be executed from a checkout parent script -# -------------------------------------------------------------------------- - -set -aux - -qid=$$ - -# Positional parameters that must always be passed in: -# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr -# file upon successful completion of this script -# (note that input prepbufr file is NOT saved by this script) -# {this can be set to "null" if PROCESS_ACQC != YES (see below), since in -# this case it is not considered} - -# Positional parameters that must be passed in if PROCESS_ACPF = YES (see -# below): -# 2 - path to adpsfc dump file input to PREPOBS_PREPACPF {normally the same -# one that was read in to generate the prepbufr file in positional -# parameter 1 or, if PREPOBS_PREPACQC != YES (see below), the prepbufr -# file processed by program PREPOBS_PREPACQC which presumably ran some -# place outside of, and prior to, this script} - -# Imported variables that must always be passed in: -# DATA - path to working directory -# PROCESS_ACQC - switch controlling whether or not to execute -# PREPOBS_PREPACQC -# PROCESS_ACPF - switch controlling whether or not to execute -# PREPOBS_PREPACPF - -# Imported variables that must be passed in if PROCESS_ACQC = YES: -# AQCX - path to PREPOBS_PREPACQC program executable -# AQCC - path to PREPOBS_PREPACQC program parm cards - -# Imported variables that must be passed in if PROCESS_ACQC != YES: -# acft_profiles - path to prepbufr.acft_profiles file output by program -# PREPOBS_PREPACQC (which presumably ran some place outside -# of, and prior to, this script) - -# Imported variables that must be passed in if PROCESS_ACPF = YES: -# DICT - path to unsorted METAR station dictionary file -# APFX - path to PREPOBS_PREPACPF program executable - -# Imported variables that can be passed in: -# jlogfile - string indicating path to joblog file -# (skipped over by this script if not passed in) -# (only examined if PROCESS_ACPF = YES) -# pgmout - string indicating path to for standard output file -# (skipped over by this script if not passed in) - - -cd $DATA - -jlogfile=${jlogfile:=""} - -if [ $PROCESS_ACQC = YES ]; then - PRPI=$1 - if [ ! -s $PRPI ] ; then exit 1;fi - - rm $PRPI.prepacqc - rm prepbufr.acft_profiles - - pgm=`basename $AQCX` - if [ -s $DATA/prep_step ]; then - set +u - . $DATA/prep_step - set -u - else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` - fi - - export FORT11=$PRPI - export FORT41=vvel_info.acft_profiles.txt - export FORT61=$PRPI.prepacqc - export FORT62=prepbufr.acft_profiles - TIMEIT=${TIMEIT:-""} - [ -s $DATA/time ] && TIMEIT="$DATA/time -p" - # The following improves performance on Cray-XC40 if $AQCX was - # linked to the IOBUF i/o buffering library - export IOBUF_PARAMS='*.log:verbose,*.txt:verbose,*.sorted:verbose' - $TIMEIT $AQCX< $AQCC > outout 2> errfile - err=$? - err_actual=$err - unset IOBUF_PARAMS -######cat errfile - cat errfile >> outout - cat outout >> prepacqc.out - set +u - [ -n "$pgmout" ] && cat outout >> $pgmout - set -u - rm outout - set +x - echo - echo 'The foreground exit status for PREPOBS_PREPACQC is ' $err - echo - set -x - if [ $err -eq 4 ]; then - msg="PREPBUFR DATA SET CONTAINS NO "AIRCAR" OR "AIRCFT" TABLE A MESSAGES --> non-fatal" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - err=0 - fi - if [ -s $DATA/err_chk ]; then - $DATA/err_chk - else - if test "$err" -gt '0' - then -#########kill -9 ${qid} # need a WCOSS alternative to this even tho commented - # out in ops - exit 55 - fi - fi - - if [ "$err" -gt '0' ]; then - exit 9 - elif [ "$err_actual" -gt '0' ]; then - PROCESS_ACPF=NO - else - [ ! -f $PRPI.prepacqc ] && touch $PRPI.prepacqc - mv $PRPI.prepacqc $PRPI - fi - -else - cp -p $acft_profiles prepbufr.acft_profiles -fi - - -if [ $PROCESS_ACPF = YES ]; then - ADPSFC=$2 - - sort -n +0.61 -0.67 $DICT > metar.tbl.lon_sorted - - msg=good - if [ ! -s $ADPSFC ]; then - msg="WARNING: PREPOBS_PREPACPF COULD NOT RUN, adpsfc FILE NOT FOUND \ ---> non-fatal" - elif [ ! -s prepbufr.acft_profiles ]; then - msg="WARNING: PREPOBS_PREPACPF COULD NOT RUN, prepbufr.acft_profiles \ -FILE NOT FOUND --> non-fatal" - elif [ ! -s metar.tbl.lon_sorted ]; then - msg="WARNING: PREPOBS_PREPACPF COULD NOT RUN, metar.tbl FILE NOT FOUND \ ---> non-fatal" - fi - if [ "$msg" != 'good' ]; then - set +x - echo - echo "$msg" - echo - set -x - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - exit 0 - fi - - pgm=`basename $APFX` - if [ -s $DATA/prep_step ]; then - set +u - . $DATA/prep_step - set -u - else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` - fi - - export FORT11=metar.tbl.lon_sorted - export FORT12=$ADPSFC - export FORT13=prepbufr.acft_profiles - export FORT51=prepbufr.acft_profiles_sfc - TIMEIT=${TIMEIT:-""} - [ -s $DATA/time ] && TIMEIT="$DATA/time -p" - $TIMEIT $APFX > outout 2> errfile - err=$? -######cat errfile - cat errfile >> outout - cat outout >> prepacpf.out - set +u - [ -n "$pgmout" ] && cat outout >> $pgmout - set -u - rm outout - set +x - echo - echo 'The foreground exit status for PREPOBS_PREPACPF is ' $err - echo - set -x - if [ $err -gt 0 ]; then - msg="WARNING: PREPOBS_PREPACPF DID NOT COMPLETE NORMALLY --> non-fatal" - set +x - echo - echo "$msg" - echo - set -x - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - else - err=0 - [ -s $DATA/err_chk ] && $DATA/err_chk - fi -fi - -exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.txt deleted file mode 100644 index 45184d1..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.txt +++ /dev/null @@ -1 +0,0 @@ -AQCC=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_prepacqc diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepdata.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepdata.txt deleted file mode 100644 index cfba978..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepdata.txt +++ /dev/null @@ -1 +0,0 @@ -PRPX=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_prepdata diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prevents.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prevents.txt deleted file mode 100644 index 9aa9640..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prevents.txt +++ /dev/null @@ -1 +0,0 @@ -PREX=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_prevents diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_profcqc.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_profcqc.txt deleted file mode 100644 index 9f82c5a..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_profcqc.txt +++ /dev/null @@ -1 +0,0 @@ -PQCX=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_profcqc diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.sh deleted file mode 100755 index 5fe1fab..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.sh +++ /dev/null @@ -1,209 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - - -# This script has two functions: -# 1) Generates synthetic cyclone bogus near tropical storms and appends them -# to a PREPBUFR file (based on script variable DO_BOGUS). If may also, -# based on user-requested switch, flag mass pressure reports "near" -# tropical storms. -# 2) Flag dropwinsonde wind reports "near" tropical storms (based on user- -# requested switch). -# -# Note: It can do both 1 and 2 above or just one of them without the other. -# -# (NOTE: SYNDATA is currently restricted to run with T126 gaussian -# land-sea mask) -# -# It is normally executed by the script prepobs_makeprepbufr.sh -# but can also be executed from a checkout parent script -# ------------------------------------------------------------- - -set -aux - -# Positional parameters passed in: -# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr -# file upon successful completion of this script (note that input -# prepbufr file is NOT saved by this script) -# 2 - path to COPY OF input tcvitals file -# 3 - expected center date in PREPBUFR file (YYYYMMDDHH) - - -# Imported variables that must be passed in: -# DATA - path to working directory -# SGES - path to COPY OF global simga first guess file 1 (valid at -# either center date of PREPBUFR file or nearest cycle time prior -# to center date of PREPBUFR file which is a multiple of 3) -# SGESA - path to COPY OF global simga first guess file 2 (either -# null if SGES is valid at center date of PREPBUFR file or valid -# at nearest cycle time after center date of PREPBUFR file which -# is a multiple of 3 if SGES is valid at nearest cycle time -# prior to center date of PREPBUFR file which is a multiple of 3) -# PRVT - path to observation error table file -# FIXSYND - path to synthethic data fixed field files -# SYNDX - path to SYNDAT_SYNDATA program executable -# SYNDC - path to SYNDAT_SYNDATA program parm cards - -# Imported variables that can be passed in: -# DO_BOGUS - Generate synthetic cyclone bogus near tropical storms and -# append them to a PREPBUFR file (and also, based on user- -# requested switch, flag mass pressure reports "near" tropical -# storms)? (choices are "YES" or "NO", anything else defaults to -# "YES", including if this is not passed in) -# jlogfile - string indicating path to joblog file (skipped over by this -# script if not passed in) -# pgmout - string indicating path to for standard output file (skipped -# over by this script if not passed in) -# sys_tp - system type and phase. (if not passed in, an attempt is made to -# set this string using getsystem.pl, an NCO script in prod_util) -# SITE - site name (may have been set by local shell startup script) -# launcher_SYNDX - launcher for SYNDX executable (on Cray-XC40, defaults to -# aprun using single task) - - -cd $DATA -PRPI=$1 -if [ ! -s $PRPI ] ; then exit 1 ;fi -VITL=$2 -CDATE10=$3 - -jlogfile=${jlogfile:=""} - -if [ ! -s $VITL ] ; then - msg="TCVITALS EMPTY - NO PROCESSING PERFORMED BY SYNDAT_SYNDATA for \ -$CDATE10 --> non-fatal" - set +x - echo - echo "$msg" - echo - set -x - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - - exit -fi - -if [ $DO_BOGUS = 'YES' ]; then - suffix_char="" -else - suffix_char="_nobog" -fi - -rm -f $PRPI.syndata bogdomn.wrk${suffix_char} alldat${suffix_char} -rm -f stmtrk.wrk${suffix_char} rawdat.wrk${suffix_char} dumcoef${suffix_char} -rm -f matcoef${suffix_char} dthistry${suffix_char} bogrept${suffix_char} -rm -f bogdata${suffix_char} fenvdta.wrk${suffix_char} stkdatb.wrk${suffix_char} -rm -f gesvit${suffix_char} bghistry.diag${suffix_char} -rm -f prevents.filtering.syndata${suffix_char} - -pgm=`basename $SYNDX` -if [ -s $DATA/prep_step ]; then - set +u - . $DATA/prep_step - set -u -else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -fi - -export FORT11=$VITL -echo " $CDATE10" > cdate10.dat -export FORT13=cdate10.dat -export FORT14=$FIXSYND/syndat_syndata.slmask.t126.gaussian -export FORT15=bogdomn.wrk${suffix_char} -export FORT16=stmtrk.wrk${suffix_char} -export FORT17=rawdat.wrk${suffix_char} -export FORT19=bghistry.diag${suffix_char} -export FORT21=gesvit${suffix_char} -export FORT22=stkdatb.wrk${suffix_char} -export FORT23=fenvdta.wrk${suffix_char} -export FORT24=bogdata${suffix_char} -export FORT25=$PRPI -#####export FORT30=$SGES -#####export FORT31=$SGESA - -# The SYNDAT_SYNDATA code will soon, or may now, open GFS spectral coefficient -# guess files using sigio routines (via W3EMC routine GBLEVENTS) via explicit -# open(unit=number,file=filename) statements. This conflicts with the FORTxx -# statements above. One can either remove the explicit open statements in the -# code or replace the above FORTxx lines with soft links. The soft link -# approach is taken below. - -ln -sf $SGES fort.30 -ln -sf $SGESA fort.31 -export FORT32=$PRVT -export FORT40=$FIXSYND/syndat_weight -export FORT58=bogrept${suffix_char} -export FORT59=dthistry${suffix_char} -export FORT61=$PRPI.syndata -export FORT70=matcoef${suffix_char} -export FORT71=dumcoef${suffix_char} -export FORT72=rawdat.wrk${suffix_char} -export FORT73=stmtrk.wrk${suffix_char} -export FORT74=alldat${suffix_char} -export FORT80=prevents.filtering.syndata${suffix_char} -export FORT89=bogdomn.wrk${suffix_char} - -#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) -##The choice in the first line below MAY cause a failure -##The choice in the second line below works! -#set +u -#####[ -n "$LOADL_PROCESSOR_LIST" ] && export XLSMPOPTS=parthds=2:stack=64000000 -#[ -n "$LOADL_PROCESSOR_LIST" ] && export XLSMPOPTS=parthds=2:stack=20000000 -#set -u - -TIMEIT=${TIMEIT:-""} -[ -s $DATA/time ] && TIMEIT="$DATA/time -p" - -SITE=${SITE:-""} -sys_tp=${sys_tp:-$(getsystem.pl -tp)} -getsystp_err=$? -if [ $getsystp_err -ne 0 ]; then - msg="***WARNING: error using getsystem.pl to determine system type and phase" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" -fi -echo sys_tp is set to: $sys_tp -if [ "$sys_tp" = "Cray-XC40" -o "$SITE" = "SURGE" -o "$SITE" = "LUNA" ]; then - launcher_SYNDX=${launcher_SYNDX:-"aprun -n 1 -N 1 -d 1"} -else - launcher_SYNDX=${launcher_SYNDX:-""} -fi -$TIMEIT $launcher_SYNDX $SYNDX < $SYNDC > outout 2> errfile -err=$? -###cat errfile -cat errfile >> outout -[ $DO_BOGUS = 'YES' ] && cat prevents.filtering.syndata >> outout -cat outout >> syndata.out -set +u -[ -n "$pgmout" ] && cat outout >> $pgmout -set -u -rm outout -set +x -echo -echo 'The foreground exit status for SYNDAT_SYNDATA is ' $err -echo -set -x -if [ $err -eq 0 ]; then - - set +x - echo " --------------------------------------------- " - echo " ********** COMPLETED PROGRAM $pgm **********" - echo " --------------------------------------------- " - set -x - msg="$pgm completed normally for $CDATE10 - DO_BOGUS= $DO_BOGUS" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - mv $PRPI.syndata $PRPI - -else - -msg="SYNDAT_SYNDATA TERMINATED ABNORMALLY WITH CONDITION CODE $err \ ---> non-fatal" - set +x - echo - echo "$msg" - echo - set -x - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - -fi - -exit 0 diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.txt deleted file mode 100644 index dcfe446..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.txt +++ /dev/null @@ -1 +0,0 @@ -/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/prepobs_syndata.sh diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/gfs_genesis_para_fv3gfs.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/gfs_genesis_para_fv3gfs.sh deleted file mode 100755 index abb97dc..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/gfs_genesis_para_fv3gfs.sh +++ /dev/null @@ -1,108 +0,0 @@ -#!/bin/sh -##BSUB -J gfs_GEN_00 -##BSUB -W 0:30 -##BSUB -o /gpfs/hps/ptmp/Jiayi.Peng/com2/gfs_genesis_00.o%J -##BSUB -e /gpfs/hps/ptmp/Jiayi.Peng/com2/gfs_genesis_00.o%J -##BSUB -q "dev" -##BSUB -P "GEN-T2O" -##BSUB -M 1000 -##BSUB -extsched 'CRAYLINUX[]' -##export NODES=1 - -date -export PS4=' $SECONDS + ' -set -x - -. $MODULESHOME/init/sh -module use /gpfs/hps/nco/ops/nwprod/modulefiles -module load prod_util -module load grib_util/1.0.3 - -module use /opt/cray/alt-modulefiles -module load PrgEnv-intel -module load iobuf/2.0.5 - -module use /opt/cray/craype/default/alt-modulefiles -module load craype-haswell -module list - -#export IOBUF_PARAMS="*:size=256M:count=4:verbose" -export IOBUF_PARAMS="*:size=32M:count=4:verbose" - -export NWROOTGENESIS=${NWROOTGENESIS:-/gpfs/hps/emc/ensemble/save/Jiayi.Peng} -export COMDATEROOT=/gpfs/hps/nco/ops/com -#export COMROOTp1=/gpfs/tp1/nco/ops/com -export ens_tracker_ver=v2.0.1 - -export CDATE=${1:-?} -export CDUMP=${2:-?} -export COMROT=${3:-?} -export DATA=${4:-?} - -export GESROOT=${COMROT} - -#export APRNRELOC="time aprun -b -j1 -n1 -N1 -d24 -cc depth" -#export APRNGETTX="time aprun -q -j1 -n1 -N1 -d1 -cc depth " -export APRUNTRACK="aprun -j1 -n1 -N1 -d1" - -export JYYYY=`echo ${CDATE} | cut -c1-4` -export PDY=`echo ${CDATE} | cut -c1-8` -export cyc=`echo ${CDATE} | cut -c9-10` -export cycle=t${cyc}z - -mkdir -p $DATA -cd $DATA - -mkdir -p ${ROTDIR}/logs/$CDATE -export jlogfile=${jlogfile:-${ROTDIR}/logs/$CDATE/genesis_tracker.log} - -export SENDECF=${SENDECF:-NO} -export SENDCOM=${SENDCOM:-YES} -export SENDDBN=${SENDDBN:-NO} - -#################################### -# Specify Execution Areas -#################################### -export HOMEens_tracker=${HOMEens_tracker:-${NWROOTGENESIS}/ens_tracker.${ens_tracker_ver}} -export EXECens_tracker=${EXECens_tracker:-$HOMEens_tracker/exec} -export FIXens_tracker=${FIXens_tracker:-$HOMEens_tracker/fix} -export USHens_tracker=${USHens_tracker:-$HOMEens_tracker/ush} -export SCRIPTens_tracker=${SCRIPTens_tracker:-$HOMEens_tracker/scripts} - -############################################## -# Define COM directories -############################################## -#export COMINgfs=${COMINgfs:-${COMROOTp2}/gfs/prod/gfs.${PDY}} -#export COMINsyn=${COMINsyn:-${COMROOTp1}/arch/prod/syndat} -export COMINgfs=${COMINgfs:-$(compath.py gfs/prod/gfs.$PDY)} -export COMINsyn=${COMINsyn:-$(compath.py arch/prod/syndat)} - -export COMIN=${COMIN:-${COMROT}} -export COMOUT=${COMOUT:-${COMROT}} - -export COMINgenvit=${COMINgenvit:-${DATA}/genesis_vital_${JYYYY}} -export COMOUTgenvit=${COMOUTgenvit:-${DATA}/genesis_vital_${JYYYY}} - -export gfspara=${gfspara:-${COMIN}} -#export gfspara=${gfspara:-/gpfs/hps/ptmp/emc.glopara/prtest} -#export gfspara=/ptmpd3/emc.glopara/pr4devbs15 -#export gfspara=/ptmpp2/emc.glopara/pr4devbs12 - -mkdir -m 775 -p $COMOUT $COMOUTgenvit - -msg="HAS BEGUN on `hostname`" - -env - -${SCRIPTens_tracker}/exgfs_tc_genesis_fv3gfs.sh -export err=$?; err_chk - -msg="JOB COMPLETED NORMALLY" -postmsg "$jlogfile" "$msg" - -############################## -# Remove the Temporary working directory -############################## -#rm -rf $DATA - -date diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/gfs_genesis_para_fv3gfs.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/gfs_genesis_para_fv3gfs.txt deleted file mode 100644 index 056afa8..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/gfs_genesis_para_fv3gfs.txt +++ /dev/null @@ -1 +0,0 @@ -GENESISSH=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/gfs/q3fy17/ens_tracker.v2.0.1/gfs_genesis_para_fv3gfs.sh diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_extrkr.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_extrkr.sh deleted file mode 100755 index 62a3815..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_extrkr.sh +++ /dev/null @@ -1,1703 +0,0 @@ -#!/bin/ksh - -#module load ics -export PS4='+t+$SECONDS extrkr.sh:$LINENO -- ' - -userid=$LOGNAME - -set +x -############################################################################## -cat<${DATA}/tmpsynvit.${atcfout}.${PDY}${CYL} - grep "${current_str}" ${synvitdir}/${synvitfile} \ - >>${DATA}/tmpsynvit.${atcfout}.${PDY}${CYL} - grep "${future_str}" ${synvitfuture_dir}/${synvitfuture_file} \ - >>${DATA}/tmpsynvit.${atcfout}.${PDY}${CYL} -else - set +x - echo " " - echo " There is no (synthetic) TC vitals file for ${CYL}z in ${synvitdir}," - echo " nor is there a TC vitals file for ${old_hh}z in ${synvitold_dir}." - echo " nor is there a TC vitals file for ${future_hh}z in ${synvitfuture_dir}," - echo " Checking the raw TC Vitals file ....." - echo " " - set -x -fi - -# Take the vitals from Steve Lord's /com/gfs/prod tcvitals file, -# and cat them with the NHC-only vitals from the raw, original -# /com/arch/prod/synda_tcvitals file. Do this because the nwprod -# tcvitals file is the original tcvitals file, and Steve runs a -# program that ignores the vitals for a storm that's over land or -# even just too close to land, and for tracking purposes for the -# US regional models, we need these locations. Only include these -# "inland" storm vitals for NHC (we're not going to track inland -# storms that are outside of NHC's domain of responsibility -- we -# don't need that info). -# UPDATE 5/12/98 MARCHOK: awk logic is added to screen NHC -# vitals such as "89E TEST", since TPC -# does not want tracks for such storms. - -grep "${old_str}" ${archsyndir}/syndat_tcvitals.${CENT}${syy} | \ - grep -v TEST | awk 'substr($0,6,1) !~ /8/ {print $0}' \ - >${DATA}/tmprawvit.${atcfout}.${PDY}${CYL} -grep "${current_str}" ${archsyndir}/syndat_tcvitals.${CENT}${syy} | \ - grep -v TEST | awk 'substr($0,6,1) !~ /8/ {print $0}' \ - >>${DATA}/tmprawvit.${atcfout}.${PDY}${CYL} -grep "${future_str}" ${archsyndir}/syndat_tcvitals.${CENT}${syy} | \ - grep -v TEST | awk 'substr($0,6,1) !~ /8/ {print $0}' \ - >>${DATA}/tmprawvit.${atcfout}.${PDY}${CYL} - - -# IMPORTANT: When "cat-ing" these files, make sure that the vitals -# files from the "raw" TC vitals files are first in order and Steve's -# TC vitals files second. This is because Steve's vitals file has -# been error-checked, so if we have a duplicate tc vitals record in -# these 2 files (very likely), program supvit.x below will -# only take the last vitals record listed for a particular storm in -# the vitals file (all previous duplicates are ignored, and Steve's -# error-checked vitals records are kept). - -cat ${DATA}/tmprawvit.${atcfout}.${PDY}${CYL} ${DATA}/tmpsynvit.${atcfout}.${PDY}${CYL} \ - >${DATA}/vitals.${atcfout}.${PDY}${CYL} - -#--------------------------------------------------------------# -# Now run a fortran program that will read all the TC vitals -# records for the current dtg and the dtg from 6h ago, and -# sort out any duplicates. If the program finds a storm that -# was included in the vitals file 6h ago but not for the current -# dtg, this program updates the 6h-old first guess position -# and puts these updated records as well as the records from -# the current dtg into a temporary vitals file. It is this -# temporary vitals file that is then used as the input for the -# tracking program. -#--------------------------------------------------------------# - -oldymdh=` ${NDATE:?} -${vit_incr} ${PDY}${CYL}` -oldyy=${oldymdh:2:2} -oldmm=${oldymdh:4:2} -olddd=${oldymdh:6:2} -oldhh=${oldymdh:8:2} -oldymd=${oldyy}${oldmm}${olddd} - -futureymdh=` ${NDATE:?} 6 ${PDY}${CYL}` -futureyy=${futureymdh:2:2} -futuremm=${futureymdh:4:2} -futuredd=${futureymdh:6:2} -futurehh=${futureymdh:8:2} -futureymd=${futureyy}${futuremm}${futuredd} - -cat<${DATA}/suv_input.${atcfout}.${PDY}${CYL} -&datenowin dnow%yy=${syy}, dnow%mm=${smm}, - dnow%dd=${sdd}, dnow%hh=${CYL}/ -&dateoldin dold%yy=${oldyy}, dold%mm=${oldmm}, - dold%dd=${olddd}, dold%hh=${oldhh}/ -&datefuturein dfuture%yy=${futureyy}, dfuture%mm=${futuremm}, - dfuture%dd=${futuredd}, dfuture%hh=${futurehh}/ -&hourinfo vit_hr_incr=${vit_incr}/ -EOF - - -numvitrecs=`cat ${DATA}/vitals.${atcfout}.${PDY}${CYL} | wc -l` -if [ ${numvitrecs} -eq 0 ] -then - - if [ ${trkrtype} = 'tracker' ] - then - set +x - echo " " - echo "!!! NOTE -- There are no vitals records for this time period." - echo "!!! File ${DATA}/vitals.${atcfout}.${PDY}${CYL} is empty." - echo "!!! It could just be that there are no storms for the current" - echo "!!! time. Please check the dates and submit this job again...." - echo " " - set -x - exit 1 - fi - -fi - -# For tcgen cases, filter to use only vitals from the ocean -# basin of interest.... - -if [ ${trkrtype} = 'tcgen' ] - then - - if [ ${numvitrecs} -gt 0 ] - then - - fullvitfile=${DATA}/vitals.${atcfout}.${PDY}${CYL} - cp $fullvitfile ${DATA}/vitals.all_basins.${atcfout}.${PDY}${CYL} - basin=` echo $regtype | cut -c1-2` - - if [ ${basin} = 'al' ]; then - cat $fullvitfile | awk '{if (substr($0,8,1) == "L") print $0}' \ - >${DATA}/vitals.tcgen_al_only.${atcfout}.${PDY}${CYL} - cp ${DATA}/vitals.tcgen_al_only.${atcfout}.${PDY}${CYL} \ - ${DATA}/vitals.${atcfout}.${PDY}${CYL} - fi - if [ ${basin} = 'ep' ]; then - cat $fullvitfile | awk '{if (substr($0,8,1) == "E") print $0}' \ - >${DATA}/vitals.tcgen_ep_only.${atcfout}.${PDY}${CYL} - cp ${DATA}/vitals.tcgen_ep_only.${atcfout}.${PDY}${CYL} \ - ${DATA}/vitals.${atcfout}.${PDY}${CYL} - fi - if [ ${basin} = 'wp' ]; then - cat $fullvitfile | awk '{if (substr($0,8,1) == "W") print $0}' \ - >${DATA}/vitals.tcgen_wp_only.${atcfout}.${PDY}${CYL} - cp ${DATA}/vitals.tcgen_wp_only.${atcfout}.${PDY}${CYL} \ - ${DATA}/vitals.${atcfout}.${PDY}${CYL} - fi - - cat ${DATA}/vitals.${atcfout}.${PDY}${CYL} - - fi - -fi - -# - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -# Before running the program to read, sort and update the vitals, -# first run the vitals through some awk logic, the purpose of -# which is to convert all the 2-digit years into 4-digit years. -# We need this logic to ensure that all the vitals going -# into supvit.f have uniform, 4-digit years in their records. -# -# 1/8/2000: sed code added by Tim Marchok due to the fact that -# some of the vitals were getting past the syndata/qctropcy -# error-checking with a colon in them; the colon appeared -# in the character immediately to the left of the date, which -# was messing up the "(length($4) == 8)" statement logic. -# - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -sed -e "s/\:/ /g" ${DATA}/vitals.${atcfout}.${PDY}${CYL} > ${DATA}/tempvit -mv ${DATA}/tempvit ${DATA}/vitals.${atcfout}.${PDY}${CYL} - -awk ' -{ - yycheck = substr($0,20,2) - if ((yycheck == 20 || yycheck == 19) && (length($4) == 8)) { - printf ("%s\n",$0) - } - else { - if (yycheck >= 0 && yycheck <= 50) { - printf ("%s20%s\n",substr($0,1,19),substr($0,20)) - } - else { - printf ("%s19%s\n",substr($0,1,19),substr($0,20)) - } - } -} ' ${DATA}/vitals.${atcfout}.${PDY}${CYL} >${DATA}/vitals.${atcfout}.${PDY}${CYL}.y4 - -mv ${DATA}/vitals.${atcfout}.${PDY}${CYL}.y4 ${DATA}/vitals.${atcfout}.${PDY}${CYL} - -if [ ${numvitrecs} -gt 0 ] -then - - export pgm=supvit - . $prep_step - - ln -s -f ${DATA}/vitals.${atcfout}.${PDY}${CYL} fort.31 - ln -s -f ${DATA}/vitals.upd.${atcfout}.${PDY}${CYL} fort.51 - - msg="$pgm start for $atcfout at ${CYL}z" - $postmsg "$jlogfile" "$msg" - - ${exectrkdir}/supvit <${DATA}/suv_input.${atcfout}.${PDY}${CYL} - suvrcc=$? - - if [ ${suvrcc} -eq 0 ] - then - msg="$pgm end for $atcfout at ${CYL}z completed normally" - $postmsg "$jlogfile" "$msg" - else - set +x - echo " " - echo "!!! ERROR -- An error occurred while running supvit.x, " - echo "!!! which is the program that updates the TC Vitals file." - echo "!!! Return code from supvit.x = ${suvrcc}" - echo "!!! model= ${atcfout}, forecast initial time = ${PDY}${CYL}" - echo "!!! Exiting...." - echo " " - set -x - err_exit " FAILED ${jobid} - ERROR RUNNING SUPVIT IN TRACKER SCRIPT- ABNORMAL EXIT" - fi - -else - - touch ${DATA}/vitals.upd.${atcfout}.${PDY}${CYL} - -fi - -#----------------------------------------------------------------- -# In this section, check to see if the user requested the use of -# operational TC vitals records for the initial time only. This -# option might be used for a retrospective medium range forecast -# in which the user wants to initialize with the storms that are -# currently there, but then let the model do its own thing for -# the next 10 or 14 days.... - -#------------------------------------------------------------------# -# Now select all storms to be processed, that is, process every -# storm that's listed in the updated vitals file for the current -# forecast hour. If there are no storms for the current time, -# then exit. -#------------------------------------------------------------------# - -numvitrecs=`cat ${DATA}/vitals.upd.${atcfout}.${PDY}${CYL} | wc -l` -if [ ${numvitrecs} -eq 0 ] -then - if [ ${trkrtype} = 'tracker' ] - then - set +x - echo " " - echo "!!! NOTE -- There are no vitals records for this time period " - echo "!!! in the UPDATED vitals file." - echo "!!! It could just be that there are no storms for the current" - echo "!!! time. Please check the dates and submit this job again...." - echo " " - set -x - exit 1 - fi -fi - -set +x -echo " " -echo " *--------------------------------*" -echo " | STORM SELECTION |" -echo " *--------------------------------*" -echo " " -set -x - -ict=1 -while [ $ict -le 15 ] -do - stormflag[${ict}]=3 - let ict=ict+1 -done - -dtg_current="${symd} ${CYL}00" -stormmax=` grep "${dtg_current}" ${DATA}/vitals.upd.${atcfout}.${PDY}${CYL} | wc -l` - -if [ ${stormmax} -gt 15 ] -then - stormmax=15 -fi - -sct=1 -while [ ${sct} -le ${stormmax} ] -do - stormflag[${sct}]=1 - let sct=sct+1 -done - - -#---------------------------------------------------------------# -# -# -------- "Genesis" Vitals processing -------- -# -# May 2006: This entire genesis tracking system is being -# upgraded to more comprehensively track and categorize storms. -# One thing that has been missing from the tracking system is -# the ability to keep track of storms from one analysis cycle -# to the next. That is, the current system has been very -# effective at tracking systems within a forecast, but we have -# no methods in place for keeping track of storms across -# difference initial times. For example, if we are running -# the tracker on today's 00z GFS analysis, we will get a -# position for various storms at the analysis time. But then -# if we go ahead and run again at 06z, we have no way of -# telling the tracker that we know about the 00z position of -# this storm. We now address that problem by creating -# "genesis" vitals, that is, when a storm is found at an -# analysis time, we not only produce "atcfunix" output to -# detail the track & intensity of a found storm, but we also -# produce a vitals record that will be used for the next -# run of the tracker script. These "genesis vitals" records -# will be of the format: -# -# YYYYMMDDHH_AAAH_LLLLX_TYP -# -# Where: -# -# YYYYMMDDHH = Date the storm was FIRST identified -# by the tracker. -# AAA = Abs(Latitude) * 10; integer value -# H = 'N' for norther hem, 'S' for southern hem -# LLLL = Abs(Longitude) * 10; integer value -# X = 'E' for eastern hem, 'W' for western hem -# TYP = Tropical cyclone storm id if this is a -# tropical cyclone (e.g., "12L", or "09W", etc). -# If this is one that the tracker instead "Found -# On the Fly (FOF)", we simply put those three -# "FOF" characters in there. - -d6ago_ymdh=` ${NDATE:?} -6 ${PDY}${CYL}` -d6ago_4ymd=` echo ${d6ago_ymdh} | cut -c1-8` -d6ago_ymd=` echo ${d6ago_ymdh} | cut -c3-8` -d6ago_hh=` echo ${d6ago_ymdh} | cut -c9-10` -d6ago_str="${d6ago_ymd} ${d6ago_hh}00" - -d6ahead_ymdh=` ${NDATE:?} 6 ${PDY}${CYL}` -d6ahead_4ymd=` echo ${d6ahead_ymdh} | cut -c1-8` -d6ahead_ymd=` echo ${d6ahead_ymdh} | cut -c3-8` -d6ahead_hh=` echo ${d6ahead_ymdh} | cut -c9-10` -d6ahead_str="${d6ahead_ymd} ${d6ahead_hh}00" - -syyyym6=` echo ${d6ago_ymdh} | cut -c1-4` -smmm6=` echo ${d6ago_ymdh} | cut -c5-6` -sddm6=` echo ${d6ago_ymdh} | cut -c7-8` -shhm6=` echo ${d6ago_ymdh} | cut -c9-10` - -syyyyp6=` echo ${d6ahead_ymdh} | cut -c1-4` -smmp6=` echo ${d6ahead_ymdh} | cut -c5-6` -sddp6=` echo ${d6ahead_ymdh} | cut -c7-8` -shhp6=` echo ${d6ahead_ymdh} | cut -c9-10` - -set +x -echo " " -echo " d6ago_str= --->${d6ago_str}<---" -echo " current_str= --->${current_str}<---" -echo " d6ahead_str= --->${d6ahead_str}<---" -echo " " -echo " for the times 6h ago, current and 6h ahead:" -echo " " -echo " " -set -x - - touch ${DATA}/genvitals.upd.${cmodel}.${atcfout}.${PDY}${CYL} - - -#-----------------------------------------------------------------# -# -# ------ CUT APART INPUT GRIB FILES ------- -# -# For the selected model, cut apart the GRIB input files in order -# to pull out only the variables that we need for the tracker. -# Put these selected variables from all forecast hours into 1 big -# GRIB file that we'll use as input for the tracker. -# -#-----------------------------------------------------------------# - -set +x -echo " " -echo " -----------------------------------------" -echo " NOW CUTTING APART INPUT GRIB FILES TO " -echo " CREATE 1 BIG GRIB INPUT FILE " -echo " -----------------------------------------" -echo " " -set -x - -#gix=$NWPROD/util/exec/grbindex -#g2ix=$NWPROD/util/exec/grb2index -#cgb=$NWPROD/util/exec/copygb -#cgb2=$NWPROD/util/exec/copygb2 - -regflag=`grep NHC ${DATA}/vitals.upd.${atcfout}.${PDY}${CYL} | wc -l` - -# ---------------------------------------------------------------------- -find_gfile() { - # This subroutine finds an input file from a list of possible - # input filenames, and calls err_exit if no file is found. The - # first file found is returned. - - # Calling conventions: - # find_gfile GFS 30 /path/to/file1.master.pgrbq30.grib2 /path/to/file2.master.pgrbq030.grib2 ... - nicename="$1" - nicehour="$2" - shift 2 - gfile=none - echo "Searching for input $nicename data for forecast hour $nicehour" - set -x - now=$( date +%s ) - later=$(( now + wait_max_time )) - # Note: the loop has only one iteration if --wait-max-time is - # unspecified. That is because later=now - while [[ ! ( "$now" -gt "$later" ) ]] ; do - for gfile in "$@" ; do - if [[ ! -e "$gfile" ]] ; then - set +x - echo "$gfile: does not exist" - set -x - gfile=none - elif [[ ! -s "$gfile" ]] ; then - set +x - echo "$gfile: exists, but is empty" - set -x - gfile=none - else - set +x - echo "$gfile: exists, is non-empty, so I will use this file" - set -x - return 0 - fi - done - now=$( date +%s ) - if [[ "$gfile" == none ]] ; then - if [[ ! ( "$now" -lt "$later" ) ]] ; then - set +x - echo " " - echo " " - echo " !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!" - echo " !!! $nicename missing for hour $nicehour" - echo " !!! Check for the existence of these file:" - for gfile in "$@" ; do - echo " !!! $nicename File: $gfile" - done - echo " !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!" - echo " " - set -x - err_exit "ERROR: mandatory input GFS file for hour $nicehour is missing or empty. Aborting. Checked for these files: $*" - continue - else - set +x - echo " " - echo " !!! Mandatory input $nicename missing for hour $nicehour" - echo " !!! Will retry after $sleep_time second sleep." - echo " !!! Checked these files:" - for gfile in "$@" ; do - echo " !!! $nicename File: $gfile" - done - echo " " - set -x - sleep $sleep_time - fi - fi - done -} - -# -------------------------------------------------- -# Process GFS or GDAS data -# -------------------------------------------------- -if [[ ${model} -eq 1 || $model == 8 ]] ; then - - export nest_type="fixed" - export trkrebd=360.0 - export trkrwbd=0.0 - export trkrnbd=85.0 - export trkrsbd=-85.0 - rundescr="xxxx" - atcfdescr="xxxx" - - - if [ $loopnum -eq 1 ] - then - - if [ -s ${DATA}/gfsgribfile.${PDY}${CYL} ] - then - rm ${DATA}/gfsgribfile.${PDY}${CYL} - fi - - rm ${DATA}/master.gfsgribfile.${PDY}${CYL}.f* - rm ${DATA}/gfsgribfile.${PDY}${CYL}.f* - >${DATA}/gfsgribfile.${PDY}${CYL} - - set +x - echo " " - echo "Time before gfs wgrib loop is `date`" - echo " " - set -x - - if [[ "$model" -eq 8 ]] ; then - name=gdas - name1=gdas - nicename=GDAS - else # not model 8, so assume GFS - name=gfs - name1=gfs - nicename=GFS - fi - - for fhour in ${fcsthrs} ; do - fhour=$( echo "$fhour" | bc ) - - if [ ${fhour} -eq $bad_hour ] - then - continue - fi - - fhour00=$( printf %02d "$fhour" ) - fhour000=$( printf %03d "$fhour" ) - fhour0000=$( printf %03d "$fhour" ) - - if [[ "$gribver" == 1 ]] ; then - - find_gfile "$nicename" "$fhour" \ - ${gfsdir}/$name1.t${CYL}z.${flag_pgb}$fhour00 \ - ${gfsdir}/$name1.t${CYL}z.${flag_pgb}$fhour000 \ - ${gfsdir}/pgb${flag_pgb}$fhour00.$name.${symdh} \ - ${gfsdir}/pgrb${flag_pgb}$fhour00.$name.${symdh} - ${WGRIB:?} -s $gfile >gfs.ix - - for parm in ${wgrib_parmlist} - do - case ${parm} in - "SurfaceU") grep "UGRD:10 m " gfs.ix ;; - "SurfaceV") grep "VGRD:10 m " gfs.ix ;; - *) grep "${parm}" gfs.ix ;; - esac - done | ${WGRIB:?} -s $gfile -i -grib -append \ - -o ${DATA}/master.gfsgribfile.${PDY}${CYL}.f${fhour000} - - gfs_master_file=${DATA}/master.gfsgribfile.${PDY}${CYL}.f${fhour000} - gfs_converted_file=${DATA}/gfsgribfile.${PDY}${CYL}.f${fhour000} - gfs_cat_file=${DATA}/gfsgribfile.${PDY}${CYL} -# $cgb -g4 -i2 -x ${gfs_master_file} ${gfs_converted_file} -# cat ${gfs_converted_file} >>${gfs_cat_file} - cat ${gfs_master_file} >>${gfs_cat_file} - - else # gribver is not 1, so assume GRIB2 - - find_gfile "$nicename" "$fhour" \ - ${gfsdir}/$name1.t${CYL}z.pgrb2.0p25.f${fhour000} \ - ${gfsdir}/$name1.t${CYL}z.pgrb2.0p25.f${fhour00} \ - ${gfsdir}/pgb${flag_pgb}$fhour00.$name.${symdh}.grib2 \ - ${gfsdir}/pgrb${flag_pgb}${fhour000}.$name.${symdh}.grib2 - ${WGRIB2:?} -s $gfile >gfs.ix - - for parm in ${wgrib_parmlist} - do - case ${parm} in - "SurfaceU") grep "UGRD:10 m " gfs.ix ;; - "SurfaceV") grep "VGRD:10 m " gfs.ix ;; - *) grep "${parm}" gfs.ix ;; - esac - done | ${WGRIB2:?} -i $gfile -append -grib \ - ${DATA}/master.gfsgribfile.${PDY}${CYL}.f${fhour000} - - gfs_master_file=${DATA}/master.gfsgribfile.${PDY}${CYL}.f${fhour000} - gfs_converted_file=${DATA}/gfsgribfile.${PDY}${CYL}.f${fhour000} - gfs_cat_file=${DATA}/gfsgribfile.${PDY}${CYL} - - ${GRB2INDEX:?} ${gfs_master_file} ${gfs_master_file}.ix - - g1=${gfs_master_file} - x1=${gfs_master_file}.ix - -# grid4="0 6 0 0 0 0 0 0 720 361 0 0 90000000 0 48 -90000000 359500000 500000 500000 0" -# $cgb2 -g "${grid4}" ${g1} ${x1} ${gfs_converted_file} -# cat ${gfs_converted_file} >>${gfs_cat_file} - - cat ${gfs_master_file} >>${gfs_cat_file} - - fi - - done - - if [ ${gribver} -eq 1 ]; then - ${GRBINDEX:?} ${DATA}/gfsgribfile.${PDY}${CYL} ${DATA}/gfsixfile.${PDY}${CYL} - else - ${GRB2INDEX:?} ${DATA}/gfsgribfile.${PDY}${CYL} ${DATA}/gfsixfile.${PDY}${CYL} - fi - -# -------------------------------------------- - - if [[ "$PhaseFlag" == y ]] ; then - - catfile=${DATA}/gfs.${PDY}${CYL}.catfile - >${catfile} - - for fhour in ${fcsthrs} - do - - - fhour=$( echo "$fhour" | bc ) - - if [ ${fhour} -eq $bad_hour ] - then - continue - fi - - fhour00=$( printf %02d "$fhour" ) - fhour000=$( printf %03d "$fhour" ) - fhour0000=$( printf %03d "$fhour" ) - - set +x - echo " " - echo "Date in interpolation for model= $cmodel and fhour= $fhour000 before = `date`" - echo " " - set -x - - gfile=${DATA}/gfsgribfile.${PDY}${CYL} - ifile=${DATA}/gfsixfile.${PDY}${CYL} - - if [ ${gribver} -eq 1 ]; then - ${GRBINDEX:?} $gfile $ifile - else - ${GRB2INDEX:?} $gfile $ifile - fi - - gparm=7 - namelist=${DATA}/vint_input.${PDY}${CYL}.z - echo "&timein ifcsthour=${fhour000}," >${namelist} - echo " iparm=${gparm}," >>${namelist} - echo " gribver=${gribver}," >>${namelist} - echo " g2_jpdtn=${g2_jpdtn}/" >>${namelist} - - ln -s -f ${gfile} fort.11 - ln -s -f ${FIXRELO}/gfs_hgt_levs.txt fort.16 - ln -s -f ${ifile} fort.31 - ln -s -f ${DATA}/${cmodel}.${PDY}${CYL}.z.f${fhour000} fort.51 - - ${exectrkdir}/vint.x <${namelist} - rcc1=$? - - - gparm=11 - namelist=${DATA}/vint_input.${PDY}${CYL}.t - echo "&timein ifcsthour=${fhour000}," >${namelist} - echo " iparm=${gparm}," >>${namelist} - echo " gribver=${gribver}," >>${namelist} - echo " g2_jpdtn=${g2_jpdtn}/" >>${namelist} - - ln -s -f ${gfile} fort.11 - ln -s -f ${FIXRELO}/gfs_tmp_levs.txt fort.16 - ln -s -f ${ifile} fort.31 - ln -s -f ${DATA}/${cmodel}.${PDY}${CYL}.t.f${fhour000} fort.51 - - ${exectrkdir}/vint.x <${namelist} - rcc2=$? - - namelist=${DATA}/tave_input.${PDY}${CYL} - echo "&timein ifcsthour=${fhour000}," >${namelist} - echo " iparm=${gparm}," >>${namelist} - echo " gribver=${gribver}," >>${namelist} - echo " g2_jpdtn=${g2_jpdtn}/" >>${namelist} - - ffile=${DATA}/${cmodel}.${PDY}${CYL}.t.f${fhour000} - ifile=${DATA}/${cmodel}.${PDY}${CYL}.t.f${fhour000}.i - - if [ ${gribver} -eq 1 ]; then - ${GRBINDEX:?} ${ffile} ${ifile} - else - ${GRB2INDEX:?} ${ffile} ${ifile} - fi - - ln -s -f ${ffile} fort.11 - ln -s -f ${ifile} fort.31 - ln -s -f ${DATA}/${cmodel}.tave.${PDY}${CYL}.f${fhour000} fort.51 - ln -s -f ${DATA}/${cmodel}.tave92.${PDY}${CYL}.f${fhour000} fort.92 - - ${exectrkdir}/tave.x <${namelist} - rcc3=$? - - if [ $rcc1 -eq 0 -a $rcc2 -eq 0 -a $rcc3 -eq 0 ]; then - echo " " - else - mailfile=${rundir}/errmail.${cmodel}.${PDY}${CYL} - echo "CPS/WC interp failure for $cmodel ${PDY}${CYL}" >${mailfile} - mail -s "GFS Failure (CPS/WC int) $cmodel ${PDY}${CYL}" ${userid} <${mailfile} - exit 8 - fi - - tavefile=${DATA}/${cmodel}.tave.${PDY}${CYL}.f${fhour000} - zfile=${DATA}/${cmodel}.${PDY}${CYL}.z.f${fhour000} - cat ${zfile} ${tavefile} >>${catfile} -## rm $tavefile $zfile - - set +x - echo " " - echo "Date in interpolation for cmodel= $cmodel and fhour= $fhour000 after = `date`" - echo " " - set -x - - done - fi # end of "If PhaseFlag is on" - fi # end of "If loopnum is 1" - - gfile=${DATA}/gfsgribfile.${PDY}${CYL} - ifile=${DATA}/gfsixfile.${PDY}${CYL} - - if [[ "$PhaseFlag" == y ]] ; then - cat ${catfile} >>${gfile} - if [ ${gribver} -eq 1 ]; then - ${GRBINDEX:?} ${gfile} ${ifile} - else - ${GRB2INDEX:?} ${gfile} ${ifile} - fi - fi - - # File names for input to tracker: - gribfile=${DATA}/gfsgribfile.${PDY}${CYL} - ixfile=${DATA}/gfsixfile.${PDY}${CYL} -fi - -$postmsg "$jlogfile" "SUCCESS: have all inputs needed to run tracker. Will now run the tracker." - -#------------------------------------------------------------------------# -# Now run the tracker # -#------------------------------------------------------------------------# - -ist=1 -while [ $ist -le 15 ] -do - if [ ${stormflag[${ist}]} -ne 1 ] - then - set +x; echo "Storm number $ist NOT selected for processing"; set -x - else - set +x; echo "Storm number $ist IS selected for processing...."; set -x - fi - let ist=ist+1 -done - -namelist=${DATA}/input.${atcfout}.${PDY}${CYL} -ATCFNAME=` echo "${atcfname}" | tr '[a-z]' '[A-Z]'` - -if [ ${cmodel} = 'sref' ]; then - export atcfymdh=` ${NDATE:?} -3 ${scc}${syy}${smm}${sdd}${shh}` -else - export atcfymdh=${scc}${syy}${smm}${sdd}${shh} -fi - -contour_interval=100.0 -write_vit=n -want_oci=.TRUE. - -cat < ${namelist} -&datein inp%bcc=${scc},inp%byy=${syy},inp%bmm=${smm}, - inp%bdd=${sdd},inp%bhh=${shh},inp%model=${model}, - inp%modtyp='${modtyp}', - inp%lt_units='${lead_time_units}', - inp%file_seq='${file_sequence}', - inp%nesttyp='${nest_type}'/ -&atcfinfo atcfnum=${atcfnum},atcfname='${ATCFNAME}', - atcfymdh=${atcfymdh},atcffreq=${atcffreq}/ -&trackerinfo trkrinfo%westbd=${trkrwbd}, - trkrinfo%eastbd=${trkrebd}, - trkrinfo%northbd=${trkrnbd}, - trkrinfo%southbd=${trkrsbd}, - trkrinfo%type='${trkrtype}', - trkrinfo%mslpthresh=${mslpthresh}, - trkrinfo%v850thresh=${v850thresh}, - trkrinfo%gridtype='${modtyp}', - trkrinfo%contint=${contour_interval}, - trkrinfo%want_oci=${want_oci}, - trkrinfo%out_vit='${write_vit}', - trkrinfo%gribver=${gribver}, - trkrinfo%g2_jpdtn=${g2_jpdtn}/ -&phaseinfo phaseflag='${PHASEFLAG}', - phasescheme='${PHASE_SCHEME}', - wcore_depth=${WCORE_DEPTH}/ -&structinfo structflag='${STRUCTFLAG}', - ikeflag='${IKEFLAG}'/ -&fnameinfo gmodname='${atcfname}', - rundescr='${rundescr}', - atcfdescr='${atcfdescr}'/ -&verbose verb=3/ -&waitinfo use_waitfor='n', - wait_min_age=10, - wait_min_size=100, - wait_max_wait=1800, - wait_sleeptime=5, - per_fcst_command=''/ -EOF - -export pgm=gettrk -. $prep_step - -ln -s -f ${gribfile} fort.11 -ln -s -f ${DATA}/vitals.upd.${atcfout}.${PDY}${shh} fort.12 -ln -s -f ${DATA}/genvitals.upd.${cmodel}.${atcfout}.${PDY}${CYL} fort.14 -ihour=1 -for fhour in ${fcsthrs} ; do - fhour=$( echo "$fhour" | bc ) # strip leading zeros - printf "%4d %5d\n" $ihour $(( fhour * 60 )) - let ihour=ihour+1 -done > leadtimes.txt -ln -s -f leadtimes.txt fort.15 -#ln -s -f ${FIXRELO}/${cmodel}.tracker_leadtimes fort.15 -ln -s -f ${ixfile} fort.31 - -if [[ -z "$atcfout" ]] ; then - err_exit 'ERROR: exgfs_trkr script forgot to set $atcfout variable' -fi - -track_file_path=nowhere - -if [ ${trkrtype} = 'tracker' ]; then - if [ ${atcfout} = 'gfdt' -o ${atcfout} = 'gfdl' -o \ - ${atcfout} = 'hwrf' -o ${atcfout} = 'hwft' ]; then - ln -s -f ${DATA}/trak.${atcfout}.all.${stormenv}.${PDY}${CYL} fort.61 - ln -s -f ${DATA}/trak.${atcfout}.atcf.${stormenv}.${PDY}${CYL} fort.62 - ln -s -f ${DATA}/trak.${atcfout}.radii.${stormenv}.${PDY}${CYL} fort.63 - ln -s -f ${DATA}/trak.${atcfout}.atcf_gen.${stormenv}.${PDY}${CYL} fort.66 - ln -s -f ${DATA}/trak.${atcfout}.atcf_sink.${stormenv}.${PDY}${CYL} fort.68 - ln -s -f ${DATA}/trak.${atcfout}.atcf_hfip.${stormenv}.${PDY}${CYL} fort.69 - track_file_path=${DATA}/trak.${atcfout}.atcfunix.${stormenv}.${PDY}${CYL} - else - ln -s -f ${DATA}/trak.${atcfout}.all.${PDY}${CYL} fort.61 - ln -s -f ${DATA}/trak.${atcfout}.atcf.${PDY}${CYL} fort.62 - ln -s -f ${DATA}/trak.${atcfout}.radii.${PDY}${CYL} fort.63 - ln -s -f ${DATA}/trak.${atcfout}.atcf_gen.${PDY}${CYL} fort.66 - ln -s -f ${DATA}/trak.${atcfout}.atcf_sink.${PDY}${CYL} fort.68 - ln -s -f ${DATA}/trak.${atcfout}.atcf_hfip.${PDY}${CYL} fort.69 - track_file_path=${DATA}/trak.${atcfout}.atcfunix.${PDY}${CYL} - fi -else - ln -s -f ${DATA}/trak.${atcfout}.all.${regtype}.${PDY}${CYL} fort.61 - ln -s -f ${DATA}/trak.${atcfout}.atcf.${regtype}.${PDY}${CYL} fort.62 - ln -s -f ${DATA}/trak.${atcfout}.radii.${regtype}.${PDY}${CYL} fort.63 - ln -s -f ${DATA}/trak.${atcfout}.atcf_gen.${regtype}.${PDY}${CYL} fort.66 - ln -s -f ${DATA}/trak.${atcfout}.atcf_sink.${regtype}.${PDY}${CYL} fort.68 - ln -s -f ${DATA}/trak.${atcfout}.atcf_hfip.${regtype}.${PDY}${CYL} fort.69 - track_file_path=${DATA}/trak.${atcfout}.atcfunix.${regtype}.${PDY}${CYL} -fi - -if [[ "$track_file_path" == nowhere ]] ; then - err_exit 'ERROR: exgfs_trkr script forgot to set $track_file_path variable' -fi - -ln -s -f $track_file_path fort.64 - -if [ ${atcfname} = 'aear' ] -then - ln -s -f ${DATA}/trak.${atcfout}.initvitl.${PDY}${CYL} fort.65 -fi - -if [ ${write_vit} = 'y' ] -then - ln -s -f ${DATA}/output_genvitals.${atcfout}.${PDY}${shh} fort.67 -fi - -if [ ${PHASEFLAG} = 'y' ]; then - if [ ${atcfout} = 'gfdt' -o ${atcfout} = 'gfdl' -o \ - ${atcfout} = 'hwrf' -o ${atcfout} = 'hwft' ]; then - ln -s -f ${DATA}/trak.${atcfout}.cps_parms.${stormenv}.${PDY}${CYL} fort.71 - else - ln -s -f ${DATA}/trak.${atcfout}.cps_parms.${PDY}${CYL} fort.71 - fi -fi - -if [ ${STRUCTFLAG} = 'y' ]; then - if [ ${atcfout} = 'gfdt' -o ${atcfout} = 'gfdl' -o \ - ${atcfout} = 'hwrf' -o ${atcfout} = 'hwft' ]; then - ln -s -f ${DATA}/trak.${atcfout}.structure.${stormenv}.${PDY}${CYL} fort.72 - ln -s -f ${DATA}/trak.${atcfout}.fractwind.${stormenv}.${PDY}${CYL} fort.73 - ln -s -f ${DATA}/trak.${atcfout}.pdfwind.${stormenv}.${PDY}${CYL} fort.76 - else - ln -s -f ${DATA}/trak.${atcfout}.structure.${PDY}${CYL} fort.72 - ln -s -f ${DATA}/trak.${atcfout}.fractwind.${PDY}${CYL} fort.73 - ln -s -f ${DATA}/trak.${atcfout}.pdfwind.${PDY}${CYL} fort.76 - fi -fi - -if [ ${IKEFLAG} = 'y' ]; then - if [ ${atcfout} = 'gfdt' -o ${atcfout} = 'gfdl' -o \ - ${atcfout} = 'hwrf' -o ${atcfout} = 'hwft' ]; then - ln -s -f ${DATA}/trak.${atcfout}.ike.${stormenv}.${PDY}${CYL} fort.74 - else - ln -s -f ${DATA}/trak.${atcfout}.ike.${PDY}${CYL} fort.74 - fi -fi - -if [ ${trkrtype} = 'midlat' -o ${trkrtype} = 'tcgen' ]; then - ln -s -f ${DATA}/trkrmask.${atcfout}.${regtype}.${PDY}${CYL} fort.77 -fi - - -set +x -echo " " -echo " -----------------------------------------------" -echo " NOW EXECUTING TRACKER......" -echo " -----------------------------------------------" -echo " " -set -x - -msg="$pgm start for $atcfout at ${CYL}z" -$postmsg "$jlogfile" "$msg" - -set +x -echo "+++ TIMING: BEFORE gettrk ---> `date`" -set -x - -set +x -echo " " -echo "TIMING: Before call to gettrk at `date`" -echo " " -set -x - -##/usrx/local/bin/getrusage -a /hwrf/save/Qingfu.Liu/trak/para/exec/gettrk <${namelist} - -${exectrkdir}/gettrk <${namelist} | tee gettrk.log -gettrk_rcc=$? - -set +x -echo " " -echo "TIMING: After call to gettrk at `date`" -echo " " -set -x - -set +x -echo "+++ TIMING: AFTER gettrk ---> `date`" -set -x - -#--------------------------------------------------------------# -# Send a message to the jlogfile for each storm that used -# tcvitals for hour 0 track/intensity info. -#--------------------------------------------------------------# - -pcount=0 -cat gettrk.log | grep -a 'NOTE: TCVITALS_USED_FOR_ATCF_F00' | \ -while read line -do - echo "line is [$line]" - if [[ ! ( "$pcount" -lt 30 ) ]] ; then - $postmsg "$jlogfile" "Hit maximum number of postmsg commands for tcvitals usage at hour 0. Will stop warning about that, to avoid spamming jlogfile." - break - fi - $postmsg "$jlogfile" "$line" - pcount=$(( pcount + 1 )) -done - -#--------------------------------------------------------------# -# Now copy the output track files to different directories -#--------------------------------------------------------------# - -set +x -echo " " -echo " -----------------------------------------------" -echo " NOW COPYING OUTPUT TRACK FILES TO COM " -echo " -----------------------------------------------" -echo " " -set -x - -if [[ ! -e "$track_file_path" ]] ; then - $postmsg "$jlogfile" "WARNING: tracker output file does not exist. This is probably an error. File: $track_file_path" - $postmsg "$jlogfile" "WARNING: exgfs_trkr will create an empty track file and deliver that." - cat /dev/null > $track_file_path -elif [[ ! -s "$track_file_path" ]] ; then - $postmsg "$jlogfile" "WARNING: tracker output file is empty. That is only an error if there are storms or genesis cases somewhere in the world. File: $track_file_path" -else - $postmsg "$jlogfile" "SUCCESS: Track file exists and is non-empty: $track_file" - if [[ "$PHASEFLAG" == n ]] ; then - echo "Phase information was disabled. I will remove the empty phase information from the track file before delivery." - cp -p $track_file_path $track_file_path.orig - cut -c1-112 < $track_file_path.orig > $track_file_path - if [[ ! -s "$track_file_path" ]] ; then - $postmsg "$jlogfile" "WARNING: Something went wrong with \"cut\" command to remove phase information. Will deliver original file." - /bin/mv -f $track_file_path.orig $track_file_path - else - $postmsg "$jlogfile" "SUCCESS: Removed empty phase information because phase information is disabled." - fi - fi -fi - -#mkdir /global/save/Qingfu.Liu/gfspara_track/gfs.${PDY}${CYL} -#cp /ptmpp1/Qingfu.Liu/trakout2/${PDY}${CYL}/gfs/trak.gfso.atcf* /global/save/Qingfu.Liu/gfspara_track/gfs.${PDY}${CYL}/. -#rm -rf /ptmpp1/Qingfu.Liu/trakout2/${PDY}${CYL}/gfs/* - -if [ ${gettrk_rcc} -eq 0 ]; then - - if [ -s ${DATA}/output_genvitals.${atcfout}.${PDY}${shh} ]; then - cat ${DATA}/output_genvitals.${atcfout}.${PDY}${shh} >>${genvitfile} - fi - - if [ ${PARAFLAG} = 'YES' ] - then - - if [[ ! -s "$track_file_path" ]] ; then - $postmsg "$jlogfile" "WARNING: delivering empty track file to rundir." - fi - - cp $track_file_path ../. - cat $track_file_path >> \ - ${rundir}/${cmodel}.atcfunix.${syyyy} - if [ ${cmodel} = 'gfs' ]; then - cat ${rundir}/${cmodel}.atcfunix.${syyyy} | sed -e "s/ GFSO/ AVNO/g" >>${rundir}/avn.atcfunix.${syyyy} - fi -# cp ${DATA}/trak.${atcfout}.atcf_sink.${regtype}.${PDY}${CYL} ../. -# cp ${DATA}/trak.${atcfout}.atcf_gen.${regtype}.${PDY}${CYL} ../. - fi - - msg="$pgm end for $atcfout at ${CYL}z completed normally" - $postmsg "$jlogfile" "$msg" - -# Now copy track files into various archives.... - - if [ ${SENDCOM} = 'YES' ] - then - - if [[ ! -s "$track_file_path" ]] ; then - $postmsg "$jlogfile" "WARNING: delivering an empty track file to COM." - return - fi - - glatuxarch=${glatuxarch:-${gltrkdir}/tracks.atcfunix.${syy}} - - cat $track_file_path >>${glatuxarch} - if [ ${cmodel} = 'gfs' ]; then - cat $track_file_path | sed -e "s/ GFSO/ AVNO/g" >>${glatuxarch} - fi - - if [ ${PARAFLAG} = 'YES' ] - then - echo " " - tmatuxarch=${tmatuxarch:-/gpfs/gd2/emc/hwrf/save/${userid}/trak/prod/tracks.atcfunix.${syy}} - cat $track_file_path >>${tmatuxarch} - if [ ${cmodel} = 'gfs' ]; then - cat $track_file_path | sed -e "s/ GFSO/ AVNO/g" >>${tmatuxarch} - fi - else - - if [ ${cmodel} = 'gfdl' ] - then - cp $track_file_path ${COM}/${stormenv}.${PDY}${CYL}.trackeratcfunix - else - cp $track_file_path ${COM}/${atcfout}.t${CYL}z.cyclone.trackatcfunix - if [ ${cmodel} = 'gfs' ]; then - cat $track_file_path | sed -e "s/ GFSO/ AVNO/g" >${COM}/avn.t${CYL}z.cyclone.trackatcfunix - fi - fi - - tmscrdir=/gpfs/gd2/emc/hwrf/save/${userid}/trak/prod - - tmtrakstat=${tmscrdir}/tracker.prod.status - echo "${atcfout} tracker completed okay for ${PDY}${CYL}" >>${tmtrakstat} - - export SENDDBN=${SENDDBN:-YES} - if [ ${SENDDBN} = 'YES' ] - then - if [ ${cmodel} = 'gfdl' ] - then - $DBNROOT/bin/dbn_alert ATCFUNIX GFS_NAVY $job ${COM}/${stormenv}.${PDY}${CYL}.trackeratcfunix - else - $DBNROOT/bin/dbn_alert ATCFUNIX GFS_NAVY $job ${COM}/${atcfout}.t${CYL}z.cyclone.trackatcfunix - if [ ${cmodel} = 'gfs' ]; then - $DBNROOT/bin/dbn_alert ATCFUNIX GFS_NAVY $job ${COM}/avn.t${CYL}z.cyclone.trackatcfunix - fi - fi - fi - - if [[ "$SENDNHC" == YES ]] ; then - # We need to parse apart the atcfunix file and distribute the forecasts to - # the necessary directories. To do this, first sort the atcfunix records - # by forecast hour (k6), then sort again by ocean basin (k1), storm number (k2) - # and then quadrant radii wind threshold (k12). Once you've got that organized - # file, break the file up by putting all the forecast records for each storm - # into a separate file. Then, for each file, find the corresponding atcfunix - # file in the /nhc/com/prod/atcf directory and dump the atcfunix records for that - # storm in there. - - if [ ${cmodel} = 'gfdl' ] - then - auxfile=${COM}/${stormenv}.${PDY}${CYL}.trackeratcfunix - else - auxfile=$track_file_path - fi - - sort -k6 ${auxfile} | sort -k1 -k2 -k12 >atcfunix.sorted - - old_string="XX, XX" - - ict=0 - while read unixrec - do - storm_string=` echo "${unixrec}" | cut -c1-6` - if [ "${storm_string}" = "${old_string}" ] - then - echo "${unixrec}" >>atcfunix_file.${ict} - else - let ict=ict+1 - echo "${unixrec}" >atcfunix_file.${ict} - old_string="${storm_string}" - fi - done >${ATCFdir}/${at}${NO}${syyyy}/a${at}${NO}${syyyy}.dat - cat atcfunix_file.$mct >>${ATCFdir}/${at}${NO}${syyyy}/a${at}${NO}${syyyy}.dat - cat atcfunix_file.$mct >>${ATCFdir}/${at}${NO}${syyyy}/ncep_a${at}${NO}${syyyy}.dat - if [ ${cmodel} = 'gfs' ]; then - cat atcfunix_file.$mct | sed -e "s/ GFSO/ AVNO/g" >>${ATCFdir}/${at}${NO}${syyyy}/a${at}${NO}${syyyy}.dat - cat atcfunix_file.$mct | sed -e "s/ GFSO/ AVNO/g" >>${ATCFdir}/${at}${NO}${syyyy}/ncep_a${at}${NO}${syyyy}.dat - fi - set +x - echo " " - echo "+++ Adding records to TPC ATCFUNIX directory: /tpcprd/atcf_unix/${at}${NO}${syyyy}" - echo " " - set -x - else - set +x - echo " " - echo "There is no TPC ATCFUNIX directory for: /tpcprd/atcf_unix/${at}${NO}${syyyy}" - set -x - fi - done - fi - fi - fi - - fi - -else - - if [ ${PARAFLAG} = 'YES' ] - then - echo " " - else - tmtrakstat=/gpfs/gd2/emc/hwrf/save/${userid}/trak/prod/tracker.prod.status - echo "ERROR: ${atcfout} tracker FAILED for ${PDY}${CYL}" >>${tmtrakstat} - fi - - set +x - echo " " - echo "!!! ERROR -- An error occurred while running gettrk.x, " - echo "!!! which is the program that actually gets the track." - echo "!!! Return code from gettrk.x = ${gettrk_rcc}" - echo "!!! model= ${atcfout}, forecast initial time = ${PDY}${CYL}" - echo "!!! Exiting...." - echo " " - set -x - err_exit " FAILED ${jobid} - ERROR RUNNING GETTRK IN TRACKER SCRIPT- ABNORMAL EXIT" - -fi diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_extrkr.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_extrkr.txt deleted file mode 100644 index dbf0b45..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_extrkr.txt +++ /dev/null @@ -1 +0,0 @@ -PARATRKR=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/fv3gfs/trunk/global_shared.v15.0.0/ush/global_extrkr.sh diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_tracker.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_tracker.sh deleted file mode 100755 index f5b9bb5..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_tracker.sh +++ /dev/null @@ -1,115 +0,0 @@ -#! /bin/ksh -set -x - -export CDATE=${1:-?} -export CDUMP=${2:-?} -export COMOUT=${3:-?} -export DATA=${4:-?} -export nknd=${5:-0} - -export PSLOT=${PSLOT:-x} -export FHMAX2=${FHMAX2:-252} -export FHOUT=${FHOUT:-3} -user=$LOGNAME - -# Load production utility module. Used by PARATRKR -shell=${SHELL:-ksh} -export machine=${machine:-WCOSS_C} -if [ $machine = WCOSS ]; then - . /usrx/local/Modules/default/init/ksh 2>>/dev/null - module use /nwprod2/modulefiles 2>>/dev/null - module load prod_util 2>>/dev/null - export APRUNTRACK="" -elif [ $machine = WCOSS_C ]; then - . $MODULESHOME/init/sh 2>>/dev/null - module load prod_util 2>>/dev/null - module load iobuf/2.0.5 2>>/dev/null - export IOBUF_PARAMS="*:size=32M:count=4:verbose" - export APRUNTRACK="aprun -j1 -n1 -N1 -d1" -fi -# -export HOMEDIR=${HOMEDIR:-${BASE_TROPCY:-/gpfs/hps/emc/global/noscrub/Qingfu.Liu/gfs/gfs_q3fy17/tropcy_qc_reloc.v13.2.0_NEMS_Russ/}} -export NWPROD=${NWPROD:-/nwprod} -export NWPROD=${NWPROD:-$HOMEDIR} -export USHDIR=${USHDIR:-$HOMEDIR/ush} -export archsyndir=${archsyndir:-$COMROOTp1/arch/prod/syndat} -export HOMERELO=${HOMERELO:-$HOMEDIR} -FIXRELO=${FIXRELO:-${HOMERELO}/fix} -GRIBVERSION=${GRIBVERSION:-"grib2"} -# -#export DISK_GLOB=${DISK_GLOB:-/global/save} -#export DISK_TRAK=${DISK_TRAK:-$DISK_GLOB} -export GETTRKEXEC=${GETTRKEXEC:-$HOMEDIR/exec/gettrk} -export inpdate=$CDATE -export paradir=$COMIN -export prxtrak=$DATA -export vdir=$DATA - -if [ ! -d ${vdir} ]; then - mkdir -p ${vdir} -fi -if [ ! -d ${prxtrak} ]; then - mkdir -p ${prxtrak} -fi - -cd $vdir - -# Always keep cmodel as "para" - -export cmodel=para -if [ "$GRIBVERSION" = "grib1" ]; then - export gribver=1 -else - export gribver=2 -fi - -# TRACKID can be changed to "parx", "pary", "parw", etc.... - -# -nn=$((`echo $PSLOT | wc -c`-1)) -if [ $nn -eq 1 ] ; then - export TRACKID=`echo par$PSLOT | cut -c1-4` -else -# export TRACKID=pr$PSLOT - export TRACKID=`echo pr$PSLOT | cut -c1-4` -fi - - -export YYYYMMDDHH=${inpdate} -export PDY=` echo ${YYYYMMDDHH} | cut -c1-8` -export cyc=` echo ${YYYYMMDDHH} | cut -c9-10` -if [[ -r tcvitl.$CDUMP.$CDATE ]]; then - export AUXTCVIT=$DATA/auxtcvit.$CDATE - NDATE=${NDATE:-$NWPROD/util/exec/ndate} - export GDATE=$($NDATE -06 $CDATE) - cat tcvitl.gdas.$GDATE tcvitl.$CDUMP.$CDATE >$AUXTCVIT -else - export AUXTCVIT=JUNK_NOFILE -fi - -if [ $nknd -gt 1 ] ; then - export CDUMP=${CDUMP}${nknd} -fi - -gfstrackhour1=180; gfstrackhour2=252 -if [ $gfstrackhour1 -gt $FHMAX2 ]; then gfstrackhour1=$FHMAX2 ; fi -if [ $gfstrackhour2 -gt $FHMAX2 ]; then gfstrackhour2=$FHMAX2 ; fi - -if [[ $CDUMP = gdas ]]; then - ${APRUNTRACK} ${PARATRKR:-$USHDIR/global_extrkr.sh} --gdas-last-hour 9 - cp $DATA/trak.$TRACKID.atcfunix.$CDATE $COMOUT/atcfunix.$CDUMP.$CDATE -else - # Run first tracker for 180 hours for NHC/JTWC operational forecast: - ${APRUNTRACK} ${PARATRKR:-$USHDIR/global_extrkr.sh} --gfs-last-hour $gfstrackhour1 --wait-for-data 900 - cp $DATA/trak.$TRACKID.atcfunix.$CDATE $COMOUT/atcfunix.$CDUMP.$CDATE - if [ $machine = WCOSS_C ]; then - # Run a second tracker for 252 hours for experimental ten day forecasts: - if [ $gfstrackhour2 -gt $gfstrackhour1 ]; then; - export SENDNHC=NO - ${APRUNTRACK} ${PARATRKR:-$USHDIR/global_extrkr.sh} --gfs-last-hour $gfstrackhour2 --wait-for-data 900 - cp $DATA/trak.$TRACKID.atcfunix.$CDATE $COMOUT/atcf252hr.$CDUMP.$CDATE - fi - fi -fi - - diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_tracker.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_tracker.txt deleted file mode 100644 index b7c4bcf..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_tracker.txt +++ /dev/null @@ -1 +0,0 @@ -TRACKERSH=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/fv3gfs/trunk/global_shared.v15.0.0/ush/global_tracker.sh diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/vsdbjob.sh b/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/vsdbjob.sh deleted file mode 100755 index 3ae08d8..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/vsdbjob.sh +++ /dev/null @@ -1,356 +0,0 @@ -#!/bin/ksh -set -x - -##--------------------------------------------------------------------------- -## Modified version of vsdbjob_submit.sh for use in NCEP/EMC GFS para_config -## to do verification while forecast is running. -## Fanglin Yang, 01Jan2010 -##--------------------------------------------------------------------------- - -## variables read in from vrfy.sh and/or para_config -export DATEST=${1:-20080701} ;#forecast starting date -export DATEND=${2:-20080801} ;#forecast ending date -export vlength=${3:-384} ;#forecast length in hour -export cycle=${4:-"00"} ;#forecast cycle -export exp1name=${5:-"pre13a"} ;#experiment names -export VSDB_START_DATE=${6:-$VSDB_START_DATE} ;#map starting date -export anl_type=${7:-${anltype:-gfs}} ;#analysis type for verification: gfs, gdas, ecmwf, manl or canl -export gfs_cyc=${8:-${gfs_cyc:-1}} ;#number of GFS cycles, 1-->00Z, 4-->00Z 06Z 12Z and 18Z -export iauf00=${9:-${iauf00:-"NO"}} ;#set pgbf00=pgbanl for forecasts with IAU - -export exp1dir=${ARCDIR1:-/global/hires/glopara/archive} ;#online archive of current exp -export scppgb=${SCP_PGB:-"NO"} ;#whether of not to scp pgb files from CLIENT -export sfcvsdb=${sfcvsdb:-"YES"} ;#include the group of surface variables -export rundir0=${rundir:-$STMP/$LOGNAME/vsdb_exp} - -## -##------------------------------------------------------------------- -##------------------------------------------------------------------- - -MAKEVSDBDATA=${VSDB_STEP1:-NO} ;#To create VSDB date - -MAKEMAPS=${VSDB_STEP2:-NO} ;#To make AC and RMS maps - -CONUSPLOTS=${VSDB_STEP2:-NO} ;#To make precip verification plots - -CONUSDATA=${VRFYPRCP:-NO} ;#To compute precip threat skill scores - -VRFYG2OBS=${VRFYG2OBS:-NO} ;#To create grid2obs vsdb date - -#---------------------------------------------------------------------- -export machine=${machine:-WCOSS} ;#WCOSS, THEIA -export machine=$(echo $machine|tr '[a-z]' '[A-Z]') -export ACCOUNT=${ACCOUNT:-GFS-T2O} ;#ibm computer ACCOUNT task -export CUE2RUN=${CUE2RUN:-shared} ;#dev or devhigh or 1 -export CUE2FTP=${CUE2FTP:-$CUE2RUNA} ;#queue for data transfer -export GROUP=${GROUP:-g01} ;#account group -export webhost=${webhost:-"emcrzdm.ncep.noaa.gov"} ;#host for web display -export webhostid=${webhostid:-$LOGNAME} ;#id of webhost -export ftpdir=${WEBDIR:-/home/people/emc/www/htdocs/gmb/$webhostid}/vsdb -export doftp=${SEND2WEB:-"NO"} ;#whether or not to sent maps to ftpdir -export vsdbsave=${vsdbsave:-/stmp/$LOGNAME/VSDB/vsdb_data} ;#place where vsdb database is saved - -chost=`echo $(hostname) |cut -c 1-1 ` -chost2=`echo $(hostname) |cut -c 1-2 ` - -if [ $machine = THEIA ]; then - export vsdbhome=${vsdbhome:-/scratch4/NCEPDEV/global/save/Fanglin.Yang/VRFY/vsdb} ;#script home, do not change - export GNOSCRUB=${GNOSCRUB:-/scratch4/NCEPDEV/global/noscrub} ;#archive directory - export STMP=${STMP:-/scratch4/NCEPDEV/stmp3} ;#temporary directory - export PTMP=${PTMP:-/scratch4/NCEPDEV/stmp3} ;#temporary directory - - export obdata=/scratch4/NCEPDEV/global/save/Fanglin.Yang/obdata ;#observation data for making 2dmaps - export gstat=/scratch4/NCEPDEV/global/noscrub/stat ;#global stats directory - export gfsvsdb=$gstat/vsdb_data ;#operational gfs vsdb database - export canldir=$gstat/canl ;#consensus analysis directory - export ecmanldir=$gstat/ecm ;#ecmwf analysis directory - export OBSPCP=$gstat/OBSPRCP ;#observed precip for verification - export gfswgnedir=$gstat/wgne1 ;#operational gfs precip QPF scores - export gfsfitdir=$gstat/surufits ;#Suru operational model fit-to-obs database - export gdas_prepbufr_arch=$gstat/prepbufr/gdas - export ndasbufr_arch=$gstat/prepbufr/ndas - export nambufr_arch=$gstat/prepbufr/nam - export SUBJOB=$vsdbhome/bin/sub_theia ;#script for submitting batch jobs - export CUE2FTP=service ;#data transfer queue - export NWPROD=$vsdbhome/nwprod ;#common utilities and libs included in /nwprod - export GRADSBIN=/apps/grads/2.0.1a/bin ;#GrADS executables - export IMGCONVERT=/usr/bin/convert ;#image magic converter - export FC=/apps/intel/composer_xe_2013_sp1.2.144/bin/intel64/ifort ;#intel compiler - export FFLAG="-O2 -convert big_endian -FR" ;#intel compiler options - export APRUN="" - export COMROTNCO=${COMROTNCO:-/scratch4/NCEPDEV/rstprod/com} - export COMROTNAM=$COMROTNCO - -elif [ $machine = JET ]; then - export vsdbhome=${vsdbhome:-/pan2/projects/gnmip/Fanglin.Yang/VRFY/vsdb} ;#script home, do not change - export GNOSCRUB=${GNOSCRUB:-/pan2/projects/gnmip/$LOGNAME/noscrub} ;#temporary directory - export STMP=${STMP:-/pan2/projects/gnmip/$LOGNAME/ptmp} ;#temporary directory - export PTMP=${PTMP:-/pan2/projects/gnmip/$LOGNAME/ptmp} ;#temporary directory - - export obdata=/pan2/projects/gnmip/Fanglin.Yang/VRFY/obdata ;#observation data for making 2dmaps - export gstat=/pan2/projects/gnmip/Fanglin.Yang/VRFY/stat ;#global stats directory - export gfsvsdb=$gstat/vsdb_data ;#operational gfs vsdb database - export canldir=$gstat/canl ;#consensus analysis directory - export ecmanldir=$gstat/ecm ;#ecmwf analysis directory - export OBSPCP=$gstat/OBSPRCP ;#observed precip for verification - export gfswgnedir=$gstat/wgne1 ;#operational gfs precip QPF scores - export gfsfitdir=$gstat/surufits ;#Suru operational model fit-to-obs database - export gdas_prepbufr_arch=$gstat/prepbufr/gdas - export SUBJOB=$vsdbhome/bin/sub_jet ;#script for submitting batch jobs - export NWPROD=$vsdbhome/nwprod ;#common utilities and libs included in /nwprod - export GRADSBIN=/opt/grads/2.0.a2//bin/grads ;#GrADS executables - export IMGCONVERT=/usr/bin/convert ;#image magic converter - export FC=/opt/intel/Compiler/11.1/072//bin/intel64/ifort ;#intel compiler - export FFLAG="-O2 -convert big_endian -FR" ;#intel compiler options - export APRUN="" - -elif [ $chost = t -o $machine = WCOSS ]; then - export vsdbhome=${vsdbhome:-/global/save/Fanglin.Yang/VRFY/vsdb} ;#script home, do not change - export GNOSCRUB=${GNOSCRUB:-/global/noscrub} ;#archive directory - export STMP=${STMP:-/stmp} ;#temporary directory - export PTMP=${PTMP:-/ptmp} ;#temporary directory - - export obdata=/global/save/Fanglin.Yang/obdata ;#observation data for making 2dmaps - export gstat=/global/noscrub/Fanglin.Yang/stat ;#global stats directory - export gfsvsdb=$gstat/vsdb_data ;#operational gfs vsdb database - export canldir=$gstat/canl ;#consensus analysis directory - export ecmanldir=$gstat/ecm ;#ecmwf analysis directory - export OBSPCP=$gstat/OBSPRCP ;#observed precip for verification - export gfswgnedir=$gstat/wgne1 ;#operational gfs precip QPF scores - export gfsfitdir=$gstat/surufits ;#Suru operational model fit-to-obs database - export gdas_prepbufr_arch=/global/noscrub/Fanglin.Yang/prepbufr/gdas ;#ops gdas prepbufr archive - export ndasbufr_arch=/global/noscrub/Fanglin.Yang/prepbufr/ndas - export nambufr_arch=/global/noscrub/Fanglin.Yang/prepbufr/nam - export SUBJOB=$vsdbhome/bin/sub_wcoss ;#script for submitting batch jobs - export CUE2FTP=transfer ;#data transfer queue - export NWPROD=$vsdbhome/nwprod ;#common utilities and libs included in /nwprod - export GRADSBIN=/usrx/local/GrADS/2.0.2/bin ;#GrADS executables - export IMGCONVERT=/usrx/local/ImageMagick/6.8.3-3/bin/convert ;#image magic converter - export FC=/usrx/local/intel/composer_xe_2011_sp1.11.339/bin/intel64/ifort ;#intel compiler - export FFLAG="-O2 -convert big_endian -FR" ;#fortran compiler options - export APRUN="" - export COMROTNCO=${COMROTNCO:-/gpfs/hps/nco/ops/com} - export COMROTNAM=${COMROTNAM:-/com2} - -elif [ $machine = WCOSS_C ]; then - export vsdbhome=${vsdbhome:-/gpfs/hps3/emc/global/noscrub/Fanglin.Yang/VRFY/vsdb} ;#script home, do not change - export GNOSCRUB=${GNOSCRUB:-/gpfs/hps3/emc/global/noscrub} ;#archive directory - export STMP=${STMP:-/gpfs/hps3/stmp} ;#temporary directory - export PTMP=${PTMP:-/gpfs/hps3/ptmp} ;#temporary directory - - export obdata=/gpfs/hps3/emc/global/noscrub/Fanglin.Yang/obdata ;#observation data for making 2dmaps - export gstat=/gpfs/hps3/emc/global/noscrub/Fanglin.Yang/stat ;#global stats directory - export gfsvsdb=$gstat/vsdb_data ;#operational gfs vsdb database - export canldir=$gstat/canl ;#consensus analysis directory - export ecmanldir=$gstat/ecm ;#ecmwf analysis directory - export OBSPCP=$gstat/OBSPRCP ;#observed precip for verification - export gfswgnedir=$gstat/wgne ;#operational gfs precip QPF scores - export gfsfitdir=$gstat/surufits ;#Suru operational model fit-to-obs database - export gdas_prepbufr_arch=/gpfs/hps3/emc/global/noscrub/Fanglin.Yang/prepbufr/gdas ;#ops gdas prepbufr archive - export ndasbufr_arch=/gpfs/hps3/emc/global/noscrub/Fanglin.Yang/prepbufr/ndas - export nambufr_arch=/gpfs/hps3/emc/global/noscrub/Fanglin.Yang/prepbufr/nam - export SUBJOB=$vsdbhome/bin/sub_wcoss_c ;#script for submitting batch jobs - export CUE2FTP=dev_transfer ;#data transfer queue - export NWPROD=$vsdbhome/nwprod ;#common utilities and libs included in /nwprod - export GRADSBIN=/gpfs/hps3/emc/global/noscrub/Fanglin.Yang/software/grads-2.1.a2/bin - export IMGCONVERT=/usr/bin/convert ;#image magic converter - export FC=/opt/intel/composer_xe_2015.3.187/bin/intel64/ifort - export FFLAG="-O2 -convert big_endian -FR" ;#fortran compiler options - export APRUN="aprun -n 1 -N 1 -j 1 -d 1" - . $MODULESHOME/init/sh - module load prod_envir - export COMROTNCO=${COMROTNCO:-$COMROOT} - export COMROTNAM=${COMROTNAM:-$COMROOTp2} -fi - -if [ $gfs_cyc = 1 ]; then - export vhrlist=${vhrlist:-"$cycle"} ;#verification hours for each day - export fcyclist="$cycle" ;#forecast cycles to be included in stats computation - export cyc2runvsdb="$cycle" ;#cycle to run vrfy which will generate vsdb data for all cycles of the day -elif [ $gfs_cyc = 2 ]; then - export vhrlist=${vhrlist:-"00 12 "} ;#verification hours for each day - export fcyclist="00 12" ;#forecast cycles to be included in stats computation - export cyc2runvsdb=12 ;#cycle to run vrfy which will generate vsdb data for all cycles of the day -elif [ $gfs_cyc = 4 ]; then - export vhrlist=${vhrlist:-"00 06 12 18"} ;#verification hours for each day - export fcyclist="00 06 12 18" ;#forecast cycles to be included in stats computation - export cyc2runvsdb=18 ;#cycle to run vrfy which will generate vsdb data for all cycles of the day -else - echo "gfs_cyc must be 1, 2 or 4, quit vsdbjob" - exit -fi - -if [ $cycle != $cyc2runvsdb ]; then - MAKEVSDBDATA=NO - MAKEMAPS=NO - VRFYG2OBS=NO -fi -if [ $cycle != 00 -a $cycle != 12 ]; then - CONUSPLOTS=NO - CONUSDATA=NO -fi - - -### -------------------------------------------------------------- -### make vsdb database - if [ $MAKEVSDBDATA = YES ] ; then -### -------------------------------------------------------------- -export fcyclist="$fcyclist" ;#all fcst cycles to be included in verification -export expnlist=$exp1name ;#experiment names -export expdlist=$exp1dir ;#exp online archive directories -export complist=$(hostname) ;#computers where experiments are run -export dumplist=".gfs." ;#file format pgb${asub}${fhr}${dump}${yyyymmdd}${cyc} - -export anl_type=$anl_type ;#analysis type for verification: gfs, gdas or canl -export DATEST=$DATEST ;#verification starting date -export DATEND=$DATEND ;#verification ending date -export vlength=$vlength ;#forecast length in hour -export asub=${asub:-a} ;#string in pgb anal file after pgb, say, pgbanl, pgbhnl -export fsub=${fsub:-f} ;#string in pgb fcsy file after pgb, say, pgbf06, pgbh06 - -if [ ! -d $vsdbhome ]; then - echo "$vsdbhome does not exist " - exit -fi -if [ ! -d $expdlist ]; then - echo "$expdlist does not exist " - exit -fi - -export rundir=$rundir0/acrmse_stat -#export listvar1=fcyclist,vhrlist,expnlist,expdlist,complist,dumplist,DATEST,DATEND,vlength,rundir -#export listvar2=machine,anl_type,scppgb,sfcvsdb,canldir,ecmanldir,vsdbsave,vsdbhome,gd,NWPROD -#export listvar="$listvar1,$listvar2" - -${vsdbhome}/verify_exp_step1.sh - -### -------------------------------------------------------------- - fi -### -------------------------------------------------------------- - - - -### -------------------------------------------------------------- -### make AC and RMSE maps - if [ $MAKEMAPS = YES ] ; then -### -------------------------------------------------------------- -# -export mdlist=${mdlist:-"gfs $exp1name"} ;#experiment names, up to 10 -export fcyclist="$fcyclist" ;#forecast cycles to show on map -export DATEST=${VSDB_START_DATE:-$DATEST} ;#map starting date starting date to show on map -export DATEND=$DATEND ;#verification ending date to show on map -export vlength=$vlength ;#forecast length in hour to show on map -export maptop=${maptop:-10} ;#can be set to 10, 50 or 100 hPa for cross-section maps -export maskmiss=${maskmiss:-1} ;#remove missing data from all models to unify sample size, 0-->NO, 1-->Yes - -set -A namelist $mdlist -export rundir=$rundir0/acrmse_map - -${vsdbhome}/verify_exp_step2.sh -### -------------------------------------------------------------- - fi -### -------------------------------------------------------------- - - -### -------------------------------------------------------------- -### make CONUS precip plots - if [ $CONUSPLOTS = YES ] ; then -### -------------------------------------------------------------- -export expnlist=$mdlist ;#experiment names, up to 6 -export expdlist=${expd_list:-"$exp1dir $exp1dir $exp1dir $exp1dir $exp1dir $exp1dir"} ;#precip stats online archive dirs -export complist=${comp_list:-"$(hostname) $(hostname) $(hostname) $(hostname) $(hostname) $(hostname) "} ;#computers where experiments are run - -export cycle=$cycle ;#cycle to make QPF plots -export DATEST=$DATEST ;#forecast starting date to show on map -export DATEND=$(echo $($NWPROD/util/exec/ndate -${VBACKUP_PRCP:-00} ${DATEND}00 ) |cut -c1-8 ) -export rundir=$rundir0/rain_map -export scrdir=${vsdbhome}/precip -export vhour=${vhr_rain:-${vhour:-180}} ;#verification length in hour - -${scrdir}/plot_pcp.sh -### -------------------------------------------------------------- - fi -### -------------------------------------------------------------- - - -### -------------------------------------------------------------- -### compute precip threat score stats over CONUS - if [ $CONUSDATA = YES ] ; then -### -------------------------------------------------------------- -export cycle=$cycle ;#cycle to generate QPF stats data -export expnlist=$exp1name ;#experiment names -export expdlist=`dirname $COMROT` ;#exp online archive directories -export complist=$(hostname) ;#computers where experiments are run -export dumplist=".gfs." ;#file format pgb${asub}${fhr}${dump}${yyyymmdd}${cyc} -export DATEST=`$NWPROD/util/exec/ndate -${VBACKUP_PRCP:-00} ${DATEST}00 |cut -c 1-8 ` ;#verification starting date -export DATEND=`$NWPROD/util/exec/ndate -${VBACKUP_PRCP:-00} ${DATEND}00 |cut -c 1-8 ` ;#verification starting date - -export ftyplist=${ftyplist:-"flxf"} ;#file types: pgbq or flxf -export dumplist=${dumplist:-".gfs."} ;#file format ${ftyp}f${fhr}${dump}${yyyymmdd}${cyc} -export ptyplist=${ptyplist:-"PRATE"} ;#precip types in GRIB: PRATE or APCP -export bucket=${bucket:-6} ;#accumulation bucket in hours. bucket=0 -- continuous accumulation -export fhout=6 ;#forecast output frequency in hours -export vhour=${vhr_rain:-${vhour:-180}} ;#verification length in hour -export ARCDIR=${ARCDIR1:-$GNOSCRUB/$LOGNAME/archive} ;#directory to save stats data -export rundir=$rundir0/rain_stat -export scrdir=${vsdbhome}/precip - -#export listvar1=expnlist,expdlist,complist,ftyplist,dumplist,ptyplist,bucket,fhout,cyclist,vhour -#export listvar2=machine,DATEST,DATEND,ARCDIR,rundir,scrdir,OBSPCP,mapdir,scppgb,NWPROD -#export listvar="$listvar1,$listvar2" - -${scrdir}/mkup_rain_stat.sh -### -------------------------------------------------------------- - fi -### -------------------------------------------------------------- - - -### -------------------------------------------------------------- -### make grid2obs vsdb database - if [ $VRFYG2OBS = YES ] ; then -### -------------------------------------------------------------- -export cyclist="$fcyclist" ;#all fcst cycles to be included in verification -export expnlist="$exp1name" ;#experiment names -export expdlist="$exp1dir" ;#exp online archive directories -export complist="$(hostname)" ;#computers where experiments are run -export dumplist=".gfs." ;#file format pgb${asub}${fhr}${dump}${yyyymmdd}${cyc} -export fhoutair="6" ;#forecast output frequency in hours for raobs vrfy -export fhoutsfc="3" ;#forecast output frequency in hours for sfc vrfy -export gdtype="3" ;#pgb file resolution, 2 for 2.5-deg and 3 for 1-deg -export vsdbsfc="YES" ;#run sfc verification -export vsdbair="YES" ;#run upper-air verification -if [ $vlength -ge 168 ]; then - export vlength=168 ;#forecast length in hour -else - export vlength=$vlength ;#forecast length in hour -fi -export DATEST=`$NWPROD/util/exec/ndate -${VBACKUP_G2OBS:-00} ${DATEST}00 |cut -c 1-8 ` ;#verification starting date -export DATEND=`$NWPROD/util/exec/ndate -${VBACKUP_G2OBS:-00} ${DATEND}00 |cut -c 1-8 ` ;#verification ending date -export batch=YES -export rundir=$rundir0/grid2obs_stat -export HPSSTAR=${HPSSTAR:-/u/Fanglin.Yang/bin/hpsstar} -export hpssdirlist=${hpsslist:-"/5year/NCEPDEV/emc-global/$LOGNAME/$machine"} -export runhpss=${runhpss:-NO} ;#run hpsstar in batch mode if data are missing - -if [ ! -d $vsdbhome ]; then - echo "$vsdbhome does not exist " - exit -fi -if [ ! -d $expdlist ]; then - echo "$expdlist does not exist " - exit -fi - - -#listvar1=vsdbhome,vsdbsave,cyclist,expnlist,expdlist,dumplist,complist,fhoutair,fhoutsfc,vsdbsfc,vsdbair,gdtype,vlength -#listvar2=NWPROD,SUBJOB,ACCOUNT,CUE2RUN,CUE2FTP,GROUP,DATEST,DATEND,rundir,HPSSTAR,gdas_prepbufr_arch,batch,runhpss,APRUN,COMROTNCO -#export listvar=$listvar1,$listvar2 -${vsdbhome}/grid2obs/grid2obs.sh - - -### -------------------------------------------------------------- - fi -### -------------------------------------------------------------- - -exit - diff --git a/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/vsdbjob.txt b/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/vsdbjob.txt deleted file mode 100644 index baf0736..0000000 --- a/model/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/vsdbjob.txt +++ /dev/null @@ -1 +0,0 @@ -VSDBSH=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/verif/global/tags/vsdb/vsdbjob.sh diff --git a/model/fv3gfs/scripts/exglobal_fcst_crow.sh b/model/fv3gfs/scripts/exglobal_fcst_crow.sh deleted file mode 100755 index 0415c49..0000000 --- a/model/fv3gfs/scripts/exglobal_fcst_crow.sh +++ /dev/null @@ -1,288 +0,0 @@ -#!/bin/ksh -################################################################################ -# UNIX Script Documentation Block -# Script name: exglobal_fcst_nemsfv3gfs.sh.ecf -# Script description: Runs a global FV3GFS model forecast -# -# Author: Fanglin Yang Org: NCEP/EMC Date: 2016-11-15 -# Abstract: This script runs a single GFS forecast with FV3 dynamical core. -# This script is created based on a C-shell script that GFDL wrote -# for the NGGPS Phase-II Dycore Comparison Project. -# -# Script history log: -# 2016-11-15 Fanglin Yang First Version. -# 2017-02-09 Rahul Mahajan Added warm start and restructured the code. -# 2017-03-10 Fanglin Yang Updated for running forecast on Cray. -# 2017-03-24 Fanglin Yang Updated to use NEMS FV3GFS with IPD4 -# 2017-05-24 Rahul Mahajan Updated for cycling with NEMS FV3GFS -# 2017-09-13 Fanglin Yang Updated for using GFDL MP and Write Component -# -# $Id$ -# -# Attributes: -# Language: Portable Operating System Interface (POSIX) Shell -# Machine: WCOSS-CRAY, Theia -################################################################################ - -# Set environment. -VERBOSE=${VERBOSE:-"YES"} -if [ $VERBOSE = "YES" ] ; then - echo $(date) EXECUTING $0 $* >&2 - set -x -fi - -# This should be in the script that calls this script, not here -machine=${machine:-"WCOSS_C"} -machine=$(echo $machine | tr '[a-z]' '[A-Z]') -if [ $machine = "WCOSS_C" ] ; then - . $MODULESHOME/init/sh 2>/dev/null - PRGENV=${PRGENV:-intel} - HUGEPAGES=${HUGEPAGES:-hugepages4M} - module unload prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null - module load prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null - module load intel/16.3.210 2>/dev/null - module use /usrx/local/dev/modulefiles - export IOBUF_PARAMS=${IOBUF_PARAMS:-'*:size=8M:verbose'} - export MPICH_GNI_COLL_OPT_OFF=${MPICH_GNI_COLL_OPT_OFF:-MPI_Alltoallv} - export MKL_CBWR=AVX2 - module use /gpfs/hps3/emc/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null - module load esmf/7.1.0bs34 2>/dev/null -elif [ $machine = "THEIA" ]; then - . $MODULESHOME/init/sh 2>/dev/null - module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null - module load esmf/7.1.0bs34 2>/dev/null -fi - -# Directories. -pwd=$(pwd) -DATA=${DATA:-$( pwd -P )/fv3tmp$$} # temporary running directory -SEND=${SEND:-"YES"} #move final result to rotating directory -KEEPDATA=${KEEPDATA:-"NO"} -NTASKS_FV3=${NTASKS_FV3:-$npe_fv3} - -#------------------------------------------------------- -set -ue -if [ ! -d $ROTDIR ]; then mkdir -p $ROTDIR; fi -if [ ! -d $DATA ]; then mkdir -p $DATA ;fi -mkdir -p $DATA/RESTART $DATA/INPUT -cd $DATA -set +ue - -#------------------------------------------------------- -# member directory -if [ $MEMBER -lt 0 ]; then - prefix=$CDUMP - rprefix=$rCDUMP - memchar="" -else - prefix=enkf.$CDUMP - rprefix=enkf.$rCDUMP - memchar=mem$(printf %03i $MEMBER) -fi -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) -memdir=$ROTDIR/${prefix}.$cymd/$chh/$memchar -if [ ! -d $memdir ]; then mkdir -p $memdir; fi - -GDATE=$($NDATE -$assim_freq $CDATE) -gymd=$(echo $GDATE | cut -c1-8) -ghh=$(echo $GDATE | cut -c9-10) -gmemdir=$ROTDIR/${rprefix}.$gymd/$ghh/$memchar - -#------------------------------------------------------- -# initial conditions -increment_file=${increment_file:-$memdir/${CDUMP}.t${chh}z.atminc.nc} - -if [ $warm_start = ".false." ]; then - if [ -d $ICSDIR/$CDATE/$CDUMP/$CASE/INPUT ]; then - $NCP $ICSDIR/$CDATE/$CDUMP/$CASE/INPUT/* $DATA/INPUT/. - else - for file in $memdir/INPUT/*.nc; do - file2=$(echo $(basename $file)) - fsuf=$(echo $file2 | cut -c1-3) - if [ $fsuf = "gfs" -o $fsuf = "sfc" ]; then - $NLN $file $DATA/INPUT/$file2 - fi - done - fi -else - if [ ${restart_test:-"NO"} = "YES" ]; then - # start from the end of last forecast run - $NLN $gmemdir/RESTART/* $DATA/INPUT/. - else - - # Link all (except sfc_data) restart files from $gmemdir - for file in $gmemdir/RESTART/${cymd}.${chh}0000.*.nc; do - file2=$(echo $(basename $file)) - file2=$(echo $file2 | cut -d. -f3-) # remove the date from file - fsuf=$(echo $file2 | cut -d. -f1) - if [ $fsuf != "sfc_data" ]; then - $NLN $file $DATA/INPUT/$file2 - fi - done - - # Link sfcanl_data restart files from $memdir - for file in $memdir/RESTART/${cymd}.${chh}0000.*.nc; do - file2=$(echo $(basename $file)) - file2=$(echo $file2 | cut -d. -f3-) # remove the date from file - fsufanl=$(echo $file2 | cut -d. -f1) - if [ $fsufanl = "sfcanl_data" ]; then - file2=$(echo $file2 | sed -e "s/sfcanl_data/sfc_data/g") - $NLN $file $DATA/INPUT/$file2 - fi - done - - # Handle coupler.res file for DA cycling - if [ ${USE_COUPLER_RES:-"YES"} = "YES" ]; then - # In DA, this is not really a "true restart", - # and the model start time is the analysis time - # The alternative is to replace - # model start time with current model time in coupler.res - file=$gmemdir/RESTART/${cymd}.${chh}0000.coupler.res - file2=$(echo $(basename $file)) - file2=$(echo $file2 | cut -d. -f3-) # remove the date from file - $NLN $file $DATA/INPUT/$file2 - fi - - if [ $read_increment = ".true." ]; then - if [ -f $increment_file ]; then - $NLN $increment_file $DATA/INPUT/fv3_increment.nc - else - read_increment=".false." - fi - fi - fi -fi -nfiles=$(ls -1 $DATA/INPUT/* | wc -l) -if [ $nfiles -le 0 ]; then - echo "Initial conditions must exist in $DATA/INPUT, ABORT!" - exit 1 -fi - -#-------------------------------------------------------------------------- -# Grid and orography data -for n in $(seq 1 $ntiles); do - $NLN $FIX_FV3/$CASE/${CASE}_grid.tile${n}.nc $DATA/INPUT/${CASE}_grid.tile${n}.nc - $NLN $FIX_FV3/$CASE/${CASE}_oro_data.tile${n}.nc $DATA/INPUT/oro_data.tile${n}.nc -done -$NLN $FIX_FV3/$CASE/${CASE}_mosaic.nc $DATA/INPUT/grid_spec.nc - -# GFS standard input data - -$NLN $FIX_AM/global_solarconstant_noaa_an.txt $DATA/solarconstant_noaa_an.txt -$NLN $FIX_AM/global_o3prdlos.f77 $DATA/INPUT/global_o3prdlos.f77 -$NLN $FIX_AM/global_sfc_emissivity_idx.txt $DATA/sfc_emissivity_idx.txt - -$NLN $FIX_AM/global_co2historicaldata_glob.txt $DATA/co2historicaldata_glob.txt -$NLN $FIX_AM/co2monthlycyc.txt $DATA/co2monthlycyc.txt -if [ $ICO2 -gt 0 ]; then - for file in $(ls $FIX_AM/fix_co2_proj/global_co2historicaldata*) ; do - $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") - done -fi - -$NLN $FIX_AM/global_climaeropac_global.txt $DATA/aerosol.dat -if [ $IAER -gt 0 ] ; then - for file in $(ls $FIX_AM/global_volcanic_aerosols*) ; do - $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") - done -fi - -#------------------------------------------------------------------ -# Namelists. - -CROW_TO_SH="$HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH" - -# Override stochastic physics seeds if requested: -if [ ${SET_STP_SEED:-"YES"} = "YES" ]; then - ISEED_SKEB=$((CDATE*1000 + MEMBER*10 + 1)) - ISEED_SHUM=$((CDATE*1000 + MEMBER*10 + 2)) - ISEED_SPPT=$((CDATE*1000 + MEMBER*10 + 3)) - CROW_TO_SH="$CROW_TO_SH apply:ISEED_SKEB=$ISEED_SKEB apply:ISEED_SHUM=$ISEED_SHUM apply:ISEED_SPPT=$ISEED_SPPT" -fi - -set -eu - -# Build the FMS diag_table with the experiment name and date stamp: -pwd -ls -ld . -eval $( $CROW_TO_SH DIAG_TABLE=DIAG_TABLE ) -$CROW_TO_SH expand:diag_table_header > ./diag_table -cat diag_table -cat $DIAG_TABLE >> ./diag_table - -$NCP $DATA_TABLE data_table -$NCP $FIELD_TABLE field_table - -# NEMS and FV3 namelists: -$CROW_TO_SH expand:input_nml > ./input.nml -cat input.nml -$CROW_TO_SH expand:nems_configure > ./nems.configure -cat nems.configure -$CROW_TO_SH expand:model_configure > ./model_configure -cat model_configure - -set +eu - -#------------------------------------------------------------------ -# setup the runtime environment and run the executable -cd $DATA -$NCP $FCSTEXECDIR/$FCSTEXEC $DATA/. -export OMP_NUM_THREADS=$NTHREADS_FV3 -$APRUN_FV3 $DATA/$FCSTEXEC 1>&1 2>&2 -export ERR=$? -export err=$ERR -$ERRSCRIPT || exit $err - -#------------------------------------------------------------------ -if [ $SEND = "YES" ]; then - # Copy model output files - cd $DATA - if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then - $NCP ${CDUMP}.t${chh}z.atm*.nemsio $memdir/. - $NCP ${CDUMP}.t${chh}z.sfc*.nemsio $memdir/. - else - for n in $(seq 1 $ntiles); do - for file in *.tile${n}.nc; do - $NCP $file $memdir/. - done - done - fi - - # Copy model restart files - cd $DATA/RESTART - mkdir -p $memdir/RESTART - - # Add time-stamp to restart files at FHMAX (this should be done inside the model) - RDATE=$($NDATE +$FHMAX $CDATE) - rymd=$(echo $RDATE | cut -c1-8) - rhh=$(echo $RDATE | cut -c9-10) - for file in $(ls * | grep -v 0000); do - $NMV $file ${rymd}.${rhh}0000.$file - done - - # Only save restarts at single time in RESTART directory - # Either at FHMAX or at first time in restart_interval - if [ $restart_interval -eq 0 ]; then - RDATE=$($NDATE +$FHMAX $CDATE) - else - RDATE=$($NDATE +$restart_interval $CDATE) - fi - rymd=$(echo $RDATE | cut -c1-8) - rhh=$(echo $RDATE | cut -c9-10) - for file in ${rymd}.${rhh}0000.* ; do - $NCP $file $memdir/RESTART/$file - done - -fi - -#------------------------------------------------------------------ -# Clean up before leaving -if [ $KEEPDATA = "NO" ]; then rm -rf $DATA; fi - -#------------------------------------------------------------------ -set +x -if [ $VERBOSE = "YES" ] ; then - echo $(date) EXITING $0 with return code $err >&2 -fi -exit 0 diff --git a/model/fv3gfs/scripts/exglobal_fcst_crow_df.sh b/model/fv3gfs/scripts/exglobal_fcst_crow_df.sh deleted file mode 100755 index 62b4b56..0000000 --- a/model/fv3gfs/scripts/exglobal_fcst_crow_df.sh +++ /dev/null @@ -1,236 +0,0 @@ -#!/bin/ksh -################################################################################ -# UNIX Script Documentation Block -# Script name: exglobal_fcst_nemsfv3gfs.sh.ecf -# Script description: Runs a global FV3GFS model forecast -# -# Author: Fanglin Yang Org: NCEP/EMC Date: 2016-11-15 -# Abstract: This script runs a single GFS forecast with FV3 dynamical core. -# This script is created based on a C-shell script that GFDL wrote -# for the NGGPS Phase-II Dycore Comparison Project. -# -# Script history log: -# 2016-11-15 Fanglin Yang First Version. -# 2017-02-09 Rahul Mahajan Added warm start and restructured the code. -# 2017-03-10 Fanglin Yang Updated for running forecast on Cray. -# 2017-03-24 Fanglin Yang Updated to use NEMS FV3GFS with IPD4 -# 2017-05-24 Rahul Mahajan Updated for cycling with NEMS FV3GFS -# 2017-09-13 Fanglin Yang Updated for using GFDL MP and Write Component -# -# $Id$ -# -# Attributes: -# Language: Portable Operating System Interface (POSIX) Shell -# Machine: WCOSS-CRAY, Theia -################################################################################ - -# Set environment. -VERBOSE=${VERBOSE:-"YES"} -if [ $VERBOSE = "YES" ] ; then - echo $(date) EXECUTING $0 $* >&2 - set -x -fi - -# This should be in the script that calls this script, not here -machine=${machine:-"WCOSS_C"} -machine=$(echo $machine | tr '[a-z]' '[A-Z]') -if [ $machine = "WCOSS_C" ] ; then - . $MODULESHOME/init/sh 2>/dev/null - PRGENV=${PRGENV:-intel} - HUGEPAGES=${HUGEPAGES:-hugepages4M} - module unload prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null - module load prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null - module load intel/16.3.210 2>/dev/null - module use /usrx/local/dev/modulefiles - export IOBUF_PARAMS=${IOBUF_PARAMS:-'*:size=8M:verbose'} - export MPICH_GNI_COLL_OPT_OFF=${MPICH_GNI_COLL_OPT_OFF:-MPI_Alltoallv} - export MKL_CBWR=AVX2 - module use /gpfs/hps3/emc/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null - module load esmf/7.1.0bs34 2>/dev/null -elif [ $machine = "THEIA" ]; then - . $MODULESHOME/init/sh 2>/dev/null - module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null - module load esmf/7.1.0bs34 2>/dev/null -fi - -# Directories. -pwd=$(pwd) -DATA=${DATA:-$pwd/fv3tmp$$} # temporary running directory -SEND=${SEND:-"YES"} #move final result to rotating directory -KEEPDATA=${KEEPDATA:-"NO"} -NTASKS_FV3=${NTASKS_FV3:-$npe_fv3} - -#------------------------------------------------------- -set -ue -if [ ! -d $ROTDIR ]; then mkdir -p $ROTDIR; fi -if [ ! -d $DATA ]; then mkdir -p $DATA ;fi -mkdir -p $DATA/RESTART $DATA/INPUT -cd $DATA -set +ue - -#------------------------------------------------------- -# member directory -if [ $MEMBER -lt 0 ]; then - prefix=$CDUMP - rprefix=$rCDUMP - memchar="" -else - prefix=enkf.$CDUMP - rprefix=enkf.$rCDUMP - memchar=mem$(printf %03i $MEMBER) -fi -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) -memdir=$ROTDIR/${prefix}.$cymd/$chh/$memchar -if [ ! -d $memdir ]; then mkdir -p $memdir; fi - -GDATE=$($NDATE -$assim_freq $CDATE) -gymd=$(echo $GDATE | cut -c1-8) -ghh=$(echo $GDATE | cut -c9-10) -gmemdir=$ROTDIR/${rprefix}.$gymd/$ghh/$memchar - -#------------------------------------------------------- -# initial conditions -set -ue -increment_file=${increment_file:-$memdir/${CDUMP}.t${chh}z.atminc.nc} - -ACTOR=$( echo "$TASK_PATH" | sed 's,\.[^.]*$,,g' ) - -$HOMEcrow/crow_dataflow_deliver_sh.py -v -o "$DATA/INPUT/gfs_ctrl.nc" \ - "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=gfs_ctrl_nc - -$HOMEcrow/crow_dataflow_deliver_sh.py -v -m -o "$DATA/INPUT/{kind}.tile{tile}.nc" \ - "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=input_data_tiles -set +ue - -nfiles=$(ls -1 $DATA/INPUT/* | wc -l) -if [ $nfiles -le 0 ]; then - echo "Initial conditions must exist in $DATA/INPUT, ABORT!" - exit 1 -fi - -#-------------------------------------------------------------------------- -# Grid and orography data -for n in $(seq 1 $ntiles); do - $NLN $FIX_FV3/$CASE/${CASE}_grid.tile${n}.nc $DATA/INPUT/${CASE}_grid.tile${n}.nc - $NLN $FIX_FV3/$CASE/${CASE}_oro_data.tile${n}.nc $DATA/INPUT/oro_data.tile${n}.nc -done -$NLN $FIX_FV3/$CASE/${CASE}_mosaic.nc $DATA/INPUT/grid_spec.nc - -# GFS standard input data - -$NLN $FIX_AM/global_solarconstant_noaa_an.txt $DATA/solarconstant_noaa_an.txt -$NLN $FIX_AM/global_o3prdlos.f77 $DATA/INPUT/global_o3prdlos.f77 -$NLN $FIX_AM/global_sfc_emissivity_idx.txt $DATA/sfc_emissivity_idx.txt - -$NLN $FIX_AM/global_co2historicaldata_glob.txt $DATA/co2historicaldata_glob.txt -$NLN $FIX_AM/co2monthlycyc.txt $DATA/co2monthlycyc.txt -if [ $ICO2 -gt 0 ]; then - for file in $(ls $FIX_AM/fix_co2_proj/global_co2historicaldata*) ; do - $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") - done -fi - -$NLN $FIX_AM/global_climaeropac_global.txt $DATA/aerosol.dat -if [ $IAER -gt 0 ] ; then - for file in $(ls $FIX_AM/global_volcanic_aerosols*) ; do - $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") - done -fi - -#------------------------------------------------------------------ -# Namelists. - -CROW_TO_SH="$HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH" - -# Override stochastic physics seeds if requested: -if [ ${SET_STP_SEED:-"YES"} = "YES" ]; then - ISEED_SKEB=$((CDATE*1000 + MEMBER*10 + 1)) - ISEED_SHUM=$((CDATE*1000 + MEMBER*10 + 2)) - ISEED_SPPT=$((CDATE*1000 + MEMBER*10 + 3)) - CROW_TO_SH="$CROW_TO_SH apply:ISEED_SKEB=$ISEED_SKEB apply:ISEED_SHUM=$ISEED_SHUM apply:ISEED_SPPT=$ISEED_SPPT" -fi - -set -eu - -# Build the FMS diag_table with the experiment name and date stamp: -eval $( $CROW_TO_SH DIAG_TABLE=DIAG_TABLE ) -$CROW_TO_SH expand:diag_table_header > diag_table -cat diag_table -cat $DIAG_TABLE >> diag_table - -$NCP $DATA_TABLE data_table -$NCP $FIELD_TABLE field_table - -# NEMS and FV3 namelists: -$CROW_TO_SH expand:input_nml > input.nml -cat input.nml -$CROW_TO_SH expand:nems_configure > nems.configure -cat nems.configure -$CROW_TO_SH expand:model_configure > model_configure -cat model_configure - -set +eu - -#------------------------------------------------------------------ -# setup the runtime environment and run the executable -cd $DATA -$NCP $FCSTEXECDIR/$FCSTEXEC $DATA/. -export OMP_NUM_THREADS=$NTHREADS_FV3 -$APRUN_FV3 $DATA/$FCSTEXEC 1>&1 2>&2 -export ERR=$? -export err=$ERR -$ERRSCRIPT || exit $err - -#------------------------------------------------------------------ -if [ $SEND = "YES" ]; then - # Copy model output files - cd $DATA - if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then - $NCP ${CDUMP}.t${chh}z.atm*.nemsio $memdir/. - $NCP ${CDUMP}.t${chh}z.sfc*.nemsio $memdir/. - else - for n in $(seq 1 $ntiles); do - for file in *.tile${n}.nc; do - $NCP $file $memdir/. - done - done - fi - - # Copy model restart files - cd $DATA/RESTART - mkdir -p $memdir/RESTART - - # Add time-stamp to restart files at FHMAX (this should be done inside the model) - RDATE=$($NDATE +$FHMAX $CDATE) - rymd=$(echo $RDATE | cut -c1-8) - rhh=$(echo $RDATE | cut -c9-10) - for file in $(ls * | grep -v 0000); do - $NMV $file ${rymd}.${rhh}0000.$file - done - - # Only save restarts at single time in RESTART directory - # Either at FHMAX or at first time in restart_interval - if [ $restart_interval -eq 0 ]; then - RDATE=$($NDATE +$FHMAX $CDATE) - else - RDATE=$($NDATE +$restart_interval $CDATE) - fi - rymd=$(echo $RDATE | cut -c1-8) - rhh=$(echo $RDATE | cut -c9-10) - for file in ${rymd}.${rhh}0000.* ; do - $NCP $file $memdir/RESTART/$file - done - -fi - -#------------------------------------------------------------------ -# Clean up before leaving -if [ $KEEPDATA = "NO" ]; then rm -rf $DATA; fi - -#------------------------------------------------------------------ -set +x -if [ $VERBOSE = "YES" ] ; then - echo $(date) EXITING $0 with return code $err >&2 -fi -exit 0 diff --git a/model/fv3gfs/scripts/exglobal_fcst_crow_exe.sh b/model/fv3gfs/scripts/exglobal_fcst_crow_exe.sh deleted file mode 100755 index 84b0e6e..0000000 --- a/model/fv3gfs/scripts/exglobal_fcst_crow_exe.sh +++ /dev/null @@ -1,176 +0,0 @@ -#!/bin/ksh -################################################################################ -# UNIX Script Documentation Block -# Script name: exglobal_fcst_nemsfv3gfs.sh.ecf -# Script description: Runs a global FV3GFS model forecast -# -# Author: Fanglin Yang Org: NCEP/EMC Date: 2016-11-15 -# Abstract: This script runs a single GFS forecast with FV3 dynamical core. -# This script is created based on a C-shell script that GFDL wrote -# for the NGGPS Phase-II Dycore Comparison Project. -# -# Script history log: -# 2016-11-15 Fanglin Yang First Version. -# 2017-02-09 Rahul Mahajan Added warm start and restructured the code. -# 2017-03-10 Fanglin Yang Updated for running forecast on Cray. -# 2017-03-24 Fanglin Yang Updated to use NEMS FV3GFS with IPD4 -# 2017-05-24 Rahul Mahajan Updated for cycling with NEMS FV3GFS -# 2017-09-13 Fanglin Yang Updated for using GFDL MP and Write Component -# -# $Id$ -# -# Attributes: -# Language: Portable Operating System Interface (POSIX) Shell -# Machine: WCOSS-CRAY, Theia -################################################################################ - -# Set environment. -VERBOSE=${VERBOSE:-"YES"} -if [ $VERBOSE = "YES" ] ; then - echo $(date) EXECUTING $0 $* >&2 - set -x -fi - -# This should be in the script that calls this script, not here -machine=${machine:-"WCOSS_C"} -machine=$(echo $machine | tr '[a-z]' '[A-Z]') -if [ $machine = "WCOSS_C" ] ; then - . $MODULESHOME/init/sh 2>/dev/null - PRGENV=${PRGENV:-intel} - HUGEPAGES=${HUGEPAGES:-hugepages4M} - module unload prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null - module load prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null - module load intel/16.3.210 2>/dev/null - module use /usrx/local/dev/modulefiles - export IOBUF_PARAMS=${IOBUF_PARAMS:-'*:size=8M:verbose'} - export MPICH_GNI_COLL_OPT_OFF=${MPICH_GNI_COLL_OPT_OFF:-MPI_Alltoallv} - export MKL_CBWR=AVX2 - module use /gpfs/hps3/emc/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null - module load esmf/7.1.0bs34 2>/dev/null -elif [ $machine = "THEIA" ]; then - . $MODULESHOME/init/sh 2>/dev/null - module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null - module load esmf/7.1.0bs34 2>/dev/null -fi - -# Directories. -pwd=$(pwd) -DATA=${DATA:-$pwd/fv3tmp$$} # temporary running directory -SEND=${SEND:-"YES"} #move final result to rotating directory -KEEPDATA=${KEEPDATA:-"NO"} -NTASKS_FV3=${NTASKS_FV3:-$npe_fv3} - -#------------------------------------------------------- -set -ue -if [ ! -d $ROTDIR ]; then mkdir -p $ROTDIR; fi -if [ ! -d $DATA ]; then mkdir -p $DATA ;fi -mkdir -p $DATA/RESTART $DATA/INPUT -cd $DATA -set +ue - -#------------------------------------------------------- -# initial conditions -set -ue - -ACTOR=$( echo "$TASK_PATH" | sed 's,\.[^.]*$,,g' ) - -$HOMEcrow/crow_dataflow_deliver_sh.py -v -o "$DATA/INPUT/gfs_ctrl.nc" \ - "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=gfs_ctrl_nc - -$HOMEcrow/crow_dataflow_deliver_sh.py -v -m -o "$DATA/INPUT/{kind}.tile{tile}.nc" \ - "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=input_data_tiles -set +ue - -nfiles=$(ls -1 $DATA/INPUT/* | wc -l) -if [ $nfiles -le 0 ]; then - echo "Initial conditions must exist in $DATA/INPUT, ABORT!" - exit 1 -fi - -#-------------------------------------------------------------------------- -# Grid and orography data -for n in $(seq 1 $ntiles); do - $NLN $FIX_FV3/$CASE/${CASE}_grid.tile${n}.nc $DATA/INPUT/${CASE}_grid.tile${n}.nc - $NLN $FIX_FV3/$CASE/${CASE}_oro_data.tile${n}.nc $DATA/INPUT/oro_data.tile${n}.nc -done -$NLN $FIX_FV3/$CASE/${CASE}_mosaic.nc $DATA/INPUT/grid_spec.nc - -# GFS standard input data - -$NLN $FIX_AM/global_solarconstant_noaa_an.txt $DATA/solarconstant_noaa_an.txt -$NLN $FIX_AM/global_o3prdlos.f77 $DATA/INPUT/global_o3prdlos.f77 -$NLN $FIX_AM/global_sfc_emissivity_idx.txt $DATA/sfc_emissivity_idx.txt - -$NLN $FIX_AM/global_co2historicaldata_glob.txt $DATA/co2historicaldata_glob.txt -$NLN $FIX_AM/co2monthlycyc.txt $DATA/co2monthlycyc.txt -if [ $ICO2 -gt 0 ]; then - for file in $(ls $FIX_AM/fix_co2_proj/global_co2historicaldata*) ; do - $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") - done -fi - -$NLN $FIX_AM/global_climaeropac_global.txt $DATA/aerosol.dat -if [ $IAER -gt 0 ] ; then - for file in $(ls $FIX_AM/global_volcanic_aerosols*) ; do - $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") - done -fi - -#------------------------------------------------------------------ -# Namelists. - -set -eu - -CROW_TO_SH="$HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH" - -# Override stochastic physics seeds if requested: -if [ ${SET_STP_SEED:-"YES"} = "YES" ]; then - ISEED_SKEB=$((CDATE*1000 + MEMBER*10 + 1)) - ISEED_SHUM=$((CDATE*1000 + MEMBER*10 + 2)) - ISEED_SPPT=$((CDATE*1000 + MEMBER*10 + 3)) - CROW_TO_SH="$CROW_TO_SH apply:ISEED_SKEB=$ISEED_SKEB apply:ISEED_SHUM=$ISEED_SHUM apply:ISEED_SPPT=$ISEED_SPPT" -fi - -# Build the FMS diag_table with the experiment name and date stamp: -eval $( $CROW_TO_SH DIAG_TABLE=DIAG_TABLE ) -$CROW_TO_SH expand:diag_table_header > diag_table -cat diag_table -cat $DIAG_TABLE >> diag_table - -$NCP $DATA_TABLE data_table -$NCP $FIELD_TABLE field_table - -# NEMS and FV3 namelists: -$CROW_TO_SH expand:input_nml > input.nml -cat input.nml -$CROW_TO_SH expand:nems_configure > nems.configure -cat nems.configure -$CROW_TO_SH expand:model_configure > model_configure -cat model_configure - - -#------------------------------------------------------------------ -# setup the runtime environment and run the executable -cd $DATA -$CROW_TO_SH run:actual_fcst_resources - -#------------------------------------------------------------------ -if [ $SEND = "YES" ]; then - # Copy model output files - cd $DATA - - $HOMEcrow/crow_dataflow_deliver_sh.py -v -m -i '{kind}.tile{tile}.nc' \ - "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=untimed_tiles - - $HOMEcrow/crow_dataflow_deliver_sh.py -v -i \ - 'RESTART/coupler.res' \ - "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=coupler_res - - $HOMEcrow/crow_dataflow_deliver_sh.py -v -m -i \ - 'RESTART/{kind}.tile{tile}.nc' \ - "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=restart_time_tiles -fi - -#------------------------------------------------------------------ -# Clean up before leaving -if [ $KEEPDATA = "NO" ]; then rm -rf $DATA; fi diff --git a/model/fv3gfs/scripts/exglobal_fcst_crow_io.sh b/model/fv3gfs/scripts/exglobal_fcst_crow_io.sh deleted file mode 100755 index c39f719..0000000 --- a/model/fv3gfs/scripts/exglobal_fcst_crow_io.sh +++ /dev/null @@ -1,189 +0,0 @@ -#!/bin/ksh -################################################################################ -# UNIX Script Documentation Block -# Script name: exglobal_fcst_nemsfv3gfs.sh.ecf -# Script description: Runs a global FV3GFS model forecast -# -# Author: Fanglin Yang Org: NCEP/EMC Date: 2016-11-15 -# Abstract: This script runs a single GFS forecast with FV3 dynamical core. -# This script is created based on a C-shell script that GFDL wrote -# for the NGGPS Phase-II Dycore Comparison Project. -# -# Script history log: -# 2016-11-15 Fanglin Yang First Version. -# 2017-02-09 Rahul Mahajan Added warm start and restructured the code. -# 2017-03-10 Fanglin Yang Updated for running forecast on Cray. -# 2017-03-24 Fanglin Yang Updated to use NEMS FV3GFS with IPD4 -# 2017-05-24 Rahul Mahajan Updated for cycling with NEMS FV3GFS -# 2017-09-13 Fanglin Yang Updated for using GFDL MP and Write Component -# -# $Id$ -# -# Attributes: -# Language: Portable Operating System Interface (POSIX) Shell -# Machine: WCOSS-CRAY, Theia -################################################################################ - -# Set environment. -VERBOSE=${VERBOSE:-"YES"} -if [ $VERBOSE = "YES" ] ; then - echo $(date) EXECUTING $0 $* >&2 - set -x -fi - -# This should be in the script that calls this script, not here -machine=${machine:-"WCOSS_C"} -machine=$(echo $machine | tr '[a-z]' '[A-Z]') -if [ $machine = "WCOSS_C" ] ; then - . $MODULESHOME/init/sh 2>/dev/null - PRGENV=${PRGENV:-intel} - HUGEPAGES=${HUGEPAGES:-hugepages4M} - module unload prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null - module load prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null - module load intel/16.3.210 2>/dev/null - module use /usrx/local/dev/modulefiles - export IOBUF_PARAMS=${IOBUF_PARAMS:-'*:size=8M:verbose'} - export MPICH_GNI_COLL_OPT_OFF=${MPICH_GNI_COLL_OPT_OFF:-MPI_Alltoallv} - export MKL_CBWR=AVX2 - module use /gpfs/hps3/emc/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null - module load esmf/7.1.0bs34 2>/dev/null -elif [ $machine = "THEIA" ]; then - . $MODULESHOME/init/sh 2>/dev/null - module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null - module load esmf/7.1.0bs34 2>/dev/null -fi - -# Directories. -pwd=$(pwd) -DATA=${DATA:-$pwd/fv3tmp$$} # temporary running directory -SEND=${SEND:-"YES"} #move final result to rotating directory -KEEPDATA=${KEEPDATA:-"NO"} -NTASKS_FV3=${NTASKS_FV3:-$npe_fv3} - -#------------------------------------------------------- -set -ue -if [ ! -d $ROTDIR ]; then mkdir -p $ROTDIR; fi -if [ ! -d $DATA ]; then mkdir -p $DATA ;fi -mkdir -p $DATA/RESTART $DATA/INPUT -cd $DATA -set +ue - -#------------------------------------------------------- -# initial conditions -set -ue - -ACTOR=$( echo "$TASK_PATH" | sed 's,\.[^.]*$,,g' ) - -$HOMEcrow/crow_dataflow_deliver_sh.py -v -o "$DATA/INPUT/gfs_ctrl.nc" \ - "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=gfs_ctrl_nc - -$HOMEcrow/crow_dataflow_deliver_sh.py -v -m -o "$DATA/INPUT/{kind}.tile{tile}.nc" \ - "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=input_data_tiles -set +ue - -nfiles=$(ls -1 $DATA/INPUT/* | wc -l) -if [ $nfiles -le 0 ]; then - echo "Initial conditions must exist in $DATA/INPUT, ABORT!" - exit 1 -fi - -#-------------------------------------------------------------------------- -# Grid and orography data -for n in $(seq 1 $ntiles); do - $NLN $FIX_FV3/$CASE/${CASE}_grid.tile${n}.nc $DATA/INPUT/${CASE}_grid.tile${n}.nc - $NLN $FIX_FV3/$CASE/${CASE}_oro_data.tile${n}.nc $DATA/INPUT/oro_data.tile${n}.nc -done -$NLN $FIX_FV3/$CASE/${CASE}_mosaic.nc $DATA/INPUT/grid_spec.nc - -# GFS standard input data - -$NLN $FIX_AM/global_solarconstant_noaa_an.txt $DATA/solarconstant_noaa_an.txt -$NLN $FIX_AM/global_o3prdlos.f77 $DATA/INPUT/global_o3prdlos.f77 -$NLN $FIX_AM/global_sfc_emissivity_idx.txt $DATA/sfc_emissivity_idx.txt - -$NLN $FIX_AM/global_co2historicaldata_glob.txt $DATA/co2historicaldata_glob.txt -$NLN $FIX_AM/co2monthlycyc.txt $DATA/co2monthlycyc.txt -if [ $ICO2 -gt 0 ]; then - for file in $(ls $FIX_AM/fix_co2_proj/global_co2historicaldata*) ; do - $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") - done -fi - -$NLN $FIX_AM/global_climaeropac_global.txt $DATA/aerosol.dat -if [ $IAER -gt 0 ] ; then - for file in $(ls $FIX_AM/global_volcanic_aerosols*) ; do - $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") - done -fi - -#------------------------------------------------------------------ -# Namelists. - -CROW_TO_SH="$HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH" - -# Override stochastic physics seeds if requested: -if [ ${SET_STP_SEED:-"YES"} = "YES" ]; then - ISEED_SKEB=$((CDATE*1000 + MEMBER*10 + 1)) - ISEED_SHUM=$((CDATE*1000 + MEMBER*10 + 2)) - ISEED_SPPT=$((CDATE*1000 + MEMBER*10 + 3)) - CROW_TO_SH="$CROW_TO_SH apply:ISEED_SKEB=$ISEED_SKEB apply:ISEED_SHUM=$ISEED_SHUM apply:ISEED_SPPT=$ISEED_SPPT" -fi - -set -eu - -# Build the FMS diag_table with the experiment name and date stamp: -eval $( $CROW_TO_SH DIAG_TABLE=DIAG_TABLE ) -$CROW_TO_SH expand:diag_table_header > diag_table -cat diag_table -cat $DIAG_TABLE >> diag_table - -$NCP $DATA_TABLE data_table -$NCP $FIELD_TABLE field_table - -# NEMS and FV3 namelists: -$CROW_TO_SH expand:input_nml > input.nml -cat input.nml -$CROW_TO_SH expand:nems_configure > nems.configure -cat nems.configure -$CROW_TO_SH expand:model_configure > model_configure -cat model_configure - -set +eu - -#------------------------------------------------------------------ -# setup the runtime environment and run the executable -cd $DATA -$NCP $FCSTEXECDIR/$FCSTEXEC $DATA/. -export OMP_NUM_THREADS=$NTHREADS_FV3 -$APRUN_FV3 $DATA/$FCSTEXEC 1>&1 2>&2 -export ERR=$? -export err=$ERR -$ERRSCRIPT || exit $err - -#------------------------------------------------------------------ -if [ $SEND = "YES" ]; then - # Copy model output files - cd $DATA - - $HOMEcrow/crow_dataflow_deliver_sh.py -v -m -i '{kind}.tile{tile}.nc' \ - "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=untimed_tiles - - $HOMEcrow/crow_dataflow_deliver_sh.py -v -i \ - 'RESTART/coupler.res' \ - "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=coupler_res - - $HOMEcrow/crow_dataflow_deliver_sh.py -v -m -i \ - 'RESTART/{kind}.tile{tile}.nc' \ - "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=restart_time_tiles -fi - -#------------------------------------------------------------------ -# Clean up before leaving -if [ $KEEPDATA = "NO" ]; then rm -rf $DATA; fi - -#------------------------------------------------------------------ -set +x -if [ $VERBOSE = "YES" ] ; then - echo $(date) EXITING $0 with return code $err >&2 -fi -exit 0 diff --git a/model/fv3gfs/scripts/exglobal_fcst_original.sh b/model/fv3gfs/scripts/exglobal_fcst_original.sh deleted file mode 100755 index b34230c..0000000 --- a/model/fv3gfs/scripts/exglobal_fcst_original.sh +++ /dev/null @@ -1,911 +0,0 @@ -#!/bin/ksh -################################################################################ -# UNIX Script Documentation Block -# Script name: exglobal_fcst_nemsfv3gfs.sh.ecf -# Script description: Runs a global FV3GFS model forecast -# -# Author: Fanglin Yang Org: NCEP/EMC Date: 2016-11-15 -# Abstract: This script runs a single GFS forecast with FV3 dynamical core. -# This script is created based on a C-shell script that GFDL wrote -# for the NGGPS Phase-II Dycore Comparison Project. -# -# Script history log: -# 2016-11-15 Fanglin Yang First Version. -# 2017-02-09 Rahul Mahajan Added warm start and restructured the code. -# 2017-03-10 Fanglin Yang Updated for running forecast on Cray. -# 2017-03-24 Fanglin Yang Updated to use NEMS FV3GFS with IPD4 -# 2017-05-24 Rahul Mahajan Updated for cycling with NEMS FV3GFS -# 2017-09-13 Fanglin Yang Updated for using GFDL MP and Write Component -# -# $Id$ -# -# Attributes: -# Language: Portable Operating System Interface (POSIX) Shell -# Machine: WCOSS-CRAY, Theia -################################################################################ - -# Set environment. -VERBOSE=${VERBOSE:-"YES"} -if [ $VERBOSE = "YES" ] ; then - echo $(date) EXECUTING $0 $* >&2 - set -x -fi - -# This should be in the script that calls this script, not here -machine=${machine:-"WCOSS_C"} -machine=$(echo $machine | tr '[a-z]' '[A-Z]') -if [ $machine = "WCOSS_C" ] ; then - . $MODULESHOME/init/sh 2>/dev/null - PRGENV=${PRGENV:-intel} - HUGEPAGES=${HUGEPAGES:-hugepages4M} - module unload prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null - module load prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null - module load intel/16.3.210 2>/dev/null - module use /usrx/local/dev/modulefiles - export IOBUF_PARAMS=${IOBUF_PARAMS:-'*:size=8M:verbose'} - export MPICH_GNI_COLL_OPT_OFF=${MPICH_GNI_COLL_OPT_OFF:-MPI_Alltoallv} - export MKL_CBWR=AVX2 - module use /gpfs/hps3/emc/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null - module load esmf/7.1.0bs34 2>/dev/null -elif [ $machine = "THEIA" ]; then - . $MODULESHOME/init/sh 2>/dev/null - module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null - module load esmf/7.1.0bs34 2>/dev/null -fi - -# Cycling and forecast hour specific parameters -CASE=${CASE:-C768} -CDATE=${CDATE:-2017032500} -CDUMP=${CDUMP:-gdas} -FHMIN=${FHMIN:-0} -FHMAX=${FHMAX:-9} -FHOUT=${FHOUT:-3} -FHZER=${FHZER:-6} -FHCYC=${FHCYC:-24} -FHMAX_HF=${FHMAX_HF:-0} -FHOUT_HF=${FHOUT_HF:-1} -NSOUT=${NSOUT:-"-1"} - -# Directories. -pwd=$(pwd) -NWPROD=${NWPROD:-${NWROOT:-$pwd}} -BASE_GSM=${BASE_GSM:-$NWPROD} -FIX_DIR=${FIX_DIR:-$BASE_GSM/fix} -FIX_AM=${FIX_AM:-$FIX_DIR/fix_am} -FIX_FV3=${FIX_FV3:-$FIX_DIR/fix_fv3} -DATA=${DATA:-$pwd/fv3tmp$$} # temporary running directory -ROTDIR=${ROTDIR:-$pwd} # rotating archive directory -ICSDIR=${ICSDIR:-$pwd} # cold start initial conditions -DMPDIR=${DMPDIR:-$pwd} # global dumps for seaice, snow and sst analysis - -# Model resolution specific parameters -DELTIM=${DELTIM:-225} -layout_x=${layout_x:-8} -layout_y=${layout_y:-16} -LEVS=${LEVS:-65} - -# Utilities -NCP=${NCP:-"/bin/cp -p"} -NLN=${NLN:-"/bin/ln -sf"} -NMV=${NMV:-"/bin/mv"} -SEND=${SEND:-"YES"} #move final result to rotating directory -ERRSCRIPT=${ERRSCRIPT:-'eval [[ $err = 0 ]]'} -NDATE=${NDATE:-$NWPROD/util/exec/ndate} -KEEPDATA=${KEEPDATA:-"NO"} - -# Other options -MEMBER=${MEMBER:-"-1"} # -1: control, 0: ensemble mean, >0: ensemble member $MEMBER -ENS_NUM=${ENS_NUM:-1} # Single executable runs multiple members (e.g. GEFS) - -# Model specific stuff -FCSTEXECDIR=${FCSTEXECDIR:-$BASE_GSM/sorc/fv3gfs.fd/BUILD/bin} -FCSTEXEC=${FCSTEXEC:-fv3_gfs.x} -PARM_FV3DIAG=${PARM_FV3DIAG:-$BASE_GSM/parm/parm_fv3diag} - -# Model config options -APRUN_FV3=${APRUN_FV3:-${APRUN_FCST:-${APRUN:-""}}} -NTHREADS_FV3=${NTHREADS_FV3:-${NTHREADS_FCST:-${nth_fv3:-1}}} -cores_per_node=${cores_per_node:-${npe_node_max:-24}} -ntiles=${ntiles:-6} -NTASKS_FV3=${NTASKS_FV3:-$npe_fv3} - -TYPE=${TYPE:-"nh"} # choices: nh, hydro -MONO=${MONO:-"non-mono"} # choices: mono, non-mono - -QUILTING=${QUILTING:-".true."} -OUTPUT_GRID=${OUTPUT_GRID:-"gaussian_grid"} -WRITE_NEMSIOFILE=${WRITE_NEMSIOFILE:-".true."} -WRITE_NEMSIOFLIP=${WRITE_NEMSIOFLIP:-".true."} - -rCDUMP=${rCDUMP:-$CDUMP} - -#------------------------------------------------------- -if [ ! -d $ROTDIR ]; then mkdir -p $ROTDIR; fi -if [ ! -d $DATA ]; then mkdir -p $DATA ;fi -mkdir -p $DATA/RESTART $DATA/INPUT -cd $DATA || exit 8 - -#------------------------------------------------------- -# member directory -if [ $MEMBER -lt 0 ]; then - prefix=$CDUMP - rprefix=$rCDUMP - memchar="" -else - prefix=enkf.$CDUMP - rprefix=enkf.$rCDUMP - memchar=mem$(printf %03i $MEMBER) -fi -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) -memdir=$ROTDIR/${prefix}.$cymd/$chh/$memchar -if [ ! -d $memdir ]; then mkdir -p $memdir; fi - -GDATE=$($NDATE -$assim_freq $CDATE) -gymd=$(echo $GDATE | cut -c1-8) -ghh=$(echo $GDATE | cut -c9-10) -gmemdir=$ROTDIR/${rprefix}.$gymd/$ghh/$memchar - -#------------------------------------------------------- -# initial conditions -warm_start=${warm_start:-".false."} -read_increment=${read_increment:-".false."} -increment_file=${increment_file:-$memdir/${CDUMP}.t${chh}z.atminc.nc} -restart_interval=${restart_interval:-0} - -if [ $warm_start = ".false." ]; then - if [ -d $ICSDIR/$CDATE/$CDUMP/$CASE/INPUT ]; then - $NCP $ICSDIR/$CDATE/$CDUMP/$CASE/INPUT/* $DATA/INPUT/. - else - for file in $memdir/INPUT/*.nc; do - file2=$(echo $(basename $file)) - fsuf=$(echo $file2 | cut -c1-3) - if [ $fsuf = "gfs" -o $fsuf = "sfc" ]; then - $NLN $file $DATA/INPUT/$file2 - fi - done - fi -else - if [ ${restart_test:-"NO"} = "YES" ]; then - # start from the end of last forecast run - $NLN $gmemdir/RESTART/* $DATA/INPUT/. - else - - # Link all (except sfc_data) restart files from $gmemdir - for file in $gmemdir/RESTART/${cymd}.${chh}0000.*.nc; do - file2=$(echo $(basename $file)) - file2=$(echo $file2 | cut -d. -f3-) # remove the date from file - fsuf=$(echo $file2 | cut -d. -f1) - if [ $fsuf != "sfc_data" ]; then - $NLN $file $DATA/INPUT/$file2 - fi - done - - # Link sfcanl_data restart files from $memdir - for file in $memdir/RESTART/${cymd}.${chh}0000.*.nc; do - file2=$(echo $(basename $file)) - file2=$(echo $file2 | cut -d. -f3-) # remove the date from file - fsufanl=$(echo $file2 | cut -d. -f1) - if [ $fsufanl = "sfcanl_data" ]; then - file2=$(echo $file2 | sed -e "s/sfcanl_data/sfc_data/g") - $NLN $file $DATA/INPUT/$file2 - fi - done - - # Handle coupler.res file for DA cycling - if [ ${USE_COUPLER_RES:-"YES"} = "YES" ]; then - # In DA, this is not really a "true restart", - # and the model start time is the analysis time - # The alternative is to replace - # model start time with current model time in coupler.res - file=$gmemdir/RESTART/${cymd}.${chh}0000.coupler.res - file2=$(echo $(basename $file)) - file2=$(echo $file2 | cut -d. -f3-) # remove the date from file - $NLN $file $DATA/INPUT/$file2 - fi - - if [ $read_increment = ".true." ]; then - if [ -f $increment_file ]; then - $NLN $increment_file $DATA/INPUT/fv3_increment.nc - else - read_increment=".false." - fi - fi - fi -fi -nfiles=$(ls -1 $DATA/INPUT/* | wc -l) -if [ $nfiles -le 0 ]; then - echo "Initial conditions must exist in $DATA/INPUT, ABORT!" - exit 1 -fi - -#-------------------------------------------------------------------------- -# Grid and orography data -for n in $(seq 1 $ntiles); do - $NLN $FIX_FV3/$CASE/${CASE}_grid.tile${n}.nc $DATA/INPUT/${CASE}_grid.tile${n}.nc - $NLN $FIX_FV3/$CASE/${CASE}_oro_data.tile${n}.nc $DATA/INPUT/oro_data.tile${n}.nc -done -$NLN $FIX_FV3/$CASE/${CASE}_mosaic.nc $DATA/INPUT/grid_spec.nc - -# GFS standard input data - -IALB=${IALB:-1} -IEMS=${IEMS:-1} -ISOL=${ISOL:-2} -IAER=${IAER:-111} -ICO2=${ICO2:-2} - -$NLN $FIX_AM/global_solarconstant_noaa_an.txt $DATA/solarconstant_noaa_an.txt -$NLN $FIX_AM/global_o3prdlos.f77 $DATA/INPUT/global_o3prdlos.f77 -$NLN $FIX_AM/global_sfc_emissivity_idx.txt $DATA/sfc_emissivity_idx.txt - -$NLN $FIX_AM/global_co2historicaldata_glob.txt $DATA/co2historicaldata_glob.txt -$NLN $FIX_AM/co2monthlycyc.txt $DATA/co2monthlycyc.txt -if [ $ICO2 -gt 0 ]; then - for file in $(ls $FIX_AM/fix_co2_proj/global_co2historicaldata*) ; do - $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") - done -fi - -$NLN $FIX_AM/global_climaeropac_global.txt $DATA/aerosol.dat -if [ $IAER -gt 0 ] ; then - for file in $(ls $FIX_AM/global_volcanic_aerosols*) ; do - $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") - done -fi -#------------------------------------------------------------------ -# changeable parameters -# dycore definitions -res=$(echo $CASE |cut -c2-5) -resp=$((res+1)) -npx=$resp -npy=$resp -npz=$((LEVS-1)) -io_layout="1,1" -#ncols=$(( (${npx}-1)*(${npy}-1)*3/2 )) - -# spectral truncation and regular grid resolution based on FV3 resolution -JCAP_CASE=$((2*res-2)) -LONB_CASE=$((4*res)) -LATB_CASE=$((2*res)) - -JCAP=${JCAP:-$JCAP_CASE} -LONB=${LONB:-$LONB_CASE} -LATB=${LATB:-$LATB_CASE} - -# Fix files -FNGLAC=${FNGLAC:-"$FIX_AM/global_glacier.2x2.grb"} -FNMXIC=${FNMXIC:-"$FIX_AM/global_maxice.2x2.grb"} -FNTSFC=${FNTSFC:-"$FIX_AM/RTGSST.1982.2012.monthly.clim.grb"} -FNSNOC=${FNSNOC:-"$FIX_AM/global_snoclim.1.875.grb"} -FNZORC=${FNZORC:-"igbp"} -FNALBC2=${FNALBC2:-"$FIX_AM/global_albedo4.1x1.grb"} -FNAISC=${FNAISC:-"$FIX_AM/CFSR.SEAICE.1982.2012.monthly.clim.grb"} -FNTG3C=${FNTG3C:-"$FIX_AM/global_tg3clim.2.6x1.5.grb"} -FNVEGC=${FNVEGC:-"$FIX_AM/global_vegfrac.0.144.decpercent.grb"} -FNMSKH=${FNMSKH:-"$FIX_AM/seaice_newland.grb"} -FNVMNC=${FNVMNC:-"$FIX_AM/global_shdmin.0.144x0.144.grb"} -FNVMXC=${FNVMXC:-"$FIX_AM/global_shdmax.0.144x0.144.grb"} -FNSLPC=${FNSLPC:-"$FIX_AM/global_slope.1x1.grb"} -FNALBC=${FNALBC:-"$FIX_AM/global_snowfree_albedo.bosu.t${JCAP}.${LONB}.${LATB}.rg.grb"} -FNVETC=${FNVETC:-"$FIX_AM/global_vegtype.igbp.t${JCAP}.${LONB}.${LATB}.rg.grb"} -FNSOTC=${FNSOTC:-"$FIX_AM/global_soiltype.statsgo.t${JCAP}.${LONB}.${LATB}.rg.grb"} -FNABSC=${FNABSC:-"$FIX_AM/global_mxsnoalb.uariz.t${JCAP}.${LONB}.${LATB}.rg.grb"} -FNSMCC=${FNSMCC:-"$FIX_AM/global_soilmgldas.t${JCAP}.${LONB}.${LATB}.grb"} - -# If the appropriate resolution fix file is not present, use the highest resolution available (T1534) -[[ ! -f $FNALBC ]] && FNALBC="$FIX_AM/global_snowfree_albedo.bosu.t1534.3072.1536.rg.grb" -[[ ! -f $FNVETC ]] && FNVETC="$FIX_AM/global_vegtype.igbp.t1534.3072.1536.rg.grb" -[[ ! -f $FNSOTC ]] && FNSOTC="$FIX_AM/global_soiltype.statsgo.t1534.3072.1536.rg.grb" -[[ ! -f $FNABSC ]] && FNABSC="$FIX_AM/global_mxsnoalb.uariz.t1534.3072.1536.rg.grb" -[[ ! -f $FNSMCC ]] && FNSMCC="$FIX_AM/global_soilmgldas.t1534.3072.1536.grb" - -# NSST Options -# nstf_name contains the NSST related parameters -# nstf_name(1) : 0 = NSSTM off, 1 = NSSTM on but uncoupled, 2 = NSSTM on and coupled -# nstf_name(2) : 0 = NSSTM spin up off, 1 = NSSTM spin up on, -# nstf_name(3) : 0 = NSSTM analysis off, 1 = NSST analysis on -# nstf_name(4) : zsea1 in mm -# nstf_name(5) : zsea2 in mm -# nst_anl : .true. or .false., NSST analysis over lake -nstf_name=${nstf_name:-"0,0,0,0,0"} -nst_anl=${nst_anl:-".false."} - - -# blocking factor used for threading and general physics performance -#nyblocks=`expr \( $npy - 1 \) \/ $layout_y ` -#nxblocks=`expr \( $npx - 1 \) \/ $layout_x \/ 32` -#if [ $nxblocks -le 0 ]; then nxblocks=1 ; fi -blocksize=${blocksize:-32} - -# the pre-conditioning of the solution -# =0 implies no pre-conditioning -# >0 means new adiabatic pre-conditioning -# <0 means older adiabatic pre-conditioning -na_init=${na_init:-1} -[[ $warm_start = ".true." ]] && na_init=0 - -# variables for controlling initialization of NCEP/NGGPS ICs -filtered_terrain=${filtered_terrain:-".true."} -gfs_dwinds=${gfs_dwinds:-".true."} - -# various debug options -no_dycore=${no_dycore:-".false."} -dycore_only=${adiabatic:-".false."} -chksum_debug=${chksum_debug:-".false."} -print_freq=${print_freq:-6} - -if [ ${TYPE} = "nh" ]; then # non-hydrostatic options - - hydrostatic=".false." - phys_hydrostatic=".false." # enable heating in hydrostatic balance in non-hydrostatic simulation - use_hydro_pressure=".false." # use hydrostatic pressure for physics - if [ $warm_start = ".true." ]; then - make_nh=".false." # restarts contain non-hydrostatic state - else - make_nh=".true." # re-initialize non-hydrostatic state - fi - -else # hydrostatic options - - hydrostatic=".true." - phys_hydrostatic=".false." # ignored when hydrostatic = T - use_hydro_pressure=".false." # ignored when hydrostatic = T - make_nh=".false." # running in hydrostatic mode - -fi - -# Conserve total energy as heat globally -consv_te=${consv_te:-1.} # range 0.-1., 1. will restore energy to orig. val. before physics - -# time step parameters in FV3 -k_split=${k_split:-2} -n_split=${n_split:-6} - -if [ $(echo $MONO | cut -c-4) = "mono" ]; then # monotonic options - - d_con=${d_con_mono:-"0."} - do_vort_damp=".false." - if [ ${TYPE} = "nh" ]; then # non-hydrostatic - hord_mt=${hord_mt_nh_mono:-"10"} - hord_xx=${hord_xx_nh_mono:-"10"} - else # hydrostatic - hord_mt=${hord_mt_hydro_mono:-"10"} - hord_xx=${hord_xx_hydro_mono:-"10"} - fi - -else # non-monotonic options - - d_con=${d_con_nonmono:-"1."} - do_vort_damp=".true." - if [ ${TYPE} = "nh" ]; then # non-hydrostatic - hord_mt=${hord_mt_nh_nonmono:-"5"} - hord_xx=${hord_xx_nh_nonmono:-"5"} - else # hydrostatic - hord_mt=${hord_mt_hydro_nonmono:-"10"} - hord_xx=${hord_xx_hydro_nonmono:-"10"} - fi - -fi - -if [ $(echo $MONO | cut -c-4) != "mono" -a $TYPE = "nh" ]; then - vtdm4=${vtdm4_nh_nonmono:-"0.06"} -else - vtdm4=${vtdm4:-"0.05"} -fi - -if [ $warm_start = ".true." ]; then # warm start from restart file - - nggps_ic=".false." - ncep_ic=".false." - external_ic=".false." - mountain=".true." - if [ $read_increment = ".true." ]; then # add increment on the fly to the restarts - res_latlon_dynamics="fv3_increment.nc" - else - res_latlon_dynamics='""' - fi - -else # CHGRES'd GFS analyses - - nggps_ic=${nggps_ic:-".true."} - ncep_ic=${ncep_ic:-".false."} - external_ic=".true." - mountain=".false." - read_increment=".false." - res_latlon_dynamics='""' - -fi - -# Stochastic Physics Options -if [ ${SET_STP_SEED:-"YES"} = "YES" ]; then - ISEED_SKEB=$((CDATE*1000 + MEMBER*10 + 1)) - ISEED_SHUM=$((CDATE*1000 + MEMBER*10 + 2)) - ISEED_SPPT=$((CDATE*1000 + MEMBER*10 + 3)) -else - ISEED=${ISEED:-0} -fi -DO_SKEB=${DO_SKEB:-"NO"} -DO_SPPT=${DO_SPPT:-"NO"} -DO_SHUM=${DO_SHUM:-"NO"} -JCAP_STP=${JCAP_STP:-$JCAP_CASE} -LONB_STP=${LONB_STP:-$LONB_CASE} -LATB_STP=${LATB_STP:-$LATB_CASE} - -# build the date for curr_date and diag_table from CDATE -SYEAR=$(echo $CDATE | cut -c1-4) -SMONTH=$(echo $CDATE | cut -c5-6) -SDAY=$(echo $CDATE | cut -c7-8) -SHOUR=$(echo $CDATE | cut -c9-10) -curr_date="${SYEAR},${SMONTH},${SDAY},${SHOUR},0,0" -rsecs=$((restart_interval*3600)) -restart_secs=${rsecs:-0} - -# copy over the tables -DIAG_TABLE=${DIAG_TABLE:-$PARM_FV3DIAG/diag_table} -DATA_TABLE=${DATA_TABLE:-$PARM_FV3DIAG/data_table} -FIELD_TABLE=${FIELD_TABLE:-$PARM_FV3DIAG/field_table} - -# build the diag_table with the experiment name and date stamp -cat > diag_table << EOF -FV3 Forecast -$SYEAR $SMONTH $SDAY $SHOUR 0 0 -EOF -cat $DIAG_TABLE >> diag_table - -$NCP $DATA_TABLE data_table -$NCP $FIELD_TABLE field_table - -#------------------------------------------------------------------ -rm -f nems.configure -cat > nems.configure < model_configure < input.nml <> input.nml -if [ $MEMBER -gt 0 ]; then - - cat >> input.nml << EOF -&nam_stochy - ntrunc = $JCAP_STP - lon_s = $LONB_STP - lat_s = $LATB_STP -EOF - - if [ $DO_SKEB = "YES" ]; then - cat >> input.nml << EOF - skeb = $SKEB - iseed_skeb = ${ISEED_SKEB:-$ISEED} - skeb_tau = ${SKEB_TAU:-"-999."} - skeb_lscale = ${SKEB_LSCALE:-"-999."} - skebnorm = ${SKEBNORM:-"1"} -EOF - fi - - if [ $DO_SHUM = "YES" ]; then - cat >> input.nml << EOF - shum = $SHUM - iseed_shum = ${ISEED_SHUM:-$ISEED} - shum_tau = ${SHUM_TAU:-"-999."} - shum_lscale = ${SHUM_LSCALE:-"-999."} -EOF - fi - - if [ $DO_SPPT = "YES" ]; then - cat >> input.nml << EOF - sppt = $SPPT - iseed_sppt = ${ISEED_SPPT:-$ISEED} - sppt_tau = ${SPPT_TAU:-"-999."} - sppt_lscale = ${SPPT_LSCALE:-"-999."} - sppt_logit = ${SPPT_LOGIT:-".true."} - sppt_sfclimit = ${SPPT_SFCLIMIT:-".true."} -EOF - fi - - cat >> input.nml << EOF - $nam_stochy_nml -/ -EOF - -else - - cat >> input.nml << EOF -&nam_stochy -/ -EOF - -fi - -#------------------------------------------------------------------ -# setup the runtime environment and run the executable -cd $DATA -$NCP $FCSTEXECDIR/$FCSTEXEC $DATA/. -export OMP_NUM_THREADS=$NTHREADS_FV3 -$APRUN_FV3 $DATA/$FCSTEXEC 1>&1 2>&2 -export ERR=$? -export err=$ERR -$ERRSCRIPT || exit $err - -#------------------------------------------------------------------ -if [ $SEND = "YES" ]; then - # Copy model output files - cd $DATA - if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then - $NCP ${CDUMP}.t${chh}z.atm*.nemsio $memdir/. - $NCP ${CDUMP}.t${chh}z.sfc*.nemsio $memdir/. - else - for n in $(seq 1 $ntiles); do - for file in *.tile${n}.nc; do - $NCP $file $memdir/. - done - done - fi - - # Copy model restart files - cd $DATA/RESTART - mkdir -p $memdir/RESTART - - # Add time-stamp to restart files at FHMAX (this should be done inside the model) - RDATE=$($NDATE +$FHMAX $CDATE) - rymd=$(echo $RDATE | cut -c1-8) - rhh=$(echo $RDATE | cut -c9-10) - for file in $(ls * | grep -v 0000); do - $NMV $file ${rymd}.${rhh}0000.$file - done - - # Only save restarts at single time in RESTART directory - # Either at FHMAX or at first time in restart_interval - if [ $restart_interval -eq 0 ]; then - RDATE=$($NDATE +$FHMAX $CDATE) - else - RDATE=$($NDATE +$restart_interval $CDATE) - fi - rymd=$(echo $RDATE | cut -c1-8) - rhh=$(echo $RDATE | cut -c9-10) - for file in ${rymd}.${rhh}0000.* ; do - $NCP $file $memdir/RESTART/$file - done - -fi - -#------------------------------------------------------------------ -# Clean up before leaving -if [ $KEEPDATA = "NO" ]; then rm -rf $DATA; fi - -#------------------------------------------------------------------ -set +x -if [ $VERBOSE = "YES" ] ; then - echo $(date) EXITING $0 with return code $err >&2 -fi -exit 0 diff --git a/model/rocoto_style_fv3gfs/_main.yaml b/model/rocoto_style_fv3gfs/_main.yaml deleted file mode 100644 index d54ad0c..0000000 --- a/model/rocoto_style_fv3gfs/_main.yaml +++ /dev/null @@ -1,10 +0,0 @@ -include: - - schedulers.yaml - - settings.yaml - - resources.yaml - - validator.yaml - - post_manager.yaml - - task_template.yaml - - dump_waiter.yaml - - make_next_cycles.yaml - - suite_def.yaml diff --git a/model/rocoto_style_fv3gfs/begin_ecflow_workflow.sh b/model/rocoto_style_fv3gfs/begin_ecflow_workflow.sh deleted file mode 100755 index ad9b7f2..0000000 --- a/model/rocoto_style_fv3gfs/begin_ecflow_workflow.sh +++ /dev/null @@ -1,117 +0,0 @@ -#! /bin/bash - -set -ue - -# Get the directory in which this script resides. We'll assume the -# yaml files are there: -dir0=$( dirname "$0" ) -here=$( cd "$dir0" ; pwd -P ) - -export WORKTOOLS_VERBOSE=NO - -# Make sure this directory is in the python path so we find worktools.py: -export PYTHONPATH=$here:${PYTHONPATH:+:$PYTHONPATH} - -# Parse arguments: -if [[ "$1" == "-v" ]] ; then - export WORKTOOLS_VERBOSE=YES - shift 1 -fi -export CONFIGDIR="$1" - -if [[ ! -d /usrx/local || -e /etc/redhat-release ]] ; then - echo "ERROR: This script only runs on WCOSS Cray" 1>&2 - exit 1 -fi - -if ( ! which ecflow_client > /dev/null 2>&1 ) ; then - echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." - exit 1 -fi - -if [[ "${ECF_ROOT:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_ROOT" - exit 1 -fi - -if [[ "${ECF_HOME:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_HOME. I suggest \$ECF_ROOT/submit" - exit 1 -fi - -if [[ "${ECF_PORT:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_PORT. See /usrx/local/sys/ecflow/assigned_ports.txt" - exit 1 -fi - -export ECF_HOME="${ECF_HOME:-$ECF_ROOT/submit}" - -if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - echo "begin_ecflow_workflow.sh: verbose mode" - export redirect=" " -else - export redirect="> /dev/null 2>&1" -fi - -echo "ecFlow server port: $ECF_PORT" -echo "ecFlow server root: $ECF_ROOT" -echo "ecFlow server home: $ECF_HOME" - -set +e -if ( ! which python3 > /dev/null 2>&1 || \ - ! python3 -c 'import yaml ; f{"1+1"}' > /dev/null 2>&1 ) ; then - python36=/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/python/3.6.1-emc/bin/python3.6 -else - python36="$( which python3 )" -fi -set -e - -tmpfile=${TMPDIR:-/tmp}/find-expdir.$RANDOM.$RANDOM.$$ - -make_yaml_files() { - # NOTE: Sourcing config.base clobbers the ecflow variables, so we - # must do it in a subshell. - set +uex - source "$CONFIGDIR"/config.base $redirect - set -ue - - if [[ "$FHMAX_GFS" != 240 ]] ; then - echo "ERROR: This script requires FHMAX_GFS = 240" 1>&2 - exit 1 - fi - - if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - set -x - fi - - $python36 -c "import worktools ; worktools.make_yaml_files('$here','$EXPDIR')" - - echo "$EXPDIR" > "$tmpfile" -} - -if ( ! ( make_yaml_files ) ) ; then - echo "Failed to make YAML files" - exit 1 -fi - -EXPDIR=$( cat "$tmpfile" ) -rm -f "$tmpfile" - -if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - set -x -fi - -/ecf/devutils/server_check.sh "$ECF_ROOT" "$ECF_PORT" $redirect || true - -if ( ! ecflow_client --ping $redirect ) ; then - echo "Could not connect to ecflow server. Aborting." - exit 1 -fi - -$python36 -c "import worktools ; worktools.create_and_begin_ecflow_workflow('$EXPDIR')" - - - - - - diff --git a/model/rocoto_style_fv3gfs/dump_waiter.yaml b/model/rocoto_style_fv3gfs/dump_waiter.yaml deleted file mode 100644 index 21feca3..0000000 --- a/model/rocoto_style_fv3gfs/dump_waiter.yaml +++ /dev/null @@ -1,37 +0,0 @@ -dump_waiter: &dump_waiter_task !Task - <<: *exclusive_task_template - manual: | - The dump_waiter task is a special job needed to run this - workflow outside of the production suite. It handles the - external dependency on the dump and the associated - (approximate) time dependency. - In ecflow: - The dump_waiter is started when the cycle is allowed to - begin, and waits for the dump to be available. It sets - the "updated_status" event when the dump is available. - In Rocoto: - The dump_waiter is never run; it is defined so that the - updated_status data event can be used as a data dependency - in the prep job. - - Disable: !calc metasched.type=='rocoto' - - resources: !calc doc.resources.run_dump_waiter - - updated_status: !DataEvent - file: !expand >- - {doc.settings.DUMPDIR}/@Y@m@d@H/{up.CDUMP}/{up.CDUMP}.t@Hz.updated.status.tm00.bufr_d - - # The batch_job_command is dumped into the ecf file where the - # J-Job would normally be called. This job is never run by - # Rocoto, so Rocoto never uses this code block. - ecflow_command: !expand | - setpdy.sh - source ./PDY - WAITFILE=%DUMPDIR%/${{PDY}}%CYC%/{CDUMP}/{CDUMP}.t%CYC%z.updated.status.tm00.bufr_d - while [[ ! -s "$WAITFILE" ]] ; do - echo "$WAITFILE: nope" - sleep 37 - done - echo "$WAITFILE: yup" - ecflow_client --event updated_status diff --git a/model/rocoto_style_fv3gfs/envir-p1.h b/model/rocoto_style_fv3gfs/envir-p1.h deleted file mode 120000 index 81dc6f5..0000000 --- a/model/rocoto_style_fv3gfs/envir-p1.h +++ /dev/null @@ -1 +0,0 @@ -/ecf/ecfnets/include/envir-p1.h \ No newline at end of file diff --git a/model/rocoto_style_fv3gfs/envir-p2.h b/model/rocoto_style_fv3gfs/envir-p2.h deleted file mode 120000 index aaddd00..0000000 --- a/model/rocoto_style_fv3gfs/envir-p2.h +++ /dev/null @@ -1 +0,0 @@ -/ecf/ecfnets/include/envir-p2.h \ No newline at end of file diff --git a/model/rocoto_style_fv3gfs/envir-xc40.h b/model/rocoto_style_fv3gfs/envir-xc40.h deleted file mode 120000 index 4109d97..0000000 --- a/model/rocoto_style_fv3gfs/envir-xc40.h +++ /dev/null @@ -1 +0,0 @@ -/ecf/ecfnets/include/envir-xc40.h \ No newline at end of file diff --git a/model/rocoto_style_fv3gfs/head.h b/model/rocoto_style_fv3gfs/head.h deleted file mode 120000 index 46423de..0000000 --- a/model/rocoto_style_fv3gfs/head.h +++ /dev/null @@ -1 +0,0 @@ -/ecf/ecfnets/include/head.h \ No newline at end of file diff --git a/model/rocoto_style_fv3gfs/make-rocoto-xml.py b/model/rocoto_style_fv3gfs/make-rocoto-xml.py deleted file mode 100755 index adf86c8..0000000 --- a/model/rocoto_style_fv3gfs/make-rocoto-xml.py +++ /dev/null @@ -1,18 +0,0 @@ -#! /usr/bin/env python3 -f'This script requires Python 3.6 or newer.' - -import os, io, sys -from crow.metascheduler import to_rocoto -from crow.config import from_dir, Suite - -if len(sys.argv) != 2: - sys.stderr.write('Syntax: make-ecflow-suite.py PSLOT\n') - sys.stderr.write('PSLOT must match what you gave setup_expt.py\n') - sys.exit(1) - -conf=from_dir('.') -conf.sys_argv_1=sys.argv[1] -suite=Suite(conf.suite) -with open('workflow.xml','wt') as fd: - print('workflow.xml') - fd.write(to_rocoto(suite)) diff --git a/model/rocoto_style_fv3gfs/make_next_cycles.yaml b/model/rocoto_style_fv3gfs/make_next_cycles.yaml deleted file mode 100644 index 3904d0a..0000000 --- a/model/rocoto_style_fv3gfs/make_next_cycles.yaml +++ /dev/null @@ -1,64 +0,0 @@ -make_next_cycles: &make_next_cycles_task !Task - <<: *exclusive_task_template - manual: | - The make_next_cycles task generates and begins the suites for the - next few cycles in the workflow. This job is only used when running - in ecflow. - - Disable: !calc metasched.type=='rocoto' - - resources: !calc doc.resources.run_make_next_cycles - - Trigger: !Depend gdas - - CDUMP: gdas # useless but required - - # The batch_job_command is dumped into the ecf file where the - # J-Job would normally be called. This job is never run by - # Rocoto, so Rocoto never uses this code block. - ecflow_command: !expand | - export WORKFLOW_FIRST_CYCLE=%WORKFLOW_FIRST_CYCLE:1970010100% - export WORKFLOW_LAST_CYCLE=%WORKFLOW_LAST_CYCLE:ETERNITY% - export WORKFLOW_CYCLES_TO_GENERATE=%WORKFLOW_CYCLES_TO_GENERATE:5% - export WORKFLOW_CROW_HOME=%WORKFLOW_CROW_HOME% - export WORKFLOW_EXPDIR=%WORKFLOW_EXPDIR% - export ECF_HOME=%ECF_HOME% - export ECF_ROOT=%ECF_ROOT:X% - - if [[ "$ECF_ROOT" == X ]] ; then - export ECF_ROOT=$( cd "$ECF_HOME" ; cd .. ; pwd -P ) - fi - - export PDY=%PDY% - export CYC=%CYC% - export cycle=t%CYC%z - setpdy.sh - source ./PDY - - module load prod_util - module load ecflow - - set -uex - - # Decide the range of cycles to start - first_cycle=$( $NDATE +6 "%PDY%%CYC%" ) - - if [[ "${{WORKFLOW_LAST_CYCLE:-ETERNITY}}" != ETERNITY && - "$first_cycle" -gt "$WORKFLOW_LAST_CYCLE" ]] ; then - postmsg "Last cycle reached. Not starting any new cycles." - fi - - last_cycle=$first_cycle - for istart in $( seq 1 5 ) ; do - if [[ "${{WORKFLOW_LAST_CYCLE:-ETERNITY}}" != ETERNITY && - "$last_cycle" -gt "$WORKFLOW_LAST_CYCLE" ]] ; then - break - fi - last_cycle=$( $NDATE +6 "$last_cycle" ) - done - - cd "$WORKFLOW_CROW_HOME" - postmsg "Start cycles $first_cycle through $last_cycle." - ./update_ecflow_workflow.sh "$WORKFLOW_EXPDIR" "$first_cycle" "$last_cycle" - - diff --git a/model/rocoto_style_fv3gfs/model_ver.h b/model/rocoto_style_fv3gfs/model_ver.h deleted file mode 120000 index 06c8e27..0000000 --- a/model/rocoto_style_fv3gfs/model_ver.h +++ /dev/null @@ -1 +0,0 @@ -/ecf/ecfnets/include/model_ver.h \ No newline at end of file diff --git a/model/rocoto_style_fv3gfs/post_manager.yaml b/model/rocoto_style_fv3gfs/post_manager.yaml deleted file mode 100644 index ea867ac..0000000 --- a/model/rocoto_style_fv3gfs/post_manager.yaml +++ /dev/null @@ -1,23 +0,0 @@ -post_manager_job_contents: &post_manager_job_contents !expand | - fhrs='anl {tools.join(up.Dimensions.fhr," ")}' - CDATE=%PDY%%CYC% - for fid in $fhrs ; do - if [[ "$fid" == anl ]] ; then - fhr3=000 - event=release_postanl - else - fhr3=$( printf %%03d $fid ) - fhr2=$( printf %%02d $fid ) - event=release_post$fhr2 - fi - - file=%COM%/$CDUMP.%PDY%/%CYC%/$CDUMP.t%CYC%z.logf$fhr3.nemsio - - while [[ ! -s "$file" ]] ; do - echo "$file: nope" - sleep 33 - done - echo "$file: yup" - ecflow_client --event "$event" - done - echo "done" diff --git a/model/rocoto_style_fv3gfs/remake_ecflow_files_for.sh b/model/rocoto_style_fv3gfs/remake_ecflow_files_for.sh deleted file mode 100755 index 1122aeb..0000000 --- a/model/rocoto_style_fv3gfs/remake_ecflow_files_for.sh +++ /dev/null @@ -1,123 +0,0 @@ -#! /bin/bash - -set -ue - -# Get the directory in which this script resides. We'll assume the -# yaml files are there: -dir0=$( dirname "$0" ) -here=$( cd "$dir0" ; pwd -P ) - -export WORKTOOLS_VERBOSE=NO - -# Make sure this directory is in the python path so we find worktools.py: -export PYTHONPATH=$here:${PYTHONPATH:+:$PYTHONPATH} - -# Parse arguments: -if [[ "$1" == "-v" ]] ; then - export WORKTOOLS_VERBOSE=YES - shift 1 -fi -export CONFIGDIR="$1" -export FIRST_CYCLE="$2" -export LAST_CYCLE="$3" - -if [[ ! -d /usrx/local || -e /etc/redhat-release ]] ; then - echo "ERROR: This script only runs on WCOSS Cray" 1>&2 - exit 1 -fi - -if ( ! which ecflow_client > /dev/null 2>&1 ) ; then - echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." - exit 1 -fi - -if [[ "${ECF_ROOT:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_ROOT" - exit 1 -fi - -if [[ "${ECF_HOME:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_HOME. I suggest \$ECF_ROOT/submit" - exit 1 -fi - -if [[ "${ECF_PORT:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_PORT. See /usrx/local/sys/ecflow/assigned_ports.txt" - exit 1 -fi - -export ECF_HOME="${ECF_HOME:-$ECF_ROOT/submit}" - -if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - echo "remake_ecflow_files_for.sh: verbose mode" - export redirect=" " -else - export redirect="> /dev/null 2>&1" -fi - -echo "ecFlow server port: $ECF_PORT" -echo "ecFlow server root: $ECF_ROOT" -echo "ecFlow server home: $ECF_HOME" - -set +e -if ( ! which python3 > /dev/null 2>&1 || \ - ! python3 -c 'import yaml ; f{"1+1"}' > /dev/null 2>&1 ) ; then - python36=/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/python/3.6.1-emc/bin/python3.6 -else - python36="$( which python3 )" -fi -set -e - -tmpfile=${TMPDIR:-/tmp}/find-expdir.$RANDOM.$RANDOM.$$ - -make_yaml_files() { - # NOTE: Sourcing config.base clobbers the ecflow variables, so we - # must do it in a subshell. - set +uex - source "$CONFIGDIR"/config.base $redirect - set -ue - - if [[ "$FHMAX_GFS" != 240 ]] ; then - echo "ERROR: This script requires FHMAX_GFS = 240" 1>&2 - exit 1 - fi - - if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - set -x - fi - - $python36 -c "import worktools ; worktools.make_yaml_files('$here','$EXPDIR')" - - echo "$EXPDIR" > "$tmpfile" -} - -if ( ! ( make_yaml_files ) ) ; then - echo "Failed to make YAML files" - exit 1 -fi - -EXPDIR=$( cat "$tmpfile" ) -rm -f "$tmpfile" - -if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - echo "remake_ecflow_files_for.sh: EXPDIR=$EXPDIR" - set -x -fi - -/ecf/devutils/server_check.sh "$ECF_ROOT" "$ECF_PORT" $redirect || true - -if ( ! ecflow_client --ping $redirect ) ; then - echo "Could not connect to ecflow server. Aborting." - exit 1 -fi - -$python36 -c "import worktools ; worktools.remake_ecflow_files_for_cycles( - '$EXPDIR', - '$FIRST_CYCLE', - '$LAST_CYCLE')" - - - - - - diff --git a/model/rocoto_style_fv3gfs/resources_C192_C192.yaml b/model/rocoto_style_fv3gfs/resources_C192_C192.yaml deleted file mode 100644 index 66b8eae..0000000 --- a/model/rocoto_style_fv3gfs/resources_C192_C192.yaml +++ /dev/null @@ -1,190 +0,0 @@ -resources: - - # From if[[...ecen]] block in config.resources: - run_ecen: !JobRequest - - memory: "254M" - walltime: !timedelta "00:10:00" - mpi_ranks: 84 - exe: placeholder - # max_ppn comes from THEIA.env: 84/12 = 7 - max_ppn: 12 - OMP_NUM_THREADS: 2 - - run_chgres: !JobRequest - - exe: time - OMP_NUM_THREADS: 12 - args: - - placeholder - - run_nothing: !JobRequest # Special placeholder for "do nothing" - - memory: "300M" - exe: placeholder - walltime: !timedelta "00:02:00" - exclusive: false - - run_dump_waiter: !JobRequest - - memory: "300M" - exe: placeholder - walltime: !FirstTrue - - when: !calc doc.settings.realtime - do: !timedelta "01:00:00" - - otherwise: !timedelta "00:05:00" - - run_make_next_cycles: !JobRequest - - memory: "300M" - exe: placeholder - walltime: !timedelta "00:15:00" - - run_eobs: !JobRequest - - memory: "3072M" - walltime: !timedelta "00:15:00" - mpi_ranks: !calc 12*6 - exe: placeholder - max_ppn: 6 - OMP_NUM_THREADS: 4 - - run_eomg: !JobRequest - - memory: "3072M" - walltime: !timedelta "01:20:00" - mpi_ranks: !calc 6*12 - exe: placeholder - max_ppn: 6 - OMP_NUM_THREADS: 2 - - run_eupd: !JobRequest - - memory: "3072M" - walltime: !timedelta "00:15:00" - mpi_ranks: !calc 10*12 - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 2 - -# run_enkf: !JobRequest -# pi_ranks: !calc 10*12 -# resources: !JobRequest -# - exe: placeholder -# max_ppn: 12 -# OMP_NUM_THREADS: 4 - - run_efcs: !JobRequest - - walltime: !timedelta "00:45:00" - mpi_ranks: !calc >- - doc.settings.layout_x*doc.settings.layout_y*6 - + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP - max_ppn: 12 - memory: "254M" - - run_epos: !JobRequest - - memory: "254M" - mpi_ranks: 84 - walltime: !timedelta "00:10:00" - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 2 - - run_prep: !JobRequest - - memory: "3072M" - walltime: !timedelta "00:15:00" - mpi_ranks: 12 - exe: placeholder - - run_anal: !JobRequest - - memory: "3072M" - mpi_ranks: 144 - walltime: !timedelta "0:40:00" - exe: placeholder - max_ppn: 6 - -# run_gsi: !JobRequest -# pi_ranks: !calc 24*6 -# resources: !JobRequest -# - exe: placeholder -# max_ppn: 6 -# OMP_NUM_THREADS: 4 - - run_gdasfcst: !JobRequest - - mpi_ranks: !calc >- - doc.settings.layout_x*doc.settings.layout_y*6 - + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP - walltime: !timedelta "00:10:00" - max_ppn: 12 - memory: "1024M" - - run_gdas_post_manager: !JobRequest - - memory: "300M" - exe: placeholder - walltime: !calc >- - doc.resources.run_gdasfcst[0].walltime + tools.to_timedelta('00:15:00') - - run_gfsfcst: !JobRequest - - mpi_ranks: !calc >- - doc.settings.layout_x*doc.settings.layout_y*6 - + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP - walltime: !timedelta "00:45:00" - max_ppn: 12 - memory: "1024M" - - run_gfs_post_manager: !JobRequest - - memory: "300M" - exe: placeholder - walltime: !calc >- - doc.resources.run_gfsfcst[0].walltime + tools.to_timedelta('00:15:00') - - run_gdaspost: !JobRequest - - memory: "3072M" - mpi_ranks: 72 - walltime: !timedelta "00:10:00" - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 1 - - run_gfspost: !JobRequest - - memory: "3072M" - mpi_ranks: 72 - walltime: !timedelta "00:10:00" - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 1 - - run_gfsvrfy: !JobRequest - - memory: "3072M" - mpi_ranks: 1 - walltime: !timedelta "02:00:00" - exe: placeholder - max_ppn: 1 - - run_gdasvrfy: !JobRequest - - memory: "3072M" - mpi_ranks: 1 - walltime: !timedelta "02:00:00" - exe: placeholder - max_ppn: 1 - - run_arch: !JobRequest - - memory: "3072M" - exclusive: false - mpi_ranks: 1 - walltime: !timedelta "01:00:00" - exe: placeholder - max_ppn: 1 - OMP_NUM_THREADS: 2 - - run_final: !JobRequest - - memory: "2M" - mpi_ranks: 1 - walltime: !timedelta "00:01:00" - exe: placeholder - max_ppn: 1 - OMP_NUM_THREADS: 2 - - run_earc: !JobRequest - - memory: "3072M" - mpi_ranks: 1 - walltime: !timedelta "01:00:00" - exe: placeholder - max_ppn: 1 - - run_fv3ic: !JobRequest - - memory: "3072M" - mpi_ranks: 24 - exe: placeholder diff --git a/model/rocoto_style_fv3gfs/resources_C768_C384.yaml b/model/rocoto_style_fv3gfs/resources_C768_C384.yaml deleted file mode 100644 index 121b4bc..0000000 --- a/model/rocoto_style_fv3gfs/resources_C768_C384.yaml +++ /dev/null @@ -1,161 +0,0 @@ -resources: - - run_test: !JobRequest - - exe: nothing - mpi_ranks: 1 - - # From if[[...ecen]] block in config.resources: - run_ecen: !JobRequest - - memory: "3072M" - walltime: 00:02:00 - mpi_ranks: 80 - exe: placeholder - # max_ppn comes from THEIA.env: 84/12 = 7 - max_ppn: 4 - OMP_NUM_THREADS: 2 - - run_chgres: !JobRequest - - exe: time - OMP_NUM_THREADS: 12 - args: - - placeholder - - run_nothing: !JobRequest # Special placeholder for "do nothing" - - memory: "300M" - walltime: 00:05:00 - exe: nothing - - run_eobs: !JobRequest - - memory: "3072M" - walltime: 00:02:00 - mpi_ranks: !calc 12*6 - exe: placeholder - max_ppn: 6 - OMP_NUM_THREADS: 4 - - run_eomg: !JobRequest - - memory: "3072M" - walltime: 00:02:00 - mpi_ranks: !calc 6*12 - exe: placeholder - max_ppn: 6 - OMP_NUM_THREADS: 2 - - run_eupd: !JobRequest - - memory: "3072M" - walltime: 00:02:00 - mpi_ranks: !calc 20*12 - exe: placeholder - max_ppn: 6 - OMP_NUM_THREADS: 2 - -# run_enkf: !JobRequest -# pi_ranks: !calc 10*12 -# resources: !JobRequest -# - exe: placeholder -# max_ppn: 12 -# OMP_NUM_THREADS: 4 - - run_efcs: !JobRequest - - walltime: 00:02:00 - mpi_ranks: !calc "doc.settings.layout_x*doc.settings.layout_y*6" - max_ppn: 12 - memory: "254M" - - run_epos: !JobRequest - - memory: "254M" - mpi_ranks: 80 - walltime: 00:02:00 - exe: placeholder - max_ppn: 4 - OMP_NUM_THREADS: 2 - - run_prep: !JobRequest - - memory: "3072M" - walltime: 00:02:00 - mpi_ranks: 6 - exe: placeholder - max_ppn: 2 - OMP_NUM_THREADS: max - - run_anal: !JobRequest - - memory: "3072M" - mpi_ranks: 360 - walltime: 00:02:00 - exe: placeholder - max_ppn: 6 - OMP_NUM_THREADS: 2 - -# run_gsi: !JobRequest -# pi_ranks: !calc 24*6 -# resources: !JobRequest -# - exe: placeholder -# max_ppn: 6 -# OMP_NUM_THREADS: 4 - - run_gdasfcst: !JobRequest - - mpi_ranks: !calc "doc.settings.layout_x*doc.settings.layout_y*6" - walltime: 00:02:00 - max_ppn: 12 - memory: "1024M" - - run_gfsfcst: !JobRequest - - mpi_ranks: !calc "doc.settings.layout_x*doc.settings.layout_y*6" - walltime: 00:02:00 - max_ppn: 12 - memory: "1024M" - - run_gdaspost: !JobRequest - - memory: "3072M" - mpi_ranks: 72 - walltime: 00:02:00 - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 1 - - run_gfspost: !JobRequest - - memory: "3072M" - mpi_ranks: 72 - walltime: 00:02:00 - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 1 - - run_vrfy: !JobRequest - - memory: "3072M" - mpi_ranks: 1 - walltime: 00:02:00 - exe: placeholder - max_ppn: 1 - OMP_NUM_THREADS: 2 - - run_arch: !JobRequest - - memory: "3072M" - exclusive: false - mpi_ranks: 1 - walltime: 00:02:00 - exe: placeholder - max_ppn: 1 - OMP_NUM_THREADS: 2 - - run_final: !JobRequest - - memory: "2M" - mpi_ranks: 1 - walltime: 00:01:00 - exe: placeholder - max_ppn: 1 - OMP_NUM_THREADS: 2 - -# run_earc: !JobRequest -# emory: "3072M" -# mpi_ranks: 1 -# walltime: 00:15:00 -# resources: !JobRequest -# - exe: placeholder -# max_ppn: 1 -# OMP_NUM_THREADS: 2 - - run_fv3ic: !JobRequest - - memory: "3072M" - mpi_ranks: 24 - exe: placeholder diff --git a/model/rocoto_style_fv3gfs/sample-config/config.anal b/model/rocoto_style_fv3gfs/sample-config/config.anal deleted file mode 100755 index 49ae4dd..0000000 --- a/model/rocoto_style_fv3gfs/sample-config/config.anal +++ /dev/null @@ -1,34 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.anal ########## -# Analysis specific - -echo "BEGIN: config.anal" - -# Get task specific resources -. $EXPDIR/config.resources anal - -if [ $DONST = "YES" ]; then - . $EXPDIR/config.nsst -fi - -if [[ "$CDUMP" = "gfs" ]] ; then - #export USE_RADSTAT="NO" # This can be only used when bias correction is not-zero. - export GENDIAG="NO" -fi - -export ANALYSISSH="$HOMEgsi/scripts/exglobal_analysis_fv3gfs.sh.ecf" - -export npe_gsi=$npe_anal -export nth_gsi=4 - -export nth_cycle=12 - -echo "END: config.anal" diff --git a/model/rocoto_style_fv3gfs/sample-config/config.arch b/model/rocoto_style_fv3gfs/sample-config/config.arch deleted file mode 100755 index 706f887..0000000 --- a/model/rocoto_style_fv3gfs/sample-config/config.arch +++ /dev/null @@ -1,25 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.arch ########## -# Archive specific - -echo "BEGIN: config.arch" - -# Get task specific resources -. $EXPDIR/config.resources arch - -#--online archive of nemsio files for fit2obs verification -export FITSARC="NO" - -#--starting and ending hours of previous cycles to be removed from rotating directory -export RMOLDSTD=144 -export RMOLDEND=24 - -echo "END: config.arch" diff --git a/model/rocoto_style_fv3gfs/sample-config/config.base b/model/rocoto_style_fv3gfs/sample-config/config.base deleted file mode 100644 index 9cc679a..0000000 --- a/model/rocoto_style_fv3gfs/sample-config/config.base +++ /dev/null @@ -1,252 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.base ########## -# Common to all steps - -echo "BEGIN: config.base" - -# Machine environment -export machine="WCOSS_C" - -# EMC parallel or NCO production -export RUN_ENVIR="emc" -export NET=gfs -export RUN=$CDUMP - -# Account, queue, etc. -if [ $machine = "THEIA" ]; then - - export ACCOUNT="fv3-cpu" - export QUEUE="batch" - export QUEUE_ARCH="service" - -elif [ $machine = "WCOSS_C" ]; then - - export ACCOUNT="FV3GFS-T2O" - export QUEUE="dev" - export QUEUE_ARCH="dev_transfer" - -fi - -# Project to use in mass store: -HPSS_PROJECT=emc-global - -# Directories relative to installation areas: -export HOMEgfs=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.v15.0.0 -export BASE_MODULES="$HOMEgfs/modulefiles" -export PARMgfs=$HOMEgfs/parm -export FIXgfs=$HOMEgfs/fix -export USHgfs=$HOMEgfs/ush -export EXECgfs=$HOMEgfs/exec - -######################################################################## - -# --- Load modules --- - -# Theia workaround. Reduce stack soft limit while running "module" to -# avoid runaway memory allocation: -ulimit_s=$( ulimit -S -s ) -ulimit -S -s 10000 - -# Find module command and purge: -source "$BASE_MODULES/module-setup.sh.inc" 2> /dev/null - -# Load our module: -module use "$BASE_MODULES" 2> /dev/null -module load module_base.$( echo $machine | tr A-Z a-z ) 2> /dev/null - -# Restore stack soft limit: -ulimit -S -s "$ulimit_s" -unset ulimit_s - -for exetest in hsi htar ; do - if ( ! which $exetest ) ; then - echo "$exetest: executable missing after \"module load\"" 1>&2 - exit 2 - fi -done - -######################################################################## - -# GLOBAL static environment parameters -if [ $machine = "THEIA" ]; then - - export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" - export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" - export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" - -elif [ $machine = "WCOSS_C" ]; then - - export NWPROD="/gpfs/hps/nco/ops/nwprod" - if [ -d /gpfs/tp1 ]; then - export SITE="LUNA" - export DMPDIR="/gpfs/tp1/emc/globaldump" - elif [ -d /gpfs/gp1 ]; then - export SITE="SURGE" - export DMPDIR="/gpfs/gp1/emc/globaldump" - fi - export RTMFIX=$CRTM_FIX - -fi - - -# Machine specific paths used everywhere -if [ $machine = "THEIA" ]; then - - # USER specific paths - export HOMEDIR="/scratch4/NCEPDEV/global/save/$USER" - export STMP="/scratch4/NCEPDEV/stmp3/$USER" - export PTMP="/scratch4/NCEPDEV/stmp4/$USER" - export NOSCRUB="/scratch4/NCEPDEV/global/noscrub/$USER" - - # Base directories for various builds - export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" - -elif [ $machine = "WCOSS_C" ]; then - - # USER specific paths - export HOMEDIR="/gpfs/hps3/emc/global/noscrub/$USER" - export STMP="/gpfs/hps2/stmp/$USER" - export PTMP="/gpfs/hps2/ptmp/$USER" - export NOSCRUB="/gpfs/hps3/emc/global/noscrub/$USER" - - # Base directories for various builds - export BASE_SVN="/gpfs/hps3/emc/global/noscrub/emc.glopara/svn" - -fi - -# Utilities needed in the scripts (mostly post) -if [ $machine = "THEIA" ]; then - - export NDATE="$NWPROD/util/exec/ndate" - export NHOUR="$NWPROD/util/exec/nhour" - export WGRIB="$NWPROD/util/exec/wgrib" - export WGRIB2="/scratch3/NCEPDEV/nwprod/utils/wgrib2.v2.0.6c/wgrib2/wgrib2" - export COPYGB="$NWPROD/util/exec/copygb" - export COPYGB2="$NWPROD/util/exec/copygb2" - export GRBINDEX="$NWPROD/util/exec/grbindex" - export GRB2INDEX="$NWPROD/util/exec/grb2index" - export GRBINDEX2="$NWPROD/util/exec/grb2index" - export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" - export CNVGRIB21_GFS=/apps/cnvgrib/1.4.0/bin/cnvgrib - export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" - -fi - -# ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ - -#################################################### -# DO NOT ADD MACHINE DEPENDENT STUFF BELOW THIS LINE -# IF YOU HAVE TO MAKE MACHINE SPECIFIC CHANGES BELOW -# FEEL FREE TO MOVE THEM ABOVE THIS LINE TO KEEP IT -# CLEAR -#################################################### -# Build paths relative to $HOMEgfs -export HOMEgsi="$HOMEgfs/sorc/gsi.fd" -export HOMEfv3gfs="$HOMEgfs/sorc/fv3gfs.fd" -export HOMEpost="$HOMEgfs" -export BASE_PREP="$BASE_SVN/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="$BASE_SVN/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_VERIF="$BASE_SVN/verif/global/tags/vsdb" - -#--will be removed after gsi reppo is updated -export BASE_GSM=$HOMEgfs -export BASE_GSI=$HOMEgsi - - -# CONVENIENT utility scripts and other environment parameters -export NCP="/bin/cp -p" -export NMV="/bin/mv" -export NLN="/bin/ln -sf" -export VERBOSE="YES" -export KEEPDATA="NO" -export NCO_NAMING_CONV="YES" -export CHGRP_CMD="chgrp rstprod" -export NEMSIOGET="$HOMEgfs/exec/nemsio_get" - -# Machine environment, jobs, and other utility scripts -export BASE_ENV="$HOMEgfs/env" -export BASE_JOB="$HOMEgfs/jobs/rocoto" - -# EXPERIMENT specific environment parameters -export SDATE=2018010500 -export EDATE=2018010506 -export assim_freq=6 -export PSLOT="crowmaster192" -export EXPDIR="/gpfs/hps3/emc/global/noscrub/$USER/$PSLOT" -export ROTDIR="/gpfs/hps2/ptmp/$USER/ROTDIRS_CROW/$PSLOT" -export RUNDIR="$STMP/RUNDIRS/$PSLOT" -export ARCDIR="$NOSCRUB/archive/$PSLOT" -export ATARDIR="/NCEPDEV/$HPSS_PROJECT/1year/$USER/$machine/scratch/$PSLOT" -export jlogfile="${RUNDIR}/jlogfile" - -# Resolution specific parameters -export LEVS=65 -export CASE="C192" -export CASE_ENKF="C192" - -# Surface cycle update frequency -export FHCYC=24 - -# Output frequency of the forecast model (for cycling) -export FHMIN=0 -export FHMAX=9 -export FHOUT=3 - -# GFS cycle info -export gfs_cyc=4 # 0: no GFS cycle, 1: 00Z only, 2: 00Z and 12Z only, 4: all 4 cycles. - -# GFS output and frequency -export FHMIN_GFS=0 -export FHMAX_GFS=240 -export FHOUT_GFS=6 -export FHMAX_HF_GFS=0 -export FHOUT_HF_GFS=1 - -# I/O QUILTING, true--use Write Component; false--use GFDL FMS -# if quilting=true, choose OUTPUT_GRID as cubed_sphere_grid in netcdf or gaussian_grid -# if gaussian_grid, set OUTPUT_FILE for nemsio or netcdf -export QUILTING=".true." -export OUTPUT_GRID="gaussian_grid" -export OUTPUT_FILE="nemsio" - -# Microphysics Options: 99-ZhaoCarr, 8-Thompson; 6-WSM6, 10-MG, 11-GFDL -export imp_physics=99 - -# Shared parameters -# Hybrid related -export DOHYBVAR="YES" -export NMEM_ENKF=20 -export SMOOTH_ENKF="YES" -export l4densvar=".false." -export lwrite4danl=".false." - -# EnKF output frequency -if [ $DOHYBVAR = "YES" ]; then - export FHMIN_ENKF=3 - export FHMAX_ENKF=9 - if [ $l4densvar = ".true." ]; then - export FHOUT=1 - export FHOUT_ENKF=1 - else - export FHOUT_ENKF=3 - fi -fi - -# Relocation related -export DO_RELOCATE="NO" - -# If YES, NSST is turned on in anal and/or fcst steps -export DONST="NO" - -# The switch to apply SST elevation correction or not -export nst_anl=.true. - -echo "END: config.base" diff --git a/model/rocoto_style_fv3gfs/sample-config/config.base.default b/model/rocoto_style_fv3gfs/sample-config/config.base.default deleted file mode 100755 index d14f0a3..0000000 --- a/model/rocoto_style_fv3gfs/sample-config/config.base.default +++ /dev/null @@ -1,253 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.base ########## -# Common to all steps - -echo "BEGIN: config.base" - -# Machine environment -export machine="@MACHINE@" - -# EMC parallel or NCO production -export RUN_ENVIR="emc" -export NET=gfs -export RUN=$CDUMP - -# Account, queue, etc. -if [ $machine = "THEIA" ]; then - - export ACCOUNT="fv3-cpu" - export QUEUE="batch" - export QUEUE_ARCH="service" - -elif [ $machine = "WCOSS_C" ]; then - - export ACCOUNT="FV3GFS-T2O" - export QUEUE="dev" - export QUEUE_ARCH="dev_transfer" - -fi - -# Project to use in mass store: -HPSS_PROJECT=emc-global - -# Directories relative to installation areas: -export HOMEgfs=@HOMEgfs@ -export BASE_MODULES="$HOMEgfs/modulefiles" -export PARMgfs=$HOMEgfs/parm -export FIXgfs=$HOMEgfs/fix -export USHgfs=$HOMEgfs/ush -export EXECgfs=$HOMEgfs/exec - -######################################################################## - -# --- Load modules --- - -# Theia workaround. Reduce stack soft limit while running "module" to -# avoid runaway memory allocation: -ulimit_s=$( ulimit -S -s ) -ulimit -S -s 10000 - -# Find module command and purge: -source "$BASE_MODULES/module-setup.sh.inc" 2> /dev/null - -# Load our module: -module use "$BASE_MODULES" 2> /dev/null -module load module_base.$( echo $machine | tr A-Z a-z ) 2> /dev/null - -# Restore stack soft limit: -ulimit -S -s "$ulimit_s" -unset ulimit_s - -for exetest in hsi htar ; do - if ( ! which $exetest ) ; then - echo "$exetest: executable missing after \"module load\"" 1>&2 - exit 2 - fi -done - -######################################################################## - -# GLOBAL static environment parameters -if [ $machine = "THEIA" ]; then - - export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" - export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" - export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" - -elif [ $machine = "WCOSS_C" ]; then - - export NWPROD="/gpfs/hps/nco/ops/nwprod" - if [ -d /gpfs/tp1 ]; then - export SITE="LUNA" - export DMPDIR="/gpfs/tp1/emc/globaldump" - elif [ -d /gpfs/gp1 ]; then - export SITE="SURGE" - export DMPDIR="/gpfs/gp1/emc/globaldump" - fi - export RTMFIX=$CRTM_FIX - -fi - - -# Machine specific paths used everywhere -if [ $machine = "THEIA" ]; then - - # USER specific paths - export HOMEDIR="/scratch4/NCEPDEV/global/save/$USER" - export STMP="/scratch4/NCEPDEV/stmp3/$USER" - export PTMP="/scratch4/NCEPDEV/stmp4/$USER" - export NOSCRUB="/scratch4/NCEPDEV/global/noscrub/$USER" - - # Base directories for various builds - export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" - -elif [ $machine = "WCOSS_C" ]; then - - # USER specific paths - export HOMEDIR="/gpfs/hps3/emc/global/noscrub/$USER" - export STMP="/gpfs/hps2/stmp/$USER" - export PTMP="/gpfs/hps2/ptmp/$USER" - export NOSCRUB="/gpfs/hps3/emc/global/noscrub/$USER" - - # Base directories for various builds - export BASE_SVN="/gpfs/hps3/emc/global/noscrub/emc.glopara/svn" - -fi - -# Utilities needed in the scripts (mostly post) -if [ $machine = "THEIA" ]; then - - export NDATE="$NWPROD/util/exec/ndate" - export NHOUR="$NWPROD/util/exec/nhour" - export WGRIB="$NWPROD/util/exec/wgrib" - export WGRIB2="/scratch3/NCEPDEV/nwprod/utils/wgrib2.v2.0.6c/wgrib2/wgrib2" - export COPYGB="$NWPROD/util/exec/copygb" - export COPYGB2="$NWPROD/util/exec/copygb2" - export GRBINDEX="$NWPROD/util/exec/grbindex" - export GRB2INDEX="$NWPROD/util/exec/grb2index" - export GRBINDEX2="$NWPROD/util/exec/grb2index" - export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" - export CNVGRIB21_GFS=/apps/cnvgrib/1.4.0/bin/cnvgrib - export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" - -fi - -# ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ - -#################################################### -# DO NOT ADD MACHINE DEPENDENT STUFF BELOW THIS LINE -# IF YOU HAVE TO MAKE MACHINE SPECIFIC CHANGES BELOW -# FEEL FREE TO MOVE THEM ABOVE THIS LINE TO KEEP IT -# CLEAR -#################################################### -# Build paths relative to $HOMEgfs -export HOMEgsi="$HOMEgfs/sorc/gsi.fd" -export HOMEfv3gfs="$HOMEgfs/sorc/fv3gfs.fd" -export HOMEpost="$HOMEgfs" -export BASE_PREP="$BASE_SVN/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="$BASE_SVN/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_VERIF="$BASE_SVN/verif/global/tags/vsdb" - -#--will be removed after gsi reppo is updated -export BASE_GSM=$HOMEgfs -export BASE_GSI=$HOMEgsi - - -# CONVENIENT utility scripts and other environment parameters -export NCP="/bin/cp -p" -export NMV="/bin/mv" -export NLN="/bin/ln -sf" -export VERBOSE="YES" -export KEEPDATA="NO" -export NCO_NAMING_CONV="YES" -export CHGRP_CMD="chgrp rstprod" -export NEMSIOGET="$HOMEgfs/exec/nemsio_get" - -# Machine environment, jobs, and other utility scripts -export BASE_ENV="$HOMEgfs/env" -export BASE_JOB="$HOMEgfs/jobs/rocoto" - -# EXPERIMENT specific environment parameters -export SDATE=@SDATE@ -export EDATE=@EDATE@ -export assim_freq=6 -export PSLOT="@PSLOT@" -export EXPDIR="@EXPDIR@/$PSLOT" -export ROTDIR="@ROTDIR@/$PSLOT" -export RUNDIR="$STMP/RUNDIRS/$PSLOT" -export ARCDIR="$NOSCRUB/archive/$PSLOT" -export ICSDIR="@ICSDIR@" -export ATARDIR="/NCEPDEV/$HPSS_PROJECT/1year/$USER/$machine/scratch/$PSLOT" -export jlogfile="${RUNDIR}/jlogfile" - -# Resolution specific parameters -export LEVS=65 -export CASE="@CASECTL@" -export CASE_ENKF="@CASEENS@" - -# Surface cycle update frequency -export FHCYC=24 - -# Output frequency of the forecast model (for cycling) -export FHMIN=0 -export FHMAX=9 -export FHOUT=3 - -# GFS cycle info -export gfs_cyc=@gfs_cyc@ # 0: no GFS cycle, 1: 00Z only, 2: 00Z and 12Z only, 4: all 4 cycles. - -# GFS output and frequency -export FHMIN_GFS=0 -export FHMAX_GFS=24 -export FHOUT_GFS=6 -export FHMAX_HF_GFS=0 -export FHOUT_HF_GFS=1 - -# I/O QUILTING, true--use Write Component; false--use GFDL FMS -# if quilting=true, choose OUTPUT_GRID as cubed_sphere_grid in netcdf or gaussian_grid -# if gaussian_grid, set OUTPUT_FILE for nemsio or netcdf -export QUILTING=".true." -export OUTPUT_GRID="gaussian_grid" -export OUTPUT_FILE="nemsio" - -# Microphysics Options: 99-ZhaoCarr, 8-Thompson; 6-WSM6, 10-MG, 11-GFDL -export imp_physics=99 - -# Shared parameters -# Hybrid related -export DOHYBVAR="YES" -export NMEM_ENKF=@NMEM_ENKF@ -export SMOOTH_ENKF="YES" -export l4densvar=".false." -export lwrite4danl=".false." - -# EnKF output frequency -if [ $DOHYBVAR = "YES" ]; then - export FHMIN_ENKF=3 - export FHMAX_ENKF=9 - if [ $l4densvar = ".true." ]; then - export FHOUT=1 - export FHOUT_ENKF=1 - else - export FHOUT_ENKF=3 - fi -fi - -# Relocation related -export DO_RELOCATE="NO" - -# If YES, NSST is turned on in anal and/or fcst steps -export DONST="NO" - -# The switch to apply SST elevation correction or not -export nst_anl=.true. - -echo "END: config.base" diff --git a/model/rocoto_style_fv3gfs/sample-config/config.base.nco b/model/rocoto_style_fv3gfs/sample-config/config.base.nco deleted file mode 100755 index 6b95a75..0000000 --- a/model/rocoto_style_fv3gfs/sample-config/config.base.nco +++ /dev/null @@ -1,174 +0,0 @@ -#!/bin/ksh -set -x - -export RUN_ENVIR="nco" -export machine="WCOSS_C" - -export ACCOUNT="GFS-OPS" -export QUEUE="prod" -export QUEUE_ARCH="dev_transfer" - -# Project to use in mass store: -HPSS_PROJECT=emc-global - -export gfs_ver=v15.0.0 -export HOMEgfs=$NWROOT/gfs.${gfs_ver} -export BASE_MODULES="$HOMEgfs/modulefiles" -export PARMgfs=$HOMEgfs/parm -export FIXgfs=$HOMEgfs/fix -export USHgfs=$HOMEgfs/ush -export EXECgfs=$HOMEgfs/exec - - -######################################################################## -# Theia workaround. Reduce stack soft limit while running "module" to -# avoid runaway memory allocation: -ulimit_s=$( ulimit -S -s ) -ulimit -S -s 10000 - -# Find module command and purge: -source "$BASE_MODULES/module-setup.sh.inc" 2> /dev/null - -# Load our module: -module use "$BASE_MODULES" 2> /dev/null -module load module_base.$( echo $machine | tr A-Z a-z ) 2> /dev/null - -# Restore stack soft limit: -ulimit -S -s "$ulimit_s" -unset ulimit_s - -for exetest in hsi htar ; do - if ( ! which $exetest ) ; then - echo "$exetest: executable missing after \"module load\"" 1>&2 - exit 2 - fi -done - -######################################################################## -# GLOBAL static environment parameters - -export NWPROD="/gpfs/hps/nco/ops/nwprod" -if [ -d /gpfs/tp1 ]; then - export SITE="LUNA" - export DMPDIR="/gpfs/tp1/emc/globaldump" -elif [ -d /gpfs/gp1 ]; then - export SITE="SURGE" - export DMPDIR="/gpfs/gp1/emc/globaldump" -fi -export RTMFIX=$CRTM_FIX - - -# Machine specific paths used everywhere - -# USER specific paths -export HOMEDIR="/gpfs/hps3/emc/global/noscrub/$USER" -export STMP="/gpfs/hps2/stmp/$USER" -export PTMP="/gpfs/hps2/ptmp/$USER" -export NOSCRUB="/gpfs/hps3/emc/global/noscrub/$USER" - -# Base directories for various builds -export BASE_SVN="/gpfs/hps3/emc/global/noscrub/emc.glopara/svn" - -# ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -# Build paths relative to $HOMEgfs -export HOMEgsi="$HOMEgfs/sorc/gsi.fd" -export HOMEfv3gfs="$HOMEgfs/sorc/fv3gfs.fd" -export HOMEpost="$HOMEgfs" -export BASE_PREP="$BASE_SVN/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="$BASE_SVN/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_VERIF="$BASE_SVN/verif/global/tags/vsdb" - -#--will be removed after gsi reppo is updated -export BASE_GSM=$HOMEgfs -export BASE_GSI=$HOMEgsi - - -# CONVENIENT utility scripts and other environment parameters -export NCP="/bin/cp -p" -export NMV="/bin/mv" -export NLN="/bin/ln -sf" -export VERBOSE="YES" -export KEEPDATA="NO" -export NCO_NAMING_CONV="YES" -export CHGRP_CMD="chgrp rstprod" -export NEMSIOGET="$HOMEgfs/exec/nemsio_get" - -# Machine environment, jobs, and other utility scripts -export BASE_ENV="$HOMEgfs/env" -export BASE_JOB="$HOMEgfs/jobs/rocoto" - -# EXPERIMENT specific environment parameters -export SDATE=2018020100 -export EDATE=2018020300 - -export assim_freq=6 -export PSLOT="nco_prod" -export EXPDIR="/gpfs/hps3/ptmp/$USER/$PSLOT" -export ROTDIR="$COMROOT/gfs/prod" -export RUNDIR="$STMP/$PSLOT" -export ARCDIR="$NOSCRUB/archive/$PSLOT" -export ICSDIR="$ICSDIR" -export ATARDIR="/NCEPDEV/$HPSS_PROJECT/1year/$USER/$machine/scratch/$PSLOT" - -# Resolution specific parameters -export LEVS=65 -export CASE=768 -export CASE_ENKF=384 - -# Surface cycle update frequency -export FHCYC=24 - -# Output frequency of the forecast model (for cycling) -export FHMIN=0 -export FHMAX=9 -export FHOUT=1 - -# GFS cycle info -export gfs_cyc=4 - -# GFS output and frequency -export FHMIN_GFS=0 -export FHMAX_GFS=384 -export FHOUT_GFS=3 -export FHMAX_HF_GFS=120 -export FHOUT_HF_GFS=1 - -# I/O QUILTING, true--use Write Component; false--use GFDL FMS -# if quilting=true, choose OUTPUT_GRID as cubed_sphere_grid in netcdf or gaussian_grid -# if gaussian_grid, set OUTPUT_FILE for nemsio or netcdf -export QUILTING=".true." -export OUTPUT_GRID="gaussian_grid" -export OUTPUT_FILE="nemsio" - -# Microphysics Options: 99-ZhaoCarr, 8-Thompson; 6-WSM6, 10-MG, 11-GFDL -export imp_physics=11 - -# Shared parameters -# Hybrid related -export DOHYBVAR="YES" -export NMEM_ENKF=80 -export SMOOTH_ENKF="YES" -export l4densvar=".true." -export lwrite4danl=".false." - -# EnKF output frequency -if [ $DOHYBVAR = "YES" ]; then - export FHMIN_ENKF=3 - export FHMAX_ENKF=9 - if [ $l4densvar = ".true." ]; then - export FHOUT=1 - export FHOUT_ENKF=1 - else - export FHOUT_ENKF=3 - fi -fi - -# Relocation related -export DO_RELOCATE="NO" - -# If YES, NSST is turned on in anal and/or fcst steps -export DONST="YES" - -# The switch to apply SST elevation correction or not -export nst_anl=.true. - diff --git a/model/rocoto_style_fv3gfs/sample-config/config.earc b/model/rocoto_style_fv3gfs/sample-config/config.earc deleted file mode 100755 index 74690ec..0000000 --- a/model/rocoto_style_fv3gfs/sample-config/config.earc +++ /dev/null @@ -1,22 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.earc ########## -# Ensemble archive specific - -echo "BEGIN: config.earc" - -# Get task specific resources -. $EXPDIR/config.resources earc - -export NMEM_EARCGRP=10 - -export EARC_CYC="00" # Archive ensemble restarts at EARC_CYC only; can be "00 06 12 18" - -echo "END: config.earc" diff --git a/model/rocoto_style_fv3gfs/sample-config/config.ecen b/model/rocoto_style_fv3gfs/sample-config/config.ecen deleted file mode 100755 index a6d5940..0000000 --- a/model/rocoto_style_fv3gfs/sample-config/config.ecen +++ /dev/null @@ -1,24 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.ecen ########## -# Ensemble recentering specific - -echo "BEGIN: config.ecen" - -# Get task specific resources -. $EXPDIR/config.resources ecen - -export ENKFRECENSH="$HOMEgsi/scripts/EnKF/scripts_ncep/exglobal_enkf_recenter_fv3gfs.sh.ecf" -export nth_ecen=2 - -export CHGRESEXEC="$HOMEgfs/exec/chgres_recenter.exe" -export nth_chgres=12 - -echo "END: config.ecen" diff --git a/model/rocoto_style_fv3gfs/sample-config/config.efcs b/model/rocoto_style_fv3gfs/sample-config/config.efcs deleted file mode 100755 index 9f7c184..0000000 --- a/model/rocoto_style_fv3gfs/sample-config/config.efcs +++ /dev/null @@ -1,61 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.efcs ########## -# Ensemble forecast specific, dependency: config.fcst - -echo "BEGIN: config.efcs" - -# Source model specific information that is resolution dependent -. $EXPDIR/config.fv3 $CASE_ENKF - -# Get task specific resources -. $EXPDIR/config.resources efcs - -export npe_fv3=$npe_efcs -export nth_fv3=1 - -if [ $QUILTING = ".true." ]; then - export npe_fv3=$(echo " $npe_fv3 + $WRITE_GROUP * $WRTTASK_PER_GROUP" | bc) - export npe_efcs=$npe_fv3 -fi - -export ENKFFCSTSH="$HOMEgsi/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" -export NMEM_EFCSGRP=10 -export RERUN_EFCSGRP="NO" - -# Stochastic physics parameters (only for ensemble forecasts) -export DO_SKEB="NO" -export SKEB=-999. -export SKEB_TAU=21600. -export SKEB_LSCALE=500000. -export SKEBNORM=1 -export DO_SHUM="YES" -export SHUM=0.006 -export SHUM_TAU=21600. -export SHUM_LSCALE=500000. -export DO_SPPT="YES" -export SPPT=0.5 -export SPPT_TAU=21600. -export SPPT_LSCALE=500000. -export SPPT_LOGIT=".true." -export SPPT_SFCLIMIT=".true." - -if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then - export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_da" -else - export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_da_orig" -fi - -# FV3 model namelist parameters to over-ride -export restart_interval=6 -export k_split=1 # model is unstable with k_split=2, n_split=6 and stochastic physics -export n_split=12 # make the model stable with k_split=1, n_split=12 - -echo "END: config.efcs" diff --git a/model/rocoto_style_fv3gfs/sample-config/config.eobs b/model/rocoto_style_fv3gfs/sample-config/config.eobs deleted file mode 100755 index 910bffe..0000000 --- a/model/rocoto_style_fv3gfs/sample-config/config.eobs +++ /dev/null @@ -1,30 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.eobs config.eomg ########## -# Ensemble innovation specific, dependency config.anal - -echo "BEGIN: config.eobs" - -# Get task specific resources -. $EXPDIR/config.resources eobs - -export INVOBSSH="$HOMEgsi/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" -export ENKFINVOBSSH="$HOMEgsi/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" -export NMEM_EOMGGRP=10 -export RERUN_EOMGGRP="YES" -#export USE_RADSTAT="NO" # This can be only used when bias correction is non-zero. -export npe_gsi=$npe_eobs -export nth_gsi=4 - -# GSI namelist options related to observer for EnKF -export OBSINPUT_INVOBS="dmesh(1)=225.0,dmesh(2)=225.0" -export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" - -echo "END: config.eobs" diff --git a/model/rocoto_style_fv3gfs/sample-config/config.epos b/model/rocoto_style_fv3gfs/sample-config/config.epos deleted file mode 100755 index 47e2fe6..0000000 --- a/model/rocoto_style_fv3gfs/sample-config/config.epos +++ /dev/null @@ -1,21 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.epos ########## -# Ensemble post processing specific - -echo "BEGIN: config.epos" - -# Get task specific resources -. $EXPDIR/config.resources epos - -export ENKFPOSTSH="$HOMEgsi/scripts/EnKF/scripts_ncep/exglobal_enkf_post_fv3gfs.sh.ecf" -export nth_epos=2 - -echo "END: config.epos" diff --git a/model/rocoto_style_fv3gfs/sample-config/config.eupd b/model/rocoto_style_fv3gfs/sample-config/config.eupd deleted file mode 100755 index a5cc8f9..0000000 --- a/model/rocoto_style_fv3gfs/sample-config/config.eupd +++ /dev/null @@ -1,22 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.eupd ########## -# Ensemble update specific, dependency config.anal - -echo "BEGIN: config.eupd" - -# Get task specific resources -. $EXPDIR/config.resources eupd - -export ENKFUPDSH="$HOMEgsi/scripts/EnKF/scripts_ncep/exglobal_enkf_update_fv3gfs.sh.ecf" -export npe_enkf=$npe_eupd -export nth_enkf=4 - -echo "END: config.eupd" diff --git a/model/rocoto_style_fv3gfs/sample-config/config.fcst b/model/rocoto_style_fv3gfs/sample-config/config.fcst deleted file mode 100755 index 0d999ee..0000000 --- a/model/rocoto_style_fv3gfs/sample-config/config.fcst +++ /dev/null @@ -1,140 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.fcst ########## -# Forecast specific - -echo "BEGIN: config.fcst" - -# Source model specific information that is resolution dependent -. $EXPDIR/config.fv3 $CASE - -# Get task specific resources -. $EXPDIR/config.resources fcst - -if [ $DONST = "YES" ]; then - . $EXPDIR/config.nsst -fi - -export FCSTMODSDIR="$HOMEfv3gfs/modulefiles" -export FORECASTSH="$HOMEgfs/scripts/exglobal_fcst_nemsfv3gfs.sh" -#export FCSTEXECDIR="$HOMEfv3gfs/NEMS/exe" -export FCSTEXECDIR="$HOMEgfs/exec" -export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" -export npe_fv3=$npe_fcst # This is model resolution dependent, see note above - -if [ $QUILTING = ".true." ]; then - export npe_fv3=$(echo " $npe_fv3 + $WRITE_GROUP * $WRTTASK_PER_GROUP" | bc) - export npe_fcst=$npe_fv3 -fi - -# Model configuration -export TYPE="nh" -export MONO="non-mono" - -# Use stratosphere h2o physics -export h2o_phys=".false." - -# Options of stratosphere O3 physics reaction coefficients -export new_o3forc=NO - -# Microphysics configuration -export dnats=0 -export cal_pre=".true." -export do_sat_adj=".false." -export random_clds=".true." -export cnvcld=".true." - -if [ $imp_physics -eq 99 ]; then # ZhaoCarr - export ncld=1 - export FIELD_TABLE="$HOMEgfs/parm/parm_fv3diag/field_table_zhaocarr" - export nwat=2 - -elif [ $imp_physics -eq 6 ]; then # WSM6 - export ncld=2 - export FIELD_TABLE="$HOMEgfs/parm/parm_fv3diag/field_table_wsm6" - export nwat=6 - -elif [ $imp_physics -eq 8 ]; then # Thompson - export ncld=2 - export FIELD_TABLE="$HOMEgfs/parm/parm_fv3diag/field_table_thompson" - export nwat=6 - -elif [ $imp_physics -eq 11 ]; then # GFDL - export ncld=5 - export FIELD_TABLE="$HOMEgfs/parm/parm_fv3diag/field_table_gfdl" - export nwat=6 - export dnats=1 - export cal_pre=".false." - export do_sat_adj=".true." - export random_clds=".false." - export cnvcld=".false." - - export hord_mt_nh_nonmono=6 - export hord_xx_nh_nonmono=6 - export vtdm4_nh_nonmono=0.02 - export nord=2 - export dddmp=0.1 - export d4_bg=0.12 - -else - echo "Unknown microphysics option, ABORT!" - -fi -#--------------------------------------------------------------------- - - -# Disable the use of coupler.res; get model start time from model_configure -export USE_COUPLER_RES="NO" - -if [[ "$CDUMP" == "gdas" ]] ; then # GDAS cycle specific parameters - - # Variables used in DA cycling - if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then - export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_da" - else - export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_da_orig" - fi - - # Write restart files at next assimilation time - export restart_interval=6 - -elif [[ "$CDUMP" == "gfs" ]] ; then # GFS cycle specific parameters - - # Write more variables to output - if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then - export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table" - else - export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_orig" - fi - -fi - -# Regrid tiles to global Gaussian grid in NEMSIO -export REGRID_NEMSIO_SH="$HOMEgfs/ush/fv3gfs_regrid_nemsio.sh" -if [ $DONST = YES ]; then - export REGRID_NEMSIO_TBL="$HOMEgfs/parm/parm_fv3diag/variable_table_da.txt" -else - export REGRID_NEMSIO_TBL="$HOMEgfs/parm/parm_fv3diag/variable_table_da_nonsst.txt" -fi - -# Remap tiles to global latlon grid in NetCDF -export REMAPSH="$HOMEgfs/ush/fv3gfs_remap.sh" -export master_grid="0p25deg" # 1deg 0p5deg 0p25deg 0p125deg etc -export npe_remap=$((npe_fcst < 240 ? npe_fcst : 240)) -export nth_remap=2 - -# Global latlon NetCDF to nemsio utility parameters -export NC2NEMSIOSH="$HOMEgfs/ush/fv3gfs_nc2nemsio.sh" - -# Remember config.efcs will over-ride these values for ensemble forecasts -# if these variables are re-defined there. -# Otherwise, the ensemble forecast will inherit from config.fcst - -echo "END: config.fcst" diff --git a/model/rocoto_style_fv3gfs/sample-config/config.fv3 b/model/rocoto_style_fv3gfs/sample-config/config.fv3 deleted file mode 100755 index 91d9c1d..0000000 --- a/model/rocoto_style_fv3gfs/sample-config/config.fv3 +++ /dev/null @@ -1,112 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.fv3 ########## -# FV3 model resolution specific parameters -# e.g. time-step, processor layout, physics and dynamics parameters -# This config sets default variables for FV3 for a given resolution -# User can over-ride after sourcing this config file - -if [ $# -ne 1 ]; then - - echo "Must specify an input resolution argument to set variables!" - echo "argument can be any one of the following:" - echo "C48 C96 C192 C384 C768 C1152 C3072" - exit 1 - -fi - -case_in=$1 - -echo "BEGIN: config.fv3" - -# (Standard) Model resolution dependent variables -case $case_in in - "C48") - export DELTIM=450 - export layout_x=2 - export layout_y=4 - export npe_node_fcst=24 - export nth_fv3=1 - export cdmbgwd="0.062,3.5" # mountain blocking and gravity wave drag - export WRITE_GROUP=1 - export WRTTASK_PER_GROUP=24 - export WRTIOBUF="4M" - ;; - "C96") - export DELTIM=450 - export layout_x=4 - export layout_y=4 - export npe_node_fcst=24 - export nth_fv3=1 - export cdmbgwd="0.125,3.0" # mountain blocking and gravity wave drag - export WRITE_GROUP=1 - export WRTTASK_PER_GROUP=24 - export WRTIOBUF="4M" - ;; - "C192") - export DELTIM=450 - export layout_x=4 - export layout_y=6 - export npe_node_fcst=12 - export nth_fv3=2 - export cdmbgwd="0.2,2.5" # mountain blocking and gravity wave drag - export WRITE_GROUP=2 - export WRTTASK_PER_GROUP=24 - export WRTIOBUF="8M" - ;; - "C384") - export DELTIM=300 - export layout_x=4 - export layout_y=8 - export npe_node_fcst=12 - export nth_fv3=2 - export cdmbgwd="1.0,1.2" # mountain blocking and gravity wave drag - export WRITE_GROUP=3 - export WRTTASK_PER_GROUP=24 - export WRTIOBUF="16M" - ;; - "C768") - export DELTIM=225 - export layout_x=8 - export layout_y=16 - export npe_node_fcst=12 - export nth_fv3=2 - export cdmbgwd="3.5,0.25" # mountain blocking and gravity wave drag - export WRITE_GROUP=4 - export WRTTASK_PER_GROUP=60 - export WRTIOBUF="32M" - ;; - "C1152") - export DELTIM=150 - export layout_x=8 - export layout_y=16 - export npe_node_fcst=6 - export nth_fv3=4 - export WRITE_GROUP=4 - export WRTTASK_PER_GROUP=84 - export WRTIOBUF="48M" - ;; - "C3072") - export DELTIM=90 - export layout_x=16 - export layout_y=32 - export npe_node_fcst=6 - export nth_fv3=4 - export WRITE_GROUP=4 - export WRTTASK_PER_GROUP=120 - export WRTIOBUF="64M" - ;; - *) - echo "grid $case_in not supported, ABORT!" - exit 1 - ;; -esac - -echo "END: config.fv3" diff --git a/model/rocoto_style_fv3gfs/sample-config/config.fv3ic b/model/rocoto_style_fv3gfs/sample-config/config.fv3ic deleted file mode 100755 index 8f1f497..0000000 --- a/model/rocoto_style_fv3gfs/sample-config/config.fv3ic +++ /dev/null @@ -1,20 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.fv3ic ########## -# Convert GFS initial conditions into FV3 initial conditions - -echo "BEGIN: config.fv3ic" - -# Task and thread configuration -export wtime_fv3ic="00:30:00" -export npe_fv3ic=24 -export npe_node_fv3ic=24 - -echo "END: config.fv3ic" diff --git a/model/rocoto_style_fv3gfs/sample-config/config.getic b/model/rocoto_style_fv3gfs/sample-config/config.getic deleted file mode 100755 index c30167e..0000000 --- a/model/rocoto_style_fv3gfs/sample-config/config.getic +++ /dev/null @@ -1,27 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.getic ########## -# Fetching GFS initial conditions specific - -echo "BEGIN: config.getic" - -# Get task specific resources -. $EXPDIR/config.resources getic - -# We should just be supporting the OPSGFS only -export ics_from="opsgfs" # initial conditions from opsgfs or pargfs - -# Provide a parallel experiment name and path to HPSS archive -if [ $ics_from = "pargfs" ]; then - export parexp="prnemsrn" - export HPSS_PAR_PATH="/5year/NCEPDEV/emc-global/emc.glopara/WCOSS_C/$parexp" -fi - -echo "END: config.getic" diff --git a/model/rocoto_style_fv3gfs/sample-config/config.nsst b/model/rocoto_style_fv3gfs/sample-config/config.nsst deleted file mode 100755 index f18862f..0000000 --- a/model/rocoto_style_fv3gfs/sample-config/config.nsst +++ /dev/null @@ -1,40 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-09-23 02:48:49 +0000 (Sat, 23 Sep 2017) $ -# $Revision: 97753 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: config.nsst 97753 2017-09-23 02:48:49Z fanglin.yang@noaa.gov $ -############################################################### - -########## config.nsst ########## -# NSST specific - -echo "BEGIN: config.nsst" - -# NSST parameters contained within nstf_name - -# nstf_name(1) : NST_MODEL (NSST Model) : 0 = OFF, 1 = ON but uncoupled, 2 = ON and coupled -export NST_MODEL=2 - -# nstf_name(2) : NST_SPINUP : 0 = OFF, 1 = ON, -export NST_SPINUP=0 -if [[ "$CDATE" = $SDATE ]]; then - export NST_SPINUP=1 -fi - -# nstf_name(3) : NST_RESV (Reserved, NSST Analysis) : 0 = OFF, 1 = ON -export NST_RESV=0 - -# nstf_name(4,5) : ZSEA1, ZSEA2 the two depths to apply vertical average (bias correction) -export ZSEA1=0 -export ZSEA2=0 - -export NST_GSI=3 # default 0: No NST info at all; - # 1: Input NST info but not used in GSI; - # 2: Input NST info, used in CRTM simulation, no Tr analysis - # 3: Input NST info, used in both CRTM simulation and Tr analysis -export NSTINFO=0 # number of elements added in obs. data array (default = 0) -if [ $NST_GSI -gt 0 ]; then export NSTINFO=4; fi - -echo "END: config.nsst" diff --git a/model/rocoto_style_fv3gfs/sample-config/config.post b/model/rocoto_style_fv3gfs/sample-config/config.post deleted file mode 100755 index d7259b1..0000000 --- a/model/rocoto_style_fv3gfs/sample-config/config.post +++ /dev/null @@ -1,44 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.post ########## -# Post specific - -echo "BEGIN: config.post" - -# Get task specific resources -. $EXPDIR/config.resources post - -# Convert nemsio files to grib files using post job -#------------------------------------------- - -# No. of concurrent post jobs [0 implies sequential] -export NPOSTGRP=5 - -# Post driver job that calls global_nceppost.sh and downstream jobs -export POSTJJOBSH="$HOMEpost/jobs/JGLOBAL_NCEPPOST" -export GFSDOWNSH="$HOMEpost/ush/fv3gfs_downstream_nems.sh" -export GFSDWNSH="$HOMEpost/ush/fv3gfs_dwn_nems.sh" - -export POSTGPSH="$HOMEpost/ush/global_nceppost.sh" -export POSTGPEXEC="$HOMEgfs/exec/gfs_ncep_post" -export GOESF=NO # goes image -export GTGF=NO # gtg icing product -export FLXF=YES # grib2 flux file written by post -export PGB1F=YES - -export npe_postgp=$npe_post -export nth_postgp=1 - -export GFS_DOWNSTREAM="YES" -export downset=1 -export npe_dwn=24 -export nth_dwn=1 - -echo "END: config.post" diff --git a/model/rocoto_style_fv3gfs/sample-config/config.prep b/model/rocoto_style_fv3gfs/sample-config/config.prep deleted file mode 100755 index c2f5928..0000000 --- a/model/rocoto_style_fv3gfs/sample-config/config.prep +++ /dev/null @@ -1,21 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.prep ########## -# Prep step specific - -echo "BEGIN: config.prep" - -# Get task specific resources -. $EXPDIR/config.resources prep - -export DO_MAKEPREPBUFR="YES" # if NO, will copy prepbufr from globaldump -export DRIVE_MAKEPREPBUFRSH="$HOMEgfs/ush/drive_makeprepbufr.sh" - -echo "END: config.prep" diff --git a/model/rocoto_style_fv3gfs/sample-config/config.prepbufr b/model/rocoto_style_fv3gfs/sample-config/config.prepbufr deleted file mode 100755 index 6ebc3a1..0000000 --- a/model/rocoto_style_fv3gfs/sample-config/config.prepbufr +++ /dev/null @@ -1,94 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.prepbufr ########## -# PREPBUFR specific configuration - -echo "BEGIN: config.prepbufr" - -# Get task specific resources -. $EXPDIR/config.resources prepbufr - -# What to turn ON or OFF -export SYNDATA="YES" # Execute program SYNDAT_SYNDATA -export PREPACQC="YES" # Execute program PREPOBS_PREPACQC -export PROCESS_ACQC="YES" # Execute program PREPOBS_PREPACQC -export PROCESS_ACPF="NO" # Execute program PREPOBS_PREPACPF -export PROFCQC="YES" # Execute program PREPOBS_PROFCQC -export CQCVAD="YES" # Execute program PREPOBS_CQCVAD -export CQCBUFR="YES" # Execute program PREPOBS_CQCBUFR -export OIQCBUFR="NO" # Execute program PREPOBS_OIQCBUFR -export PREPDATA="YES" # Execute program PREPOBS_MPCOPYBUFR, - # PREPOBS_PREPDATA, - # PREPOBS_LISTHEADERS, - # PREPOBS_MONOPREPBUFR -export GETGUESS="YES" # Encode first guess (background) values interpolated to - # observation locations in the PREPBUFR file for use by - # the q.c. programs. This guess is always from a global - # guess file valid at the center PREPBUFR processing date/time. -export DO_QC="YES" # IF NO, programs PREPOBS_PREPACQC, PREPOBS_ACARSQC, - # PREPOBS_PROFCQC, PREPOBS_CQCVAD, PREPOBS_CQCBUFR and - # PREPOBS_OIQCBUFR will NEVER execute regardless of - # switches above - - # should be set to NO only as a last resort!!! - -# BUFR data types to process -export BUFRLIST="adpupa proflr aircar aircft satwnd adpsfc sfcshp vadwnd wdsatr ascatw rassda gpsipw" - -# MAKEPREPBUFR script and options -export MAKEPREPBUFRSH="$BASE_PREP/ush/prepobs_makeprepbufr.sh" -export NSPLIT=4 # execute in parallel - -# These variable largely eliminate the need for explicitly setting -# USH directories, FIX files, PARM files, EXECutables below -# The USER can overwrite components that they wish -# e.g. PRVT is used from the GSI -export HOMEobsproc_prep=$BASE_PREP -export EXECPREP="$BASE_PREP/exec" -export FIXPREP="$BASE_PREP/fix" -export HOMEobsproc_network=$BASE_PREP_GLOBAL -export PARMPREP="$BASE_PREP_GLOBAL/parm" - -# Directories -#export USHSYND="$BASE_PREP/ush" -#export USHPREV="$BASE_PREP/ush" -#export USHCQC="$BASE_PREP/ush" -#export USHPQC="$BASE_PREP/ush" -#export USHVQC="$BASE_PREP/ush" -#export USHAQC="$BASE_PREP/ush" -#export USHOIQC="$BASE_PREP/ush" - -# Fix files -#export CQCS="$BASE_PREP/fix/prepobs_cqc_statbge" -#export LANDC="$BASE_PREP/fix/prepobs_landc" -#export PRPT="$BASE_PREP/fix/prepobs_prep.bufrtable" -export PRVT="$HOMEgsi/fix/prepobs_errtable.global" -#export OIQCT="$BASE_PREP_GLOBAL/fix/prepobs_oiqc.oberrs" - -# parm files -#export AQCC="$BASE_PREP_GLOBAL/parm/prepobs_prepacqc.${CDUMP}.parm" -#export CQCC="$BASE_PREP_GLOBAL/parm/prepobs_cqcbufr.gdas.parm" -#export PRPC="$BASE_PREP_GLOBAL/parm/prepobs_prepdata.${CDUMP}.parm" -#export PQCC="$BASE_PREP_GLOBAL/parm/prepobs_profcqc.gdas.parm" -#export SYNDC="$BASE_PREP_GLOBAL/parm/syndat_syndata.gdas.parm" - -# Executables -#export PRPX="$BASE_PREP/exec/prepobs_prepdata" -#export PREX="$BASE_PREP/exec/prepobs_prevents" -#export AQCX="$BASE_PREP/exec/prepobs_prepacqc" -#export PQCX="$BASE_PREP/exec/prepobs_profcqc" -#export CQCX="$BASE_PREP/exec/prepobs_cqcbufr" -#export SYNDX="$BASE_PREP/exec/syndat_syndata" -#export MPCOPYX="$BASE_PREP/exec/prepobs_mpcopybufr" -#export LISTHDX="$BASE_PREP/exec/prepobs_listheaders" -#export MONOBFRX="$BASE_PREP/exec/prepobs_monoprepbufr" -#export VQCX="$BASE_PREP/exec/prepobs_cqcvad" -#export OIQCX="$BASE_PREP/exec/prepobs_oiqcbufr" - -echo "END: config.prepbufr" diff --git a/model/rocoto_style_fv3gfs/sample-config/config.resources b/model/rocoto_style_fv3gfs/sample-config/config.resources deleted file mode 100755 index eadc424..0000000 --- a/model/rocoto_style_fv3gfs/sample-config/config.resources +++ /dev/null @@ -1,127 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.resources ########## -# Set resource information for job tasks -# e.g. walltime, node, cores per node, memory etc. - -if [ $# -ne 1 ]; then - - echo "Must specify an input task argument to set resource variables!" - echo "argument can be any one of the following:" - echo "anal fcst post vrfy arch" - echo "eobs eomg eupd ecen efcs epos earc" - exit 1 - -fi - -step=$1 - -echo "BEGIN: config.resources" - -if [ $step = "prep" -o $step = "prepbufr" ]; then - - eval "export wtime_$step='00:15:00'" - eval "export npe_$step=12" - eval "export npe_node_$step=12" - -elif [ $step = "anal" ]; then - - export wtime_anal="01:30:00" - export npe_anal=144 - export npe_node_anal=6 - export memory_anal="3072M" - -elif [ $step = "fcst" ]; then - - export wtime_fcst="00:15:00" - export wtime_fcst_gfs="06:00:00" - export npe_fcst=$(echo "$layout_x * $layout_y * 6" | bc) - export npe_node_fcst=${npe_node_fcst:-12} - export memory_fcst="1024M" - -elif [ $step = "post" ]; then - - export wtime_post="00:30:00" - export wtime_post_gfs="01:00:00" - export npe_post=72 - export npe_node_post=12 - export npe_node_dwn=24 - if [[ "$machine" == THEIA ]]; then - export memory_post="16384M" - else - export memory_post="3072M" - fi - -elif [ $step = "vrfy" ]; then - - export wtime_vrfy="03:00:00" - export wtime_vrfy_gfs="06:00:00" - export npe_vrfy=1 - export npe_node_vrfy=1 - if [[ "$machine" == THEIA ]]; then - export memory_vrfy="16384M" - else - export memory_vrfy="3072M" - # Memory on cray is for the batch node, not the compute node. - # Tasks on the compute node have no memory limit. 16GB is - # unneeded, and is beyond the queue maximum anyway (the job is - # rejected). - fi - -elif [ $step = "arch" -o $step = "earc" -o $step = "getic" ]; then - - eval "export wtime_$step='06:00:00'" - eval "export npe_$step=1" - eval "export npe_node_$step=1" - -elif [ $step = "eobs" -o $step = "eomg" ]; then - - export wtime_eobs="00:45:00" - export wtime_eomg="01:00:00" - export npe_eobs=72 - export npe_node_eobs=6 - export memory_eobs="3072M" - -elif [ $step = "eupd" ]; then - - export wtime_eupd="00:30:00" - export npe_eupd=120 - export npe_node_eupd=12 - export memory_eupd="3072M" - -elif [ $step = "ecen" ]; then - - export wtime_ecen="00:30:00" - export npe_ecen=84 - export npe_node_ecen=12 - export memory_ecen="3072M" - -elif [ $step = "efcs" ]; then - - export wtime_efcs="01:00:00" - export npe_efcs=$(echo "$layout_x * $layout_y * 6" | bc) - export npe_node_efcs=${npe_node_fcst:-12} - export memory_efcs="254M" - -elif [ $step = "epos" ]; then - - export wtime_epos="00:30:00" - export npe_epos=84 - export npe_node_epos=12 - export memory_epos="254M" - -else - - echo "Invalid step = $step, ABORT!" - exit 2 - -fi - -echo "END: config.resources" diff --git a/model/rocoto_style_fv3gfs/sample-config/config.vrfy b/model/rocoto_style_fv3gfs/sample-config/config.vrfy deleted file mode 100755 index ee8fa61..0000000 --- a/model/rocoto_style_fv3gfs/sample-config/config.vrfy +++ /dev/null @@ -1,176 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.vrfy ########## -# Verification step specific - -echo "BEGIN: config.vrfy" - -# Get task specific resources -. $EXPDIR/config.resources vrfy - -export VDUMP="gfs" # verifying dump -export CDUMPFCST="gdas" # Fit-to-obs with GDAS/GFS prepbufr -export CDFNL="gdas" # Scores verification against GDAS/GFS analysis - -export VSDB_STEP1="YES" # populate VSDB database -export VSDB_STEP2="NO" -export VRFYG2OBS="YES" # Grid to observations, see note below if turning ON -export VRFYFITS="YES" # Fit to observations -export VRFYPRCP="YES" # Precip threat scores -export VRFYMINMON="YES" # GSI minimization monitoring -export VRFYRAD="YES" # Radiance data assimilation monitoring -export VRFYOZN="YES" # Ozone data assimilation monitoring -export VRFYTRAK="YES" # Hurricane track forecasts -export VRFYGENESIS="YES" # Cyclone genesis -export VRFYGMPK="NO" # Gempak verification - -# Fit to Observations -if [ $VRFYFITS = "YES" ]; then - - if [ $machine = "WCOSS_C" ]; then - export fitdir="$BASE_SVN/verif/global/parafits.fv3nems/batrun" - export PREPQFITSH="$fitdir/subfits_cray_nems" - elif [ $machine = "THEIA" ]; then - export fitdir="$BASE_SVN/verif/global/parafits.fv3nems/batrun" - export PREPQFITSH="$fitdir/subfits_theia_nems" - fi - -fi - -# VSDB STEP1, Verify Precipipation and Grid To Obs options -# All these call $VSDBSH -if [[ "$CDUMP" = "gfs" ]] ; then - ddd=`echo $CDATE |cut -c 1-8` - #if [ $ddd -eq 5 -o $ddd -eq 10 ]; then export VSDB_STEP2 = "YES" ;fi - - if [ $VSDB_STEP1 = "YES" -o $VSDB_STEP2 = "YES" -o $VRFYPRCP = "YES" -o $VRFYG2OBS = "YES" ]; then - export BACKDATEVSDB=24 # execute vsdbjob for the previous day - export VBACKUP_PRCP=24 # back up for QPF verification data - export vsdbsave="$NOSCRUB/archive/vsdb_data" # place to save vsdb database - export vsdbhome=$BASE_VERIF # location of global verification scripts - export VSDBSH="$vsdbhome/vsdbjob.sh" # VSDB job script - export vlength=$FHMAX_GFS # verification length - export vhr_rain=$FHMAX_GFS # verification length for precip - export ftyplist="pgbq" # verif. files used for computing QPF ETS scores - export anltype="gfs" # default=gfs, analysis type (gfs or gdas) for verification - - export VSDB_START_DATE="$SDATE" # starting date for vsdb maps - export webhost="emcrzdm.ncep.noaa.gov" # webhost(rzdm) computer - export webhostid="$USER" # webhost(rzdm) user name - export SEND2WEB="NO" # whether or not to send maps to webhost - export WEBDIR="/home/people/emc/www/htdocs/gmb/${webhostid}/vsdb/$PSLOT" - export mdlist="gfs $PSLOT " # exps (up to 10) to compare in maps - fi -fi - - -# Minimization, Radiance and Ozone Monitoring -if [ $VRFYRAD = "YES" -o $VRFYMINMON = "YES" -o $VRFYOZN = "YES" ]; then - - export HOMEgfs="$HOMEgfs" - export envir="para" - - # Radiance Monitoring - if [[ "$VRFYRAD" == "YES" && "$CDUMP" == "$CDFNL" ]] ; then - - export HOMEradmon="$HOMEgfs" - export RADMON_SUFFIX=$PSLOT - export TANKverf="$NOSCRUB/radmon" - if [ $machine = "WCOSS_C" -o $machine = "THEIA" ]; then - export VRFYRADSH="$HOMEgfs/jobs/JGDAS_VERFRAD" - else - echo "WARNING: Radiance monitoring is not enabled on $machine!" - export VRFYRAD="NO" - fi - - fi - - # Minimization Monitoring - if [[ "$VRFYMINMON" = "YES" ]] ; then - - export HOMEgfs="$HOMEgfs" - export HOMEminmon=$HOMEgfs - export MINMON_SUFFIX=$PSLOT - export M_TANKverf="$NOSCRUB/minmon" - if [[ "$machine" == "WCOSS_C" || "$machine" == "THEIA" ]] ; then - if [[ "$CDUMP" = "gdas" ]] ; then - export VRFYMINSH="$HOMEgfs/jobs/JGDAS_VMINMON" - elif [[ "$CDUMP" = "gfs" ]] ; then - export VRFYMINSH="$HOMEgfs/jobs/JGFS_VMINMON" - fi - else - echo "WARNING: Minimization monitoring is not enabled on $machine!" - export VRFYMINMON="NO" - fi - - fi - - # Ozone Monitoring - if [[ "$VRFYOZN" == "YES" && "$CDUMP" == "$CDFNL" ]] ; then - - export HOMEoznmon="$HOMEgfs" - export OZNMON_SUFFIX=$PSLOT - export TANKverf_ozn="$NOSCRUB/oznmon" - if [ $machine = "WCOSS_C" -o $machine = "THEIA" ]; then - export VRFYOZNSH="$HOMEgfs/jobs/JGDAS_VERFOZN" - else - echo "WARNING: Ozone monitoring is not enabled on $machine!" - export VRFYOZN="NO" - fi - - fi - -fi - -# Cyclone track verification -if [ $VRFYTRAK = "YES" ]; then - - export TRACKERSH="$HOMEgfs/ush/global_tracker.sh" - export PARATRKR="$HOMEgfs/ush/global_extrkr.sh" - export GETTRKEXEC="$HOMEgfs/exec/gettrk" - export GETTX=$GETTRKEXEC - export SUPVX="$HOMEgfs/exec/supvit" - export HOMERELO=$HOMEgfs - export homesyndir=$HOMEgfs - export prep_step="$NWPROD/prod_util.v1.0.15/ush/prep_step" - if [[ "$CDUMP" = "gfs" ]] ; then - export FHOUT=$FHOUT_GFS - export FHMAX=$FHMAX_GFS - export FHMAX2=${FHMAX2_GFS:-$FHMAX_GFS} # We don't run FCST2 - fi - if [ $machine = "THEIA" ]; then - export COMROOTp1="/scratch4/NCEPDEV/rstprod/com" - export archsyndir="$COMROOTp1/arch/prod/syndat" - fi -fi - -# Cyclone genesis verification -if [ $VRFYGENESIS = "YES" ]; then - - if [ $machine = "WCOSS_C" ] ; then - export NWROOTGENESIS="/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/gfs/q3fy17" - elif [ $machine = "THEIA" ] ; then - export NWROOTGENESIS="/scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17" - export UTILROOT="/scratch4/NCEPDEV/global/save/glopara/nwpara/prod_util.v1.0.15" - export GETTX_GEN="$NWROOTGENESIS/ens_tracker.v2.0.1/exec/gettrk_gen_g2_theia" - fi - if [ $machine = "WCOSS_C" -o $machine = "THEIA" ]; then - export GENESISSH="$NWROOTGENESIS/ens_tracker.v2.0.1/gfs_genesis_para_fv3gfs.sh" - else - echo "WARNING: Genesis verification is not enabled on $machine!" - export VRFYGENESIS="NO" - export GENESISSH="" - fi - - export GETTX_GEN="$NWROOTGENESIS/ens_tracker.v2.0.1/exec/gettrk_gen_g2" - -fi - -echo "END: config.vrfy" diff --git a/model/rocoto_style_fv3gfs/schedulers.yaml b/model/rocoto_style_fv3gfs/schedulers.yaml deleted file mode 100644 index 532eaeb..0000000 --- a/model/rocoto_style_fv3gfs/schedulers.yaml +++ /dev/null @@ -1,23 +0,0 @@ - -wcoss_cray_scheduler_settings: &wcoss_cray_scheduler_settings - name: LSFAlps - physical_cores_per_node: 24 - logical_cpus_per_core: 2 - hyperthreading_allowed: true - -theia_scheduler_settings: &theia_scheduler_settings - name: MoabTorque - physical_cores_per_node: 24 - logical_cpus_per_core: 2 - hyperthreading_allowed: true - -# Magic block to automatically detect your machine -automatic_scheduler_settings: &automatic_scheduler_settings !FirstTrue - - when: !calc tools.isdir("/gpfs") and tools.isdir("/usrx/local") - do: *wcoss_cray_scheduler_settings - - when: !calc tools.isdir("/scratch4") and tools.isdir("/scratch3") - do: *theia_scheduler_settings - - otherwise: !error "You are not on Theia or WCOSS" - -scheduler_settings: *automatic_scheduler_settings - diff --git a/model/rocoto_style_fv3gfs/settings.yaml b/model/rocoto_style_fv3gfs/settings.yaml deleted file mode 100644 index 196d960..0000000 --- a/model/rocoto_style_fv3gfs/settings.yaml +++ /dev/null @@ -1,64 +0,0 @@ - -## initial_directory: location from which the setup script was run. -initial_directory: !calc ( tools.realpath(tools.abspath(".")) ) - -config_base: - # These are initialized from config.base: - FHMAX_GFS: !Immediate [ !calc int(tools.env("FHMAX_GFS")) ] - PSLOT: !Immediate [ !calc tools.env("PSLOT") ] - EXPDIR: !Immediate [ !calc tools.env("EXPDIR") ] - ROTDIR: !Immediate [ !calc tools.env("ROTDIR") ] - RUNDIR: !Immediate [ !calc tools.env("RUNDIR") ] - HOMEgfs: !Immediate [ !calc tools.env("HOMEgfs") ] - CASE: !Immediate [ !calc tools.env("CASE") ] - CASE_ENKF: !Immediate [ !calc tools.env("CASE_ENKF") ] - SDATE: !Immediate [ !calc tools.env("SDATE") ] - EDATE: !Immediate [ !calc tools.env("EDATE") ] - -settings: - resource_file: !expand >- - resources_{doc.config_base.CASE}_{doc.config_base.CASE_ENKF}.yaml - - HOMEgfs: !calc doc.config_base.HOMEgfs - COM: !calc doc.config_base.ROTDIR - EXPDIR: !calc doc.config_base.EXPDIR - DATAROOT: !calc doc.config_base.RUNDIR - experiment_name: !calc doc.config_base.PSLOT - - NMEM_ENKF: 20 - ENKF_GROUPS: 2 - NMEM_EOMGGRP: 4 - NMEM_EFCSGRP: 10 - NMEM_EARCGRP: 10 - - ENKF_INNOVATE_GROUPS: !calc ( NMEM_ENKF // NMEM_EOMGGRP ) - ENKF_FORECAST_GROUPS: !calc ( NMEM_ENKF // NMEM_EFCSGRP ) - ENKF_ARCHIVE_GROUPS: !calc ( NMEM_ENKF // NMEM_EARCGRP ) - - ECF_HOME: !calc ( tools.env('ECF_HOME') ) - ROCOTO_HOME: !calc ( doc.config_base.EXPDIR ) - layout_x: 4 - layout_y: 6 - WRITE_GROUP: 2 - WRTTASK_PER_GROUP: 24 - QUEUE: dev - PROJECT: FV3GFS-T2O - QUEUESERV: dev_transfer - QUEUESHARED: dev_shared - - DUMPDIR: "/gpfs/tp1/emc/globaldump" - - start_date: !calc tools.strptime(doc.config_base.SDATE,"%Y%m%d%H") - end_date: !calc tools.strptime(doc.config_base.EDATE,"%Y%m%d%H") - start_date_gfs: !calc ( start_date+tools.to_timedelta('6:00:00') ) - end_date_gfs: !calc end_date - interval_gfs: !timedelta "6:00:00" - #forecast_hours: !calc tools.seq(0,120,1)+tools.seq(123,240,3)+tools.seq(240,384,12) - forecast_hours: !calc tools.seq(0,doc.config_base.FHMAX_GFS,6) - gdas_hours: !calc tools.seq(0,9,3) - - max_job_tries: 1 - realtime: True - archive_to_hpss: True - -######################################################################## diff --git a/model/rocoto_style_fv3gfs/suite_def.yaml b/model/rocoto_style_fv3gfs/suite_def.yaml deleted file mode 100644 index aed45fe..0000000 --- a/model/rocoto_style_fv3gfs/suite_def.yaml +++ /dev/null @@ -1,374 +0,0 @@ -scheduler: !calc | - tools.get_scheduler(doc.scheduler_settings.name, - doc.scheduler_settings) -suite: !Cycle - Clock: !Clock - start: !calc doc.settings.start_date - end: !calc doc.settings.end_date - step: !timedelta "6:00:00" - - Alarms: - first: !Clock - start: !calc suite.Clock.start - end: !calc suite.Clock.start - step: !calc suite.Clock.step - gdas: !Clock - start: !calc suite.Clock.start - end: !calc suite.Clock.end - step: !calc suite.Clock.step - gfs: !Clock - start: !calc doc.settings.start_date_gfs - end: !calc doc.settings.end_date_gfs - step: !calc doc.settings.interval_gfs - - ecFlow: - suite_def_filename: !expand "{doc.settings.experiment_name}_%Y%m%d%H.def" - suite_name: !expand "{doc.settings.experiment_name}_%Y%m%d%H" - scheduler: !calc doc.scheduler - dates_in_time_dependencies: true - - # write_cycles/analyze_cycles: Use these to generate a subset of - # the cycles in ecFlow. Make sure analyze_cycles starts at least - # one cycle before write_cycles so that dependencies are - # processed. These are overridden by update_ecflow_workflow.py - # during its execution. - - # write_cycles: !Clock - # start: 2018-01-02T00:00:00 - # end: 2018-01-02T18:00:00 - # step: !timedelta "6:00:00" - # analyze_cycles: !Clock - # start: 2018-01-02T00:00:00 - # end: 2018-01-02T18:00:00 - # step: !timedelta "6:00:00" - - ecflow_def: !expand | - # This ecflow suite definition is automatically generated. - # Changes will be overwritten. Please edit suite_def.yaml instead. - - # Repeat vs. autocancel. - # - # - Use "repeat day 1" if you are generating four cycles: 00, 06, 12, 18 - # as is done in operations - # - # - Use "autocancel" if you are generating one suite for each cycle. - # This ensures the server is not filled up with cycles. - - #repeat day 1 - autocancel +5 - - edit ECF_TRIES '{doc.settings.max_job_tries}' - #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' - #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' - #edit ECF_KILL_CMD 'lkill %ECF_NAME% %ECF_JOBOUT%' - edit ECF_HOME '{doc.settings.ECF_HOME}' - edit MACHINE 'xc40-dev' - #edit ECF_HOME '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3' - edit CYC '{tools.strftime(suite.Clock.now,"%H")}' - edit PDY '{tools.strftime(suite.Clock.now,"%Y%m%d")}' - edit ENVIR 'prod' - edit E 'jecffv3' - #edit QUEUE 'dev' - edit EMCPEN '{doc.settings.experiment_name}' - edit DATAROOT '{doc.settings.DATAROOT}' - edit ECF_FILES '{doc.settings.ECF_HOME}' - #edit ECF_JOBOUT '%ECF_HOME%/%ECF_NAME%.%ECF_TRYNO%' - edit ECF_OUT '{doc.settings.COM}/logs' - edit ECF_LOG '{doc.settings.EXPDIR}/ecf.log' - edit MODEL_NAME 'gfs' - - edit DUMPDIR '{doc.settings.DUMPDIR}' - {common_metasched_vars} - - common_metasched_vars: !expand | - {metasched.defvar("QUEUE", doc.settings.QUEUE)} - {metasched.defvar("HOMEgfs", doc.settings.HOMEgfs)} - {metasched.defvar("QUEUESHARED", doc.settings.QUEUESHARED)} - {metasched.defvar("COM", doc.settings.COM)} - {metasched.defvar("QUEUESERV", doc.settings.QUEUESERV)} - {metasched.defvar("PROJECT", doc.settings.PROJECT)} - - Rocoto: - scheduler: !calc doc.scheduler - max_tries: !calc doc.settings.max_job_tries - workflow_xml: !expand | - - - - - - - - {tools.indent(" ",suite.common_metasched_vars)} - ]> - - - &LOG_DIR;/rocoto_@Y@m@d@H.log - {to_rocoto.make_time_xml(indent=1)} - {to_rocoto.make_task_xml(indent=1)} - - - gdas: !Family - AlarmName: gdas - - ecflow_def: | - edit MODEL_NAME 'gdas' - - dump_waiter: !Task - <<: *dump_waiter_task - ecflow_def: !FirstTrue - - when: !calc doc.settings.realtime - do: "edit ECF_TRIES 72" - - otherwise: "" - Trigger: !Depend up.gdas.post.at('-6:00:00') - Complete: !Depend ~ suite.has_cycle('-6:00:00') - - prep: !Task - <<: *exclusive_task_template - Trigger: !Depend up.gdas.post.at('-6:00:00') & dump_waiter.updated_status - Complete: !Depend ~ suite.has_cycle('-6:00:00') - resources: !calc ( doc.resources.run_prep ) - - anal: !Task - <<: *exclusive_task_template - Trigger: !Depend ( prep & enkf.epos.at('-6:00:00') ) - Complete: !Depend ~ suite.has_cycle('-6:00:00') - resources: !calc ( doc.resources.run_anal ) - - fcst: !Task - <<: *exclusive_task_template - Trigger: !Depend anal | ~ suite.has_cycle('-6:00:00') - resources: !calc ( doc.resources.run_gdasfcst ) - - post: !TaskArray - Dimensions: - fhr: !calc doc.settings.gdas_hours #tools.seq(0,9,1) - post_manager_el: !TaskElement - <<: *exclusive_task_template - Trigger: !Depend up.fcst.is_running() - Complete: !Depend up.fcst - Disable: !calc metasched.type=='rocoto' - Foreach: [] - J_JOB: post_manager - ecflow_command: *post_manager_job_contents - Name: post_manager - resources: !calc doc.resources.run_gdas_post_manager - release_postanl: !DataEvent - file: !expand >- - {metasched.varref("COM")}/{up.CDUMP}.{metasched.datestring("%Y%m%d/%H/")}{up.CDUMP}.t{metasched.datestring("%H")}z.logf000.nemsio - release_post_fhr: !DataEventElement - Name: !expand "release_post{dimval.fhr:02d}" - Foreach: [ fhr ] - file: !expand > - {metasched.varref("COM")}/{up.CDUMP}.{metasched.datestring("%Y%m%d/%H/")}{up.CDUMP}.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio - # NOTE: the above files must match post_manager.yaml - - post_el: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - J_JOB: post.sh - Name: !expand "g{dimidx.fhr:03d}" - FHRGRP: !expand "{dimidx.fhr:03d}" - FHRLST: !FirstTrue - - when: !calc dimidx.fhr==0 - do: anl - - otherwise: !expand "f{(dimidx.fhr-1)*3:03d}" - more_vars: [ FHRGRP, FHRLST ] - release_id: !FirstTrue - - when: !calc dimidx.fhr==0 - do: "anl" - - otherwise: !expand "{(dimidx.fhr-1)*3:02d}" - Trigger: !Depend post_manager.depend("release_post{F}",F=[release_id]) | up.fcst - resources: !calc doc.resources.run_gdaspost - - vrfy: !Task - <<: *exclusive_task_template - Trigger: !Depend post - resources: !calc ( doc.resources.run_gdasvrfy ) - - enkf: !Family - eobs: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.prep & epos.at('-6:00:00') ) - Complete: !Depend ~ suite.has_cycle('-6:00:00') - resources: !calc ( doc.resources.run_eobs ) - - eomg: !TaskArray - Trigger: !Depend eobs - Complete: !Depend ~ suite.has_cycle('-6:00:00') - Dimensions: - groupid: !calc tools.seq(1,doc.settings.ENKF_INNOVATE_GROUPS,1) - grp: !TaskElement - <<: *exclusive_task_template - resources: !calc ( doc.resources.run_eomg ) - J_JOB: eomg.sh - Foreach: [ groupid ] - Name: !expand "grp{dimval.groupid}" - ENSGRP: !expand "{dimval.groupid:02d}" - more_vars: [ ENSGRP ] - - eupd: !Task - <<: *exclusive_task_template - Trigger: !Depend eomg - Complete: !Depend ~ suite.has_cycle('-6:00:00') - resources: !calc ( doc.resources.run_eupd ) - - ecen: !Task - <<: *exclusive_task_template - Trigger: !Depend ( eupd & up.anal ) - Complete: !Depend ~ suite.has_cycle('-6:00:00') - resources: !calc ( doc.resources.run_ecen ) - - efcs: !TaskArray - Trigger: !Depend ( ecen | ~ suite.has_cycle('-6:00:00') ) - Dimensions: - groupid: !calc tools.seq(1,doc.settings.ENKF_FORECAST_GROUPS,1) - grp: !TaskElement - <<: *exclusive_task_template - resources: !calc ( doc.resources.run_efcs ) - J_JOB: efcs.sh - Foreach: [ groupid ] - Name: !expand "grp{dimval.groupid}" - ENSGRP: !expand "{dimval.groupid:02d}" - more_vars: [ ENSGRP ] - - epos: !Task - <<: *exclusive_task_template - Trigger: !Depend efcs - resources: !calc ( doc.resources.run_epos ) - - gfs: !Family - Complete: !Depend ~ suite.has_cycle('-6:00:00') - AlarmName: gfs - ecflow_def: | - edit MODEL_NAME 'gfs' - - dump_waiter: !Task - <<: *dump_waiter_task - ecflow_def: !FirstTrue - - when: !calc doc.settings.realtime - do: "edit ECF_TRIES 72" - - otherwise: "" - Trigger: !Depend up.gdas.post.at('-6:00:00') - - prep: !Task - <<: *exclusive_task_template - resources: !calc ( doc.resources.run_prep ) - Trigger: !Depend up.gdas.post.at('-6:00:00') & dump_waiter.updated_status - - anal: !Task - <<: *exclusive_task_template - Trigger: !Depend ( prep & up.gdas.enkf.epos.at('-6:00:00') ) - resources: !calc ( doc.resources.run_anal ) - - fcst: !Task - <<: *exclusive_task_template - Trigger: !Depend anal - resources: !calc ( doc.resources.run_gfsfcst ) - - post: !TaskArray - Dimensions: - fhr: !calc doc.settings.forecast_hours - - post_manager_el: !TaskElement - <<: *exclusive_task_template - Disable: !calc metasched.type == 'rocoto' - Trigger: !Depend up.fcst.is_running() - Complete: !Depend up.fcst - Foreach: [ ] - ecflow_command: *post_manager_job_contents - J_JOB: post_manager - Name: post_manager - resources: !calc doc.resources.run_gfs_post_manager - release_postanl: !DataEvent - file: !expand >- - {metasched.varref("COM")}/{up.CDUMP}.{metasched.datestring("%Y%m%d/%H/")}{up.CDUMP}.t{metasched.datestring("%H")}z.logf000.nemsio - release_post_fhr: !DataEventElement - Name: !expand "release_post{dimval.fhr:02d}" - Foreach: [ fhr ] - file: !expand >- - {metasched.varref("COM")}/{up.CDUMP}.{metasched.datestring("%Y%m%d/%H/")}{up.CDUMP}.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio - # NOTE: the above files must match post_manager.yaml - - post_el: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - resources: !calc doc.resources.run_gfspost - Name: !expand "grp{dimidx.fhr:03d}" - FHRGRP: !expand "{dimidx.fhr:03d}" - J_JOB: post.sh - FHRLST: !FirstTrue - - when: !calc dimidx.fhr==0 - do: anl - - otherwise: !expand "f{(dimidx.fhr-1)*6:03d}" - release_id: !FirstTrue - - when: !calc dimidx.fhr==0 - do: "00" - - otherwise: !expand "{(dimidx.fhr-1)*6:02d}" - more_vars: [ FHRGRP, FHRLST ] - Trigger: !Depend post_manager.depend("release_post{F}",F=[release_id]) | up.fcst - - vrfy: !Task - <<: *exclusive_task_template - Trigger: !Depend post - resources: !calc ( doc.resources.run_gfsvrfy ) - - make_next_cycles: !Task - <<: *make_next_cycles_task - Trigger: !Depend gdas - ecflow_def: !expand | - # These variables are used by the make_next_cycles job to generate - # suites for later cycles once earlier cycles have finished. - edit WORKFLOW_FIRST_CYCLE '{tools.strftime(suite.Clock.start,"%Y%m%d%H")}' - edit WORKFLOW_LAST_CYCLE '{tools.strftime(suite.Clock.end,"%Y%m%d%H")}' - edit WORKFLOW_CYCLES_TO_GENERATE '5' - edit WORKFLOW_CROW_HOME '{doc.initial_directory}' - edit WORKFLOW_EXPDIR '{doc.settings.EXPDIR}' - - archive: !Family - ecflow_def: - edit ECF_TRIES '3' - gdasarch: !Task - <<: *service_task_template - AlarmName: gdas - Trigger: !Depend up.gdas.vrfy - resources: !calc ( doc.resources.run_arch ) - Disable: !calc not doc.settings.archive_to_hpss - J_JOB: arch.sh - CDUMP: gdas - - earc: !TaskArray - AlarmName: gdas - Trigger: !Depend up.gdas.enkf.epos - Dimensions: - groupid: !calc tools.seq(0,doc.settings.ENKF_ARCHIVE_GROUPS-1,1) - grp: !TaskElement - <<: *service_task_template - resources: !calc ( doc.resources.run_arch ) - J_JOB: earc.sh - Foreach: [ groupid ] - Name: !expand "grp{dimval.groupid:02d}" - more_vars: [ ENSGRP ] - ENSGRP: !expand "{dimval.groupid:02d}" - CDUMP: gdas - - gfsarch: !Task - <<: *service_task_template - Complete: !Depend ~ suite.has_cycle('-6:00:00') - AlarmName: gfs - Trigger: !Depend up.gfs.vrfy - resources: !calc ( doc.resources.run_arch ) - Disable: !calc not doc.settings.archive_to_hpss - J_JOB: arch.sh - CDUMP: gfs - - final: !Task - <<: *service_task_template - resources: !calc (doc.resources.run_nothing) - rocoto_command: /bin/true - CDUMP: gfs # useless but required diff --git a/model/rocoto_style_fv3gfs/tail.h b/model/rocoto_style_fv3gfs/tail.h deleted file mode 120000 index 79d1a3b..0000000 --- a/model/rocoto_style_fv3gfs/tail.h +++ /dev/null @@ -1 +0,0 @@ -/ecf/ecfnets/include/tail.h \ No newline at end of file diff --git a/model/rocoto_style_fv3gfs/task_template.yaml b/model/rocoto_style_fv3gfs/task_template.yaml deleted file mode 100644 index 422aead..0000000 --- a/model/rocoto_style_fv3gfs/task_template.yaml +++ /dev/null @@ -1,149 +0,0 @@ -task_template: &task_template - - Template: *task_validator - - rocoto_command: !expand "&HOMEgfs;/jobs/rocoto/{J_JOB}" - ecflow_command: !expand "${{HOMEgfs}}/jobs/rocoto/{J_JOB}" - - Rocoto: !expand | - {rocoto_command} - {sched.rocoto_accounting(accounting,jobname=task_path_var, - outerr="&LOG_DIR;/@Y@m@d/@H/&PSLOT;_"+task_path_var+".log")} - {sched.rocoto_resources(resources)} - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMP{CDUMP} - {rocoto_more_vars} - - CDUMP: !calc task_path_list[0] - - # Default resources for a job are serial - #resources: !calc doc.resources.run_nothing - - ecf_dev_overrides: !expand | - # Set data and logs to locations specified in the suite definition. - # This is needed when running without write access to the NCO com areas. - export DATAROOT=%DATAROOT% - export jlogfile=%ECF_OUT%/jlogfile - export COMROOT=%COM% - export cycle=t%CYC%z - export CDATE=%PDY%%CYC% - export EXPDIR="{doc.settings.EXPDIR}" - - ecf_more_exports: "" - - more_vars: [] - - ecf_more_exports: !calc | - "\n".join([ tools.expand("export {VAR}=%{VAR}%\n",VAR=V) for V in more_vars ]) - - rocoto_more_vars: !calc | - "\n".join([ metasched.defenvar(VAR,this[VAR]) for VAR in more_vars ]) - - ecflow_def_more_vars: !calc | - "\n".join([ metasched.defenvar(VAR,this[VAR]) for VAR in more_vars ]) - - ecflow_def: !calc ecflow_def_more_vars - - ecf_file: !expand | - #! /bin/sh - {ecf_batch_resources} - {ecf_dev_overrides} - %include - %include - - set -x - - {ecf_resource_more} - - export HOMEgfs=%HOMEgfs% - export model=%MODEL_NAME% - export CDUMP=$model - {ecf_more_exports} - ## don't include - - ############################################################ - # Load modules - ############################################################ - #. $MODULESHOME/init/sh - {ecf_module_commands} - #module list - - ############################################################# - # WCOSS environment settings - ############################################################# - - {ecf_environment_settings} - - ########################################################### - export cyc=%CYC% - - # CALL executable job script here - {ecflow_command} - - %include - %manual - {ecf_manual} - %end - - ecf_manual: | - # FIXME: Insert manual for this job. - - ecf_module_commands: |- - # Add any "module" commands here (switch, load, use, etc.) - - ecf_environment_settings: |- - # Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - - ecf_batch_resources: !expand |- - {sched.batch_accounting(accounting,jobname=task_path_var,outerr="%ECF_OUT%/%EMCPEN%_%PDY%%CYC%_"+task_path_var+".log")} - {sched.batch_resources(resources)} - - ecf_resource_more: !expand |- - {ecf_maybe_ntasks} - {ecf_maybe_ptile} - {ecf_maybe_threads} - - ecf_maybe_ntasks: !FirstTrue - - when: !calc resources.total_ranks()>0 - do: !expand 'export ntasks={resources.total_ranks()}' - - otherwise: "# No MPI in use, so I am not setting $ntasks." - - ecf_maybe_threads: !FirstTrue - - when: !calc resources.has_threads() - do: !expand 'export threads={resources[0]["OMP_NUM_THREADS"]}' - - otherwise: "# No OpenMP in use, so I am not setting $threads" - - ecf_maybe_ptile: !FirstTrue - - when: !calc resources.has_threads() or resources.total_ranks()>0 - do: !expand 'export ptile={sched.max_ranks_per_node(resources)}' - - otherwise: "# Neither OpenMP nor MPI are in use, so I am not setting $ptile" - - - -shared_accounting: &shared_accounting - queue: !calc metasched.varref('QUEUESHARED') - project: !calc metasched.varref('PROJECT') - -service_accounting: &service_accounting - queue: !calc metasched.varref('QUEUESERV') - project: !calc metasched.varref('PROJECT') - -exclusive_accounting: &exclusive_accounting - queue: !calc metasched.varref('QUEUE') - project: !calc metasched.varref('PROJECT') - -shared_task_template: &shared_task_template - <<: *task_template - accounting: *shared_accounting - J_JOB: !expand '{task_path_list[-1]}.sh' - -service_task_template: &service_task_template - <<: *task_template - accounting: *service_accounting - J_JOB: !expand '{task_path_list[-1]}.sh' - -exclusive_task_template: &exclusive_task_template - <<: *task_template - accounting: *exclusive_accounting - J_JOB: !expand '{task_path_list[-1]}.sh' diff --git a/model/rocoto_style_fv3gfs/update_ecflow_workflow.sh b/model/rocoto_style_fv3gfs/update_ecflow_workflow.sh deleted file mode 100755 index 7d85131..0000000 --- a/model/rocoto_style_fv3gfs/update_ecflow_workflow.sh +++ /dev/null @@ -1,94 +0,0 @@ -#! /bin/bash - -set -ue - -# Get the directory in which this script resides. We'll assume the -# yaml files are there: -dir0=$( dirname "$0" ) -here=$( cd "$dir0" ; pwd -P ) - -export WORKTOOLS_VERBOSE=NO - -# Make sure this directory is in the python path so we find worktools.py: -export PYTHONPATH=$here:${PYTHONPATH:+:$PYTHONPATH} - -# Parse arguments: -if [[ "$1" == "-v" ]] ; then - export WORKTOOLS_VERBOSE=YES - shift 1 -fi -export EXPDIR="$1" -export FIRST_CYCLE="$2" -export LAST_CYCLE="$3" - -if [[ ! -d /usrx/local || -e /etc/redhat-release ]] ; then - echo "ERROR: This script only runs on WCOSS Cray" 1>&2 - exit 1 -fi - -if ( ! which ecflow_client > /dev/null 2>&1 ) ; then - echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." - exit 1 -fi - -if [[ "${ECF_ROOT:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_ROOT" - exit 1 -fi - -if [[ "${ECF_HOME:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_HOME. I suggest \$ECF_ROOT/submit" - exit 1 -fi - -if [[ "${ECF_PORT:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_PORT. See /usrx/local/sys/ecflow/assigned_ports.txt" - exit 1 -fi - -export ECF_HOME="${ECF_HOME:-$ECF_ROOT/submit}" - -if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - echo "begin_ecflow_workflow.sh: verbose mode" - export redirect=" " -else - export redirect="> /dev/null 2>&1" -fi - -echo "ecFlow server port: $ECF_PORT" -echo "ecFlow server root: $ECF_ROOT" -echo "ecFlow server home: $ECF_HOME" - -set +e -if ( ! which python3 > /dev/null 2>&1 || \ - ! python3 -c 'import yaml ; f{"1+1"}' > /dev/null 2>&1 ) ; then - python36=/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/python/3.6.1-emc/bin/python3.6 -else - python36="$( which python3 )" -fi -set -e - -if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - set -x -fi - -/ecf/devutils/server_check.sh "$ECF_ROOT" "$ECF_PORT" $redirect || true - -if ( ! ecflow_client --ping $redirect ) ; then - echo "Could not connect to ecflow server. Aborting." - exit 1 -fi - -$python36 -c " -import worktools ; -worktools.add_cycles_to_running_ecflow_workflow_at( - '$EXPDIR', - '$FIRST_CYCLE', - '$LAST_CYCLE' -)" - - - - - - diff --git a/model/rocoto_style_fv3gfs/validator.yaml b/model/rocoto_style_fv3gfs/validator.yaml deleted file mode 100644 index 7242181..0000000 --- a/model/rocoto_style_fv3gfs/validator.yaml +++ /dev/null @@ -1,80 +0,0 @@ -task_validator: &task_validator !Template - - Rocoto: - description: >- - XML to insert in the task definition, excluding the task tag - itself, and the dependencies. - type: string - - ecf_file: - description: >- - Contents of the ecf file that will be run for this task - type: string - - CDUMP: - description: "Model name: gfs or gdas" - allowed: [ gfs, gdas ] - type: string - - rocoto_more_vars: - description: >- - Additional text to include after other envar tags in Rocoto task - definition. This is referenced by the Rocoto variable. - type: string - default: "" - - ecflow_command: - description: >- - Command to execute for this task when run in ecflow. This is - inserted into the ecf file. - type: string - - rocoto_command: - description: >- - Command to execute for this task when run in rocoto. This is - inserted into the rocoto command tag for the task. - type: string - - more_vars: - description: >- - List of variables that should be added to Rocoto envar blocks, - ecflow suite definition edits, and ecf file exports. Overriding - ecflow_def will prevent this from being passed through as edits - in the suite definition. - type: string list - - ecf_environment_settings: - description: "Tuning variables like KMP_AFFINITY and OMP_STACKSIZE." - type: string - default: "" - - ecf_module_commands: - description: >- - Any "module" commands: module load, module switch, etc. - type: string - default: "" - - ecf_resource_more: - description: >- - Generates additional resource specification variables such as - ntasks, threads, and ptile. - type: string - default: "" - - ecf_maybe_ntasks: - description: "Sets the $ntasks variable (number of MPI ranks) if needed" - type: string - default: "" - - ecf_maybe_threads: - description: "Sets the $threads variable (number of OpenMP threads) if needed" - type: string - default: "" - - ecf_maybe_ptile: - description: >- - Sets the $ptile variable (maximum number of MPI ranks per node) if needed - type: string - default: "" - - diff --git a/model/rocoto_style_fv3gfs/workflow.xml b/model/rocoto_style_fv3gfs/workflow.xml deleted file mode 100644 index bab8975..0000000 --- a/model/rocoto_style_fv3gfs/workflow.xml +++ /dev/null @@ -1,1728 +0,0 @@ - - - - - - - - - - - - - -]> - - - &LOG_DIR;/rocoto_@Y@m@d@H.log - 201801050000 201801050000 06:00:00 - 201801050000 201801050600 06:00:00 - 201801050600 201801050600 12:00:00 - 201801050600 201801050600 12:00:00 - - - DUMMY_VALUE - - &HOMEgfs;/jobs/rocoto/prep.sh - &QUEUE; - &PROJECT; - gdas.prep - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.prep.log - - 0:15:00 - 3072M - 1:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - - - - - /gpfs/tp1/emc/globaldump/@Y@m@d@H/gdas/gdas.t@Hz.updated.status.tm00.bufr_d - - - - - - &HOMEgfs;/jobs/rocoto/anal.sh - &QUEUE; - &PROJECT; - gdas.anal - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.anal.log - - 1:30:00 - 3072M - 24:ppn=6 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - - - - - - - - - - - - - - - - &HOMEgfs;/jobs/rocoto/fcst.sh - &QUEUE; - &PROJECT; - gdas.fcst - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.fcst.log - - 0:15:00 - 1024M - 16:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - - - - - - - - - - - - DUMMY_VALUE - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gdas.post.g000 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.post.g000.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - FHRGRP000 - FHRLSTanl - - &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf000.nemsio - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gdas.post.g001 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.post.g001.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - FHRGRP001 - FHRLSTf000 - - &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf000.nemsio - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gdas.post.g002 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.post.g002.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - FHRGRP002 - FHRLSTf003 - - &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf003.nemsio - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gdas.post.g003 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.post.g003.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - FHRGRP003 - FHRLSTf006 - - &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf006.nemsio - - - - - &HOMEgfs;/jobs/rocoto/vrfy.sh - &QUEUE; - &PROJECT; - gdas.vrfy - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.vrfy.log - - 3:00:00 - 3072M - 1:ppn=1 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - - - - - - - DUMMY_VALUE - - &HOMEgfs;/jobs/rocoto/eobs.sh - &QUEUE; - &PROJECT; - gdas.enkf.eobs - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.eobs.log - - 0:45:00 - 3072M - 12:ppn=6 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - - - - - - - - - - - - - - - - DUMMY_VALUE - - &HOMEgfs;/jobs/rocoto/eomg.sh - &QUEUE; - &PROJECT; - gdas.enkf.eomg.grp1 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.eomg.grp1.log - - 2:00:00 - 3072M - 12:ppn=6 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - ENSGRP01 - - - - - - - - - - - - - - &HOMEgfs;/jobs/rocoto/eomg.sh - &QUEUE; - &PROJECT; - gdas.enkf.eomg.grp2 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.eomg.grp2.log - - 2:00:00 - 3072M - 12:ppn=6 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - ENSGRP02 - - - - - - - - - - - - - - - &HOMEgfs;/jobs/rocoto/eupd.sh - &QUEUE; - &PROJECT; - gdas.enkf.eupd - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.eupd.log - - 0:30:00 - 3072M - 10:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - - - - - - - - - - &HOMEgfs;/jobs/rocoto/ecen.sh - &QUEUE; - &PROJECT; - gdas.enkf.ecen - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.ecen.log - - 0:30:00 - 254M - 7:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - - - - - - - - - - - - - - - - - - DUMMY_VALUE - - &HOMEgfs;/jobs/rocoto/efcs.sh - &QUEUE; - &PROJECT; - gdas.enkf.efcs.grp1 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.efcs.grp1.log - - 1:00:00 - 254M - 16:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - ENSGRP01 - - - - - - - - - - - &HOMEgfs;/jobs/rocoto/efcs.sh - &QUEUE; - &PROJECT; - gdas.enkf.efcs.grp2 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.efcs.grp2.log - - 1:00:00 - 254M - 16:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - ENSGRP02 - - - - - - - - - - - - &HOMEgfs;/jobs/rocoto/epos.sh - &QUEUE; - &PROJECT; - gdas.enkf.epos - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.epos.log - - 0:30:00 - 254M - 7:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - - - - - - - - - DUMMY_VALUE - - &HOMEgfs;/jobs/rocoto/prep.sh - &QUEUE; - &PROJECT; - gfs.prep - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.prep.log - - 0:15:00 - 3072M - 1:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - - - - - /gpfs/tp1/emc/globaldump/@Y@m@d@H/gfs/gfs.t@Hz.updated.status.tm00.bufr_d - - - - - - &HOMEgfs;/jobs/rocoto/anal.sh - &QUEUE; - &PROJECT; - gfs.anal - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.anal.log - - 1:30:00 - 3072M - 24:ppn=6 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - - - - - - - - - - - &HOMEgfs;/jobs/rocoto/fcst.sh - &QUEUE; - &PROJECT; - gfs.fcst - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.fcst.log - - 1:30:00 - 1024M - 16:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - - - - - - - - - - DUMMY_VALUE - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp000 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp000.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP000 - FHRLSTanl - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf000.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp001 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp001.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP001 - FHRLSTf000 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf000.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp002 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp002.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP002 - FHRLSTf006 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf006.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp003 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp003.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP003 - FHRLSTf012 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf012.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp004 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp004.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP004 - FHRLSTf018 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf018.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp005 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp005.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP005 - FHRLSTf024 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf024.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp006 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp006.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP006 - FHRLSTf030 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf030.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp007 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp007.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP007 - FHRLSTf036 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf036.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp008 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp008.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP008 - FHRLSTf042 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf042.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp009 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp009.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP009 - FHRLSTf048 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf048.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp010 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp010.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP010 - FHRLSTf054 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf054.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp011 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp011.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP011 - FHRLSTf060 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf060.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp012 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp012.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP012 - FHRLSTf066 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf066.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp013 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp013.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP013 - FHRLSTf072 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf072.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp014 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp014.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP014 - FHRLSTf078 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf078.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp015 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp015.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP015 - FHRLSTf084 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf084.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp016 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp016.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP016 - FHRLSTf090 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf090.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp017 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp017.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP017 - FHRLSTf096 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf096.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp018 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp018.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP018 - FHRLSTf102 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf102.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp019 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp019.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP019 - FHRLSTf108 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf108.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp020 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp020.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP020 - FHRLSTf114 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf114.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp021 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp021.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP021 - FHRLSTf120 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf120.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp022 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp022.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP022 - FHRLSTf126 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf126.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp023 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp023.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP023 - FHRLSTf132 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf132.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp024 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp024.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP024 - FHRLSTf138 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf138.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp025 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp025.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP025 - FHRLSTf144 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf144.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp026 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp026.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP026 - FHRLSTf150 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf150.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp027 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp027.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP027 - FHRLSTf156 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf156.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp028 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp028.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP028 - FHRLSTf162 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf162.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp029 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp029.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP029 - FHRLSTf168 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf168.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp030 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp030.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP030 - FHRLSTf174 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf174.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp031 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp031.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP031 - FHRLSTf180 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf180.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp032 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp032.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP032 - FHRLSTf186 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf186.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp033 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp033.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP033 - FHRLSTf192 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf192.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp034 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp034.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP034 - FHRLSTf198 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf198.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp035 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp035.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP035 - FHRLSTf204 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf204.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp036 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp036.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP036 - FHRLSTf210 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf210.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp037 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp037.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP037 - FHRLSTf216 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf216.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp038 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp038.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP038 - FHRLSTf222 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf222.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp039 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp039.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP039 - FHRLSTf228 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf228.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp040 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp040.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP040 - FHRLSTf234 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf234.nemsio - - - - - - - &HOMEgfs;/jobs/rocoto/vrfy.sh - &QUEUE; - &PROJECT; - gfs.vrfy - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.vrfy.log - - 6:00:00 - 3072M - 1:ppn=1 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - - - - - - - - - - - DUMMY_VALUE - - &HOMEgfs;/jobs/rocoto/arch.sh - &QUEUESERV; - &PROJECT; - archive.gdasarch - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_archive.gdasarch.log - - 6:00:00 - 3072M - 1 - - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - - - - - - - DUMMY_VALUE - - &HOMEgfs;/jobs/rocoto/earc.sh - &QUEUESERV; - &PROJECT; - archive.earc.grp00 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_archive.earc.grp00.log - - 6:00:00 - 3072M - 1 - - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - ENSGRP00 - - - - - - &HOMEgfs;/jobs/rocoto/earc.sh - &QUEUESERV; - &PROJECT; - archive.earc.grp01 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_archive.earc.grp01.log - - 6:00:00 - 3072M - 1 - - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - ENSGRP01 - - - - - - &HOMEgfs;/jobs/rocoto/earc.sh - &QUEUESERV; - &PROJECT; - archive.earc.grp02 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_archive.earc.grp02.log - - 6:00:00 - 3072M - 1 - - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - ENSGRP02 - - - - - - - &HOMEgfs;/jobs/rocoto/arch.sh - &QUEUESERV; - &PROJECT; - archive.gfsarch - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_archive.gfsarch.log - - 6:00:00 - 3072M - 1 - - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - - - - - - - - - - - - - - /bin/true - &QUEUESERV; - &PROJECT; - final_no_alarm - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_final_no_alarm.log - - 0:02:00 - 300M - 1 - - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - - - - - - - - - - - - - - - - - - /bin/true - &QUEUESERV; - &PROJECT; - final_for_gfs - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_final_for_gfs.log - - 0:02:00 - 300M - 1 - - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - - - - - - - - - - - - - - - - - - - - /bin/true - &QUEUESERV; - &PROJECT; - final_for_gdas - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_final_for_gdas.log - - 0:02:00 - 300M - 1 - - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - /bin/true - &QUEUESERV; - &PROJECT; - final - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_final.log - - 0:02:00 - 300M - 1 - - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - - - - - - - - - - - - - - - - - - - - - diff --git a/model/rocoto_style_fv3gfs/worktools.py b/model/rocoto_style_fv3gfs/worktools.py deleted file mode 100644 index 47aeb34..0000000 --- a/model/rocoto_style_fv3gfs/worktools.py +++ /dev/null @@ -1,220 +0,0 @@ -#! /usr/bin/env python3 -f'This python module requires python 3.6 or newer' - -import logging, os, io, sys, datetime, glob, shutil, subprocess -from collections import OrderedDict -from copy import copy -logger=logging.getLogger('crow.model.fv3gfs') - -try: - import crow -except ImportError as ie: - thisdir=os.path.dirname(os.path.abspath(__file__)) - topdir=os.path.realpath(os.path.join(thisdir,"../..")) - sys.path.append(topdir) - del thisdir, topdir - -level=logging.WARNING -if os.environ.get('WORKTOOLS_VERBOSE','NO') == 'YES': - level=logging.INFO -logging.basicConfig(stream=sys.stderr,level=level) - -import crow.tools -from crow.metascheduler import to_ecflow, to_rocoto -from crow.config import from_dir, Suite, from_file, to_yaml -from crow.tools import Clock - -ECFNETS_INCLUDE = "/ecf/ecfnets/include" -SIX_HOURS = datetime.timedelta(seconds=6*3600) - -def read_yaml_suite(dir): - logger.info(f'{dir}: read yaml files specified in _main.yaml') - conf=from_dir(dir) - suite=Suite(conf.suite) - return conf,suite - -def make_yaml_files(srcdir,tgtdir): - if not os.path.exists(tgtdir): - logger.info(f'{tgtdir}: make directory') - os.makedirs(tgtdir) - logger.info(f'{tgtdir}: send yaml files to here') - logger.info(f'{srcdir}: get yaml files from here') - for srcfile in glob.glob(f'{srcdir}/*.yaml'): - srcbase=os.path.basename(srcfile) - if srcbase.startswith('resources'): continue - if srcbase.startswith('settings'): continue - tgtfile=os.path.join(tgtdir,srcbase) - logger.info(f'{srcbase}: copy yaml file') - shutil.copyfile(srcfile,tgtfile) - - # Deal with the settings: - doc=from_file(f"{srcdir}/settings.yaml") - settings_yaml=os.path.join(tgtdir,'settings.yaml') - logger.info(f'{settings_yaml}: generate file') - with open(f'{tgtdir}/settings.yaml','wt') as fd: - fd.write('# This file is automatically generated from:\n') - fd.write(f'# {srcdir}/settings.yaml') - fd.write('# Changes to this file may be overwritten.\n\n') - fd.write(to_yaml(doc)) - - # Now the resources: - resource_basename=doc.settings.resource_file - resource_srcfile=os.path.join(srcdir,resource_basename) - resource_tgtfile=os.path.join(tgtdir,'resources.yaml') - logger.info(f'{resource_srcfile}: use this resource yaml file') - shutil.copyfile(resource_srcfile,resource_tgtfile) - logger.info(f'{tgtdir}: yaml files created here') - -def loudly_make_dir_if_missing(dirname): - if dirname and not os.path.exists(dirname): - logger.info(f'{dirname}: make directory') - os.makedirs(dirname) - -def make_parent_dir(filename): - loudly_make_dir_if_missing(os.path.dirname(filename)) - -def make_clocks_for_cycle_range(suite,first_cycle,last_cycle,surrounding_cycles): - suite_clock=copy(suite.Clock) - logger.info(f'cycles to write: {first_cycle:%Ft%T} - {last_cycle:%Ft%T}') - suite.ecFlow.write_cycles = Clock( - start=first_cycle,end=last_cycle,step=SIX_HOURS) - first_analyzed=max(suite_clock.start,first_cycle-surrounding_cycles*SIX_HOURS) - last_analyzed=min(suite_clock.end,last_cycle+surrounding_cycles*SIX_HOURS) - logger.info(f'cycles to analyze: {first_analyzed:%Ft%T} - {last_analyzed:%Ft%T}') - suite.ecFlow.analyze_cycles=Clock( - start=first_analyzed,end=last_analyzed,step=SIX_HOURS) - -def generate_ecflow_suite_in_memory(suite,first_cycle,last_cycle,surrounding_cycles): - logger.info(f'make suite for cycles: {first_cycle:%Ft%T} - {last_cycle:%Ft%T}') - make_clocks_for_cycle_range(suite,first_cycle,last_cycle,surrounding_cycles) - suite_defs, ecf_files = to_ecflow(suite) - return suite_defs, ecf_files - -def write_ecflow_suite_to_disk(targetdir, suite_defs, ecf_files): - written_suite_defs=OrderedDict() - logger.info(f'{targetdir}: write suite here') - for deffile in suite_defs.keys(): - defname = suite_defs[deffile]['name'] - defcontents = suite_defs[deffile]['def'] - #print(f'=== contents of suite def {defname}\n{suite_defs[defname]}') - filename=os.path.realpath(os.path.join(targetdir,'defs',deffile)) - make_parent_dir(filename) - logger.info(f'{defname}: {filename}: write suite definition') - with open(os.path.join(targetdir,filename),'wt') as fd: - fd.write(defcontents) - written_suite_defs[defname]=filename - for setname in ecf_files: - logger.info(f'{defname}: write ecf file set {setname}') - for filename in ecf_files[setname]: - full_fn=os.path.realpath(os.path.join(targetdir,defname,filename)+'.ecf') - logger.debug(f'{defname}: {setname}: write ecf file {full_fn}') - make_parent_dir(full_fn) - with open(full_fn,'wt') as fd: - fd.write(ecf_files[setname][filename]) - return written_suite_defs - -def get_target_dir_and_check_ecflow_env(): - ECF_HOME=os.environ.get('ECF_HOME',None) - - if not ECF_HOME: - logger.error('Set $ECF_HOME to location where your ecflow files should reside.') - return None - elif not os.environ.get('ECF_PORT',None): - logger.error('Set $ECF_PORT to the port number of your ecflow server.') - return None - elif not os.path.isdir(ECF_HOME): - logger.error('Directory $ECF_HOME={ECF_HOME} does not exist. You need to set up your account for ecflow before you can run any ecflow workflows.') - return None - - for file in [ 'head.h', 'tail.h', 'envir-xc40.h' ]: - yourfile=os.path.join(ECF_HOME,file) - if not os.path.exists(yourfile): - logger.warning(f'{yourfile}: does not exist. I will get one for you.') - os.symlink(os.path.join(ECFNETS_INCLUDE,file),yourfile) - else: - logger.info(f'{yourfile}: exists.') - - return ECF_HOME - -def create_new_ecflow_workflow(suite,surrounding_cycles=5): - ECF_HOME=get_target_dir_and_check_ecflow_env() - if not ECF_HOME: return None,None,None,None - first_cycle=suite.Clock.start - last_cycle=min(suite.Clock.end,first_cycle+suite.Clock.step*2) - suite_defs, ecf_files = generate_ecflow_suite_in_memory( - suite,first_cycle,last_cycle,surrounding_cycles) - suite_def_files = write_ecflow_suite_to_disk( - ECF_HOME,suite_defs,ecf_files) - return ECF_HOME, suite_def_files, first_cycle, last_cycle - -def update_existing_ecflow_workflow(suite,first_cycle,last_cycle, - surrounding_cycles=5): - ECF_HOME=get_target_dir_and_check_ecflow_env() - suite_defs, ecf_files = generate_ecflow_suite_in_memory( - suite,first_cycle,last_cycle,surrounding_cycles) - suite_def_files = write_ecflow_suite_to_disk( - ECF_HOME,suite_defs,ecf_files) - return ECF_HOME, suite_def_files - -def load_and_begin_ecflow_suites(ECF_HOME,suite_def_files): - logger.info(f'{ECF_HOME}: write files for suites: ' - f'{", ".join(suite_def_files.keys())}') - with crow.tools.chdir(ECF_HOME): - for suite, file in suite_def_files.items(): - cmd=f'ecflow_client --load {file}' - logger.info(cmd) - subprocess.run(cmd,check=False,shell=True) - cmd=f'ecflow_client --begin {suite}' - logger.info(cmd) - subprocess.run(cmd,check=False,shell=True) - -######################################################################## - -# These functions are called directly from scripts, and can be thought -# of as "main programs." - -def remake_ecflow_files_for_cycles( - yamldir,first_cycle_str,last_cycle_str, - surrounding_cycles=5): - ECF_HOME=get_target_dir_and_check_ecflow_env() - conf,suite=read_yaml_suite(yamldir) - loudly_make_dir_if_missing(f'{conf.settings.COM}/log') - - first_cycle=datetime.datetime.strptime(first_cycle_str,'%Y%m%d%H') - first_cycle=max(suite.Clock.start,first_cycle) - - last_cycle=datetime.datetime.strptime(last_cycle_str,'%Y%m%d%H') - last_cycle=max(first_cycle,min(suite.Clock.end,last_cycle)) - - suite_defs, ecf_files = generate_ecflow_suite_in_memory( - suite,first_cycle,last_cycle,surrounding_cycles) - written_suite_defs = write_ecflow_suite_to_disk( - ECF_HOME, suite_defs, ecf_files) - print(f'''Suite definition files and ecf files have been written to: - - {ECF_HOME} - -If all you wanted to do was update the ecf files, then you're done. - -If you want to update the suite (cycle) definitions, or add suites -(cycles), you will need to call ecflow_client's --load, --begin, ---replace, or --delete commands.''') - -def create_and_begin_ecflow_workflow(yamldir,surrounding_cycles=5): - conf,suite=read_yaml_suite(yamldir) - loudly_make_dir_if_missing(f'{conf.settings.COM}/log') - ECF_HOME, suite_def_files, first_cycle, last_cycle = \ - create_new_ecflow_workflow(suite,surrounding_cycles) - if not ECF_HOME: - logger.error('Could not create workflow files. See prior errors for details.') - return False - load_and_begin_ecflow_suites(ECF_HOME,suite_def_files) - -def add_cycles_to_running_ecflow_workflow_at( - yamldir,first_cycle_str,last_cycle_str,surrounding_cycles=5): - conf,suite=read_yaml_suite(yamldir) - first_cycle=datetime.datetime.strptime(first_cycle_str,'%Y%m%d%H') - last_cycle=datetime.datetime.strptime(last_cycle_str,'%Y%m%d%H') - ECF_HOME, suite_def_files = update_existing_ecflow_workflow( - suite,first_cycle,last_cycle,surrounding_cycles) - load_and_begin_ecflow_suites(ECF_HOME,suite_def_files) diff --git a/notes/aprun-examples.txt b/notes/aprun-examples.txt deleted file mode 100644 index 297dbe1..0000000 --- a/notes/aprun-examples.txt +++ /dev/null @@ -1,85 +0,0 @@ -Assuming: - * 24 physical cores per node - * 2 logical cpus per core when hyperthreading is enabled - * hyperthreading is disabled by default - -There are two aspects to this: - -1. Write a sysenv.parallelism.(module).Parallelism class for aprun -2. Write a sysenv.scheduler.(module).Scheduler class for LSF + ALPS - -Aprun documentation: - - https://bluewaters.ncsa.illinois.edu/using-aprun - -Produtil's equivalent to sysenv.parallelism.(module).Parallelism: - - /scratch3/NCEPDEV/hwrf/save/Samuel.Trahan/H216O-quasitag/ush/produtil/mpi_impl/lsf_cray_intel.py - - -Examples: - - -[ { exe='yup' } ] -aprun -n 1 -N 1 -d 1 -j 1 -cc depth yup -1 - - -[ { exe='blah', mpi_ranks=24 } ] -becomes: -aprun -n 24 -N 24 -d 1 -j 1 -cc depth blah -1 - - -[ { exe="flur", mpi_ranks=12 }, - { exe='zhod', mpi_ranks=12 } ] -becomes: -aprun -n 12 -N 12 -d 1 -j 1 -cc depth flur \ - : -n 12 -N 12 -d 1 -j 1 -cc depth zhod -2 - - - -[ { exe="flur", mpi_ranks=12, max_ppn=4 }, - { exe="flur", mpi_ranks=48 } ] -becomes: -aprun -n 12 -N 4 -d 1 -j 1 -cc depth flur \ - : -n 48 -N 24 -d 1 -j 1 -cc depth flur -5 - - - -[ { exe="bleh", OMP_NUM_THREADS=48, hyperthreads=2 } ] -becomes: -aprun -n 1 -N 1 -d 48 -j 2 -cc depth /usr/bin/env OMP_NUM_THREADS=48 bleh -1 - - - -[ { exe="bleh", OMP_NUM_THREADS=24, mpi_ranks=12 }, - { exe="bleh", OMP_NUM_THREADS=4, mpi_ranks=12 }, - { exe="bleh", OMP_NUM_THREADS=48, mpi_ranks=48, hyperthreads=2 } ] -becomes: -aprun -n 12 -N 1 -d 24 -j 1 -cc depth /usr/bin/env OMP_NUM_THREADS=24 bleh \ - : -n 12 -N 6 -d 4 -j 1 -cc depth /usr/bin/env OMP_NUM_THREADS=4 bleh \ - : -n 48 -N 1 -d 48 -j 2 -cc depth /usr/bin/env OMP_NUM_THREADS=48 bleh -62 - - - -Special cases needed for aprun: - -[ { exe="thing", CrayAprun_cc_extra='numa_node', mpi_ranks=48 } ] -becomes: -aprun -n 48 -N 24 -d 1 -j 1 -cc numa_node thing -2 - -[ { exe="thing", CrayAprun_p_state_extra=2601000, mpi_ranks=12 } ] -becomes: -aprun -n 12 -N 12 -d 1 -j 1 -cc depth --p-state 2601000\ -1 -NOTE: We will want to add a turbo mode enabling option. That requires -parsing this file: - /sys/devices/system/cpu/cpu0/cpufreq/scaling_available_frequencies -and getting the highest available frequency, which is the magic number -for turbo mode. That can wait until later. diff --git a/notes/terry-explanation.txt b/notes/terry-explanation.txt deleted file mode 100644 index 4fbef96..0000000 --- a/notes/terry-explanation.txt +++ /dev/null @@ -1,71 +0,0 @@ -program1 40 ranks -program1 20 ranks program1 60 ranks -program2 30 ranks program2 30 ranks - -node = 24 ranks - - - - - -8 * atmos.exe -8 * ocean.exe -8 * wave.exe - -t1204 [ 8*atmos + 8*ocean + 8*wave ] - -8 * atmos.exe (1) -8 * ocean.exe (1) -8 * wave.exe (1) - - => same_except_exe => - -24 * (unknown) - -#PBS -l nodes=1:ppn=24 - - -8 * atmos.exe (1) -8 * ocean.exe (1) -8 * wave.exe (1) - - => can_merge_ranks => - -8 * atmos.exe (1) -8 * ocean.exe (1) -8 * wave.exe (1) - -mpiexec -np 8 atmos.exe : -np 8 ocean.exe : -np 8 wave.exe - - - -s134 [ 8*atmos + 16*nothing ] -s135 [ 8*ocean + 16*nothing ] -s136 [ 8*wave + 16*nothing ] - - - - -2 * atmos.exe (4) -4 * atmos.exe (2) -8 * atmos.exe (1) - - => same_except_exe => - -2 * (unknown) (4) -4 * (unknown) (2) -8 * (unknown) (1) - -#PBS -l nodes=1:ppn=8+1:pnp=8+1:ppn=8 - - => can_merge_ranks => - -2 * atmos.exe (4) -4 * atmos.exe (2) -8 * atmos.exe (1) - -mpirun -np 2 /usr/bin/env OMP_NUM_THREADS=4 atmos.exe : \ - -np 4 /usr/bin/env OMP_NUM_THREADS=2 atmos.exe : \ - -np 8 /usr/bin/env OMP_NUM_THREADS=1 atmos.exe - - diff --git a/parm/hippie.i b/parm/hippie.i deleted file mode 100644 index 6b59f02..0000000 --- a/parm/hippie.i +++ /dev/null @@ -1,1337 +0,0 @@ -# This is a grammar file used by the textgen.pl program, based on Sam -# Trahan's insult engine. That program is essentially a recursive -# madlib program: it takes a list of rules and uses them to generate -# human-readable text, as explained below. - -# Lines beginning with a double dash and a space ("-- ") declare a new -# "terminal" whose name is immediately after the space. Each terminal -# definition is followed by a list of rules that explain how the -# terminal can be expanded into multiple other terminals, or into raw -# text. Text in parenthases indicates that the terminal whose name is -# in the parenthases should be expanded. The parser (textgen.pl) -# starts from one particular terminal, and expands that terminal until -# there are no more terminal expansions to do. So, with a starting -# terminal of CAT, this: -# -# -- CAT -# (C) are (T) -# -# -- C -# cows -# -# -- T -# tasty -# -# would expand to "cows are tasty". You can have more than one -# rule for a terminal: -# -# -- CAT -# (C) are (T) -# -# -- C -# cows -# bovine animals -# calves -# -# -- T -# tasty -# delicious -# -# That would end up being expanded at random into any of these: -# -# - calves are tasty -# - calves are delicious -# - cows are tasty -# - cows are delicious -# - bovine animals are tasty -# - bovine animals are delicious -# -# You can instruct the parser to never use a rule more than once using this command: -# -# @single C -# -# With that command, any time the rule for the terminal "C" is expanded, the rule is -# discarded, so that it won't be used again. That feature is intended to be used -# to prevent the parser from using a word more than once, hence preventing output -# like "the sky is very blue, clear, windy, blue and blue today". -# -# There are other strings that have special meanings to the parser: -# -# %n = end of line -# %_ = space (otherwise, duplicate spaces are removed) -# %0 = first argument to script after the grammar file, %1 = second, etc. -# %< = insert a begin parethases ( -# %> = insert an end parenthases ) -# %% = insert a percent sign -# -# Also, recursive rules are okay: -# -# -- you are ugly -# you are very(, very) ugly -# -# -- , very -# (, very), very -# , very -# -# Starting from the "you are ugly" terminal, that will expand into "you are very" -# followed by the text ", very" repeated one or more times, followed by "ugly". -# -# Also, you can use this syntax: -# -# -- you smell -# you smell[ very] bad -# -# To indicate that the string " very" can optionally (with 50% chance) be inserted -# between "smell" and "bad". You cannot nest those braces though, so no [ very[, very]] -# However, you can place a terminal expansion in the braces like this: -# -# -- you are ugly -# you are very[(, very)] ugly -# -# -- , very -# (, very), very -# , very -# -# Which differs from our previous "you are ugly" example in that the -# ", very" is repeated *zero* or more times since it is now nested in [] in the -# "you are ugly" rule. - -######################################################################## -######################################################################## - --- * -(INTRO AND SIG) - --- INTRO AND SIG -(INTRO)%n%n%2%n%n(SIGNATURE)%n%n%4%n - --- FAILED INTRO AND SIG -(FAIL INTRO)%n%n%2%n%n(FAILED SIG)%n%n%4%n - --- RECHECK INTRO AND SIG -(RECHECK INTRO)%n%n%2%n%n(RECHECK SIG)%n%n%4%n - --- ME -CROW's Bird-Sitter - --- INTRO -(GREETING,)%n%n(HELLO! I AM CROW) (COLOR) (EXCUSES AND APOLOGY FOR ISSUES)%n%n(HERE IS THE STATUS) - --- SIGNATURE -(PEACE OUT, MAN)%n%_-(ME) for %0%n%n(DON'T SUE ME: NO FAILURES) - --- FAIL INTRO -(GREETING,)%n%n(PANIC!PANIC!)(I AM A SAD CROW)(I AM REALLY SORRY, BUT A SIMULATION FAILED)(I CANNOT FIX IT)(PLEASE DO NOT HATE ME)%n%n(HERE IS THE STATUS, NO JOKES) - --- RECHECK INTRO -(GREETING,)%n%n(I AM AN CROW THAT RECHECKED STUFF)%n%n(HERE IS THE RECHECKED STATUS) - --- FAILED SIG -(APOLOGETIC PEACE OUT, MAN)%n%_-(ME) for %0%n%n(DON'T SUE ME: FAILURES) - --- RECHECK SIG -(APOLOGETIC PEACE OUT, MAN)%n%_-(ME) for %0%n%n(DON'T SUE ME: RECHECK) - --- DON'T SUE ME: FAILURES -This is an automatically-generated 1960s hippie-themed email about ERRORS from (ME) on %3 for configuration "%0" running "%1." - --- DON'T SUE ME: RECHECK -This is an automatically-generated 1960s hippie-themed email about A STATUS RECHECK from (ME) on %3 for configuration "%0" running "%1." - --- DON'T SUE ME: NO FAILURES -This is an automatically-generated 1960s hippie-themed status email from (ME) on %3 for configuration "%0" running "%1." - --- I AM AN CROW THAT RECHECKED STUFF -(Rechecked)!!%_[ (Wow!)%_] (I am CROW running fv3.) (It is) (working correctly)[ now](.!)[ (Wow!)%_] -(Rechecked)!!%_ (I am CROW running fv3.) -(I am CROW running fv3.) (Dude), I have (soooo) (rechecked) these (simulations)(.!)%_ Nothing (broken) yet...%_ -(I am CROW running fv3.) I have (rechecked) your (simulations), (dude).%_ Nothing (broken) yet...%_ - --- OKAY BUT I WARNED YOU -(Y'know) (dude), (I'll do it), but (I did warn you)... - --- I'll do it -I'll do it -I'll mark it -I will - --- I did warn you -I did warn you -I warned you -don't blame me when this breaks (something) - --- BYE -(ASCII ART) -(Peace out)[, man](.!)%_ -(Peace out)[, chick](.!)%_ -Later, (dude)(.!)%_ - --- ASCII ART -%<-: -8-P -0-: -:-%> -%<^_^%> -% -% -% - --- RECHECK INSTEAD -(Dude), (something probably broke).%_ (You should really run recheck-cycles.bash instead).%_ (Are you sure you want to) mark this FAILURE_OKAY %?%_ - --- Are you sure you want to -Are y'sure you want me to -Are y'sure you want me to -Are you sure you want me to -Are you sure you want me to -Are you sure you want me to -Sure you wanna -Y'sure you wanna - --- Sorry about breaking this -(I am)[ (soooo)] sorry about [(scapegoat) ][(flaking out) and ](breaking) your[ (complimented)] (simulations) -(I am)[ (soooo)] sorry about [(scapegoat) ][(flaking out) and ](breaking) this -(I am)[ (soooo)] sorry about [(scapegoat) ](breaking) this -(I am)[ (soooo)] sorry that (I or scapegoat) [(flaked out) and ](broke) your[ (complimented)] (simulations) -(I am)[ (soooo)] sorry that (I or scapegoat) [(flaked out) and ](broke) this -(I am)[ (soooo)] sorry that (I or scapegoat) (broke) this - --- I or scapegoat -(scapegoat) -I -I - --- something probably broke -either the (simulations) failed or I'm (configured wrong) - --- You should really run recheck-cycles.bash instead -You should (fix the problem) and (run) recheck-cycles.bash instead - --- fix the problem -fix the problem -correct it -fix it -correct the problem - --- configured wrong -configured wrong -configured incorrectly -misconfigured - --- HELLO! I AM CROW -[(Dude babbling.) ](I am CROW running fv3.) - --- I AM A SAD CROW -(I am badly running) %0(, okay?) -(Uhhhh... I am) (ME)(, and stuff.) (I am badly running) %0(, okay?) -(Uhhhh... I am) (ME), (badly running) %0(, okay?) - --- PANIC!PANIC! -Help! HELP!!!%_ -HELP!!%_ -ERRORS!!%_ -Please help!!%_ -You are SO going to kill me!!%_ -OHNO!!%_ -Wipe out!%_ -Zilch, man.%_ - --- I AM REALLY SORRY, BUT A SIMULATION FAILED -I was (trying to beat) (competitor model) but I (broke) (your simulations),%_ -I (flaked out) and (broke) (your simulations).%_ -I (broke) (your simulations).%_ -(I know I said I would not) (flake out), (but I did.)%_ I (broke) (your simulations).%_ -(So sorry, but), I [(kinda) ](broke) (your simulations).%_ - --- HERE IS THE RECHECKED STATUS -(Dude), (here it is): -(Here it is): -(Wow!) -I didn't (flake out) this time: -I didn't (break) the (simulations) this time: -(I won't) (flake out) again: -(I won't) (break) these again: - --- PLEASE DO NOT HATE ME -(Blame Sam.) -(Blame Sam.) -(Blame Sam.) -(Blame Sam.) -(This sucks.) -(Don't have a cow.) -(Don't have a cow.) (Killing me won't fix it.) -Please don't tell (an authority).%_ (I am still in trouble from) (past crimes).%_ -Please don't tell (an authority).%_ (I am still in trouble from) (past crimes).%_ -Please don't tell (an authority).%_ (I am still in trouble from) (past crimes).%_ -Please don't tell (an authority).%_ (I am still in trouble from) (past crimes).%_ - --- part of NOAA -GFDL -ESRL -NOAA HQ -EMC -the NCEP Director -Security - --- an authority -(the cops) -(the cops) -(the cops) -(the government) -(part of NOAA) -(part of NOAA) - --- I CANNOT FIX IT -(I am)[ like], (way too much of an idiot) (to fix this).%_ - --- EXCUSES AND APOLOGY FOR ISSUES -(I'll try not to) (flake out later)[, (but you know how I can be)](.!)%_ - --- GREETING, -Dear (dude)(,,:) -(Dude)(,,:) - --- PEACE OUT, MAN -(Peace out)[, man](.!)%_ -(Peace out)[, chick](.!)%_ -Later, (dude)(.!)%_ -(Wow!) -(ASCII ART) - --- Peace out -Peace out -Peace out -Fight the power -Keep fightin' the power -Peace -Peace -Down with the establishment -Fight The Man -Flower power -Deuce -Be (good) - --- APOLOGETIC PEACE OUT, MAN -(Peace out)[, man](.!)%_ -(Peace out)[, chick](.!)%_ -Sorry, (dude)(.!)%_ -Sorry, (dude)(.!)%_ -Sorry, (dude)(.!)%_ - --- COLOR -(I am) (high and/or distracted)(.!) -(Dude), these are (complimented) (simulations)(.!) -(Dude), your (simulations) are (complimented)(.!) -This is[, like], [(soooo) ](fun)[, (dude)](.!) -(I am) (having a blast)! -(Wow!) - --- HERE IS THE STATUS, NO JOKES -(Soooo) sorry, but, here is what I (broke): -(Soooo) sorry, but, here is what I (broke): -(Soooo) sorry, but, here is what I (broke): -(Soooo) sorry, but, here is what I (broke): -Yup. I'm sure (scapegoat) caused this: -This is probably (scapegoat)'s fault somehow, not mine: -(Get angry at user:) - --- HERE IS THE STATUS -(Okay), (right)(.!)%_ You want (the real stuff), (and I got that): -(Okay), (right)(.!)%_ You want (this stuff): -(Okay), (right)(.!)%_ You want (this stuff): -(Okay), (right)(.!)%_ You want (this stuff): -(Okay), (right)(.!)%_ (You want the dig, I got the dig): -(Bizarre nonsense...) - --- competitor model -ECMWF -ECMWF -ECMWF -the official forecast -HWRF -climatology - --- trying to beat -trying to beat -trying to beat -racing them -bookin' to -burnin' rubber like -peelin' out to -toolin' to -truckin' to - --- here it is -here it is -here it be -here -lookit this -look here - --- Here it is -Here it is -Here it be -Here -Lookit this -Look here - --- I won't -I won't -I won't -I wont -I'm not gonna - - --- It is -It's -It's -It's -It's, like -It's, y'know -It's, like -It's, y'know -It is -It is, like -It is, y'know - --- Rechecked -Rechecked -Rechecked -Rechecked -Recheck'd -Recheck'd -Recheckened -Rechickened -Recheckered - --- rechecked -rechecked -rechecked -rechecked -recheck'd -recheck'd -rechickened -recheckened -recheckered - --- working correctly -a gas -having a ball -working -drawin[g] designs -fab -far out -outta sight -on the make -at the pad -righteous -a real gone cat - --- You want the dig, I got the dig -You want the dig, I got the dig -You want the good stuff, I got the good stuff -You want the church key, I got the church key -You want the real stuff, I got the real stuff -You want the brew, I got the brew -Here's your midnight auto supply -Here's your five-finger discount -Peel out to this -Kings X - --- Bizarre nonsense... -(Jinx)!%_ You owe me (a coke)!%_ (Just kidding.) Anyway... -Meanwhile, back at the ranch... -(Dibs) on (the property). -Chickabiddy. - --- Dibs -Dibs - --- the property -the cobs -the brody knob -the brew -the scratch -the shades -the threads -the peggers -the pawdiddle -the pad - --- the real stuff -the good stuff -the real stuff -the blitz -the real brew -the church key -the crash -the cherry stuff - --- and I got that -and I got that -so here it is[ (complimented) (dude)] -and here it is - --- Okay -Okay -So -Yea[h] - --- right -right -okay - --- kinda -[like, ]kinda[, y'know,%_] -[like, ]sorta[, y'know,%_] -like, -kinda -sorta -sort of -kind of -kinda-sorta, - --- your simulations -your (simulations) -the (simulations) -some (simulations) - --- broke -skuzzed up -screwed up -crashed -blew the doors off -jacked up -jammed -jinxed -kiboshed -put the kibosh on -pantsed -raked -pounded -scarfed -scratched up -shorted -broke - --- broke -skuzzed up -screwed up -crashed -blown -jacked up -jammed -jinxed -kiboshed -kiboshed -pantsed -raked -pounded -scarfed -scratched up -shorted -broken - --- but I did. -but I did. -but I did. -but, you know... -but you know me[ better than that].[..] - --- this stuff -this stuff -these things -stuff and things -the dig -the dig -the good stuff - --- So anyway -Right, so anyway -So anyway -And, uh, right - --- fun -fun -# FIXME: need more here - --- having a blast -having a ball -burning rubber -like, choice right now -like, decked out -[(soooo) ](digging) this -(soooo) (happy) - --- digging -digging -scarfing -ruling - --- happy -hip -hep -jazzed -outta sight - --- I am -I'm -I'm -I'm -I am -I am, like -I'm, like - --- I know I said I would not -I know said I (would not) -I (kinda) said I (would not) - --- This sucks. -What a bummer.%_ -I am so bummed out now.%_ -I am such a (complimented) (dude), so it must be (someone else's) fault. -It was probably cosmic rays hitting %3 again.%_ -(I am) sure it was my bad karma.%_ -(I am) sure it was %3's bad karma.%_ -I'm gonna lay rubber now... - --- someone else's -someone else's -Sam's -Sam's -Sam's -Terry's -Kate's -Jian's -Lin's -Bin's -Rich's -Vijay's -your -(the police's) -(the government's) - --- the police's -the pigs' -the heat's -the police's -heat's -fuzz's - --- the government's -the gov'ment's -the gov'ment's -the gov'ment's -the government's -Uncle Sam's - --- the government -the gov'ment -the gov'ment -the gov'ment -the government -Uncle Sam - --- I am still in trouble from -(I am) still in trouble from -They're still after me from -They still want me for - --- past crimes -(simulation issues) -breaking your other (simulations) -breaking (other person)'s (simulations) -(bringing down) (resource) -(bringing down) (resource) - --- bringing down -bringing down -breaking -crashing -screwing up - --- breaks -breaks -crashes -screws up - --- resource -(a cluster) -(a cluster) -(a filesystem) -(a filesystem) -(another resource) - --- a cluster -Jet -Surge -Luna -Theia -Gyre -Tide -Cheyenne -Yellowstone -GAEA - --- a filesystem -GPFS -HPSS -MSS -the filesystems -ptmp -glade -hps2 -hps3 -hps -stmp -scratch3 -scratch4 -lfs3 -lfs1 -lfs2 -pan2 -SSS - --- another resource -LoadLeveler -the network -the Infiniband switches -the queue manager -Rocoto -ecFlow -(sun grid engine) -weather.gov -AWIPS - --- sun grid engine -SGE -SGE -Oracle Grid Engine -Sun Grid Engine - --- specific scapegoat -Sam -Sam -Sam -Sam -Moorthi -Moorthi -Moorthi -Vijay -Terry -Rich -Kate -Jian -Bin -Lin -Rahul -Fanglin - --- generic scapegoat -someone else -some other jerk - --- scapegoat -(specific scapegoat) -(specific scapegoat) -(specific scapegoat) -(specific scapegoat) -(generic scapegoat) - --- Get angry at user: -(No, wait, if) you are (going to) blame me, then (fix it yourself!) - --- No, wait, if -No, (wait), if -(Wait)(.!) If - --- Wait -Wait -Wait a minute -Hey -Hay - --- wait -wait -wait a minute -hey -hay - --- climb it, Tarzan -climb it, Tarzan! -climb it, Tarzan! -have a gas with a bass! -bench race yourself! -brody to a pot hole! - --- fix it yourself! -[ you can] [climb it, Tarzan!]%_ Fix it yourself: -fix it yourself[, jerk]! -maybe I won't email you any more! - --- Person's -(other person)'s - --- other person -Sam -Sam -Sam -Kate -Rich -Terry -Lin -Bin -Vijay -Fanglin -Rahul -Moorthi - --- simulation issues -the warm stratospheric temperatures -the weak stratospheric jets -the high RMSE for winds in the tropics -land surface bias trouble -diffusion-induced widening of TCs -the overabundance of high clouds - --- Don't have a cow. -But don't have a cow[, (dude)](.!)%_ -But don't flip your wig[, (dude)](.!)%_ -Please don't hurt me.%_ -Hang loose and fix it, (dude).%_ -Just hang loose and fix it, (dude).%_ - --- Killing me won't fix it. -Killing me won't fix it.%_ -Killing me won't get these running[ again].%_ -Killing me won't get you anywhere.%_ - --- way too much of an idiot -too much of (an idiot) -too (stupid) - --- an idiot -a spaz -a nerd -an idiot -a winnie -a sweat hog -a skuzz -a panty-waist - --- stupid -blitzed -loaded -stupid -useless -skuzz - --- going to -going to -gonna -gonna - --- the cops -the (cops) - --- cops -cops -pigs -heat -police -fuzz - --- to fix this -to fix this -to do anything now -to bag this - --- Blame Sam. -(It was probably) (Person's) fault.%_ -(It was probably) (Person's) fault.%_ -(other person) made me do it.%_ -I wanted it to work but (Sam made me break it).%_ -I wanted it to work but (Sam made it too complicated).%_ - --- Klingon -Klingon -Klingon -Klingon -Goa'uld -Goa'uld -Poliespo -Sindarin -Newspeak -Newspeak -Furbish -Bidjara -Sanskrit - --- Sam made it too complicated -(specific scapegoat) made it too complicated -(specific scapegoat) made it so hard all I could do was cry -(specific scapegoat) didn't document it at all -(specific scapegoat) only documented it in (Klingon) -(specific scapegoat) never answers emails - --- Sam made me break it -(specific scapegoat) made me break it -(specific scapegoat) broke it -(specific scapegoat) screwed it up - --- It was probably -Probabaly was -M' sure it was -It was - --- would not -would not -would, like, not -wouldn't -wouldn't -wouldn't - --- So sorry, but -(I am)[ (soooo)] sorry, but -(Soooo) sorry, but -Sorry, but - --- I'll try not to -I'll try not to -I'll really try not to -I'll, like, try not to - --- but you know how I can be -but you know how I am -but you know I'm a (naughty) (loser) -but you know I'm a (bad person) -but you know I'm a (bad person) - --- naughty -blitzed -ditzy -heavy -old -panty-waist -flaky -pigged-out -stoned -zitty - --- loser -dork -drag -spaz[z] -square -hodad -chrome dome -dip stick - --- bad person -sweat hog -panty-waist -flake -dork -drag -spaz[z] -dork -square -chrome dome -dip stick -ditz - --- flake out later -(break) these -(flake out) later -(flake out) when running these - --- flaking out -beating feet -blowing the doors off -bugging out -flaking[ out] -flaking[ off] -chickening out -being a drag -wigging out -wiping out -all show and no go -bench racing -catching some rays -deucing with a goose -racing for pinks -peeling outta my pad -at the submarine races - --- flake out -beat feet -blow the doors off -bug out -flake[ out] -flake[ off] -chicken out -be a drag -wig out -wipe out -bench race -catch some rays -deuce with a goose -race for pinks -peel outta my pad -be at the submarine races - --- flaked out -beat feet -blew the doors off -bugged out -flaked[ out] -flaked[ off] -chickened out -dragged -wigged out -wiped out - --- break -blow the doors off -skuzz[ up] -ape -boogie -brody -freak out -jam -pound -split - --- breaking -blowing the doors off -skuzzing[ up] -aping -boogying -brodying -freaking out -jamming -pounding -splitting - --- broken -blew the doors off -skuzzed[ up] -aped -boogied -brodied -freaked out -jammed -pounded -split - --- simulations -simulations -simulations -sim'lations -simulations -sim'lations -FV3s -runs -forecasts -`casts -cycles - --- I am CROW running fv3. -(I am, like,) (ME)(, and stuff.)(I am running) %0(, okay?) -(I am, like,) (ME)(, okay?)(I am running) %0(, and stuff.) -(I am, like,) (ME), (running) %0(, okay?) -(I am, like,) (ME), (running) %0(, and stuff.) -(I am) (ME)(, and stuff.) (I am running) %0(, okay?) -(I am) (ME), (like, you know, running) %0(, okay?) -(I am) (ME), (like, you know, running) %0(, and stuff.) - --- Dude babbling. -(Dude)(.!?) -(Complimented) (dude)(.!?) -(So,) [(complimented) ](dude)(.?) -(You are)[, like,] (amazing), (dude)!%_ -(You are)[, like,] (amazing), (dude).%_ - --- So, -So, -Hey there, -Hey, you - --- Uhhhh... I am -(Uhhhh...) I am -(Y'know), so, - --- I am, like, -I am -I am, like, -I am, (y'know), -(Y'know), I am -(Y'know) I am, like, - --- I am running -I am (running) -I'm (running) - --- I am badly running -I am (badly running) -I'm (badly running) - --- like, you know, running -(running) -like, (running) -(y'know), (running) -like, (y'know), (running) -(y'know), like, (running) - --- something -something -something -somethin' -somethin' - --- , or something -, or (something) - --- Jinx -Jinx -Jinx -Pawdiddle -Pawdunkle - --- a coke -a coke -a pepsi -a beer -a dollar - --- Just kidding. -Just kidding.%_ -Just kidding.%_ -Just joking.%_ -Kidding!%_ Kidding!%_ - --- Wow! -(Nonsensical exclamation!) -(Nonsensical exclamation!) -(Nonsensical exclamation!) -(Good!) -(Good!) -(Good!) -What (a party)[!]!%_ -What (a party)[!]!%_ -What (a party)[!]!%_ -What (a party)[!]!%_ - --- Good! -Deuce![!]%_ -Fab![!]%_ -Far out![!]%_ -Twitchin'%_ -Kings X![!]%_ -Boss![!]!%_ -Way out![!]%_ - --- good -deuce -fab -far out -twitchin' -boss -way out - --- Nonsensical exclamation! -Pawdiddle![!]%_ -Pawdunkle![!]%_ -Wow![!]%_ -Wow![!]%_ -Wooooooo![!!]%_ -Wooooooo![!!]%_ -Yeaaah!![!!!]%_ -Yeaaah!![!!!]%_ - --- a party -a gas -a blast -a jam -a party - --- high and/or distracted -having a good time -copasetic -cruising -digging this -funky - --- , okay? -.%_ -.%_ -!%_ -, (y'know)?%_ -, okay?%_ -, `kay?%_ -, chickabiddy?%_ - --- amazing -(complimented) -(complimented) -(soooo) (complimented) - --- soooo -so -soooo -soooooo -like, really -really - --- Soooo -So -Soooo -Soooooo -Like, really -Really - --- , and stuff. -, and (stuff).%_ -, (dude).%_ -(, or something).%_ -[(, or something)], (y'know)?%_ - --- y'know -right -okay -`kay -y'know - --- Y'know -Right -Okay -Y'know - --- stuff -things -stuff -stuff - --- run -run -use - --- running -running -runin' -doing, like -doin' some -spinnin' some cycles of -doin' some fine - --- badly running -(badly) running -(badly) runin' -doin' a (bad) job of running -doin' a (bad) job of runnin' - --- Uhhhh... -Uhhhh... -Um... -So... -Right... -Right, so... -Y'know... -Okay, so... - --- .! -.%_ -!%_ - --- .? -.%_ -?%_ - --- .!? -.%_ -!%_ -?%_ - --- badly -badly -scuzzingly -raunchily -suckily - --- bad -bad -scuzzed-up -scuzzy -drag -raunchy -sucky - --- You are -You are -You're -You are -You're -Y'know, you are -Y'know, you're -You're, like -Y'know, you're, like -You're, like, y'know - --- Complimented -Cool -Ginchy -Groovy -Gnarly -Gone -Smokin' -Stacked -Wicked -Twitchin' -Unreal -Fab -Far out -Boss -Glasspacked - --- complimented -cool -ginchy -groovy -gnarly -gone -smokin' -stacked -twitchin' -unreal -fab -far out -boss -glasspacked - --- dude -dude -man -daddy-o -cat -cool head -fox -hunk -stud - --- Dude -Dude -Man -Mamma -Daddy-o -Cat -Cool head -Fox -Hunk -Stud -Chick - --- ,,: -, -, -: - From bf5358181c9af1c6f561147e60f4b9910dde2130 Mon Sep 17 00:00:00 2001 From: Jian Kuang Date: Thu, 20 Dec 2018 18:14:07 +0000 Subject: [PATCH 439/487] bring systems/ offline --- systems/ecflow_fv3gfs/_main.yaml | 8 - systems/ecflow_fv3gfs/config_files.yaml | 53 - systems/ecflow_fv3gfs/config_locations.yaml | 7 - systems/ecflow_fv3gfs/dump_waiter.yaml | 42 - systems/ecflow_fv3gfs/ecf_file.yaml | 76 - systems/ecflow_fv3gfs/load_ecflow_workflow.sh | 75 - systems/ecflow_fv3gfs/make_next_cycles.yaml | 69 - systems/ecflow_fv3gfs/make_rocoto_xml_for.sh | 53 - systems/ecflow_fv3gfs/post_manager.yaml | 23 - .../ecflow_fv3gfs/remake_ecflow_files_for.sh | 67 - .../ecflow_fv3gfs/resources_C192_C192.yaml | 216 -- .../ecflow_fv3gfs/resources_C768_C384.yaml | 198 -- systems/ecflow_fv3gfs/rocoto.yaml | 34 - systems/ecflow_fv3gfs/schedulers.yaml | 23 - systems/ecflow_fv3gfs/settings.yaml | 46 - systems/ecflow_fv3gfs/settings_validator.yaml | 131 - systems/ecflow_fv3gfs/suite_def.yaml | 850 ----- systems/ecflow_fv3gfs/task.yaml | 161 - systems/ecflow_fv3gfs/task_validator.yaml | 75 - systems/ecflow_fv3gfs/totality_limit.def | 3 - .../ecflow_fv3gfs/update_ecflow_workflow.sh | 69 - systems/ecflow_fv3gfs/workflow.xml | 872 ----- systems/ecflow_fv3gfs/worktools.py | 256 -- systems/ecflow_fv3gfs/worktools.sh.inc | 94 - systems/fv3gfs/exp/_main.yaml | 11 - systems/fv3gfs/exp/actions/_main.yaml | 4 - systems/fv3gfs/exp/actions/anal.yaml | 64 - systems/fv3gfs/exp/actions/arch.yaml | 33 - systems/fv3gfs/exp/actions/base.yaml | 44 - systems/fv3gfs/exp/actions/crow_fcst_sh.yaml | 495 --- systems/fv3gfs/exp/actions/earc.yaml | 26 - systems/fv3gfs/exp/actions/ecen.yaml | 43 - systems/fv3gfs/exp/actions/efcs.yaml | 70 - systems/fv3gfs/exp/actions/eobs.yaml | 31 - systems/fv3gfs/exp/actions/eomg.yaml | 35 - systems/fv3gfs/exp/actions/epos.yaml | 23 - systems/fv3gfs/exp/actions/eupd.yaml | 28 - systems/fv3gfs/exp/actions/fcst.yaml | 85 - systems/fv3gfs/exp/actions/final.yaml | 7 - systems/fv3gfs/exp/actions/ics.yaml | 38 - systems/fv3gfs/exp/actions/post.yaml | 56 - systems/fv3gfs/exp/actions/prep.yaml | 49 - systems/fv3gfs/exp/actions/vrfy.yaml | 74 - .../August2017_GFS@C384_ENKF@C192+20MEM.yaml | 71 - .../exp/cases/CROW_exe_Cindy_GFS@C384.yaml | 53 - .../exp/cases/CROW_io_Cindy_GFS@C384.yaml | 53 - .../exp/cases/CROW_sh_Cindy_GFS@C384.yaml | 51 - .../exp/cases/CROW_sh_df_Cindy_GFS@C384.yaml | 53 - systems/fv3gfs/exp/cases/Cindy_GFS@C384.yaml | 49 - systems/fv3gfs/exp/cases/Harvey_GFS@C192.yaml | 55 - systems/fv3gfs/exp/create_comrot.py | 57 - systems/fv3gfs/exp/defaults/fv3_enkf.yaml | 34 - systems/fv3gfs/exp/defaults/no.yaml | 17 - systems/fv3gfs/exp/defaults/places.yaml | 88 - systems/fv3gfs/exp/defaults/settings.yaml | 2 - systems/fv3gfs/exp/platforms/_main.yaml | 3 - systems/fv3gfs/exp/platforms/choice.yaml | 11 - systems/fv3gfs/exp/platforms/jet.yaml | 57 - systems/fv3gfs/exp/platforms/theia.yaml | 87 - systems/fv3gfs/exp/platforms/wcoss_cray.yaml | 59 - systems/fv3gfs/exp/resources/resources.yaml | 188 -- systems/fv3gfs/exp/runtime/_main.yaml | 4 - .../exp/runtime/crow_exe_fcst_workflow.yaml | 92 - .../exp/runtime/crow_sh_df_fcst_workflow.yaml | 92 - .../exp/runtime/crow_sh_fcst_workflow.yaml | 46 - .../fv3gfs/exp/runtime/cycled_workflow.yaml | 427 --- .../exp/runtime/gfs_forecast_workflow.yaml | 46 - systems/fv3gfs/exp/runtime/rocoto.yaml | 117 - systems/fv3gfs/exp/runtime/runtime.yaml | 28 - systems/fv3gfs/exp/setup_case.py | 109 - systems/fv3gfs/exp/test_sections.py | 26 - systems/fv3gfs/exp/user.yaml.default | 14 - systems/fv3gfs/exp/validation/_main.yaml | 4 - systems/fv3gfs/exp/validation/accounting.yaml | 12 - systems/fv3gfs/exp/validation/case.yaml | 57 - systems/fv3gfs/exp/validation/chgres.yaml | 10 - .../exp/validation/data_assimilation.yaml | 46 - systems/fv3gfs/exp/validation/fv3.yaml | 250 -- .../fv3gfs/exp/validation/fv3_forecast.yaml | 530 --- systems/fv3gfs/exp/validation/obsproc.yaml | 119 - systems/fv3gfs/exp/validation/output.yaml | 52 - systems/fv3gfs/exp/validation/vrfy.yaml | 353 -- systems/fv3gfs/exp/validation/workflow.yaml | 30 - systems/fv3gfs/jobs/anal.sh | 110 - systems/fv3gfs/jobs/arch.sh | 217 -- systems/fv3gfs/jobs/drive_makeprepbufr.sh | 108 - systems/fv3gfs/jobs/earc.sh | 214 -- systems/fv3gfs/jobs/ecen.sh | 53 - systems/fv3gfs/jobs/efcs.sh | 88 - systems/fv3gfs/jobs/eobs.sh | 114 - systems/fv3gfs/jobs/eomg.sh | 98 - systems/fv3gfs/jobs/epos.sh | 48 - systems/fv3gfs/jobs/eupd.sh | 53 - systems/fv3gfs/jobs/fcst.sh | 105 - systems/fv3gfs/jobs/fcst_df.sh | 105 - systems/fv3gfs/jobs/fv3ic.sh | 55 - systems/fv3gfs/jobs/fv3ic_df.sh | 81 - systems/fv3gfs/jobs/getic.sh | 183 - systems/fv3gfs/jobs/job_wrapper.sh | 36 - systems/fv3gfs/jobs/post.sh | 85 - systems/fv3gfs/jobs/prep.sh | 56 - systems/fv3gfs/jobs/vrfy.sh | 127 - .../theia/obsproc/exglobal_dump.sh.ecf | 1732 ---------- .../obsproc/exglobal_makeprepbufr.sh.ecf | 578 ---- .../theia/obsproc/getges.sh | 1385 -------- .../theia/obsproc/getges_sig.sh | 3000 ----------------- .../theia/obsproc/prepobs_cqcbufr.sh | 127 - .../theia/obsproc/prepobs_cqcvad.sh | 101 - .../theia/obsproc/prepobs_makeprepbufr.sh | 2466 -------------- .../theia/obsproc/prepobs_oiqcbufr.sh | 152 - .../theia/obsproc/prepobs_prepacqc.sh | 211 -- .../theia/obsproc/prepobs_prevents.sh | 118 - .../theia/obsproc/prepobs_profcqc.sh | 97 - .../theia/obsproc/prepobs_syndata.sh | 209 -- .../theia/post/global_nceppost.sh | 501 --- .../theia/post/global_nceppost.txt | 2 - .../outofcontrol_scripts/theia/prep/getges.sh | 1385 -------- .../theia/prep/getges.txt | 1 - .../theia/prep/prepobs_makeprepbufr.sh | 2466 -------------- .../theia/prep/prepobs_makeprepbufr.txt | 1 - .../wcoss_c/dump/exglobal_dump.sh.ecf | 1732 ---------- .../wcoss_c/dump/exglobal_dump.sh.ecf.txt | 1 - .../obsproc/exglobal_makeprepbufr.sh.ecf | 578 ---- .../obsproc/exglobal_makeprepbufr.sh.ecf.txt | 1 - .../wcoss_c/obsproc/getges.sh | 1385 -------- .../wcoss_c/obsproc/getges.txt | 1 - .../wcoss_c/obsproc/getges_sig.sh | 3000 ----------------- .../wcoss_c/obsproc/prepobs_cqcvad.sh | 101 - .../wcoss_c/obsproc/prepobs_cqcvad.txt | 1 - .../wcoss_c/obsproc/prepobs_makeprepbufr.sh | 2466 -------------- .../wcoss_c/obsproc/prepobs_makeprepbufr.txt | 1 - .../wcoss_c/obsproc/repobs_makeprepbufr.txt | 1 - .../wcoss_c/post/global_nceppost.sh | 501 --- .../wcoss_c/post/global_nceppost.txt | 1 - .../wcoss_c/prep/getges.sh | 1385 -------- .../wcoss_c/prep/getges.txt | 1 - .../wcoss_c/prep/prepobs_cqcbufr.sh | 127 - .../wcoss_c/prep/prepobs_cqcbufr.txt | 1 - .../wcoss_c/prep/prepobs_cqcvad.sh | 101 - .../wcoss_c/prep/prepobs_cqcvad.txt | 1 - .../wcoss_c/prep/prepobs_makeprepbufr.sh | 2466 -------------- .../wcoss_c/prep/prepobs_makeprepbufr.txt | 1 - .../wcoss_c/prep/prepobs_oiqcbufr.sh | 152 - .../wcoss_c/prep/prepobs_oiqcbufr.txt | 1 - .../wcoss_c/prep/prepobs_prepacqc.sh | 211 -- .../wcoss_c/prep/prepobs_prepacqc.txt | 1 - .../wcoss_c/prep/prepobs_prepdata.txt | 1 - .../wcoss_c/prep/prepobs_prevents.txt | 1 - .../wcoss_c/prep/prepobs_profcqc.txt | 1 - .../wcoss_c/prep/prepobs_syndata.sh | 209 -- .../wcoss_c/prep/prepobs_syndata.txt | 1 - .../wcoss_c/vrfy/gfs_genesis_para_fv3gfs.sh | 108 - .../wcoss_c/vrfy/gfs_genesis_para_fv3gfs.txt | 1 - .../wcoss_c/vrfy/global_extrkr.sh | 1703 ---------- .../wcoss_c/vrfy/global_extrkr.txt | 1 - .../wcoss_c/vrfy/global_tracker.sh | 115 - .../wcoss_c/vrfy/global_tracker.txt | 1 - .../wcoss_c/vrfy/vsdbjob.sh | 356 -- .../wcoss_c/vrfy/vsdbjob.txt | 1 - systems/fv3gfs/scripts/exglobal_fcst_crow.sh | 288 -- .../fv3gfs/scripts/exglobal_fcst_crow_df.sh | 236 -- .../fv3gfs/scripts/exglobal_fcst_crow_exe.sh | 176 - .../fv3gfs/scripts/exglobal_fcst_crow_io.sh | 189 -- .../fv3gfs/scripts/exglobal_fcst_original.sh | 911 ----- systems/rocoto_style_fv3gfs/_main.yaml | 10 - .../begin_ecflow_workflow.sh | 117 - systems/rocoto_style_fv3gfs/dump_waiter.yaml | 37 - systems/rocoto_style_fv3gfs/envir-p1.h | 1 - systems/rocoto_style_fv3gfs/envir-p2.h | 1 - systems/rocoto_style_fv3gfs/envir-xc40.h | 1 - systems/rocoto_style_fv3gfs/head.h | 1 - .../rocoto_style_fv3gfs/make-rocoto-xml.py | 18 - .../rocoto_style_fv3gfs/make_next_cycles.yaml | 64 - systems/rocoto_style_fv3gfs/model_ver.h | 1 - systems/rocoto_style_fv3gfs/post_manager.yaml | 23 - .../remake_ecflow_files_for.sh | 123 - .../resources_C192_C192.yaml | 190 -- .../resources_C768_C384.yaml | 161 - .../sample-config/config.anal | 34 - .../sample-config/config.arch | 25 - .../sample-config/config.base | 252 -- .../sample-config/config.base.default | 253 -- .../sample-config/config.base.nco | 174 - .../sample-config/config.earc | 22 - .../sample-config/config.ecen | 24 - .../sample-config/config.efcs | 61 - .../sample-config/config.eobs | 30 - .../sample-config/config.epos | 21 - .../sample-config/config.eupd | 22 - .../sample-config/config.fcst | 140 - .../sample-config/config.fv3 | 112 - .../sample-config/config.fv3ic | 20 - .../sample-config/config.getic | 27 - .../sample-config/config.nsst | 40 - .../sample-config/config.post | 44 - .../sample-config/config.prep | 21 - .../sample-config/config.prepbufr | 94 - .../sample-config/config.resources | 127 - .../sample-config/config.vrfy | 176 - systems/rocoto_style_fv3gfs/schedulers.yaml | 23 - systems/rocoto_style_fv3gfs/settings.yaml | 64 - systems/rocoto_style_fv3gfs/suite_def.yaml | 374 -- systems/rocoto_style_fv3gfs/tail.h | 1 - .../rocoto_style_fv3gfs/task_template.yaml | 149 - .../update_ecflow_workflow.sh | 94 - systems/rocoto_style_fv3gfs/validator.yaml | 80 - systems/rocoto_style_fv3gfs/workflow.xml | 1728 ---------- systems/rocoto_style_fv3gfs/worktools.py | 220 -- 208 files changed, 48354 deletions(-) delete mode 100644 systems/ecflow_fv3gfs/_main.yaml delete mode 100644 systems/ecflow_fv3gfs/config_files.yaml delete mode 100644 systems/ecflow_fv3gfs/config_locations.yaml delete mode 100644 systems/ecflow_fv3gfs/dump_waiter.yaml delete mode 100644 systems/ecflow_fv3gfs/ecf_file.yaml delete mode 100755 systems/ecflow_fv3gfs/load_ecflow_workflow.sh delete mode 100644 systems/ecflow_fv3gfs/make_next_cycles.yaml delete mode 100755 systems/ecflow_fv3gfs/make_rocoto_xml_for.sh delete mode 100644 systems/ecflow_fv3gfs/post_manager.yaml delete mode 100755 systems/ecflow_fv3gfs/remake_ecflow_files_for.sh delete mode 100644 systems/ecflow_fv3gfs/resources_C192_C192.yaml delete mode 100644 systems/ecflow_fv3gfs/resources_C768_C384.yaml delete mode 100644 systems/ecflow_fv3gfs/rocoto.yaml delete mode 100644 systems/ecflow_fv3gfs/schedulers.yaml delete mode 100644 systems/ecflow_fv3gfs/settings.yaml delete mode 100644 systems/ecflow_fv3gfs/settings_validator.yaml delete mode 100644 systems/ecflow_fv3gfs/suite_def.yaml delete mode 100644 systems/ecflow_fv3gfs/task.yaml delete mode 100644 systems/ecflow_fv3gfs/task_validator.yaml delete mode 100644 systems/ecflow_fv3gfs/totality_limit.def delete mode 100755 systems/ecflow_fv3gfs/update_ecflow_workflow.sh delete mode 100644 systems/ecflow_fv3gfs/workflow.xml delete mode 100644 systems/ecflow_fv3gfs/worktools.py delete mode 100644 systems/ecflow_fv3gfs/worktools.sh.inc delete mode 100644 systems/fv3gfs/exp/_main.yaml delete mode 100644 systems/fv3gfs/exp/actions/_main.yaml delete mode 100644 systems/fv3gfs/exp/actions/anal.yaml delete mode 100644 systems/fv3gfs/exp/actions/arch.yaml delete mode 100644 systems/fv3gfs/exp/actions/base.yaml delete mode 100644 systems/fv3gfs/exp/actions/crow_fcst_sh.yaml delete mode 100644 systems/fv3gfs/exp/actions/earc.yaml delete mode 100644 systems/fv3gfs/exp/actions/ecen.yaml delete mode 100644 systems/fv3gfs/exp/actions/efcs.yaml delete mode 100644 systems/fv3gfs/exp/actions/eobs.yaml delete mode 100644 systems/fv3gfs/exp/actions/eomg.yaml delete mode 100644 systems/fv3gfs/exp/actions/epos.yaml delete mode 100644 systems/fv3gfs/exp/actions/eupd.yaml delete mode 100644 systems/fv3gfs/exp/actions/fcst.yaml delete mode 100644 systems/fv3gfs/exp/actions/final.yaml delete mode 100644 systems/fv3gfs/exp/actions/ics.yaml delete mode 100644 systems/fv3gfs/exp/actions/post.yaml delete mode 100644 systems/fv3gfs/exp/actions/prep.yaml delete mode 100644 systems/fv3gfs/exp/actions/vrfy.yaml delete mode 100644 systems/fv3gfs/exp/cases/August2017_GFS@C384_ENKF@C192+20MEM.yaml delete mode 100644 systems/fv3gfs/exp/cases/CROW_exe_Cindy_GFS@C384.yaml delete mode 100644 systems/fv3gfs/exp/cases/CROW_io_Cindy_GFS@C384.yaml delete mode 100644 systems/fv3gfs/exp/cases/CROW_sh_Cindy_GFS@C384.yaml delete mode 100644 systems/fv3gfs/exp/cases/CROW_sh_df_Cindy_GFS@C384.yaml delete mode 100644 systems/fv3gfs/exp/cases/Cindy_GFS@C384.yaml delete mode 100644 systems/fv3gfs/exp/cases/Harvey_GFS@C192.yaml delete mode 100644 systems/fv3gfs/exp/create_comrot.py delete mode 100644 systems/fv3gfs/exp/defaults/fv3_enkf.yaml delete mode 100644 systems/fv3gfs/exp/defaults/no.yaml delete mode 100644 systems/fv3gfs/exp/defaults/places.yaml delete mode 100644 systems/fv3gfs/exp/defaults/settings.yaml delete mode 100644 systems/fv3gfs/exp/platforms/_main.yaml delete mode 100644 systems/fv3gfs/exp/platforms/choice.yaml delete mode 100644 systems/fv3gfs/exp/platforms/jet.yaml delete mode 100644 systems/fv3gfs/exp/platforms/theia.yaml delete mode 100644 systems/fv3gfs/exp/platforms/wcoss_cray.yaml delete mode 100644 systems/fv3gfs/exp/resources/resources.yaml delete mode 100644 systems/fv3gfs/exp/runtime/_main.yaml delete mode 100644 systems/fv3gfs/exp/runtime/crow_exe_fcst_workflow.yaml delete mode 100644 systems/fv3gfs/exp/runtime/crow_sh_df_fcst_workflow.yaml delete mode 100644 systems/fv3gfs/exp/runtime/crow_sh_fcst_workflow.yaml delete mode 100644 systems/fv3gfs/exp/runtime/cycled_workflow.yaml delete mode 100644 systems/fv3gfs/exp/runtime/gfs_forecast_workflow.yaml delete mode 100644 systems/fv3gfs/exp/runtime/rocoto.yaml delete mode 100644 systems/fv3gfs/exp/runtime/runtime.yaml delete mode 100755 systems/fv3gfs/exp/setup_case.py delete mode 100755 systems/fv3gfs/exp/test_sections.py delete mode 100644 systems/fv3gfs/exp/user.yaml.default delete mode 100644 systems/fv3gfs/exp/validation/_main.yaml delete mode 100644 systems/fv3gfs/exp/validation/accounting.yaml delete mode 100644 systems/fv3gfs/exp/validation/case.yaml delete mode 100644 systems/fv3gfs/exp/validation/chgres.yaml delete mode 100644 systems/fv3gfs/exp/validation/data_assimilation.yaml delete mode 100644 systems/fv3gfs/exp/validation/fv3.yaml delete mode 100644 systems/fv3gfs/exp/validation/fv3_forecast.yaml delete mode 100644 systems/fv3gfs/exp/validation/obsproc.yaml delete mode 100644 systems/fv3gfs/exp/validation/output.yaml delete mode 100644 systems/fv3gfs/exp/validation/vrfy.yaml delete mode 100644 systems/fv3gfs/exp/validation/workflow.yaml delete mode 100755 systems/fv3gfs/jobs/anal.sh delete mode 100755 systems/fv3gfs/jobs/arch.sh delete mode 100755 systems/fv3gfs/jobs/drive_makeprepbufr.sh delete mode 100755 systems/fv3gfs/jobs/earc.sh delete mode 100755 systems/fv3gfs/jobs/ecen.sh delete mode 100755 systems/fv3gfs/jobs/efcs.sh delete mode 100755 systems/fv3gfs/jobs/eobs.sh delete mode 100755 systems/fv3gfs/jobs/eomg.sh delete mode 100755 systems/fv3gfs/jobs/epos.sh delete mode 100755 systems/fv3gfs/jobs/eupd.sh delete mode 100755 systems/fv3gfs/jobs/fcst.sh delete mode 100755 systems/fv3gfs/jobs/fcst_df.sh delete mode 100755 systems/fv3gfs/jobs/fv3ic.sh delete mode 100755 systems/fv3gfs/jobs/fv3ic_df.sh delete mode 100755 systems/fv3gfs/jobs/getic.sh delete mode 100755 systems/fv3gfs/jobs/job_wrapper.sh delete mode 100755 systems/fv3gfs/jobs/post.sh delete mode 100755 systems/fv3gfs/jobs/prep.sh delete mode 100755 systems/fv3gfs/jobs/vrfy.sh delete mode 100755 systems/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_dump.sh.ecf delete mode 100755 systems/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_makeprepbufr.sh.ecf delete mode 100755 systems/fv3gfs/outofcontrol_scripts/theia/obsproc/getges.sh delete mode 100755 systems/fv3gfs/outofcontrol_scripts/theia/obsproc/getges_sig.sh delete mode 100755 systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcbufr.sh delete mode 100755 systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcvad.sh delete mode 100755 systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_makeprepbufr.sh delete mode 100755 systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_oiqcbufr.sh delete mode 100755 systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prepacqc.sh delete mode 100755 systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prevents.sh delete mode 100755 systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_profcqc.sh delete mode 100755 systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_syndata.sh delete mode 100755 systems/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.sh delete mode 100644 systems/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.txt delete mode 100755 systems/fv3gfs/outofcontrol_scripts/theia/prep/getges.sh delete mode 100644 systems/fv3gfs/outofcontrol_scripts/theia/prep/getges.txt delete mode 100755 systems/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.sh delete mode 100644 systems/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.txt delete mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf delete mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf.txt delete mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf delete mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf.txt delete mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.sh delete mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.txt delete mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges_sig.sh delete mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.sh delete mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.txt delete mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.sh delete mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.txt delete mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/repobs_makeprepbufr.txt delete mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.sh delete mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.txt delete mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.sh delete mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.txt delete mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.sh delete mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.txt delete mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.sh delete mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.txt delete mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.sh delete mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.txt delete mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.sh delete mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.txt delete mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.sh delete mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.txt delete mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepdata.txt delete mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prevents.txt delete mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_profcqc.txt delete mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.sh delete mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.txt delete mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/gfs_genesis_para_fv3gfs.sh delete mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/gfs_genesis_para_fv3gfs.txt delete mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_extrkr.sh delete mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_extrkr.txt delete mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_tracker.sh delete mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_tracker.txt delete mode 100755 systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/vsdbjob.sh delete mode 100644 systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/vsdbjob.txt delete mode 100755 systems/fv3gfs/scripts/exglobal_fcst_crow.sh delete mode 100755 systems/fv3gfs/scripts/exglobal_fcst_crow_df.sh delete mode 100755 systems/fv3gfs/scripts/exglobal_fcst_crow_exe.sh delete mode 100755 systems/fv3gfs/scripts/exglobal_fcst_crow_io.sh delete mode 100755 systems/fv3gfs/scripts/exglobal_fcst_original.sh delete mode 100644 systems/rocoto_style_fv3gfs/_main.yaml delete mode 100755 systems/rocoto_style_fv3gfs/begin_ecflow_workflow.sh delete mode 100644 systems/rocoto_style_fv3gfs/dump_waiter.yaml delete mode 120000 systems/rocoto_style_fv3gfs/envir-p1.h delete mode 120000 systems/rocoto_style_fv3gfs/envir-p2.h delete mode 120000 systems/rocoto_style_fv3gfs/envir-xc40.h delete mode 120000 systems/rocoto_style_fv3gfs/head.h delete mode 100755 systems/rocoto_style_fv3gfs/make-rocoto-xml.py delete mode 100644 systems/rocoto_style_fv3gfs/make_next_cycles.yaml delete mode 120000 systems/rocoto_style_fv3gfs/model_ver.h delete mode 100644 systems/rocoto_style_fv3gfs/post_manager.yaml delete mode 100755 systems/rocoto_style_fv3gfs/remake_ecflow_files_for.sh delete mode 100644 systems/rocoto_style_fv3gfs/resources_C192_C192.yaml delete mode 100644 systems/rocoto_style_fv3gfs/resources_C768_C384.yaml delete mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.anal delete mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.arch delete mode 100644 systems/rocoto_style_fv3gfs/sample-config/config.base delete mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.base.default delete mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.base.nco delete mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.earc delete mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.ecen delete mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.efcs delete mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.eobs delete mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.epos delete mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.eupd delete mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.fcst delete mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.fv3 delete mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.fv3ic delete mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.getic delete mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.nsst delete mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.post delete mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.prep delete mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.prepbufr delete mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.resources delete mode 100755 systems/rocoto_style_fv3gfs/sample-config/config.vrfy delete mode 100644 systems/rocoto_style_fv3gfs/schedulers.yaml delete mode 100644 systems/rocoto_style_fv3gfs/settings.yaml delete mode 100644 systems/rocoto_style_fv3gfs/suite_def.yaml delete mode 120000 systems/rocoto_style_fv3gfs/tail.h delete mode 100644 systems/rocoto_style_fv3gfs/task_template.yaml delete mode 100755 systems/rocoto_style_fv3gfs/update_ecflow_workflow.sh delete mode 100644 systems/rocoto_style_fv3gfs/validator.yaml delete mode 100644 systems/rocoto_style_fv3gfs/workflow.xml delete mode 100644 systems/rocoto_style_fv3gfs/worktools.py diff --git a/systems/ecflow_fv3gfs/_main.yaml b/systems/ecflow_fv3gfs/_main.yaml deleted file mode 100644 index 561f10a..0000000 --- a/systems/ecflow_fv3gfs/_main.yaml +++ /dev/null @@ -1,8 +0,0 @@ -include: - - "config*.yaml" - - "*_validator.yaml" - - schedulers.yaml - - rocoto.yaml - - task.yaml - - "*.yaml" - - suite_def.yaml diff --git a/systems/ecflow_fv3gfs/config_files.yaml b/systems/ecflow_fv3gfs/config_files.yaml deleted file mode 100644 index 63d5a8b..0000000 --- a/systems/ecflow_fv3gfs/config_files.yaml +++ /dev/null @@ -1,53 +0,0 @@ -# Variables taken from config.* files: -config_files: - - # config.base: - FHMAX_GFS: !Immediate [ !calc ' int(tools.env("FHMAX_GFS"),10) ' ] - FHMIN_GFS: !Immediate [ !calc ' int(tools.env("FHMIN_GFS"),10) ' ] - FHOUT_GFS: !Immediate [ !calc ' int(tools.env("FHOUT_GFS"),10) ' ] - FHMAX: !Immediate [ !calc ' int(tools.env("FHMAX"),10) ' ] - FHMIN: !Immediate [ !calc ' int(tools.env("FHMIN"),10) ' ] - FHOUT: !Immediate [ !calc ' int(tools.env("FHOUT"),10) ' ] - FHMAX_HF_GFS: !Immediate [ !calc ' int(tools.env("FHMAX_HF_GFS"),10) ' ] - FHOUT_HF_GFS: !Immediate [ !calc ' int(tools.env("FHOUT_HF_GFS"),10) ' ] - PSLOT: !Immediate [ !calc tools.env("PSLOT") ] - EXPDIR: !Immediate [ !calc tools.env("EXPDIR") ] - ROTDIR: !Immediate [ !calc tools.env("ROTDIR") ] - RUNDIR: !Immediate [ !calc tools.env("RUNDIR") ] - HOMEgfs: !Immediate [ !calc tools.env("HOMEgfs") ] - CASE: !Immediate [ !calc tools.env("CASE") ] - CASE_ENKF: !Immediate [ !calc tools.env("CASE_ENKF") ] - SDATE: !Immediate [ !calc tools.env("SDATE") ] - EDATE: !Immediate [ !calc tools.env("EDATE") ] - NMEM_ENKF: !Immediate [ !calc ' int( tools.env("NMEM_ENKF"),10 )'] - DMPDIR: !Immediate [ !calc tools.env("DMPDIR") ] - QUEUE: !Immediate [ !calc tools.env("QUEUE") ] - QUEUE_ARCH: !Immediate [ !calc tools.env("QUEUE_ARCH") ] - ACCOUNT: !Immediate [ !calc tools.env("ACCOUNT") ] - machine: !Immediate [ !calc tools.env("machine") ] - - # config.eobs: - NMEM_EOMGGRP: !Immediate [ !calc ' int(tools.env("NMEM_EOMGGRP"),10) ' ] - - # config.efcs: - NMEM_EFCSGRP: !Immediate [ !calc ' int(tools.env("NMEM_EFCSGRP"),10) ' ] - - # config.earc: - NMEM_EARCGRP: !Immediate [ !calc ' int(tools.env("NMEM_EARCGRP"),10) ' ] - - # config.fcst / config.fv3: - layout_x: !Immediate [ !calc ' int(tools.env("layout_x"),10) ' ] - layout_y: !Immediate [ !calc ' int(tools.env("layout_y"),10) ' ] - WRITE_GROUP: !Immediate [ !calc ' int(tools.env("WRITE_GROUP"),10) ' ] - WRTTASK_PER_GROUP: !Immediate - - !calc int(tools.env("WRTTASK_PER_GROUP"),10) - - # config.efcs / config.fv3: - ENKF_layout_x: !Immediate - - !calc ' int(tools.env("ENKF_layout_x"),10) ' - ENKF_layout_y: !Immediate - - !calc ' int(tools.env("ENKF_layout_y"),10) ' - ENKF_WRITE_GROUP: !Immediate - - !calc ' int(tools.env("ENKF_WRITE_GROUP"),10) ' - ENKF_WRTTASK_PER_GROUP: !Immediate - - !calc int(tools.env("ENKF_WRTTASK_PER_GROUP"),10) diff --git a/systems/ecflow_fv3gfs/config_locations.yaml b/systems/ecflow_fv3gfs/config_locations.yaml deleted file mode 100644 index f6c12b9..0000000 --- a/systems/ecflow_fv3gfs/config_locations.yaml +++ /dev/null @@ -1,7 +0,0 @@ -## initial_directory: location from which the setup script was run. -initial_directory: !Immediate [ !calc "tools.realpath(tools.abspath('.'))" ] - -# pyYAML cannot correctly write out a document unless it contains -# at least one mapping -workaround_for_bug_in_pyyaml: - xyz: 123 diff --git a/systems/ecflow_fv3gfs/dump_waiter.yaml b/systems/ecflow_fv3gfs/dump_waiter.yaml deleted file mode 100644 index d02ea96..0000000 --- a/systems/ecflow_fv3gfs/dump_waiter.yaml +++ /dev/null @@ -1,42 +0,0 @@ -dump_waiter: &dump_waiter_task !Task - <<: *exclusive_task_template - manual: | - The dump_waiter task is a special job needed to run this - workflow outside of the production suite. It handles the - external dependency on the dump and the associated - (approximate) time dependency. - In ecflow: - The dump_waiter is started when the cycle is allowed to - begin, and waits for the dump to be available. It sets - the "updated_status" event when the dump is available. - In Rocoto: - The dump_waiter is never run; it is defined so that the - updated_status data event can be used as a data dependency - in the prep job. - - Disable: !calc metasched.type=='rocoto' - - resources: !calc doc.resources.run_dump_waiter - - updated_status: !DataEvent - file: !expand >- - {doc.settings.DMPDIR}/@Y@m@d@H/{up.CDUMP}/{up.CDUMP}.t@Hz.updated.status.tm00.bufr_d - - # The batch_job_command is dumped into the ecf file where the - # J-Job would normally be called. This job is never run by - # Rocoto, so Rocoto never uses this code block. - ecflow_command: !expand | - workdir=/tmp/$USER.dump_waiter.$$.$RANDOM - mkdir -p "$workdir" - cd "$workdir" - setpdy.sh - source ./PDY - WAITFILE=%DUMPDIR%/${{PDY}}%CYC%/{CDUMP}/{CDUMP}.t%CYC%z.updated.status.tm00.bufr_d - while [[ ! -s "$WAITFILE" ]] ; do - echo "$WAITFILE: nope" - sleep 37 - done - echo "$WAITFILE: yup" - ecflow_client --event updated_status - cd / - rm -rf "$workdir" diff --git a/systems/ecflow_fv3gfs/ecf_file.yaml b/systems/ecflow_fv3gfs/ecf_file.yaml deleted file mode 100644 index 7c90640..0000000 --- a/systems/ecflow_fv3gfs/ecf_file.yaml +++ /dev/null @@ -1,76 +0,0 @@ -ecf_file_logic: &ecf_file_logic - - # Default resources for a job are serial - resources: !calc doc.resource_demo.run_nothing - - ecf_file: !expand | - #! /bin/sh - {ecf_batch_resources} - %include - %include - - set -x - - {ecf_resource_more} - - export model=%MODEL_NAME% - %include - - ############################################################ - # Load modules - ############################################################ - . $MODULESHOME/init/sh - {ecf_module_commands} - module list - - ############################################################# - # WCOSS environment settings - ############################################################# - - {ecf_environment_settings} - - ########################################################### - export cyc=%CYC% - - # CALL executable job script here - echo ${{HOMEgfs}}/jobs/{J_JOB} - - %include - %manual - {ecf_manual} - %end - - ecf_manual: | - # FIXME: Insert manual for this job. - - ecf_module_commands: |- - # Add any "module" commands here (switch, load, use, etc.) - - ecf_environment_settings: |- - # Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - - ecf_batch_resources: !expand |- - {sched.batch_accounting(accounting,jobname=task_path_var,outerr="%ECF_OUT%/"+task_path_var+"_t"+"%CYC%"+"z.log")} - {sched.batch_resources(resources)} - - ecf_resource_more: !expand |- - {ecf_maybe_ntasks} - {ecf_maybe_ptile} - {ecf_maybe_threads} - - ecf_maybe_ntasks: !FirstTrue - - when: !calc resources.total_ranks()>0 - do: !expand 'export ntasks={resources.total_ranks()}' - - otherwise: "# No MPI in use, so I am not setting $ntasks." - - ecf_maybe_threads: !FirstTrue - - when: !calc resources.has_threads() - do: !expand 'export threads={resources[0]["OMP_NUM_THREADS"]}' - - otherwise: "# No OpenMP in use, so I am not setting $threads" - - ecf_maybe_ptile: !FirstTrue - - when: !calc resources.has_threads() or resources.total_ranks()>0 - do: !expand 'export ptile={sched.max_ranks_per_node(resources)}' - - otherwise: "# Neither OpenMP nor MPI are in use, so I am not setting $ptile" - - diff --git a/systems/ecflow_fv3gfs/load_ecflow_workflow.sh b/systems/ecflow_fv3gfs/load_ecflow_workflow.sh deleted file mode 100755 index bf9af77..0000000 --- a/systems/ecflow_fv3gfs/load_ecflow_workflow.sh +++ /dev/null @@ -1,75 +0,0 @@ -#! /bin/bash - -set -ue - -# Get the directory in which this script resides. We'll assume the -# yaml files are there: -dir0=$( dirname "$0" ) -here=$( cd "$dir0" ; pwd -P ) - -export WORKTOOLS_VERBOSE=NO - -crowdir=$( cd ../../ ; pwd -P ) - -# Make sure this directory is in the python path so we find worktools.py: -export PYTHONPATH=$here:$crowdir:${PYTHONPATH:+:$PYTHONPATH} - -source "$dir0/worktools.sh.inc" - -# Parse arguments: -if [[ "$1" == "-v" ]] ; then - export WORKTOOLS_VERBOSE=YES - shift 1 -fi -export CONFIGDIR="$1" - -if [[ ! -d /usrx/local || -e /etc/redhat-release ]] ; then - echo "ERROR: This script only runs on WCOSS Cray" 1>&2 - exit 1 -fi - -if ( ! which ecflow_client > /dev/null 2>&1 ) ; then - echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." - exit 1 -fi - -check_ecf_variables - -if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - echo "load_ecflow_workflow.sh: verbose mode" -fi - -echo 'ecFlow server settings:' -echo " port: $ECF_PORT" -echo " root: $ECF_ROOT" -echo " home: $ECF_HOME" -echo " host: $ECF_HOST" - -set +e -find_python36 -set -e - -tmpfile=${TMPDIR:-/tmp}/find-expdir.$RANDOM.$RANDOM.$$ - -if ( ! ( make_yaml_files ) ) ; then - echo "Failed to make YAML files" - exit 1 -fi - -source "$tmpfile" -rm -f "$tmpfile" - -if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - set -x -fi - -if ( ! ecflow_client --ping ) ; then - echo "Could not connect to ecflow server. Aborting." - exit 1 -fi - -if ( ! ecflow_client --get=/totality_limit > /dev/null 2>&1 ) ; then - ecflow_client --load ./totality_limit.def -fi - -$python36 -c "import worktools ; worktools.create_and_load_ecflow_workflow('$EXPDIR',begin=False)" diff --git a/systems/ecflow_fv3gfs/make_next_cycles.yaml b/systems/ecflow_fv3gfs/make_next_cycles.yaml deleted file mode 100644 index 5f4eb47..0000000 --- a/systems/ecflow_fv3gfs/make_next_cycles.yaml +++ /dev/null @@ -1,69 +0,0 @@ -make_next_cycles: &make_next_cycles_task !Task - <<: *exclusive_task_template - manual: | - The make_next_cycles task generates and begins the suites for the - next few cycles in the workflow. This job is only used when running - in ecflow. - - Disable: !calc metasched.type=='rocoto' - - resources: !calc doc.resources.run_make_next_cycles - - Trigger: !Depend gdas - - CDUMP: gdas # useless but required - - # The batch_job_command is dumped into the ecf file where the - # J-Job would normally be called. This job is never run by - # Rocoto, so Rocoto never uses this code block. - ecflow_command: !expand | - workdir=/tmp/$USER.dump_waiter.$$.$RANDOM - mkdir -p "$workdir" - cd "$workdir" - export WORKFLOW_FIRST_CYCLE=%WORKFLOW_FIRST_CYCLE:1970010100% - export WORKFLOW_LAST_CYCLE=%WORKFLOW_LAST_CYCLE:ETERNITY% - export WORKFLOW_CYCLES_TO_GENERATE=%WORKFLOW_CYCLES_TO_GENERATE:5% - export WORKFLOW_CROW_HOME=%WORKFLOW_CROW_HOME% - export WORKFLOW_EXPDIR=%WORKFLOW_EXPDIR% - export ECF_HOME=%ECF_HOME% - export ECF_ROOT=%ECF_ROOT:X% - - if [[ "$ECF_ROOT" == X ]] ; then - export ECF_ROOT=$( cd "$ECF_HOME" ; cd .. ; pwd -P ) - fi - - export PDY=%PDY% - export CYC=%CYC% - export cycle=t%CYC%z - setpdy.sh - source ./PDY - - module load prod_util - module load ecflow - - set -uex - - # Decide the range of cycles to start - first_cycle=$( $NDATE +6 "%PDY%%CYC%" ) - - if [[ "${{WORKFLOW_LAST_CYCLE:-ETERNITY}}" != ETERNITY && - "$first_cycle" -gt "$WORKFLOW_LAST_CYCLE" ]] ; then - postmsg "Last cycle reached. Not starting any new cycles." - fi - - last_cycle=$first_cycle - for istart in $( seq 1 5 ) ; do - if [[ "${{WORKFLOW_LAST_CYCLE:-ETERNITY}}" != ETERNITY && - "$last_cycle" -gt "$WORKFLOW_LAST_CYCLE" ]] ; then - break - fi - last_cycle=$( $NDATE +6 "$last_cycle" ) - done - - cd "$WORKFLOW_CROW_HOME" - postmsg "Start cycles $first_cycle through $last_cycle." - ./update_ecflow_workflow.sh "$WORKFLOW_EXPDIR" "$first_cycle" "$last_cycle" - - - cd / - rm -rf "$workdir" diff --git a/systems/ecflow_fv3gfs/make_rocoto_xml_for.sh b/systems/ecflow_fv3gfs/make_rocoto_xml_for.sh deleted file mode 100755 index 6cab9bd..0000000 --- a/systems/ecflow_fv3gfs/make_rocoto_xml_for.sh +++ /dev/null @@ -1,53 +0,0 @@ -#! /bin/bash - -set -ue - -# Get the directory in which this script resides. We'll assume the -# yaml files are there: -dir0=$( dirname "$0" ) -here=$( cd "$dir0" ; pwd -P ) - -export WORKTOOLS_VERBOSE=NO - -crowdir=$( cd ../../ ; pwd -P ) - -# Make sure this directory is in the python path so we find worktools.py: -export PYTHONPATH=$here:$crowdir:${PYTHONPATH:+:$PYTHONPATH} - -source "$dir0/worktools.sh.inc" - -# Parse arguments: -if [[ "$1" == "-v" ]] ; then - export WORKTOOLS_VERBOSE=YES - shift 1 -fi -export CONFIGDIR="$1" - -if [[ ! ( -d /scratch4 && -d /scratch3 || \ - -d /usrx/local && ! -e /etc/redhat-release ) \ - ]] ; then - echo "ERROR: This script only runs on WCOSS Cray and Theia" 1>&2 - exit 1 -fi - -set +e -find_python36 -set -e - -tmpfile=${TMPDIR:-/tmp}/find-expdir.$RANDOM.$RANDOM.$$ - -if ( ! ( make_yaml_files ) ) ; then - echo "Failed to make YAML files" - exit 1 -fi - -source "$tmpfile" -rm -f "$tmpfile" - -if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - echo "make_rocoto_xml_for.sh: EXPDIR=$EXPDIR" - set -x -fi - -$python36 -c "import worktools ; worktools.make_rocoto_xml_for( - '$EXPDIR')" diff --git a/systems/ecflow_fv3gfs/post_manager.yaml b/systems/ecflow_fv3gfs/post_manager.yaml deleted file mode 100644 index ea867ac..0000000 --- a/systems/ecflow_fv3gfs/post_manager.yaml +++ /dev/null @@ -1,23 +0,0 @@ -post_manager_job_contents: &post_manager_job_contents !expand | - fhrs='anl {tools.join(up.Dimensions.fhr," ")}' - CDATE=%PDY%%CYC% - for fid in $fhrs ; do - if [[ "$fid" == anl ]] ; then - fhr3=000 - event=release_postanl - else - fhr3=$( printf %%03d $fid ) - fhr2=$( printf %%02d $fid ) - event=release_post$fhr2 - fi - - file=%COM%/$CDUMP.%PDY%/%CYC%/$CDUMP.t%CYC%z.logf$fhr3.nemsio - - while [[ ! -s "$file" ]] ; do - echo "$file: nope" - sleep 33 - done - echo "$file: yup" - ecflow_client --event "$event" - done - echo "done" diff --git a/systems/ecflow_fv3gfs/remake_ecflow_files_for.sh b/systems/ecflow_fv3gfs/remake_ecflow_files_for.sh deleted file mode 100755 index 180a3d6..0000000 --- a/systems/ecflow_fv3gfs/remake_ecflow_files_for.sh +++ /dev/null @@ -1,67 +0,0 @@ -#! /bin/bash - -set -ue - -# Get the directory in which this script resides. We'll assume the -# yaml files are there: -dir0=$( dirname "$0" ) -here=$( cd "$dir0" ; pwd -P ) - -export WORKTOOLS_VERBOSE=NO - -crowdir=$( cd ../../ ; pwd -P ) - -# Make sure this directory is in the python path so we find worktools.py: -export PYTHONPATH=$here:$crowdir:${PYTHONPATH:+:$PYTHONPATH} - -source "$dir0/worktools.sh.inc" - -# Parse arguments: -if [[ "$1" == "-v" ]] ; then - export WORKTOOLS_VERBOSE=YES - shift 1 -fi -export CONFIGDIR="$1" -export FIRST_CYCLE="$2" -export LAST_CYCLE="$3" - -if [[ ! -d /usrx/local || -e /etc/redhat-release ]] ; then - echo "ERROR: This script only runs on WCOSS Cray" 1>&2 - exit 1 -fi - -check_ecf_variables - -if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - echo "remake_ecflow_files_for.sh: verbose mode" -fi - -echo 'ecFlow server settings:' -echo " port: $ECF_PORT" -echo " root: $ECF_ROOT" -echo " home: $ECF_HOME" -echo " host: $ECF_HOST" - -set +e -find_python36 -set -e - -tmpfile=${TMPDIR:-/tmp}/find-expdir.$RANDOM.$RANDOM.$$ - -if ( ! ( make_yaml_files ) ) ; then - echo "Failed to make YAML files" - exit 1 -fi - -source "$tmpfile" -rm -f "$tmpfile" - -if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - echo "remake_ecflow_files_for.sh: EXPDIR=$EXPDIR" - set -x -fi - -$python36 -c "import worktools ; worktools.remake_ecflow_files_for_cycles( - '$EXPDIR', - '$FIRST_CYCLE', - '$LAST_CYCLE')" diff --git a/systems/ecflow_fv3gfs/resources_C192_C192.yaml b/systems/ecflow_fv3gfs/resources_C192_C192.yaml deleted file mode 100644 index f6dd244..0000000 --- a/systems/ecflow_fv3gfs/resources_C192_C192.yaml +++ /dev/null @@ -1,216 +0,0 @@ -resources: - - memory_3072M_on_wcoss_cray: !FirstTrue - - when: !calc doc.settings.fv3gfs_machine != 'WCOSS_C' - do: null - - otherwise: "3072M" - - memory_1024M_on_wcoss_cray: !FirstTrue - - when: !calc doc.settings.fv3gfs_machine != 'WCOSS_C' - do: null - - otherwise: "1024M" - - memory_254M_on_wcoss_cray: !FirstTrue - - when: !calc doc.settings.fv3gfs_machine != 'WCOSS_C' - do: null - - otherwise: "254M" - - # From if[[...ecen]] block in config.resources: - run_ecen: !JobRequest - - memory: !calc doc.resources.memory_3072M_on_wcoss_cray - walltime: !timedelta "00:10:00" - mpi_ranks: 84 - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 2 - - run_chgres: !JobRequest - - exe: time - OMP_NUM_THREADS: 12 - args: - - placeholder - - run_nothing: !JobRequest # Special placeholder for "do nothing" - - memory: "300M" - exe: placeholder - walltime: !timedelta "00:02:00" - exclusive: false - - run_one_hour_exclusive: !JobRequest # Placeholder for one node jobs - - memory: "300M" - exe: placeholder - mpi_ranks: 0 - walltime: !timedelta "00:02:00" - exclusive: true - - run_dump_waiter: !JobRequest - - memory: "300M" - exe: placeholder - walltime: !FirstTrue - - when: !calc doc.settings.realtime - do: !timedelta "01:00:00" - - otherwise: !timedelta "00:05:00" - - run_make_next_cycles: !JobRequest - - memory: "300M" - exe: placeholder - walltime: !timedelta "00:15:00" - - run_eobs: !JobRequest - - memory: !calc doc.resources.memory_3072M_on_wcoss_cray - walltime: !timedelta "00:30:00" - mpi_ranks: !calc 10*max_ppn - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 2 - - run_eomg: !JobRequest - - memory: !calc doc.resources.memory_3072M_on_wcoss_cray - walltime: !timedelta "03:00:00" - mpi_ranks: !calc 12*12 - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 2 - - run_eupd: !JobRequest - - memory: !calc doc.resources.memory_3072M_on_wcoss_cray - walltime: !timedelta "00:30:00" - mpi_ranks: !calc 40*6 - exe: placeholder - max_ppn: 6 - OMP_NUM_THREADS: 4 - -# run_enkf: !JobRequest -# pi_ranks: !calc 10*12 -# resources: !JobRequest -# - exe: placeholder -# max_ppn: 12 -# OMP_NUM_THREADS: 4 - - run_efcs: !JobRequest - - memory: !calc doc.resources.memory_254M_on_wcoss_cray - walltime: !timedelta "03:00:00" - mpi_ranks: !calc doc.settings.ENKF_layout_x*doc.settings.ENKF_layout_y*6 - max_ppn: 12 - - run_epos: !JobRequest - - memory: !calc doc.resources.memory_254M_on_wcoss_cray - mpi_ranks: 84 - walltime: !timedelta "00:10:00" - exe: placeholder - max_ppn: 12 - - run_prep: !JobRequest - - memory: !calc doc.resources.memory_3072M_on_wcoss_cray - walltime: !timedelta "00:15:00" - max_ppn: 12 - mpi_ranks: 12 - exe: placeholder - - run_anal: !JobRequest - - memory: !calc doc.resources.memory_3072M_on_wcoss_cray - mpi_ranks: !calc 144 - walltime: !timedelta "1:30:00" - exe: placeholder - max_ppn: 6 - -# run_gsi: !JobRequest -# pi_ranks: !calc 24*6 -# resources: !JobRequest -# - exe: placeholder -# max_ppn: 6 -# OMP_NUM_THREADS: 4 - - run_gdasfcst: !JobRequest - - memory: !calc doc.resources.memory_1024M_on_wcoss_cray - mpi_ranks: !calc >- - doc.settings.layout_x*doc.settings.layout_y*6 - + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP - walltime: !timedelta "01:00:00" - max_ppn: 12 - - run_gdas_post_manager: !JobRequest - - memory: "300M" - exe: placeholder - walltime: !calc >- - doc.resources.run_gdasfcst[0].walltime + tools.to_timedelta('00:15:00') - - run_gfsfcst: !JobRequest - - memory: !calc doc.resources.memory_1024M_on_wcoss_cray - mpi_ranks: !calc >- - doc.settings.layout_x*doc.settings.layout_y*6 - + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP - walltime: !timedelta "06:00:00" - max_ppn: 12 - - run_gfs_post_manager: !JobRequest - - memory: "300M" - exe: placeholder - walltime: !calc >- - doc.resources.run_gfsfcst[0].walltime + tools.to_timedelta('00:15:00') - - run_gdaspost: !JobRequest - - memory: !calc doc.resources.memory_3072M_on_wcoss_cray - mpi_ranks: !calc 6*max_ppn - walltime: !timedelta "00:30:00" - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 1 - - run_gfspost: !JobRequest - - memory: !calc doc.resources.memory_3072M_on_wcoss_cray - mpi_ranks: !calc 6*max_ppn - walltime: !timedelta "00:10:00" - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 1 - - run_gfsvrfy: !JobRequest - - memory: !FirstTrue - - when: !calc doc.settings.fv3gfs_machine == 'THEIA' - do: "16384M" - - otherwise: "3072M" - mpi_ranks: 1 - walltime: !timedelta "03:00:00" - exe: placeholder - max_ppn: 1 - - run_gdasvrfy: !JobRequest - - memory: !FirstTrue - - when: !calc doc.settings.fv3gfs_machine == 'THEIA' - do: "16384M" - - otherwise: "3072M" - mpi_ranks: 1 - walltime: !timedelta "01:30:00" - exe: placeholder - max_ppn: 1 - - run_arch: !JobRequest - - memory: !calc doc.resources.memory_3072M_on_wcoss_cray - exclusive: false - mpi_ranks: 1 - walltime: !timedelta "06:00:00" - exe: placeholder - max_ppn: 1 - OMP_NUM_THREADS: 2 - - run_final: !JobRequest - - memory: "1024M" - mpi_ranks: 1 - walltime: !timedelta "00:01:00" - exe: placeholder - max_ppn: 1 - OMP_NUM_THREADS: 2 - - run_earc: !JobRequest - - memory: !calc doc.resources.memory_3072M_on_wcoss_cray - mpi_ranks: 1 - walltime: !timedelta "06:00:00" - exe: placeholder - max_ppn: 1 - exclusive: false - - run_fv3ic: !JobRequest - - memory: !calc doc.resources.memory_3072M_on_wcoss_cray - mpi_ranks: 24 - exe: placeholder diff --git a/systems/ecflow_fv3gfs/resources_C768_C384.yaml b/systems/ecflow_fv3gfs/resources_C768_C384.yaml deleted file mode 100644 index 656c338..0000000 --- a/systems/ecflow_fv3gfs/resources_C768_C384.yaml +++ /dev/null @@ -1,198 +0,0 @@ -resources: - - # From if[[...ecen]] block in config.resources: - run_ecen: !JobRequest - - memory: "254M" - walltime: !timedelta "00:30:00" - mpi_ranks: 80 - exe: placeholder - max_ppn: 4 - OMP_NUM_THREADS: 2 - - run_chgres: !JobRequest - - exe: time - OMP_NUM_THREADS: 12 - args: - - placeholder - - run_nothing: !JobRequest # Special placeholder for "do nothing" - - memory: "300M" - exe: placeholder - walltime: !timedelta "00:02:00" - exclusive: false - - run_one_hour_exclusive: !JobRequest # Placeholder for one node jobs - - memory: "2000M" - exe: placeholder - mpi_ranks: 0 - walltime: !timedelta "00:02:00" - exclusive: true - - run_dump_waiter: !JobRequest - - memory: "300M" - exe: placeholder - walltime: !FirstTrue - - when: !calc doc.settings.realtime - do: !timedelta "01:00:00" - - otherwise: !timedelta "00:05:00" - - run_make_next_cycles: !JobRequest - - memory: "300M" - exe: placeholder - walltime: !timedelta "00:15:00" - - run_eobs: !JobRequest - - memory: "3072M" - walltime: !timedelta "00:30:00" - mpi_ranks: !calc 12*12 - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 2 - - run_eomg: !JobRequest - - memory: "3072M" - walltime: !timedelta "03:00:00" - mpi_ranks: !calc 12*12 - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 2 - - run_eupd: !JobRequest - - memory: "3072M" - walltime: !timedelta "00:30:00" - mpi_ranks: !calc 40*6 - exe: placeholder - max_ppn: 6 - OMP_NUM_THREADS: 4 - -# run_enkf: !JobRequest -# pi_ranks: !calc 10*12 -# resources: !JobRequest -# - exe: placeholder -# max_ppn: 12 -# OMP_NUM_THREADS: 4 - - run_efcs: !JobRequest - - walltime: !timedelta "03:00:00" - mpi_ranks: !calc >- - doc.settings.ENKF_layout_x*doc.settings.ENKF_layout_y*6 - + doc.settings.ENKF_WRITE_GROUP*doc.settings.ENKF_WRTTASK_PER_GROUP - max_ppn: 12 - memory: "254M" - - run_epos: !JobRequest - - memory: "254M" - mpi_ranks: 80 - walltime: !timedelta "02:00:00" - exe: placeholder - max_ppn: 1 - OMP_NUM_THREADS: 24 - - run_prep: !JobRequest - - memory: "3072M" - walltime: !timedelta "00:15:00" - max_ppn: 2 - mpi_ranks: 6 - exe: placeholder - - run_anal: !JobRequest - - memory: "3072M" - mpi_ranks: !calc 60*6 - walltime: !timedelta "1:30:00" - exe: placeholder - max_ppn: 6 - -# run_gsi: !JobRequest -# pi_ranks: !calc 24*6 -# resources: !JobRequest -# - exe: placeholder -# max_ppn: 6 -# OMP_NUM_THREADS: 4 - - run_gdasfcst: !JobRequest - - mpi_ranks: !calc >- - doc.settings.layout_x*doc.settings.layout_y*6 - + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP - walltime: !timedelta "01:00:00" - max_ppn: 12 - memory: "1024M" - - run_gdas_post_manager: !JobRequest - - memory: "300M" - exe: placeholder - walltime: !calc >- - doc.resources.run_gdasfcst[0].walltime + tools.to_timedelta('00:15:00') - - run_gfsfcst: !JobRequest - - mpi_ranks: !calc >- - doc.settings.layout_x*doc.settings.layout_y*6 - + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP - walltime: !timedelta "06:00:00" - max_ppn: 12 - memory: "1024M" - - run_gfs_post_manager: !JobRequest - - memory: "300M" - exe: placeholder - walltime: !calc >- - doc.resources.run_gfsfcst[0].walltime + tools.to_timedelta('00:15:00') - - run_gdaspost: !JobRequest - - memory: "3072M" - mpi_ranks: !calc 6*max_ppn - walltime: !timedelta "00:45:00" - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 1 - - run_gfspost: !JobRequest - - memory: "3072M" - mpi_ranks: !calc 6*max_ppn - walltime: !timedelta "00:30:00" - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 1 - - run_gfsvrfy: !JobRequest - - memory: "3072M" - mpi_ranks: 1 - walltime: !timedelta "04:00:00" - exe: placeholder - max_ppn: 1 - - run_gdasvrfy: !JobRequest - - memory: "3072M" - mpi_ranks: 1 - walltime: !timedelta "02:00:00" - exe: placeholder - max_ppn: 1 - - run_arch: !JobRequest - - memory: "3072M" - exclusive: false - mpi_ranks: 1 - walltime: !timedelta "06:00:00" - exe: placeholder - max_ppn: 1 - OMP_NUM_THREADS: 2 - - run_final: !JobRequest - - memory: "2M" - mpi_ranks: 1 - walltime: !timedelta "00:01:00" - exe: placeholder - max_ppn: 1 - OMP_NUM_THREADS: 2 - - run_earc: !JobRequest - - memory: "3072M" - mpi_ranks: 1 - walltime: !timedelta "06:00:00" - exe: placeholder - max_ppn: 1 - exclusive: false - - run_fv3ic: !JobRequest - - memory: "3072M" - mpi_ranks: 24 - exe: placeholder diff --git a/systems/ecflow_fv3gfs/rocoto.yaml b/systems/ecflow_fv3gfs/rocoto.yaml deleted file mode 100644 index c8db2fe..0000000 --- a/systems/ecflow_fv3gfs/rocoto.yaml +++ /dev/null @@ -1,34 +0,0 @@ -Rocoto: &Rocoto - scheduler: !calc doc.scheduler - max_tries: 1 - - workflow_xml: !expand | - - - - - - - - - - - {suite.common_metasched_vars} - ]> - - &LOG_DIR;/rocoto_@Y@m@d@H.log - {to_rocoto.make_time_xml(indent=1)} - {to_rocoto.make_task_xml(indent=1)} - - -rocoto_task_template: &rocoto_task_template !expand | - {doc.settings.ROCOTO_HOMEgfs}/jobs/{J_JOB} - {sched.rocoto_accounting(accounting, - jobname=task_path_var, - outerr="&LOG_DIR;/"+task_path_var+"_t"+"@H"+"z.log")} - {sched.rocoto_resources(resources)} - CDATE@Y@m@d@H diff --git a/systems/ecflow_fv3gfs/schedulers.yaml b/systems/ecflow_fv3gfs/schedulers.yaml deleted file mode 100644 index 532eaeb..0000000 --- a/systems/ecflow_fv3gfs/schedulers.yaml +++ /dev/null @@ -1,23 +0,0 @@ - -wcoss_cray_scheduler_settings: &wcoss_cray_scheduler_settings - name: LSFAlps - physical_cores_per_node: 24 - logical_cpus_per_core: 2 - hyperthreading_allowed: true - -theia_scheduler_settings: &theia_scheduler_settings - name: MoabTorque - physical_cores_per_node: 24 - logical_cpus_per_core: 2 - hyperthreading_allowed: true - -# Magic block to automatically detect your machine -automatic_scheduler_settings: &automatic_scheduler_settings !FirstTrue - - when: !calc tools.isdir("/gpfs") and tools.isdir("/usrx/local") - do: *wcoss_cray_scheduler_settings - - when: !calc tools.isdir("/scratch4") and tools.isdir("/scratch3") - do: *theia_scheduler_settings - - otherwise: !error "You are not on Theia or WCOSS" - -scheduler_settings: *automatic_scheduler_settings - diff --git a/systems/ecflow_fv3gfs/settings.yaml b/systems/ecflow_fv3gfs/settings.yaml deleted file mode 100644 index b84f646..0000000 --- a/systems/ecflow_fv3gfs/settings.yaml +++ /dev/null @@ -1,46 +0,0 @@ -settings: - Template: *settings_validator - - # Import certain variables from doc.config_files: - Inherit: !Inherit - - [ 'doc.config_files','FH.*|QUEUE|.*layout.*|.*WRITE_GROUP|.*WRT.*|HOME.*' ] - - # NOTE: Additional files are set by the validator. See validator.yaml. - - resource_file: !expand >- - resources_{doc.config_files.CASE}_{doc.config_files.CASE_ENKF}.yaml - - DMPDIR: !calc doc.config_files.DMPDIR - COM: !calc doc.config_files.ROTDIR - EXPDIR: !calc doc.config_files.EXPDIR - DATAROOT: !calc doc.config_files.RUNDIR - experiment_name: !calc doc.config_files.PSLOT - - PROJECT: !calc ( doc.config_files.ACCOUNT ) - QUEUESERV: !calc ( doc.config_files.QUEUE_ARCH ) - - ENKF_INNOVATE_GROUPS: !calc >- - doc.config_files.NMEM_ENKF // doc.config_files.NMEM_EOMGGRP - - ENKF_FORECAST_GROUPS: !calc >- - doc.config_files.NMEM_ENKF // doc.config_files.NMEM_EFCSGRP - - ENKF_ARCHIVE_GROUPS: !calc >- - doc.config_files.NMEM_ENKF // doc.config_files.NMEM_EARCGRP - - start_date: !calc tools.strptime(doc.config_files.SDATE,"%Y%m%d%H") - end_date: !calc tools.strptime(doc.config_files.EDATE,"%Y%m%d%H") - - gfs_forecast_hours: !calc >- - tools.uniq(sorted( - tools.seq(FHMIN_GFS,FHMAX_HF_GFS,FHOUT_HF_GFS) + - tools.seq(FHMIN_GFS,FHMAX_GFS, FHOUT_GFS))) - gdas_forecast_hours: !calc tools.seq(FHMIN,FHMAX,FHOUT) - wafs_last_hour: !calc 120 - - realtime: True - archive_to_hpss: True - four_cycle_mode: False - ecflow_machine: xc40-prod - - fv3gfs_machine: !calc doc.config_files.machine diff --git a/systems/ecflow_fv3gfs/settings_validator.yaml b/systems/ecflow_fv3gfs/settings_validator.yaml deleted file mode 100644 index c52cfd6..0000000 --- a/systems/ecflow_fv3gfs/settings_validator.yaml +++ /dev/null @@ -1,131 +0,0 @@ -settings_validator: &settings_validator !Template - - ENKF_INNOVATE_GROUPS: - description: ENKF innovate will be split into this many jobs - type: int - - ENKF_FORECAST_GROUPS: - description: ENKF forecast will be split into this many jobs - type: int - - ENKF_ARCHIVE_GROUPS: - description: ENKF archiving will be split into this many jobs - type: int - - gfs_forecast_hours: - description: >- - Monotonically increasing list of lead times for which the GFS forecast outputs - type: int list - - gdas_forecast_hours: - description: >- - Monotonically increasing list of lead times for which the GDAS forecast outputs - type: int list - - resource_file: - description: >- - Name of the file that will be copied to resources.yaml. This - should contain the declaration of the "resources" map with - resources per-job referred to from suite_def.yaml. - type: string - - DMPDIR: - description: Location of the global dump for gfs and gdas - type: string - - max_job_tries: - description: Maximum number of times to try most jobs - type: int - default: 1 - - realtime: - description: Is this a real-time simulation (true), or retrospective (false)? - type: bool - - archive_to_hpss: - description: Should the simulation outputs be archived to tape (HPSS)? - type: bool - - four_cycle_mode: - description: >- - When ecFlow is used, should there be suites for only four cycles - in the workflow, as in NCEP Operations, or should there be one - suite per cycle. This variable is ignored in Rocoto mode. - type: bool - - experiment_name: - description: >- - An alphanumeric identifier for this workflow. This is used to - decide output and scrub file locations, and the ecFlow suite - name. - type: string - - start_date: - description: >- - Date and time of the first cycle of the workflow. In most - cases, this cycle will be a half cycle. - type: datetime - - end_date: - description: >- - Date and time of the last cycle of the workflow. - type: datetime - - start_date_gfs: - description: Date and time of the first GFS cycle in this workflow - type: datetime - default: !calc ( start_date+tools.to_timedelta('6:00:00') ) - - end_date_gfs: - description: Date and time of the last GFS cycle in this workflow - type: datetime - default: !calc end_date - - interval_gfs: - description: >- - Time between cycles for which GFS is run. Must be a multiple of six hours. - type: timedelta - default: !timedelta "6:00:00" - - COM: - description: Location of the top-level output directory for this workflow - type: string - - EXPDIR: - description: Location where config and yaml files reside for this workflow - type: string - - DATAROOT: - description: Location where per-task scrub areas reside for this workflow - type: string - - ECF_HOME: - description: >- - The $ECF_HOME setting for the ecFlow server. Not used in Rocoto mode. - type: string - default: !calc ( tools.env('ECF_HOME','localhost') ) - - ROCOTO_HOME: - description: >- - Directory in which to write the Rocoto XML document. - Not used in ecFlow mode. Default is to use EXPDIR. - type: string - default: !calc EXPDIR - - QUEUE: - description: >- - Batch queue in which to run jobs that take more than one processor. - type: string - - QUEUESERV: - description: >- - Batch queue in which to run jobs that have to transfer files to - or from some outside location such as a tape archiving system or website. - type: string - - QUEUESHARED: - description: >- - Batch queue in which to run single processor jobs. Default is to use - the same queue as multi-processor jobs. - type: string - default: !calc QUEUE diff --git a/systems/ecflow_fv3gfs/suite_def.yaml b/systems/ecflow_fv3gfs/suite_def.yaml deleted file mode 100644 index 5393f4c..0000000 --- a/systems/ecflow_fv3gfs/suite_def.yaml +++ /dev/null @@ -1,850 +0,0 @@ -scheduler: !calc | - tools.get_scheduler(doc.scheduler_settings.name, - doc.scheduler_settings) -suite: !Cycle - Clock: !Clock - start: !calc doc.settings.start_date - end: !calc doc.settings.end_date - step: !timedelta "6:00:00" - - Alarms: - first: !Clock - start: !calc suite.Clock.start - end: !calc suite.Clock.start - step: !calc suite.Clock.step - gdas: !Clock - start: !calc suite.Clock.start - end: !calc suite.Clock.end - step: !calc suite.Clock.step - gfs: !Clock - start: !calc doc.settings.start_date_gfs - end: !calc doc.settings.end_date_gfs - step: !calc doc.settings.interval_gfs - - ecFlow: - suite_def_filename: !expand "{doc.settings.experiment_name}_%Y%m%d%H.def" - suite_def_filename: !FirstTrue - - when: !calc doc.settings.four_cycle_mode - do: !expand "{doc.settings.experiment_name}%H.def" - - otherwise: !expand "{doc.settings.experiment_name}_%Y%m%d%H.def" - suite_name: !FirstTrue - - when: !calc doc.settings.four_cycle_mode - do: !expand "{doc.settings.experiment_name}%H" - - otherwise: !expand "{doc.settings.experiment_name}_%Y%m%d%H" - scheduler: !calc doc.scheduler - dates_in_time_dependencies: true - - # write_cycles/analyze_cycles: Use these to generate a subset of - # the cycles in ecFlow. Make sure analyze_cycles starts at least - # one cycle before write_cycles so that dependencies are - # processed. These are overridden by update_ecflow_workflow.py - # during its execution. - - # write_cycles: !Clock - # start: 2018-01-02T00:00:00 - # end: 2018-01-02T18:00:00 - # step: !timedelta "6:00:00" - # analyze_cycles: !Clock - # start: 2018-01-02T00:00:00 - # end: 2018-01-02T18:00:00 - # step: !timedelta "6:00:00" - - ecflow_cycling_logic: !FirstTrue - - when: !calc doc.settings.four_cycle_mode - do: "repeat day 1" - - otherwise: !expand | - autocancel 5 - edit PDY '{tools.strftime(suite.Clock.now,"%Y%m%d")}' - - Rocoto: *Rocoto - - before_suite_def: - extern /totality_limit:TOTALITY - - ecflow_def: !expand | - {ecflow_cycling_logic} - edit ECF_TRIES '{doc.settings.max_job_tries}' - edit ECF_HOME '{doc.settings.ECF_HOME}' - edit CYC '{tools.strftime(suite.Clock.now,"%H")}' - edit MACHINE '{doc.settings.ecflow_machine}' - edit ENVIR 'prod' - edit E 'jecffv3' - edit EMCPEN '{doc.settings.experiment_name}' - edit DATAROOT '{doc.settings.DATAROOT}' - edit ECF_OUT '{doc.settings.ECF_HOME}/output' - edit ECF_LOG '{doc.settings.EXPDIR}/ecf.log' - edit RUN 'gfs' - edit NET 'gfs' - {common_metasched_vars} - inlimit /totality_limit:TOTALITY - - common_metasched_vars: !expand | - {metasched.defvar("QUEUE", doc.settings.QUEUE)} - {metasched.defvar("HOMEgfs", doc.settings.HOMEgfs)} - {metasched.defvar("QUEUESHARED", doc.settings.QUEUESHARED)} - {metasched.defvar("COM", doc.settings.COM)} - {metasched.defvar("QUEUESERV", doc.settings.QUEUESERV)} - {metasched.defvar("PROJENVIR", doc.settings.PROJECT)} - {metasched.defvar("PROJ", doc.settings.PROJECT)} - - gfs: !Family - Complete: !Depend ~ suite.has_cycle('-6:00:00') - AlarmName: gfs - RUN: 'gfs' - ecflow_def: !expand | - edit RUN '{RUN}' - - dump_waiter: !Task - <<: *dump_waiter_task - Disable: !calc metasched.type != 'ecflow' - realtime_logic: !FirstTrue - - when: !calc doc.settings.realtime - do: "edit ECF_TRIES 72" - - otherwise: "" - ecflow_def: !expand | - {realtime_logic} - edit DUMPDIR '{doc.settings.DMPDIR}' - Trigger: !Depend up.gdas.post.at('-6:00:00') - - dump: !Family - Dummy: true ## <-- Node is not implemented yet - Complete: !Depend ~ suite.has_cycle('-6:00:00') - jgfs_tropcy_qc_reloc: !Task - <<: *exclusive_task_template - Trigger: !Depend jgfs_dump - jtwc_bull_email: !DataEvent {file="/dev/null"} - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - #Replaced by emc version of dump job - #This dump job should be using NCO version when delivery to NCO - jgfs_dump: !Task - <<: *exclusive_task_template - release_sfcprep: !DataEvent {file="/dev/null"} - Time: !FirstTrue - - when: !calc doc.settings.four_cycle_mode - do: !timedelta +3:50:00 - - otherwise: null - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - prep: !Family - Trigger: !Depend dump_waiter.updated_status - Complete: !Depend ~ suite.has_cycle('-6:00:00') - jgfs_emcsfc_sfc_prep: !Task - <<: *exclusive_task_template - Dummy: true ## <-- Node is not implemented yet - Trigger: !Depend up.dump.jgfs_dump.release_sfcprep - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgfs_prep: !Task - <<: *exclusive_task_template - Trigger: !Depend up.dump - #Trigger: !Depend ( up.dump.jgfs_dump & up.dump.jgfs_tropcy_qc_reloc - resources: !calc ( doc.resources.run_prep ) - J_JOB: rocoto/prep.sh - - jgfs_prep_post: !Task - <<: *exclusive_task_template - Dummy: true ## <-- Node is not implemented yet - Trigger: !Depend up.jgfs_analysis - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgfs_analysis: !Task - <<: *exclusive_task_template - Trigger: !Depend ( prep.jgfs_prep & prep.jgfs_emcsfc_sfc_prep & up.gdas.enkf.jgdas_enkf_post.at('-6:00:00') ) - #Trigger: !Depend ( prep.jgfs_prep & prep.jgfs_emcsfc_sfc_prep ) - resources: !calc ( doc.resources.run_anal ) - J_JOB: JGLOBAL_ANALYSIS - Complete: !Depend ~ suite.has_cycle('-6:00:00') - - jgfs_vminmon: !Task - <<: *exclusive_task_template - Dummy: true ## <-- Node is not implemented yet - Trigger: !Depend jgfs_analysis - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - forecast: !Family - Complete: !Depend ~ suite.has_cycle('-6:00:00') - jgfs_forecast_high: !Task - <<: *exclusive_task_template - Trigger: !Depend up.jgfs_analysis - resources: !calc ( doc.resources.run_gfsfcst ) - J_JOB: JGLOBAL_FORECAST - - jgfs_forecast_low: !Task - <<: *exclusive_task_template - Dummy: true ## <-- Node is not implemented yet - Trigger: !Depend jgfs_forecast_high - resources: !calc ( doc.resources.run_gfsfcst ) - J_JOB: JGLOBAL_FORECAST - - sminit_guam: !Family - Dummy: true ## <-- Node is not implemented yet - jgfs_sminit_guam_even: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.post.jgfs_post_anl.is_running() | up.post.jgfs_post_anl ) - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgfs_sminit_guam_odd: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.post.jgfs_post_anl.is_running() | up.post.jgfs_post_anl ) - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - post_processing: !Family - Dummy: true ## <-- Node is not implemented yet - fax: !Family - jgfs_fax_f00: !Task - ecflow_def: | - edit FCSTHR '00' - <<: *exclusive_task_template - Trigger: !Depend ( up.up.prdgen.jgfs_pgrb2_f00 & up.up.prdgen.jgfs_pgrb2_anl ) - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgfs_fax_anl: !Task - ecflow_def: | - edit FCSTHR 'anl' - <<: *exclusive_task_template - Trigger: !Depend up.up.prdgen.jgfs_pgrb2_anl - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgfs_fax_wafs_f12: !Task - ecflow_def: | - edit FCSTHR '12' - <<: *exclusive_task_template - Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f12 - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgfs_fax_wafs_f24: !Task - ecflow_def: | - edit FCSTHR '24' - <<: *exclusive_task_template - Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f24 - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgfs_fax_wafs_f36: !Task - ecflow_def: | - edit FCSTHR '36' - <<: *exclusive_task_template - Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f36 - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - grib_wafs: !TaskArray - Dimensions: - fhr: !calc tools.seq(0,doc.settings.wafs_last_hour,6) - jgfs_wafs: !TaskElement - <<: *exclusive_task_template - Name: !expand 'jgfs_wafs_f{dimval.fhr:02d}' - Trigger: !FirstTrue - - when: !calc dimval.fhr == 0 - do: !Depend ( up.up.prdgen.jgfs_pgrb2_f00 & up.up.prdgen.jgfs_pgrb2_f120 & up.grib2_wafs.jgfs_wafs_grib2 ) - - otherwise: !Depend >- - up.up.prdgen.depend("jgfs_pgrb2_f{N:02d}",N=[ dimval.fhr ]) - & up.grib_wafs.depend("jgfs_wafs_f{N:02d}",N=[ dimval.fhr-6 ]) - resources: !calc ( doc.resources.run_one_hour_exclusive ) - ecflow_def: !expand "edit FCSTHR '{dimval.fhr:02d}'" - - bufr_sounding: !Family - jgfs_postsnd: !Task - <<: *exclusive_task_template - Trigger: !Depend up.up.post.jgfs_post_manager.release_post00 - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - bulletins: !Family - jgfs_fbwind: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.up.post.jgfs_post_f06 & up.up.post.jgfs_post_f12 & up.up.post.jgfs_post_f24 ) - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgfs_cyclone_tracker: !Task - <<: *exclusive_task_template - Trigger: !Depend >- - up.up.post.depend("jgfs_post_f{N:02d}",N=tools.seq(0,180,6)) - & up.up.prdgen.depend("jgfs_pgrb2_f{N:02d}",N=tools.seq(0,180,6)) - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - grib2_wafs: !Family - jgfs_wafs_grib2: !Task - <<: *exclusive_task_template - Trigger: !Depend up.up.prdgen.jgfs_pgrb2_f00 - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgfs_wafs_blending: !Task - <<: *exclusive_task_template - Trigger: !Depend jgfs_wafs_grib2 - Time: !FirstTrue - - when: !calc doc.settings.four_cycle_mode - do: !timedelta +4:33:00 - - otherwise: null - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - grib_awips: !TaskArray - Dimensions: - fhr: !calc doc.settings.gfs_forecast_hours - # tasks every 6 hours till f240 - jgfs_awips: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - Name: !expand "jgfs_awips_f{dimval.fhr:02d}" - Trigger: !Depend up.up.prdgen.depend('jgfs_pgrb2_f{N:02d}',N=dimval.fhr) - resources: !calc ( doc.resources.run_one_hour_exclusive ) - ecflow_def: !expand | - edit FCSTHR '{dimval.fhr:02d}' - - awips_1p0deg: !TaskArray - Dimensions: - fhr: !calc doc.settings.gfs_forecast_hours - ecflow_def: !expand | - edit RES '1p0deg' - edit RESC '1P0DEG' - #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - - jgfs_awips: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - Name: !expand "jgfs_awips_f{dimval.fhr:03d}" - Trigger: !Depend up.up.prdgen.depend('jgfs_pgrb2_f{N:02d}',N=dimval.fhr) - resources: !calc ( doc.resources.run_one_hour_exclusive ) - ecflow_def: !expand | - edit FCSTHR '{dimval.fhr:03d}' - - awips_20km: !TaskArray - Dimensions: - fhr: !calc doc.settings.gfs_forecast_hours - ecflow_def: !expand | - edit RES '20km' - edit RESC '20KM' - #edit ECF_FILES '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3/scripts/gfs/post_processing/awips' - edit ECF_FILES '{doc.settings.ECF_HOME}/scripts' - # tasks every 3 hours till f084, then every 6 hours till f240 - jgfs_awips: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - Name: !expand "jgfs_awips_f{dimval.fhr:03d}" - Trigger: !Depend up.up.prdgen.depend('jgfs_pgrb2_f{N:02d}',N=dimval.fhr) - ecflow_def: !expand | - edit FCSTHR '{dimval.fhr:03d}' - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - #endfamily post_processing - - post: !TaskArray - RUN: !calc up.RUN - Dimensions: - fhr: !calc doc.settings.gfs_forecast_hours - jgfs_post_manager_el: !TaskElement - <<: *exclusive_task_template - RUN: !calc up.RUN - Disable: !calc metasched.type == 'rocoto' - Trigger: !Depend up.forecast.is_running() - Complete: !Depend up.forecast - Foreach: [ ] - ecflow_command: *post_manager_job_contents - J_JOB: post_manager - Name: jgfs_post_manager - resources: !calc doc.resources.run_gfs_post_manager - release_postanl: !DataEvent - file: !expand >- - {metasched.varref("COM")}/gfs.{metasched.datestring("%Y%m%d/%H/")}gfs.t{metasched.datestring("%H")}z.logf000.nemsio - release_post_fhr: !DataEventElement - Name: !expand "release_post{dimval.fhr:02d}" - Foreach: [ fhr ] - file: !expand >- - {metasched.varref("COM")}/gfs.{metasched.datestring("%Y%m%d/%H/")}gfs.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio - # NOTE: the above files must match post_manager.yaml - - jgfs_post_anl: !Task - <<: *exclusive_task_template - RUN: !calc up.RUN - FHRGRP: '000' - FHRLST: anl - more_vars: [ FHRGRP, FHRLST, FHR, HR ] - FHR: !expand 'anl' - HR: !expand 'anl' - Trigger: !Depend jgfs_post_manager.release_postanl | up.forecast - release_pgrb2_anl: !DataEvent {file="/dev/null"} - resources: !calc ( doc.resources.run_gfspost ) - J_JOB: JGLOBAL_NCEPPOST - ecflow_command: !expand | - export post_times=anl FHRLST={FHRLST} FHRGRP={FHRGRP} - $HOMEgfs/jobs/{J_JOB} - rocoto_command: !expand >- - {rocoto_load_modules} ; - /usr/bin/env post_times=anl &HOMEgfs;/jobs/{J_JOB} - more_vars: [ FHRGRP, FHRLST ] - - jgfs_post_fhr_el: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - resources: !calc doc.resources.run_gfspost - Name: !expand jgfs_post_f{dimval.fhr:02d} - FHRGRP: !expand "{dimidx.fhr+1:03d}" - FHRLST: !expand "f{dimval.fhr:03d}" - FHR: !expand 'f{dimval.fhr:02d}' - HR: !expand '{dimval.fhr:02d}' - more_vars: [ FHRGRP, FHRLST, FHR, HR ] - J_JOB: JGLOBAL_NCEPPOST - Trigger: !Depend jgfs_post_manager.depend("release_post{F:02d}",F=[dimval.fhr]) | up.forecast - ecflow_command: !expand | - export post_times={dimval.fhr:03d} FHRLST={FHRLST} FHRGRP={FHRGRP} - $HOMEgfs/jobs/{J_JOB} - rocoto_command: !expand >- - {rocoto_load_modules} ; - /usr/bin/env post_times={dimval.fhr:03d} &HOMEgfs;/jobs/{J_JOB} - - jgfs_pgrb2_spec_post: !FirstTrue - - when: !calc max(doc.settings.gfs_forecast_hours)>=384 - do: !Task - <<: *exclusive_task_template - Dummy: true ## <-- Node is not implemented yet - Trigger: !Depend ( jgfs_post_f336 & jgfs_post_f348 & jgfs_post_f360 & jgfs_post_f372 & jgfs_post_f384 ) - resources: !calc ( doc.resources.run_gfspost ) - - otherwise: null - #endfamily post - - prdgen: !TaskArray - Dummy: true ## <-- Node is not implemented yet - Dimensions: - fhr: !calc doc.settings.gfs_forecast_hours - - jgfs_pgrb2_manager_el: !TaskElement - <<: *exclusive_task_template - Foreach: [] - Name: jgfs_pgrb2_manager - Trigger: !Depend ( up.post ) - #release_postanl: !DataEvent {file="/dev/null"} - resources: !calc ( doc.resources.run_one_hour_exclusive ) - ecflow_first_event_number: 2 - release_post_fhr: !DataEventElement - Name: !expand "release_pgrb2_{dimval.fhr:02d}" - Foreach: [ fhr ] - file: "/dev/null" - - jgfs_npoess_pgrb2_0p5deg: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.post ) - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgfs_pgrb2_anl: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.post.jgfs_post_anl.release_pgrb2_anl ) - resources: !calc ( doc.resources.run_one_hour_exclusive ) - J_JOB: JGLOBAL_POST - ecflow_def: !expand | - edit FHR 'anl' - edit HR 'anl' - - pgrb2_f: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - Name: !expand "jgfs_pgrb2_f{dimval.fhr:02d}" - Trigger: !Depend >- - jgfs_pgrb2_manager.depend('release_pgrb2_{N:02d}',N=dimval.fhr) - & up.post.depend('jgfs_post_f{N:02d}',N=dimval.fhr) - resources: !calc ( doc.resources.run_one_hour_exclusive ) - J_JOB: JGLOBAL_POST - ecflow_def: !expand | - edit FHR '{dimval.fhr:02d}' - edit HR '{dimval.fhr:02d}' - #endfamily prdgen - - gempak: !Family - Dummy: true ## <-- Node is not implemented yet - jgfs_gempak_upapgif: !Task - <<: *exclusive_task_template - Trigger: !Depend up.dump.jgfs_dump - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgfs_gempak_ncdc: !Task - <<: *exclusive_task_template - #Trigger: !Depend jgfs_gempak.is_running() - Trigger: !Depend jgfs_gempak.is_running() | jgfs_gempak.is_completed() - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgfs_gempak: !Task - <<: *exclusive_task_template - Trigger: !Depend up.jgfs_analysis - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgfs_gempak_meta: !Task - <<: *exclusive_task_template - Trigger: !Depend up.jgfs_analysis - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgfs_pgrb2_spec_gempak: !Task - <<: *exclusive_task_template - Trigger: !Depend up.post.jgfs_pgrb2_spec_post - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgfs_emc_vrfy: !Task - <<: *exclusive_task_template - Trigger: !Depend post - resources: !calc ( doc.resources.run_gfsvrfy ) - J_JOB: rocoto/vrfy.sh - ecf_module_commands: "# vrfy.sh will load modules instead" - - #endfamily gempak - #endfamily gfs - - ###################################################################### - ## GDAS FAMILY ####################################################### - ###################################################################### - - gdas: !Family - RUN: gdas - ecflow_def: !expand | - edit RUN '{RUN}' - - jgdas_verfrad: !Task - <<: *exclusive_task_template - Dummy: true ## <-- Node is not implemented yet - Trigger: !Depend enkf - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgdas_vminmon: !Task - <<: *exclusive_task_template - Dummy: true ## <-- Node is not implemented yet - Trigger: !Depend analysis.jgdas_analysis_high - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - dump_waiter: !Task - <<: *dump_waiter_task - Disable: !calc metasched.type != 'ecflow' - realtime_logic: !FirstTrue - - when: !calc doc.settings.realtime - do: "edit ECF_TRIES 72" - - otherwise: "" - ecflow_def: !expand | - {realtime_logic} - edit DUMPDIR '{doc.settings.DMPDIR}' - Trigger: !Depend post.at('-6:00:00') - - dump: !Family - Dummy: true ## <-- Node is not implemented yet - jgdas_ics: !Task - <<: *exclusive_task_template - release_gdas00_ics: !DataEvent {file="/dev/null"} - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - #jgdas_dump_post: !Task - # Trigger: !Depend jgdas_dump - # release_sfcprep: !DataEvent {file="/dev/null"} - # release_gdas00_dump_alert: !DataEvent {file="/dev/null"} - # ecf_file: *ecf_file_template - # resources: !calc ( doc.resources.run_nothing ) - # accounting: *exclusive_accounting - # J_JOB: nothing - - jgdas_tropcy_qc_reloc: !Task - <<: *exclusive_task_template - Trigger: !Depend jgdas_dump - Time: !FirstTrue - - when: !calc doc.settings.four_cycle_mode - do: !timedelta +5:50:00 - - otherwise: null - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - #Replaced by emc version of dump job - #This dump job should be using NCO version when delivery to NCO - jgdas_dump: !Task - <<: *exclusive_task_template - release_sfcprep: !DataEvent {file="/dev/null"} - Time: !FirstTrue - - when: !calc doc.settings.four_cycle_mode - do: !timedelta +6:20:00 - - otherwise: null - resources: !calc ( doc.resources.run_one_hour_exclusive ) - #endfamily dump - - prep: !Family - Trigger: !Depend dump_waiter.updated_status - Complete: !Depend ( ~ suite.has_cycle('-6:00:00') ) - jgdas_emcsfc_sfc_prep: !Task - <<: *exclusive_task_template - Dummy: true ## <-- Node is not implemented yet - Trigger: !Depend up.dump.jgdas_dump.release_sfcprep - resources: !calc ( doc.resources.run_prep ) - - jgdas_prep: !Task - <<: *exclusive_task_template - Trigger: !Depend (up.dump.jgdas_dump & up.dump.jgdas_tropcy_qc_reloc & up.up.gdas.post.at('-6:00:00') ) - #Trigger: !Depend ( up.dump.jgdas_dump & up.dump.jgdas_tropcy_qc_reloc ) - resources: !calc ( doc.resources.run_prep ) - J_JOB: rocoto/prep.sh - ecf_module_commands: "# prep.sh will load modules instead" - - - jgdas_prep_post: !Task - <<: *exclusive_task_template - Dummy: true ## <-- Node is not implemented yet - Trigger: !Depend up.analysis.jgdas_analysis_high - resources: !calc ( doc.resources.run_prep ) - - analysis: !Family - Complete: !Depend ( ~ suite.has_cycle('-6:00:00') ) - jgdas_analysis_high: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.prep.jgdas_prep & up.prep.jgdas_emcsfc_sfc_prep & up.enkf.jgdas_enkf_post.at('-6:00:00') ) - #Trigger: !Depend ( up.prep.jgdas_prep & up.prep.jgdas_emcsfc_sfc_prep ) - #release_fcst: !DataEvent {file="/dev/null"} - resources: !calc ( doc.resources.run_anal ) - J_JOB: JGLOBAL_ANALYSIS - - forecast: !Family - jgdas_forecast_high: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.analysis.jgdas_analysis_high & up.enkf.innovate ) | ~ suite.has_cycle('-6:00:00') - #release_fcst: !DataEvent {file="/dev/null"} - resources: !calc ( doc.resources.run_gdasfcst ) - J_JOB: JGLOBAL_FORECAST - - jgdas_forecast: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.analysis.jgdas_analysis_high & up.enkf.innovate ) | ~ suite.has_cycle('-6:00:00') - #release_fcst: !DataEvent {file="/dev/null"} - #resources: !calc ( doc.resources.run_gdasfcst ) - resources: !calc ( doc.resources.run_nothing ) - J_JOB: JGLOBAL_FORECAST - Dummy: true ## <-- Node is not implemented yet - - post_processing: !Family - Dummy: true ## <-- Node is not implemented yet - Complete: !Depend ~ suite.has_cycle('-6:00:00') - bulletins: !Family - jgdas_mknavybulls: !Task - <<: *exclusive_task_template - #Trigger: !Depend ( up.up.dump.jgdas_dump_post ) - Trigger: !Depend ( up.up.dump.jgdas_dump ) - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - gempak: !Family - Dummy: true ## <-- Node is not implemented yet - Complete: !Depend ~ suite.has_cycle('-6:00:00') - jgdas_gempak: !Task - <<: *exclusive_task_template - Trigger: !Depend up.forecast.jgdas_forecast - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgdas_gempak_meta: !Task - <<: *exclusive_task_template - Trigger: !Depend jgdas_gempak - resources: !calc ( doc.resources.run_one_hour_exclusive ) - - jgdas_gempak_ncdc: !Task - <<: *exclusive_task_template - Trigger: !Depend jgdas_gempak - resources: !calc ( doc.resources.run_one_hour_exclusive ) - #endfamily gempak - - - post: !TaskArray - RUN: !calc up.RUN - Dimensions: - fhr: !calc doc.settings.gdas_forecast_hours - post_manager_el: !TaskElement - <<: *exclusive_task_template - RUN: !calc up.RUN - Trigger: !Depend up.forecast.is_running() - Complete: !Depend up.forecast - Disable: !calc metasched.type=='rocoto' - Foreach: [] - J_JOB: post_manager - ecflow_command: *post_manager_job_contents - Name: jgdas_post_manager - resources: !calc doc.resources.run_gdas_post_manager - release_postanl: !DataEvent - file: !expand >- - {metasched.varref("COM")}/gdas.{metasched.datestring("%Y%m%d/%H/")}gdas.t{metasched.datestring("%H")}z.logf000.nemsio - release_post_fhr: !DataEventElement - Name: !expand "release_post{dimval.fhr:02d}" - Foreach: [ fhr ] - file: !expand > - {metasched.varref("COM")}/gdas.{metasched.datestring("%Y%m%d/%H/")}gdas.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio - # NOTE: the above files must match post_manager.yaml - - jgdas_post_anl: !Task - <<: *exclusive_task_template - FHR: 'anl' - HR: 'anl' - FHRGRP: '000' - FHRLST: 'anl' - more_vars: [ FHRGRP, FHRLST, HR, FHR ] - Trigger: !Depend jgdas_post_manager.release_postanl | up.forecast - release_pgrb2_anl: !DataEvent {file="/dev/null"} - resources: !calc ( doc.resources.run_gdaspost ) - J_JOB: JGLOBAL_NCEPPOST - ecflow_command: !expand | - export post_times=anl FHRLST={FHRLST} FHRGRP={FHRGRP} - $HOMEgfs/jobs/{J_JOB} - rocoto_command: !expand >- - {rocoto_load_modules} ; - /usr/bin/env post_times=anl &HOMEgfs;/jobs/{J_JOB} - - jgdas_post_fhr_el: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - resources: !calc doc.resources.run_gdaspost - Name: !expand jgdas_post_f{dimval.fhr:02d} - FHRGRP: !expand '{dimidx.fhr+1:03d}' - FHRLST: !expand 'f{dimval.fhr:03d}' - FHR: !expand 'f{dimval.fhr:02d}' - HR: !expand '{dimval.fhr:02d}' - J_JOB: JGLOBAL_NCEPPOST - ecflow_command: !expand | - export post_times={dimval.fhr:03d} FHRLST={FHRLST} FHRGRP={FHRGRP} - $HOMEgfs/jobs/{J_JOB} - rocoto_command: !expand >- - {rocoto_load_modules} ; - /usr/bin/env post_times={dimval.fhr:03d} &HOMEgfs;/jobs/{J_JOB} - Trigger: !Depend jgdas_post_manager.depend("release_post{F:02d}",F=[dimval.fhr]) | up.forecast - more_vars: [ FHR, HR, FHRGRP, FHRLST ] - #endfamily post - - jgdas_emc_vrfy: !Task - <<: *exclusive_task_template - Complete: !Depend ( ~ suite.has_cycle('-6:00:00') ) - Trigger: !Depend post - resources: !calc ( doc.resources.run_gdasvrfy ) - J_JOB: rocoto/vrfy.sh - ecf_module_commands: "# vrfy.sh will load modules instead" - - enkf: !Family - jgdas_enkf_select_obs: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.prep.jgdas_prep & jgdas_enkf_post.at('-6:00:00') ) - #Trigger: !Depend ( up.prep.jgdas_prep ) - resources: !calc ( doc.resources.run_eobs ) - Complete: !Depend ~ suite.has_cycle('-6:00:00') - J_JOB: JGDAS_ENKF_SELECT_OBS - - innovate: !TaskArray - Trigger: !Depend jgdas_enkf_select_obs - Complete: !Depend ~ suite.has_cycle('-6:00:00') - Dimensions: - groupid: !calc tools.seq(1,doc.settings.ENKF_INNOVATE_GROUPS,1) - jgdas_enkf_innovate_obs_grp: !TaskElement - <<: *exclusive_task_template - Foreach: [ groupid ] - Name: !expand "jgdas_enkf_innovate_obs_grp{dimval.groupid}" - resources: !calc ( doc.resources.run_eomg ) - J_JOB: JGDAS_ENKF_INNOVATE_OBS - ENSGRP: !expand "{dimval.groupid:02d}" - more_vars: [ ENSGRP ] - - jgdas_enkf_update: !Task - <<: *exclusive_task_template - ecflow_def: | - edit ECF_PASS 'FREE' - Trigger: !Depend innovate - Complete: !Depend ~ suite.has_cycle('-6:00:00') - resources: !calc ( doc.resources.run_eupd ) - J_JOB: JGDAS_ENKF_UPDATE - - jgdas_enkf_inflate_recenter: !Task - <<: *exclusive_task_template - Trigger: !Depend ( jgdas_enkf_update & up.analysis.jgdas_analysis_high ) - resources: !calc ( doc.resources.run_ecen ) - J_JOB: JGDAS_ENKF_RECENTER - Complete: !Depend ~ suite.has_cycle('-6:00:00') - - forecast: !TaskArray - - Trigger: !Depend up.enkf.jgdas_enkf_inflate_recenter | ~ suite.has_cycle('-6:00:00') - Dimensions: - groupid: !calc tools.seq(1,doc.settings.ENKF_FORECAST_GROUPS,1) - jgdas_enkf_fcst_grp: !TaskElement - <<: *exclusive_task_template - Foreach: [ groupid ] - Name: !expand "jgdas_enkf_fcst_grp{dimval.groupid}" - resources: !calc ( doc.resources.run_efcs ) - J_JOB: JGDAS_ENKF_FCST - ENSGRP: !expand "{dimval.groupid:02d}" - more_vars: [ ENSGRP ] - - jgdas_enkf_post: !Task - <<: *exclusive_task_template - Trigger: !Depend forecast - resources: !calc ( doc.resources.run_epos ) - J_JOB: JGDAS_ENKF_POST - #endfamily enkf - #endfamily gdas - - cycle_end: !Task - <<: *exclusive_task_template - ecflow_def: !expand | - edit ECF_PASS 'FREE' - Dummy: true ## <-- Node is not implemented yet - Disable: !calc >- - not metasched.type=="ecflow" - or not doc.settings.four_cycle_mode - Time: !FirstTrue - - when: !calc doc.settings.four_cycle_mode - do: !timedelta +23:00:00 - - otherwise: null - resources: !calc ( doc.resources.run_nothing ) - - make_next_cycles: !Task - <<: *make_next_cycles_task - Disable: !calc not metasched.type=="ecflow" - Trigger: !Depend gdas - ecflow_def: !expand | - # These variables are used by the make_next_cycles job to generate - # suites for later cycles once earlier cycles have finished. - edit WORKFLOW_FIRST_CYCLE '{tools.strftime(suite.Clock.start,"%Y%m%d%H")}' - edit WORKFLOW_LAST_CYCLE '{tools.strftime(suite.Clock.end,"%Y%m%d%H")}' - edit WORKFLOW_CYCLES_TO_GENERATE '5' - edit WORKFLOW_CROW_HOME '{doc.initial_directory}' - edit WORKFLOW_EXPDIR '{doc.settings.EXPDIR}' - - archive: !Family - ecflow_def: - edit ECF_TRIES '3' - jgdas_archive: !Task - <<: *service_task_template - AlarmName: gdas - Trigger: !Depend up.gdas - #Trigger: !Depend up.gdas.jgdas_emc_vrfy - resources: !calc ( doc.resources.run_arch ) - Disable: !calc not doc.settings.archive_to_hpss - J_JOB: rocoto/arch.sh - ecf_module_commands: "# arch.sh will load modules instead" - RUN: gdas - ecflow_def: - time +00:10 - - jgdas_enkf_archive: !TaskArray - AlarmName: gdas - Trigger: !Depend up.gdas.enkf.jgdas_enkf_post - Dimensions: - groupid: !calc tools.seq(0,doc.settings.ENKF_ARCHIVE_GROUPS-1,1) - grp: !TaskElement - <<: *service_task_template - resources: !calc ( doc.resources.run_arch ) - J_JOB: rocoto/earc.sh - ecf_module_commands: "# arch.sh will load modules instead" - Foreach: [ groupid ] - Name: !expand "grp{dimval.groupid:02d}" - RUN: gdas - ecflow_def: !expand | - time +00:10 - edit ENSGRP '{dimval.groupid:02d}' - - jgfs_archive: !Task - <<: *service_task_template - Complete: !Depend ~ suite.has_cycle('-6:00:00') - AlarmName: gfs - Trigger: !Depend up.gfs.jgfs_emc_vrfy - resources: !calc ( doc.resources.run_arch ) - Disable: !calc not doc.settings.archive_to_hpss - J_JOB: rocoto/arch.sh - ecf_module_commands: "# arch.sh will load modules instead" - RUN: gfs - ecflow_def: - time +00:10 - - final: !Task - <<: *service_task_template - Disable: !calc not metasched.type=="rocoto" - resources: !calc (doc.resources.run_nothing) - rocoto_command: /bin/true - RUN: gfs # useless but required - diff --git a/systems/ecflow_fv3gfs/task.yaml b/systems/ecflow_fv3gfs/task.yaml deleted file mode 100644 index 9979507..0000000 --- a/systems/ecflow_fv3gfs/task.yaml +++ /dev/null @@ -1,161 +0,0 @@ -task_template: &task_template - - Template: *task_validator - - rocoto_load_modules: !expand >- - source &HOMEgfs;/ush/load_fv3gfs_modules.sh ; - module list - rocoto_command: !expand >- - {rocoto_load_modules} ; - &HOMEgfs;/jobs/{J_JOB} - ecflow_command: !expand "${{HOMEgfs}}/jobs/{J_JOB}" - - Rocoto: !expand | - sh -c '{rocoto_command}' - {sched.rocoto_accounting(accounting,jobname=task_path_var, - outerr="&LOG_DIR;/@Y@m@d/@H/&PSLOT;_"+task_path_var+".log")} - {sched.rocoto_resources(resources)} - CDATE@Y@m@d@H - PDY@Y@m@d - cyc@H - EXPDIR&EXPDIR; - CDUMP{CDUMP} - RUN_ENVIRemc - HOMEgfs&HOMEgfs; - {rocoto_more_vars} - - CDUMP: !calc task_path_list[0] - - # Default resources for a job are serial - #resources: !calc doc.resources.run_nothing - - ecf_dev_overrides: !expand | - # Set data and logs to locations specified in the suite definition. - # This is needed when running without write access to the NCO com areas. - export DATAROOT=%DATAROOT% - export jlogfile=%ECF_OUT%/jlogfile - export COMROOT=%COM% - export cycle=t%CYC%z - export CDATE=%PDY%%CYC% - export EXPDIR="{doc.settings.EXPDIR}" - - ecf_more_exports: "" - - more_vars: [] - - ecf_more_exports: !calc | - "\n".join([ tools.expand("export {VAR}=%{VAR}%\n",VAR=V) for V in more_vars ]) - - rocoto_more_vars: !calc | - "\n".join([ metasched.defenvar(VAR,this[VAR]) for VAR in more_vars ]) - - ecflow_def_more_vars: !calc | - "\n".join([ metasched.defenvar(VAR,this[VAR]) for VAR in more_vars ]) - - ecflow_def: !calc ecflow_def_more_vars - - ecf_file: !expand | - #! /bin/sh - {ecf_batch_resources} - {ecf_dev_overrides} - %include - %include - - set -x - - {ecf_resource_more} - - # Workaround for vrfy bug - mkdir -p %COM%/logs/%PDY%%CYC%/ - - export HOMEgfs=%HOMEgfs% - export CDUMP=%RUN% - {ecf_more_exports} - ## don't include - - ############################################################ - # Load modules - ############################################################ - #. $MODULESHOME/init/sh - {ecf_module_commands} - module load ecflow - module list - - ############################################################# - # WCOSS environment settings - ############################################################# - - {ecf_environment_settings} - - ########################################################### - export cyc=%CYC% - - # CALL executable job script here - {ecflow_command} - - %include - %manual - {ecf_manual} - %end - - ecf_manual: | - # FIXME: Insert manual for this job. - - ecf_module_commands: |- - source "$HOMEgfs/ush/load_fv3gfs_modules.sh" - - ecf_environment_settings: |- - # Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - - ecf_batch_resources: !expand |- - {sched.batch_accounting(accounting,jobname=task_path_var,outerr="%ECF_OUT%/%EMCPEN%_%PDY%%CYC%_"+task_path_var+".log")} - {sched.batch_resources(resources)} - - ecf_resource_more: !expand |- - {ecf_maybe_ntasks} - {ecf_maybe_ptile} - {ecf_maybe_threads} - - ecf_maybe_ntasks: !FirstTrue - - when: !calc resources.total_ranks()>0 - do: !expand 'export ntasks={resources.total_ranks()}' - - otherwise: "# No MPI in use, so I am not setting $ntasks." - - ecf_maybe_threads: !FirstTrue - - when: !calc resources.has_threads() - do: !expand 'export threads={resources[0]["OMP_NUM_THREADS"]}' - - otherwise: "# No OpenMP in use, so I am not setting $threads" - - ecf_maybe_ptile: !FirstTrue - - when: !calc resources.has_threads() or resources.total_ranks()>0 - do: !expand 'export ptile={sched.max_ranks_per_node(resources)}' - - otherwise: "# Neither OpenMP nor MPI are in use, so I am not setting $ptile" - - - -shared_accounting: &shared_accounting - queue: !calc metasched.varref('QUEUESHARED') - project: !calc metasched.varref('PROJ') - -service_accounting: &service_accounting - queue: !calc metasched.varref('QUEUESERV') - project: !calc metasched.varref('PROJ') - -exclusive_accounting: &exclusive_accounting - queue: !calc metasched.varref('QUEUE') - project: !calc metasched.varref('PROJ') - -shared_task_template: &shared_task_template - <<: *task_template - accounting: *shared_accounting - J_JOB: !expand '{task_path_list[-1]}.sh' - -service_task_template: &service_task_template - <<: *task_template - accounting: *service_accounting - J_JOB: !expand '{task_path_list[-1]}.sh' - -exclusive_task_template: &exclusive_task_template - <<: *task_template - accounting: *exclusive_accounting - J_JOB: !expand '{task_path_list[-1]}.sh' diff --git a/systems/ecflow_fv3gfs/task_validator.yaml b/systems/ecflow_fv3gfs/task_validator.yaml deleted file mode 100644 index c11e936..0000000 --- a/systems/ecflow_fv3gfs/task_validator.yaml +++ /dev/null @@ -1,75 +0,0 @@ -task_validator: &task_validator !Template - - Rocoto: - description: >- - XML to insert in the task definition, excluding the task tag - itself, and the dependencies. - type: string - - ecf_file: - description: >- - Contents of the ecf file that will be run for this task - type: string - - rocoto_more_vars: - description: >- - Additional text to include after other envar tags in Rocoto task - definition. This is referenced by the Rocoto variable. - type: string - default: "" - - ecflow_command: - description: >- - Command to execute for this task when run in ecflow. This is - inserted into the ecf file. - type: string - - rocoto_command: - description: >- - Command to execute for this task when run in rocoto. This is - inserted into the rocoto command tag for the task. - type: string - - more_vars: - description: >- - List of variables that should be added to Rocoto envar blocks, - ecflow suite definition edits, and ecf file exports. Overriding - ecflow_def will prevent this from being passed through as edits - in the suite definition. - type: string list - - ecf_environment_settings: - description: "Tuning variables like KMP_AFFINITY and OMP_STACKSIZE." - type: string - default: "" - - ecf_module_commands: - description: >- - Any "module" commands: module load, module switch, etc. - type: string - default: "" - - ecf_resource_more: - description: >- - Generates additional resource specification variables such as - ntasks, threads, and ptile. - type: string - default: "" - - ecf_maybe_ntasks: - description: "Sets the $ntasks variable (number of MPI ranks) if needed" - type: string - default: "" - - ecf_maybe_threads: - description: "Sets the $threads variable (number of OpenMP threads) if needed" - type: string - default: "" - - ecf_maybe_ptile: - description: >- - Sets the $ptile variable (maximum number of MPI ranks per node) if needed - type: string - default: "" - - diff --git a/systems/ecflow_fv3gfs/totality_limit.def b/systems/ecflow_fv3gfs/totality_limit.def deleted file mode 100644 index 2eb08e4..0000000 --- a/systems/ecflow_fv3gfs/totality_limit.def +++ /dev/null @@ -1,3 +0,0 @@ -suite totality_limit - limit TOTALITY 12 -endsuite \ No newline at end of file diff --git a/systems/ecflow_fv3gfs/update_ecflow_workflow.sh b/systems/ecflow_fv3gfs/update_ecflow_workflow.sh deleted file mode 100755 index 645cb53..0000000 --- a/systems/ecflow_fv3gfs/update_ecflow_workflow.sh +++ /dev/null @@ -1,69 +0,0 @@ -#! /bin/bash - -set -ue - -# Get the directory in which this script resides. We'll assume the -# yaml files are there: -dir0=$( dirname "$0" ) -here=$( cd "$dir0" ; pwd -P ) - -export WORKTOOLS_VERBOSE=NO - -# Make sure this directory is in the python path so we find worktools.py: -export PYTHONPATH=$here:${PYTHONPATH:+:$PYTHONPATH} - -source "$dir0/worktools.sh.inc" - -# Parse arguments: -if [[ "$1" == "-v" ]] ; then - export WORKTOOLS_VERBOSE=YES - shift 1 -fi -export EXPDIR="$1" -export FIRST_CYCLE="$2" -export LAST_CYCLE="$3" - -if [[ ! -d /usrx/local || -e /etc/redhat-release ]] ; then - echo "ERROR: This script only runs on WCOSS Cray" 1>&2 - exit 1 -fi - -if ( ! which ecflow_client > /dev/null 2>&1 ) ; then - echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." - exit 1 -fi - -check_ecf_host=NO -check_ecf_variables - -if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - echo "begin_ecflow_workflow.sh: verbose mode" - export redirect=" " -else - export redirect="> /dev/null 2>&1" -fi - -echo "ecFlow server port: $ECF_PORT" -echo "ecFlow server root: $ECF_ROOT" -echo "ecFlow server home: $ECF_HOME" - -set +e -find_python36 -set -e - -if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - set -x -fi - -if ( ! ecflow_client --ping $redirect ) ; then - echo "Could not connect to ecflow server. Aborting." - exit 1 -fi - -$python36 -c " -import worktools ; -worktools.add_cycles_to_running_ecflow_workflow_at( - '$EXPDIR', - '$FIRST_CYCLE', - '$LAST_CYCLE' -)" diff --git a/systems/ecflow_fv3gfs/workflow.xml b/systems/ecflow_fv3gfs/workflow.xml deleted file mode 100644 index f3b3aed..0000000 --- a/systems/ecflow_fv3gfs/workflow.xml +++ /dev/null @@ -1,872 +0,0 @@ - - - - - - - - -]> - - &LOG_DIR;/rocoto_@Y@m@d@H.log - 201801010000 201801011800 06:00:00 - - - DUMMY_VALUE - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_VERFRAD - &QUEUE; - &PROJECT; - gdas.jgdas_verfrad - &LOG_DIR;/gdas.jgdas_verfrad_t@Hz.log - - 300M - 1:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_VMINMON - &QUEUE; - &PROJECT; - gdas.jgdas_vminmon - &LOG_DIR;/gdas.jgdas_vminmon_t@Hz.log - - 300M - 1:ppn=24 - CDATE@Y@m@d@H - - - - - - DUMMY_VALUE - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_ICS - &QUEUE; - &PROJECT; - gdas.dump.jgdas_ics - &LOG_DIR;/gdas.dump.jgdas_ics_t@Hz.log - - 300M - 1:ppn=24 - CDATE@Y@m@d@H - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_TROPCY_QC_RELOC - &QUEUE; - &PROJECT; - gdas.dump.jgdas_tropcy_qc_reloc - &LOG_DIR;/gdas.dump.jgdas_tropcy_qc_reloc_t@Hz.log - - 300M - 1:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_DUMP - &QUEUE; - &PROJECT; - gdas.dump.jgdas_dump - &LOG_DIR;/gdas.dump.jgdas_dump_t@Hz.log - - 300M - 1:ppn=24 - CDATE@Y@m@d@H - - - - - DUMMY_VALUE - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_EMCSFC_SFC_PREP - &QUEUE; - &PROJECT; - gdas.prep.jgdas_emcsfc_sfc_prep - &LOG_DIR;/gdas.prep.jgdas_emcsfc_sfc_prep_t@Hz.log - - 300M - 1:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_PREP - &QUEUE; - &PROJECT; - gdas.prep.jgdas_prep - &LOG_DIR;/gdas.prep.jgdas_prep_t@Hz.log - - 0:02:00 - 3072M - 3:ppn=24 - CDATE@Y@m@d@H - - - - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_PREP_POST - &QUEUE; - &PROJECT; - gdas.prep.jgdas_prep_post - &LOG_DIR;/gdas.prep.jgdas_prep_post_t@Hz.log - - 300M - 1:ppn=24 - CDATE@Y@m@d@H - - - - - - - DUMMY_VALUE - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_ENKF_SELECT_OBS - &QUEUE; - &PROJECT; - gdas.enkf.jgdas_enkf_select_obs - &LOG_DIR;/gdas.enkf.jgdas_enkf_select_obs_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - - - - - - - - - DUMMY_VALUE - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate - &QUEUE; - &PROJECT; - gdas.enkf.innovate.grp1 - &LOG_DIR;/gdas.enkf.innovate.grp1_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate - &QUEUE; - &PROJECT; - gdas.enkf.innovate.grp2 - &LOG_DIR;/gdas.enkf.innovate.grp2_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate - &QUEUE; - &PROJECT; - gdas.enkf.innovate.grp3 - &LOG_DIR;/gdas.enkf.innovate.grp3_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate - &QUEUE; - &PROJECT; - gdas.enkf.innovate.grp4 - &LOG_DIR;/gdas.enkf.innovate.grp4_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate - &QUEUE; - &PROJECT; - gdas.enkf.innovate.grp5 - &LOG_DIR;/gdas.enkf.innovate.grp5_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate - &QUEUE; - &PROJECT; - gdas.enkf.innovate.grp6 - &LOG_DIR;/gdas.enkf.innovate.grp6_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate - &QUEUE; - &PROJECT; - gdas.enkf.innovate.grp7 - &LOG_DIR;/gdas.enkf.innovate.grp7_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/jgdas_innovate - &QUEUE; - &PROJECT; - gdas.enkf.innovate.grp8 - &LOG_DIR;/gdas.enkf.innovate.grp8_t@Hz.log - - 0:02:00 - 3072M - 12:ppn=24 - CDATE@Y@m@d@H - - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_ENKF_UPDATE - &QUEUE; - &PROJECT; - gdas.enkf.jgdas_enkf_update - &LOG_DIR;/gdas.enkf.jgdas_enkf_update_t@Hz.log - - 0:02:00 - 3072M - 40:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_ENKF_INFLATE_RECENTER - &QUEUE; - &PROJECT; - gdas.enkf.jgdas_enkf_inflate_recenter - &LOG_DIR;/gdas.enkf.jgdas_enkf_inflate_recenter_t@Hz.log - - 0:02:00 - 3072M - 20:ppn=24 - CDATE@Y@m@d@H - - - - - - - - - DUMMY_VALUE - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST - &QUEUE; - &PROJECT; - gdas.enkf.forecast.grp1 - &LOG_DIR;/gdas.enkf.forecast.grp1_t@Hz.log - - 0:02:00 - 254M - 64:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST - &QUEUE; - &PROJECT; - gdas.enkf.forecast.grp2 - &LOG_DIR;/gdas.enkf.forecast.grp2_t@Hz.log - - 0:02:00 - 254M - 64:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST - &QUEUE; - &PROJECT; - gdas.enkf.forecast.grp3 - &LOG_DIR;/gdas.enkf.forecast.grp3_t@Hz.log - - 0:02:00 - 254M - 64:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST - &QUEUE; - &PROJECT; - gdas.enkf.forecast.grp4 - &LOG_DIR;/gdas.enkf.forecast.grp4_t@Hz.log - - 0:02:00 - 254M - 64:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST - &QUEUE; - &PROJECT; - gdas.enkf.forecast.grp5 - &LOG_DIR;/gdas.enkf.forecast.grp5_t@Hz.log - - 0:02:00 - 254M - 64:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST - &QUEUE; - &PROJECT; - gdas.enkf.forecast.grp6 - &LOG_DIR;/gdas.enkf.forecast.grp6_t@Hz.log - - 0:02:00 - 254M - 64:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST - &QUEUE; - &PROJECT; - gdas.enkf.forecast.grp7 - &LOG_DIR;/gdas.enkf.forecast.grp7_t@Hz.log - - 0:02:00 - 254M - 64:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST - &QUEUE; - &PROJECT; - gdas.enkf.forecast.grp8 - &LOG_DIR;/gdas.enkf.forecast.grp8_t@Hz.log - - 0:02:00 - 254M - 64:ppn=24 - CDATE@Y@m@d@H - - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_ENKF_POST - &QUEUE; - &PROJECT; - gdas.enkf.jgdas_enkf_post - &LOG_DIR;/gdas.enkf.jgdas_enkf_post_t@Hz.log - - 0:02:00 - 254M - 20:ppn=24 - CDATE@Y@m@d@H - - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGDAS_ANALYSIS - &QUEUE; - &PROJECT; - gdas.jgdas_analysis - &LOG_DIR;/gdas.jgdas_analysis_t@Hz.log - - 0:02:00 - 3072M - 60:ppn=24 - CDATE@Y@m@d@H - - - - - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST - &QUEUE; - &PROJECT; - gdas.jgdas_forecast - &LOG_DIR;/gdas.jgdas_forecast_t@Hz.log - - 0:02:00 - 1024M - 64:ppn=24 - CDATE@Y@m@d@H - - - - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/post - &QUEUE; - &PROJECT; - gdas.post - &LOG_DIR;/gdas.post_t@Hz.log - - 0:02:00 - 3072M - 6:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/vrfy - &QUEUE; - &PROJECT; - gdas.vrfy - &LOG_DIR;/gdas.vrfy_t@Hz.log - - 0:02:00 - 3072M - 1:ppn=24 - CDATE@Y@m@d@H - - - - - - - DUMMY_VALUE - - DUMMY_VALUE - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_TROPCY_QC_RELOC - &QUEUE; - &PROJECT; - gfs.dump.jgfs_tropcy_qc_reloc - &LOG_DIR;/gfs.dump.jgfs_tropcy_qc_reloc_t@Hz.log - - 300M - 1:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_DUMP - &QUEUE; - &PROJECT; - gfs.dump.jgfs_dump - &LOG_DIR;/gfs.dump.jgfs_dump_t@Hz.log - - 300M - 1:ppn=24 - CDATE@Y@m@d@H - - - - - DUMMY_VALUE - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_EMCSFC_SFC_PREP - &QUEUE; - &PROJECT; - gfs.prep.jgfs_emcsfc_sfc_prep - &LOG_DIR;/gfs.prep.jgfs_emcsfc_sfc_prep_t@Hz.log - - 300M - 1:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_PREP - &QUEUE; - &PROJECT; - gfs.prep.jgfs_prep - &LOG_DIR;/gfs.prep.jgfs_prep_t@Hz.log - - 0:02:00 - 3072M - 3:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_PREP_POST - &QUEUE; - &PROJECT; - gfs.prep.jgfs_prep_post - &LOG_DIR;/gfs.prep.jgfs_prep_post_t@Hz.log - - 300M - 1:ppn=24 - CDATE@Y@m@d@H - - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_ANALYSIS - &QUEUE; - &PROJECT; - gfs.jgfs_analysis - &LOG_DIR;/gfs.jgfs_analysis_t@Hz.log - - 0:02:00 - 3072M - 60:ppn=24 - CDATE@Y@m@d@H - - - - - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_VMINMON - &QUEUE; - &PROJECT; - gfs.jgfs_vminmon - &LOG_DIR;/gfs.jgfs_vminmon_t@Hz.log - - 300M - 1:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGLOBAL_FORECAST - &QUEUE; - &PROJECT; - gfs.jgfs_forecast - &LOG_DIR;/gfs.jgfs_forecast_t@Hz.log - - 0:02:00 - 1024M - 64:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_POST - &QUEUE; - &PROJECT; - gfs.jgfs_post - &LOG_DIR;/gfs.jgfs_post_t@Hz.log - - 0:02:00 - 3072M - 6:ppn=24 - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/JGFS_VRFY - &QUEUE; - &PROJECT; - gfs.jgfs_vrfy - &LOG_DIR;/gfs.jgfs_vrfy_t@Hz.log - - 0:02:00 - 3072M - 1:ppn=24 - CDATE@Y@m@d@H - - - - - - - DUMMY_VALUE - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/gdasarch - &QUEUESERV; - &PROJECT; - archive.gdasarch - &LOG_DIR;/archive.gdasarch_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/gfsarch - &QUEUESERV; - &PROJECT; - archive.gfsarch - &LOG_DIR;/archive.gfsarch_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - - - - - - DUMMY_VALUE - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc - &QUEUESERV; - &PROJECT; - archive.earc.grp1 - &LOG_DIR;/archive.earc.grp1_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc - &QUEUESERV; - &PROJECT; - archive.earc.grp2 - &LOG_DIR;/archive.earc.grp2_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc - &QUEUESERV; - &PROJECT; - archive.earc.grp3 - &LOG_DIR;/archive.earc.grp3_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc - &QUEUESERV; - &PROJECT; - archive.earc.grp4 - &LOG_DIR;/archive.earc.grp4_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc - &QUEUESERV; - &PROJECT; - archive.earc.grp5 - &LOG_DIR;/archive.earc.grp5_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc - &QUEUESERV; - &PROJECT; - archive.earc.grp6 - &LOG_DIR;/archive.earc.grp6_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc - &QUEUESERV; - &PROJECT; - archive.earc.grp7 - &LOG_DIR;/archive.earc.grp7_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/earc - &QUEUESERV; - &PROJECT; - archive.earc.grp8 - &LOG_DIR;/archive.earc.grp8_t@Hz.log - - 0:02:00 - 3072M - 1 - - - CDATE@Y@m@d@H - - - - - - - - /gpfs/hps3/emc/hwrf/noscrub/Bin.Liu/save/gitCROW/model/ecflow_fv3gfs/jobs/L - &QUEUESERV; - &PROJECT; - final - &LOG_DIR;/final_t@Hz.log - - 300M - 1:ppn=24 - CDATE@Y@m@d@H - - - - - - - - - - diff --git a/systems/ecflow_fv3gfs/worktools.py b/systems/ecflow_fv3gfs/worktools.py deleted file mode 100644 index d922ce3..0000000 --- a/systems/ecflow_fv3gfs/worktools.py +++ /dev/null @@ -1,256 +0,0 @@ -#! /usr/bin/env python3 -f'This python module requires python 3.6 or newer' - -import logging, os, io, sys, datetime, glob, shutil, subprocess, re -from collections import OrderedDict -from copy import copy -logger=logging.getLogger('crow.model.fv3gfs') - -try: - import crow -except ImportError as ie: - thisdir=os.path.dirname(os.path.abspath(__file__)) - topdir=os.path.realpath(os.path.join(thisdir,"../..")) - sys.path.append(topdir) - del thisdir, topdir - -level=logging.WARNING -if os.environ.get('WORKTOOLS_VERBOSE','NO') == 'YES': - level=logging.INFO -logging.basicConfig(stream=sys.stderr,level=level) - -import crow.tools, crow.config -from crow.metascheduler import to_ecflow, to_rocoto -from crow.config import from_dir, Suite, from_file, to_yaml -from crow.tools import Clock - -ECFNETS_INCLUDE = "/ecf/ecfnets/include" -SIX_HOURS = datetime.timedelta(seconds=6*3600) - -def read_yaml_suite(dir): - logger.info(f'{dir}: read yaml files specified in _main.yaml') - conf=from_dir(dir) - crow.config.validate(conf.settings) - suite=Suite(conf.suite) - return conf,suite - -def make_yaml_files(srcdir,tgtdir): - if not os.path.exists(tgtdir): - logger.info(f'{tgtdir}: make directory') - os.makedirs(tgtdir) - logger.info(f'{tgtdir}: send yaml files to here') - logger.info(f'{srcdir}: get yaml files from here') - for srcfile in glob.glob(f'{srcdir}/*.yaml'): - srcbase=os.path.basename(srcfile) - if srcbase.startswith('resources'): continue - if srcbase.startswith('config'): continue - tgtfile=os.path.join(tgtdir,srcbase) - logger.info(f'{srcbase}: copy yaml file') - shutil.copyfile(srcfile,tgtfile) - del srcbase,tgtfile - - readme=[ os.path.join(srcdir,'settings_validator.yaml') ] - - # Deal with the config files: - for srcfile in glob.glob(f'{srcdir}/config*.yaml'): - logger.info(f'{srcfile}: read file') - doc=from_file(srcfile) - tgtfile=os.path.join(tgtdir,os.path.basename(srcfile)) - yaml=to_yaml(doc) - anchor=os.path.basename(srcfile)[:-5] - anchored=re.sub(r'\A([a-zA-Z][a-zA-Z0-9_]*):', - r'\1: &'+anchor,yaml) - logger.info(f'{tgtfile}: generate file') - with open(tgtfile,'wt') as fd: - fd.write('# This file is automatically generated from:\n') - fd.write(f'# {srcfile}') - fd.write('# Changes to this file may be overwritten.\n\n') - fd.write(yaml) - readme.insert(0,tgtfile) - del doc,tgtfile - - # Read the settings file - readme.append('settings.yaml') - logger.info(f'Read files: {", ".join(readme)}') - doc=from_file(*readme) - - # Now the resources: - resource_basename=doc.settings.resource_file - resource_srcfile=os.path.join(srcdir,resource_basename) - resource_tgtfile=os.path.join(tgtdir,'resources.yaml') - logger.info(f'{resource_srcfile}: use this resource yaml file') - shutil.copyfile(resource_srcfile,resource_tgtfile) - logger.info(f'{tgtdir}: yaml files created here') - -def loudly_make_dir_if_missing(dirname): - if dirname and not os.path.exists(dirname): - logger.info(f'{dirname}: make directory') - os.makedirs(dirname) - -def make_parent_dir(filename): - loudly_make_dir_if_missing(os.path.dirname(filename)) - -def make_clocks_for_cycle_range(suite,first_cycle,last_cycle,surrounding_cycles): - suite_clock=copy(suite.Clock) - logger.info(f'cycles to write: {first_cycle:%Ft%T} - {last_cycle:%Ft%T}') - suite.ecFlow.write_cycles = Clock( - start=first_cycle,end=last_cycle,step=SIX_HOURS) - first_analyzed=max(suite_clock.start,first_cycle-surrounding_cycles*SIX_HOURS) - last_analyzed=min(suite_clock.end,last_cycle+surrounding_cycles*SIX_HOURS) - logger.info(f'cycles to analyze: {first_analyzed:%Ft%T} - {last_analyzed:%Ft%T}') - suite.ecFlow.analyze_cycles=Clock( - start=first_analyzed,end=last_analyzed,step=SIX_HOURS) - -def generate_ecflow_suite_in_memory(suite,first_cycle,last_cycle,surrounding_cycles): - logger.info(f'make suite for cycles: {first_cycle:%Ft%T} - {last_cycle:%Ft%T}') - make_clocks_for_cycle_range(suite,first_cycle,last_cycle,surrounding_cycles) - suite_defs, ecf_files = to_ecflow(suite) - return suite_defs, ecf_files - -def write_ecflow_suite_to_disk(targetdir, suite_defs, ecf_files): - written_suite_defs=OrderedDict() - logger.info(f'{targetdir}: write suite here') - for deffile in suite_defs.keys(): - defname = suite_defs[deffile]['name'] - defcontents = suite_defs[deffile]['def'] - filename=os.path.realpath(os.path.join(targetdir,'defs',deffile)) - make_parent_dir(filename) - logger.info(f'{defname}: {filename}: write suite definition') - with open(os.path.join(targetdir,filename),'wt') as fd: - fd.write(defcontents) - written_suite_defs[defname]=filename - for setname in ecf_files: - logger.info(f'{defname}: write ecf file set {setname}') - for filename in ecf_files[setname]: - full_fn=os.path.realpath(os.path.join(targetdir,defname,filename)+'.ecf') - logger.debug(f'{defname}: {setname}: write ecf file {full_fn}') - make_parent_dir(full_fn) - with open(full_fn,'wt') as fd: - fd.write(ecf_files[setname][filename]) - return written_suite_defs - -def get_target_dir_and_check_ecflow_env(): - ECF_HOME=os.environ.get('ECF_HOME',None) - - if not ECF_HOME: - logger.error('Set $ECF_HOME to location where your ecflow files should reside.') - return None - elif not os.environ.get('ECF_PORT',None): - logger.error('Set $ECF_PORT to the port number of your ecflow server.') - return None - elif not os.path.isdir(ECF_HOME): - logger.error('Directory $ECF_HOME={ECF_HOME} does not exist. You need to set up your account for ecflow before you can run any ecflow workflows.') - return None - - for file in [ 'head.h', 'tail.h', 'envir-xc40.h' ]: - yourfile=os.path.join(ECF_HOME,file) - if not os.path.exists(yourfile): - logger.warning(f'{yourfile}: does not exist. I will get one for you.') - os.symlink(os.path.join(ECFNETS_INCLUDE,file),yourfile) - else: - logger.info(f'{yourfile}: exists.') - - return ECF_HOME - -def create_new_ecflow_workflow(suite,surrounding_cycles=1): - ECF_HOME=get_target_dir_and_check_ecflow_env() - if not ECF_HOME: return None,None,None,None - first_cycle=suite.Clock.start - last_cycle=min(suite.Clock.end,first_cycle+suite.Clock.step*2) - suite_defs, ecf_files = generate_ecflow_suite_in_memory( - suite,first_cycle,last_cycle,surrounding_cycles) - suite_def_files = write_ecflow_suite_to_disk( - ECF_HOME,suite_defs,ecf_files) - return ECF_HOME, suite_def_files, first_cycle, last_cycle - -def update_existing_ecflow_workflow(suite,first_cycle,last_cycle, - surrounding_cycles=1): - ECF_HOME=get_target_dir_and_check_ecflow_env() - suite_defs, ecf_files = generate_ecflow_suite_in_memory( - suite,first_cycle,last_cycle,surrounding_cycles) - suite_def_files = write_ecflow_suite_to_disk( - ECF_HOME,suite_defs,ecf_files) - return ECF_HOME, suite_def_files - -def load_ecflow_suites(ECF_HOME,suite_def_files): - logger.info(f'{ECF_HOME}: load suites: ' - f'{", ".join(suite_def_files.keys())}') - with crow.tools.chdir(ECF_HOME): - for file in suite_def_files.values(): - cmd=f'ecflow_client --load {file}' - logger.info(cmd) - subprocess.run(cmd,check=False,shell=True) - -def begin_ecflow_suites(ECF_HOME,suite_def_files): - logger.info(f'{ECF_HOME}: begin suites: ' - f'{", ".join(suite_def_files.keys())}') - with crow.tools.chdir(ECF_HOME): - for suite in suite_def_files.keys(): - cmd=f'ecflow_client --begin {suite}' - logger.info(cmd) - subprocess.run(cmd,check=False,shell=True) - -def make_rocoto_xml(suite,filename): - with open(filename,'wt') as fd: - logger.info(f'{filename}: create Rocoto XML document') - fd.write(to_rocoto(suite)) - print(f'{filename}: Rocoto XML document created here.') - -######################################################################## - -# These functions are called directly from scripts, and can be thought -# of as "main programs." - -def remake_ecflow_files_for_cycles( - yamldir,first_cycle_str,last_cycle_str, - surrounding_cycles=1): - ECF_HOME=get_target_dir_and_check_ecflow_env() - conf,suite=read_yaml_suite(yamldir) - loudly_make_dir_if_missing(f'{conf.settings.COM}/log') - - first_cycle=datetime.datetime.strptime(first_cycle_str,'%Y%m%d%H') - first_cycle=max(suite.Clock.start,first_cycle) - - last_cycle=datetime.datetime.strptime(last_cycle_str,'%Y%m%d%H') - last_cycle=max(first_cycle,min(suite.Clock.end,last_cycle)) - - suite_defs, ecf_files = generate_ecflow_suite_in_memory( - suite,first_cycle,last_cycle,surrounding_cycles) - written_suite_defs = write_ecflow_suite_to_disk( - ECF_HOME, suite_defs, ecf_files) - print(f'''Suite definition files and ecf files have been written to: - - {ECF_HOME} - -If all you wanted to do was update the ecf files, then you're done. - -If you want to update the suite (cycle) definitions, or add suites -(cycles), you will need to call ecflow_client's --load, --begin, ---replace, or --delete commands.''') - -def create_and_load_ecflow_workflow(yamldir,surrounding_cycles=1,begin=False): - conf,suite=read_yaml_suite(yamldir) - loudly_make_dir_if_missing(f'{conf.settings.COM}/log') - ECF_HOME, suite_def_files, first_cycle, last_cycle = \ - create_new_ecflow_workflow(suite,surrounding_cycles) - if not ECF_HOME: - logger.error('Could not create workflow files. See prior errors for details.') - return False - load_ecflow_suites(ECF_HOME,suite_def_files) - if begin: - begin_ecflow_suites(ECF_HOME,suite_def_files) - -def add_cycles_to_running_ecflow_workflow_at( - yamldir,first_cycle_str,last_cycle_str,surrounding_cycles=1): - conf,suite=read_yaml_suite(yamldir) - first_cycle=datetime.datetime.strptime(first_cycle_str,'%Y%m%d%H') - last_cycle=datetime.datetime.strptime(last_cycle_str,'%Y%m%d%H') - ECF_HOME, suite_def_files = update_existing_ecflow_workflow( - suite,first_cycle,last_cycle,surrounding_cycles) - load_ecflow_suites(ECF_HOME,suite_def_files) - begin_ecflow_suites(ECF_HOME,suite_def_files) - -def make_rocoto_xml_for(yamldir): - conf,suite=read_yaml_suite(yamldir) - loudly_make_dir_if_missing(f'{conf.settings.COM}/log') - make_rocoto_xml(suite,f'{yamldir}/workflow.xml') diff --git a/systems/ecflow_fv3gfs/worktools.sh.inc b/systems/ecflow_fv3gfs/worktools.sh.inc deleted file mode 100644 index 7e4ad20..0000000 --- a/systems/ecflow_fv3gfs/worktools.sh.inc +++ /dev/null @@ -1,94 +0,0 @@ -check_ecf_variables() { - if ( ! which ecflow_client > /dev/null 2>&1 ) ; then - echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." - exit 1 - fi - - if [[ "${ECF_ROOT:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_ROOT" - exit 1 - fi - - if [[ "${ECF_HOME:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_HOME. I suggest \$ECF_ROOT/submit" - exit 1 - fi - - if [[ "${check_ecf_host:-YES}" == YES ]] ; then - if [[ "${ECF_HOST:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_HOST." - exit 1 - fi - fi - - if [[ "${ECF_PORT:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_PORT. See /usrx/local/sys/ecflow/assigned_ports.txt" - exit 1 - fi - - export ECF_HOME="${ECF_HOME:-$ECF_ROOT/submit}" -} - -maybe_verbose_source() { - if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - echo "$1: source" - source "$1" - else - source "$1" > /dev/null 2>&1 - fi -} - -find_python36() { - if ( ! which python3 > /dev/null 2>&1 || \ - ! python3 -c 'import yaml ; f{"1+1"}' > /dev/null 2>&1 ) ; then - python36=/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/python/3.6.1-emc/bin/python3.6 - if [[ ! -x "$python36" ]] ; then - module load intelpython/3.6.1.0 - python36="$( which python3 )" - fi - else - python36="$( which python3 )" - fi -} - -make_yaml_files() { - if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - set -x - fi - - # NOTE: Sourcing config.base clobbers the ecflow variables, so we - # must do it in a subshell. - set +ue - maybe_verbose_source "$CONFIGDIR"/config.base - set -ue - - if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - set -x - fi - - echo "EXPDIR=\"$EXPDIR\"" > "$tmpfile" - - mkdir -p "$EXPDIR"/logs - - set +ue - ( maybe_verbose_source "$CONFIGDIR"/config.earc ; - echo "export NMEM_EARCGRP=\"$NMEM_EARCGRP\"" >> "$tmpfile" ) - ( maybe_verbose_source "$CONFIGDIR"/config.efcs ; - echo "export NMEM_EFCSGRP=\"$NMEM_EFCSGRP\"" >> "$tmpfile" ; - echo "export ENKF_layout_x=\"$layout_x\"" >> "$tmpfile" ; - echo "export ENKF_layout_y=\"$layout_y\"" >> "$tmpfile" ; - echo "export ENKF_WRITE_GROUP=\"$WRITE_GROUP\"" >> "$tmpfile" ; - echo "export ENKF_WRTTASK_PER_GROUP=\"$WRTTASK_PER_GROUP\"" >> "$tmpfile" ) - ( maybe_verbose_source "$CONFIGDIR"/config.eobs ; - echo "export NMEM_EOMGGRP=\"$NMEM_EOMGGRP\"" >> "$tmpfile" ) - ( maybe_verbose_source "$CONFIGDIR"/config.fcst ; - echo "export layout_x=\"$layout_x\"" >> "$tmpfile" ; - echo "export layout_y=\"$layout_y\"" >> "$tmpfile" ; - echo "export WRITE_GROUP=\"$WRITE_GROUP\"" >> "$tmpfile" ; - echo "export WRTTASK_PER_GROUP=\"$WRTTASK_PER_GROUP\"" >> "$tmpfile" ) - set -ue - - source "$tmpfile" - - $python36 -c "import worktools ; worktools.make_yaml_files('$here','$EXPDIR')" -} diff --git a/systems/fv3gfs/exp/_main.yaml b/systems/fv3gfs/exp/_main.yaml deleted file mode 100644 index d77c3b8..0000000 --- a/systems/fv3gfs/exp/_main.yaml +++ /dev/null @@ -1,11 +0,0 @@ -case_yaml: null # replaced by setup_case.py -user_yaml: null # replaced by setup_case.py -include: - - resources - - platforms - - validation - - defaults - - !calc doc.user_yaml - - !calc doc.case_yaml - - actions - - runtime diff --git a/systems/fv3gfs/exp/actions/_main.yaml b/systems/fv3gfs/exp/actions/_main.yaml deleted file mode 100644 index e0e6044..0000000 --- a/systems/fv3gfs/exp/actions/_main.yaml +++ /dev/null @@ -1,4 +0,0 @@ -include: - - base.yaml - - "*.yaml" - - final.yaml diff --git a/systems/fv3gfs/exp/actions/anal.yaml b/systems/fv3gfs/exp/actions/anal.yaml deleted file mode 100644 index c314aa4..0000000 --- a/systems/fv3gfs/exp/actions/anal.yaml +++ /dev/null @@ -1,64 +0,0 @@ -anal_base: &anal_base !Action - <<: *action_base - - J_JOB: anal - walltime: !timedelta 02:00:00 - resources: !calc run_anal.resources - anal_resources: !calc run_anal.resources - memory: !calc run_anal.memory - gsi_resources: !calc run_gsi.resources - accounting: !calc doc.platform.parallel_accounting - assim_freq: 6 - - # FIXME: temporary kludge until gsi scripts are updated: - APRUN_CALCINC: !FirstTrue - - when: !calc doc.platform.name=="THEIA" - do: "mpirun -np $ncmd" - - when: !calc doc.platform.name=="WCOSS_C" - do: !expand "aprun -j 1 -n $ncmd -N 1 -d {NTHREADS_CALCINC} -cc depth" - - otherwise: !error "No APRUN_CALCINC for unknown platform {doc.platform.name}" - APRUN_CYCLE: !FirstTrue - - when: !calc doc.platform.name=="THEIA" - do: time - - when: !calc doc.platform.name=="WCOSS_C" - do: !expand "aprun -j 1 -n $ncmd -N 1 -d {NTHREADS_CYCLE} -cc depth" - - otherwise: !error "No APRUN_CALCINC for unknown platform {doc.platform.name}" - NTHREADS_CYCLE: 12 - NTHREADS_CALCINC: 1 - - APRUN_GSI: !calc tools.command_without_exe(par,run_gsi.resources,"placeholder") - ANALYSISSH: !expand "{doc.places.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" - NTHREADS_GSI: !calc nodes.omp_threads_for(run_gsi.resources[0]) - CASE_ENKF: !calc doc.fv3_enkf_settings.CASE - - VERBOSE: YES - - shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "sys_tp", "l.*4d.*" ] - -anal_gdas_action: &anal_gdas_action !Action - <<: *anal_base - CDUMP: gdas - Template: null - Inherit: !Inherit - - [ doc.platform.general_env, ".*" ] - - [ doc.fv3_gdas_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.platform.mpi_tuning, '.*' ] - - [ doc.fv3_enkf_settings, "FHCYC" ] - - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc" ] - -anal_gfs_action: &anal_gfs_action !Action - <<: *anal_base - CDUMP: gfs - Template: null - Inherit: !Inherit - - [ doc.platform.general_env, ".*" ] - - [ doc.fv3_gfs_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.platform.mpi_tuning, '.*' ] - - [ doc.fv3_enkf_settings, "FHCYC" ] - - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc" ] diff --git a/systems/fv3gfs/exp/actions/arch.yaml b/systems/fv3gfs/exp/actions/arch.yaml deleted file mode 100644 index 46b5704..0000000 --- a/systems/fv3gfs/exp/actions/arch.yaml +++ /dev/null @@ -1,33 +0,0 @@ -arch_base: &arch_base !Action - <<: *action_base - J_JOB: arch - walltime: !timedelta 06:00:00 - resources: !calc run_arch.resources - memory: !calc run_arch.memory - accounting: !calc doc.platform.transfer_accounting - - CASE_ENKF: !calc doc.fv3_enkf_settings.case - CASE_ENKF: "C96" - WRITE_NEMSIOFILE: YES - - shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "sys_tp", "l.*4d.*" ] - -arch_gfs_action: &arch_gfs_action !Action - <<: [ *fv3_gfs_settings, *data_assimilation, *arch_base ] - CDUMP: gfs - Inherit: !Inherit - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.platform.general_env, ".*" ] - - [ doc.platform.mpi_tuning, ".*" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - -arch_gdas_action: &arch_gdas_action !Action - <<: [ *fv3_gdas_settings, *data_assimilation, *arch_base ] - CDUMP: gdas - Inherit: !Inherit - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.platform.general_env, ".*" ] - - [ doc.platform.mpi_tuning, ".*" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] diff --git a/systems/fv3gfs/exp/actions/base.yaml b/systems/fv3gfs/exp/actions/base.yaml deleted file mode 100644 index 8418d5e..0000000 --- a/systems/fv3gfs/exp/actions/base.yaml +++ /dev/null @@ -1,44 +0,0 @@ -action_base: &action_base - <<: [ *resource_defaults, *places ] - KEEPDATA: NO - - # These are probably just needed by vrfy: - ACCOUNT: !calc doc.accounting.cpu_project - QUEUE: !calc doc.platform.parallel_accounting.queue - QUEUE_ARCH: !calc doc.platform.transfer_accounting.queue - - DONST: NO - BASE_NEMSfv3gfs: !calc doc.places.BASE_NEMSfv3gfs - - # FIXME: This should never be used in the scripts. - PSLOT: !calc doc.case.experiment_name - - # Variables to import in shell: - shell_vars: [ "[A-Z][A-Z0-9_]*$" ] - - # Used to convert resources to shell commands: - accounting: !calc doc.platform.parallel_accounting - par: !calc doc.platform.parallelism - nodes: !calc doc.platform.nodes - - sys_tp: !FirstTrue - - when: !calc doc.platform.name=="THEIA" - do: "Cray-CS400" - - when: !calc doc.platform.name=="WCOSS_C" - do: "Cray-XC40" - - otherwise: !error Do not know sys_tp for platform {doc.platform.name} - - NCO_NAMING_CONV: !calc doc.gfs_output_settings.NCO_NAMING_CONV - - # FIXME: temporary kludge until scripts are updated to use mpiserial - # on all platforms: - APRUNCFP: !FirstTrue - - when: USE_CFP == 'NO' - do: null - - when: !calc doc.platform.name=='THEIA' - do: "mpirun -np $ncmd" - - when: !calc doc.platform.name=='WCOSS_C' - do: !expand > - aprun -j 1 -n $ncmd -N 1 -d - {resources.total_ranks()} -cc depth - - otherwise: !error "I don't know how to run cfp on {doc.platform.name}" diff --git a/systems/fv3gfs/exp/actions/crow_fcst_sh.yaml b/systems/fv3gfs/exp/actions/crow_fcst_sh.yaml deleted file mode 100644 index 5b37c2b..0000000 --- a/systems/fv3gfs/exp/actions/crow_fcst_sh.yaml +++ /dev/null @@ -1,495 +0,0 @@ -crow_sh_fcst_base: &crow_sh_fcst_base !Action - <<: *action_base - J_JOB: fcst - fcst_resources: !JobRequest - - exe: placeholder - mpi_ranks: !calc "layout_x*layout_y*6" - OMP_NUM_THREADS: 2 - resources: !calc fcst_resources - memory: !calc run_fcst.memory - resources_regrid_nemsio: !JobRequest - - exe: placeholder - mpi_ranks: !calc doc.fv3_settings.LEVS - max_ppn: !calc nodes.max_ranks_per_node(fcst_resources[0]) - true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE', 'WRITE_NEMSIOFLIP' ] - shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*" ] - - APRUN_REGRID_NEMSIO: !calc | - tools.command_without_exe( - par,resources_regrid_nemsio,'placeholder') - APRUN_FV3: !calc tools.command_without_exe(par,fcst_resources,'placeholder') - APRUN_REMAP: !calc APRUN_FV3 - ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" - - CASE_ENKF: !calc doc.fv3_enkf_settings.CASE - - FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" - - KEEPDATA: NO - - NTHREADS_FV3: !calc | - nodes.omp_threads_for(resources[0]) - NTHREADS_REGRID_NEMSIO: !calc | - nodes.omp_threads_for(resources_regrid_nemsio[0]) - NTHREADS_REMAP: !calc NTHREADS_FV3 - - # Keep: - NTASKS_FV3: !calc resources.total_ranks() - - PSLOT: !calc doc.case.experiment_name - PARM_FV3DIAG: !calc doc.places.PARM_FV3DIAG - REMAPSH: !expand "{doc.places.BASE_GSM}/ush/fv3gfs_remap.sh" - TYPE: "nh" - USE_COUPLER_RES: NO - VERBOSE: YES - WRITE_NEMSIOFILE: YES - - true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE', 'nst_anl', 'zhao_mic' ] - - # Variables to import in shell: - shell_vars: [ "[A-Z][A-Z0-9_]*$", 'restart_interval', 'ntiles' ] - - cores_per_node: !calc nodes.cores_per_node - restart_interval: 6 - - USE_COUPLER_RES: NO - - restart_interval: !FirstTrue - - when: !calc CDUMP=="gdas" - do: 6 - - otherwise: 0 - - master_grid: !calc doc.case.master_grid - - CDATE: !calc doc.clock.now - - diag_table_header: !expand | - FV3 Forecast - {CDATE:%Y %m %d %H} 0 0 - - nems_configure: | - EARTH_component_list: ATM - ATM_model: fv3 - runSeq:: - ATM - :: - - model_configure: !expand | - total_member: {ENS_NUM} - print_esmf: {tools.fort(print_esmf)} - PE_MEMBER01: {NTASKS_FV3} - start_year: {CDATE:%Y} - start_month: {CDATE:%m} - start_day: {CDATE:%d} - start_hour: {CDATE:%H} - start_minute: 0 - start_second: 0 - nhours_fcst: {FHMAX} - RUN_CONTINUE: {tools.fort(RUN_CONTINUE)} - ENS_SPS: {tools.fort(ENS_SPS)} - - dt_atmos: {DELTIM} - calendar: {tools.fort(calendar)} - memuse_verbose: {tools.fort(memuse_verbose)} - atmos_nthreads: {NTHREADS_FV3} - use_hyper_thread: {tools.fort(hyperthread)} - ncores_per_node: {cores_per_node} - restart_interval: {restart_interval} - - quilting: {tools.fort(QUILTING)} - write_groups: {WRITE_GROUP} - write_tasks_per_group: {WRTTASK_PER_GROUP} - num_files: {NUM_FILES} - filename_base: {filename_base} - output_grid: {tools.fort(OUTPUT_GRID)} - write_nemsiofile: {tools.fort(WRITE_NEMSIOFILE)} - write_nemsioflip: {tools.fort(WRITE_NEMSIOFLIP)} - imo: {LONB} - jmo: {LATB} - - nfhout: {FHOUT} - nfhmax_hf: {FHMAX_HF} - nfhout_hf: {FHOUT_HF} - nsout: {NSOUT} - - input_nml: !expand | - &amip_interp_nml - interp_oi_sst = .true. - use_ncep_sst = .true. - use_ncep_ice = .false. - no_anom_sst = .false. - data_set = 'reynolds_oi' - date_out_of_range = 'climo' - {amip_interp_nml} - / - - &atmos_model_nml - blocksize = {blocksize} - chksum_debug = {chksum_debug} - dycore_only = {dycore_only} - {atmos_model_nml} - / - - &diag_manager_nml - prepend_date = .false. - {diag_manager_nml} - / - - &fms_io_nml - checksum_required = .false. - max_files_r = 100 - max_files_w = 100 - {fms_io_nml} - / - - &fms_nml - clock_grain = 'ROUTINE' - domains_stack_size = {domains_stack_size} - print_memory_usage = {print_memory_usage} - {fms_nml} - / - - &fv_core_nml - layout = {layout_x},{layout_y} - io_layout = {io_layout} - npx = {npx} - npy = {npy} - ntiles = {ntiles} - npz = {npz} - grid_type = -1 - make_nh = {make_nh} - fv_debug = {fv_debug} - range_warn = {range_warn} - reset_eta = .false. - n_sponge = {n_sponge} - nudge_qv = {nudge_qv} - nudge_dz = {nudge_dz} - tau = {tau} - rf_cutoff = {rf_cutoff} - d2_bg_k1 = {d2_bg_k1} - d2_bg_k2 = {d2_bg_k2} - kord_tm = {kord_tm} - kord_mt = {kord_mt} - kord_wz = {kord_wz} - kord_tr = {kord_tr} - hydrostatic = {hydrostatic} - phys_hydrostatic = {phys_hydrostatic} - use_hydro_pressure = {use_hydro_pressure} - beta = 0. - a_imp = 1. - p_fac = 0.1 - k_split = {k_split} - n_split = {n_split} - nwat = {nwat} - na_init = {na_init} - d_ext = 0. - dnats = {dnats} - fv_sg_adj = {fv_sg_adj} - d2_bg = 0. - nord = {nord} - dddmp = {dddmp} - d4_bg = {d4_bg} - vtdm4 = {vtdm4} - delt_max = {delt_max} - ke_bg = 0. - do_vort_damp = {do_vort_damp} - external_ic = {external_ic} - external_eta = {external_eta} - gfs_phil = {gfs_phil} - nggps_ic = {nggps_ic} - mountain = {mountain} - ncep_ic = {ncep_ic} - d_con = {d_con} - hord_mt = {hord_mt} - hord_vt = {hord_xx} - hord_tm = {hord_xx} - hord_dp = {hord_dp} - hord_tr = {hord_tr} - adjust_dry_mass = {adjust_dry_mass} - consv_te = {consv_te} - do_sat_adj = {do_sat_adj} - consv_am = .false. - fill = .true. - dwind_2d = .false. - print_freq = {print_freq} - warm_start = {warm_start} - no_dycore = {no_dycore} - z_tracer = .true. - agrid_vel_rst = {agrid_vel_rst} - read_increment = {read_increment} - res_latlon_dynamics = {tools.fort(res_latlon_dynamics)} - {fv_core_nml} - / - - &external_ic_nml - filtered_terrain = {filtered_terrain} - levp = {LEVS} - gfs_dwinds = {gfs_dwinds} - checker_tr = .false. - nt_checker = 0 - {external_ic_nml} - / - - &gfs_physics_nml - fhzero = {FHZER} - ldiag3d = {ldiag3d} - fhcyc = {FHCYC} - use_ufo = {use_ufo} - pre_rad = {pre_rad} - ncld = {ncld} - zhao_mic = {tools.fort(zhao_mic)} - pdfcld = {pdfcld} - fhswr = {FHSWR} - fhlwr = {FHLWR} - ialb = {IALB} - iems = {IEMS} - iaer = {IAER} - ico2 = {ICO2} - isubc_sw = {isubc_sw} - isubc_lw = {isubc_lw} - isol = {ISOL} - lwhtr = {lwhtr} - swhtr = {swhtr} - cnvgwd = {cnvgwd} - shal_cnv = {shal_cnv} - cal_pre = {cal_pre} - redrag = {redrag} - dspheat = {dspheat} - hybedmf = {hybedmf} - random_clds = {random_clds} - trans_trac = {trans_trac} - cnvcld = {cnvcld} - imfshalcnv = {imfshalcnv} - imfdeepcnv = {imfdeepcnv} - cdmbgwd = {cdmbgwd} - prslrd0 = {prslrd0} - ivegsrc = {ivegsrc} - isot = {isot} - debug = {gfs_phys_debug} - nstf_name = {nstf_name} - nst_anl = {tools.fort(nst_anl)} - psautco = {psautco} - prautco = {prautco} - {gfs_physics_nml} - / - - &gfdl_cloud_microphysics_nml - sedi_transport = .true. - do_sedi_heat = .false. - rad_snow = .true. - rad_graupel = .true. - rad_rain = .true. - const_vi = .F. - const_vs = .F. - const_vg = .F. - const_vr = .F. - vi_max = 1. - vs_max = 2. - vg_max = 12. - vr_max = 12. - qi_lim = 1. - prog_ccn = .false. - do_qa = .true. - fast_sat_adj = .true. - tau_l2v = 300. - tau_l2v = 225. - tau_v2l = 150. - tau_g2v = 900. - rthresh = 10.e-6 ! This is a key parameter for cloud water - dw_land = 0.16 - dw_ocean = 0.10 - ql_gen = 1.0e-3 - ql_mlt = 1.0e-3 - qi0_crt = 8.0E-5 - qs0_crt = 1.0e-3 - tau_i2s = 1000. - c_psaci = 0.05 - c_pgacs = 0.01 - rh_inc = 0.30 - rh_inr = 0.30 - rh_ins = 0.30 - ccn_l = 300. - ccn_o = 100. - c_paut = 0.5 - c_cracw = 0.8 - use_ppm = .false. - use_ccn = .true. - mono_prof = .true. - z_slope_liq = .true. - z_slope_ice = .true. - de_ice = .false. - fix_negative = .true. - icloud_f = 1 - mp_time = 150. - / - - &nggps_diag_nml - fdiag = {fdiag} - {nggps_diag_nml} - / - - &interpolator_nml - interp_method = 'conserve_great_circle' - {interpolator_nml} - / - - &namsfc - FNGLAC = {tools.fort(FNGLAC)} - FNMXIC = {tools.fort(FNMXIC)} - FNTSFC = {tools.fort(FNTSFC)} - FNSNOC = {tools.fort(FNSNOC)} - FNZORC = {tools.fort(FNZORC)} - FNALBC = {tools.fort(FNALBC)} - FNALBC2 = {tools.fort(FNALBC2)} - FNAISC = {tools.fort(FNAISC)} - FNTG3C = {tools.fort(FNTG3C)} - FNVEGC = {tools.fort(FNVEGC)} - FNVETC = {tools.fort(FNVETC)} - FNSOTC = {tools.fort(FNSOTC)} - FNSMCC = {tools.fort(FNSMCC)} - FNMSKH = {tools.fort(FNMSKH)} - FNTSFA = {tools.fort(FNTSFA)} - FNACNA = {tools.fort(FNACNA)} - FNSNOA = {tools.fort(FNSNOA)} - FNVMNC = {tools.fort(FNVMNC)} - FNVMXC = {tools.fort(FNVMXC)} - FNSLPC = {tools.fort(FNSLPC)} - FNABSC = {tools.fort(FNABSC)} - LDEBUG = {LDEBUG} - FSMCL(2) = {FSMCL2} - FSMCL(3) = {FSMCL3} - FSMCL(4) = {FSMCL4} - FTSFS = {FTSFS} - FAISL = {FAISL} - FAISS = {FAISS} - FSNOL = {FSNOL} - FSNOS = {FSNOS} - FSICL = 99999 - FSICS = 99999 - FTSFL = 99999 - FVETL = 99999 - FSOTL = 99999 - FvmnL = 99999 - FvmxL = 99999 - FSLPL = 99999 - FABSL = 99999 - {namsfc_nml} - / - - &fv_grid_nml - grid_file = 'INPUT/grid_spec.nc' - {fv_grid_nml} - / - - {input_nml_stochy} - - input_nml_stochy: !FirstTrue - - when: !calc MEMBER>0 - do: !expand | - &nam_stochy - ntrunc = {JCAP_STP} - lon_s = {LONB_STP} - lat_s = {LATB_STP} - {input_nml_stochy_skeb} - {input_nml_stochy_shum} - {input_nml_stochy_sppt} - {nam_stochy_nml} - / - - otherwise: | - &nam_stochy - / - - input_nml_stochy_skeb: !FirstTrue - - when: !calc MEMBER>0 and DO_SKEB - do: !expand | - skeb = {SKEB} - iseed_skeb = {ISEED_SKEB} - skeb_tau = {SKEB_TAU} - skeb_lscale = {SKEB_LSCALE} - skebnorm = {SKEBNORM} - - otherwise: "" - - input_nml_stochy_shum: !FirstTrue - - when: !calc MEMBER>0 and DO_SHUM - do: !expand | - shum = {SHUM} - iseed_shum = {ISEED_SHUM} - shum_tau = {SHUM_TAU} - shum_lscale = {SHUM_LSCALE} - - otherwise: "" - - input_nml_stochy_sppt: !FirstTrue - - when: !calc MEMBER>0 and DO_SPPT - do: !expand | - sppt = SPPT - iseed_sppt = {ISEED_SPPT} - sppt_tau = {SPPT_TAU} - sppt_lscale = {SPPT_LSCALE} - sppt_logit = {SPPT_LOGIT} - sppt_sfclimit = {SPPT_SFCLIMIT} - - otherwise: "" - -crow_sh_fcst_gdas: &crow_sh_fcst_gdas_action !Action - <<: *crow_sh_fcst_base - Template: [ *fv3_settings_template, *fv3_forecast_template ] - Inherit: !Inherit - - [ doc.fv3_gdas_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.gfs_output_settings, ".*" ] - - [ doc.platform.mpi_tuning, ".*" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - CDUMP: gdas - walltime: !timedelta 00:10:00 - FHOUT: !calc doc.gfs_output_settings.FHOUT_GDAS - FHMAX: !calc doc.gfs_output_settings.FHMAX_GDAS - FHMIN: !calc doc.gfs_output_settings.FHMIN_GDAS - FHMAX_HF: 6 - FHOUT_HF: 1 - -crow_sh_fcst_gfs: &crow_sh_fcst_gfs_action !Action - <<: [ *fv3_gfs_settings, *data_assimilation, *crow_sh_fcst_base ] - Template: [ *fv3_settings_template, *fv3_forecast_template ] - Inherit: !Inherit - - [ doc.fv3_gfs_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.gfs_output_settings, ".*" ] - - [ doc.platform.mpi_tuning, ".*" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - CDUMP: gfs - walltime: !timedelta 02:35:00 -# walltime: !timedelta 02:35:00 - FHOUT: !calc doc.gfs_output_settings.FHOUT_GFS - FHMAX: !calc doc.gfs_output_settings.FHMAX_GFS - FHMIN: !calc doc.gfs_output_settings.FHMIN_GFS - - FHMAX_HF: !calc doc.gfs_output_settings.FHMAX_HF_GFS - FHOUT_HF: !calc doc.gfs_output_settings.FHOUT_HF_GFS - - KEEPDATA: YES - -crow_sh_df_fcst_gdas: &crow_sh_df_fcst_gdas_action !Action - <<: *crow_sh_fcst_gdas_action - J_JOB: fcst_df - -crow_sh_df_fcst_gfs: &crow_sh_df_fcst_gfs_action !Action - <<: *crow_sh_fcst_gfs_action - J_JOB: fcst_df - - -crow_exe_fcst_gdas: &crow_exe_fcst_gdas_action !Action - <<: *crow_sh_df_fcst_gdas_action - J_JOB: fcst_df - actual_fcst_resources: !JobRequest - - exe: !expand "{doc.places.FCSTEXECDIR}/fv3_gfs_nh.prod.32bit.x" - mpi_ranks: !calc "layout_x*layout_y*6" - OMP_NUM_THREADS: 2 - FCSTEXEC: !calc tools.basename(fcst_resources[0].exe) - -crow_exe_fcst_gfs: &crow_exe_fcst_gfs_action !Action - <<: *crow_sh_df_fcst_gfs_action - J_JOB: fcst_df - actual_fcst_resources: !JobRequest - - exe: !expand "{doc.places.FCSTEXECDIR}/fv3_gfs_nh.prod.32bit.x" - mpi_ranks: !calc "layout_x*layout_y*6" - OMP_NUM_THREADS: 2 - FCSTEXEC: !calc tools.basename(fcst_resources[0].exe) diff --git a/systems/fv3gfs/exp/actions/earc.yaml b/systems/fv3gfs/exp/actions/earc.yaml deleted file mode 100644 index 99240a8..0000000 --- a/systems/fv3gfs/exp/actions/earc.yaml +++ /dev/null @@ -1,26 +0,0 @@ - -earc: &earc_action !Action - <<: *action_base - J_JOB: earc - walltime: !timedelta 00:15:00 - resources: !calc run_earc.resources - accounting: !calc doc.platform.transfer_accounting - memory: !calc run_earc.memory - Inherit: !Inherit - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.fv3_enkf_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc" ] - - shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*" ] - - CDUMP: gdas - CASE: !calc CASE_ENKF - CASE_ENKF: !calc doc.fv3_enkf_settings.CASE - GROUP_NUMBER: null # replaced in workflow - ENSGRP: !expand "{GROUP_NUMBER:02d}" - - KEEPDATA: NO - NMEM_EARCGRP: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - VERBOSE: YES diff --git a/systems/fv3gfs/exp/actions/ecen.yaml b/systems/fv3gfs/exp/actions/ecen.yaml deleted file mode 100644 index 8a0fe3f..0000000 --- a/systems/fv3gfs/exp/actions/ecen.yaml +++ /dev/null @@ -1,43 +0,0 @@ -ecen: &ecen_action !Action - <<: *action_base - - Template: *chgres_template - - # ---------------------------------------- - # From config.resources - J_JOB: ecen - walltime: !timedelta 00:30:00 - resources: !calc run_ecen.resources - resource_env: !calc run_ecen.env - memory: !calc run_ecen.memory - - shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*" ] - - # Each command (APRUN_whatever) in config.resources needs a - # run_whatever entry in the corresponding action. - # Executable name is specified deep inside scripts - # Use "placeholder" for exe name - # ---------------------------------------- - # From config.ecen - ENKFRECENSH: !expand "{doc.places.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_recenter_fv3gfs.sh.ecf" - CHGRESSH: !calc doc.places.CHGRESSH_ECEN - APRUN_CHGRES: !FirstTrue - - when: !calc doc.platform.name == "THEIA" - do: "time" - - otherwise: !calc | - tools.command_without_exe( - par,chgres_resources,"placeholder") - APRUN_ECEN: !calc tools.command_without_exe(par,resources,"placeholder") - NTHREADS_ECEN: !calc nodes.omp_threads_for(resources[0]) - APRUN_CHGRES: "time" - CDUMP: gdas - Inherit: !Inherit - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.fv3_enkf_settings, "FHCYC" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.platform.mpi_tuning, ".*" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc" ] - - CASE_ENKF: !calc doc.fv3_enkf_settings.CASE - VERBOSE: YES diff --git a/systems/fv3gfs/exp/actions/efcs.yaml b/systems/fv3gfs/exp/actions/efcs.yaml deleted file mode 100644 index 6d86a41..0000000 --- a/systems/fv3gfs/exp/actions/efcs.yaml +++ /dev/null @@ -1,70 +0,0 @@ -efcs: &efcs_action !Action - <<: [ *action_base, *places ] - Template: *fv3_settings - - J_JOB: efcs - walltime: !timedelta 00:25:00 - efcs_resources: !JobRequest - - exe: placeholder - mpi_ranks: !calc "layout_x*layout_y*6" - OMP_NUM_THREADS: 1 - resources: !calc efcs_resources - memory: !calc run_efcs.memory - APRUN_FV3: !calc tools.command_without_exe(par,resources,'placeholder') - resources_regrid_nemsio: !JobRequest - - exe: placeholder - mpi_ranks: !calc doc.fv3_settings.LEVS - max_ppn: !calc nodes.max_ranks_per_node(efcs_resources[0]) - APRUN_REGRID_NEMSIO: !calc | - tools.command_without_exe( - par,resources_regrid_nemsio,'placeholder') - ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" - - Inherit: !Inherit - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.fv3_enkf_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc" ] - - true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE', 'nst_anl', 'zhao_mic' ] - - CASE: !calc doc.fv3_enkf_settings.CASE - CASE_ENKF: !calc CASE - CDUMP: gdas - NTASKS_FV3: !calc resources.total_ranks() - NTHREADS_FV3: 1 - NTHREADS_REGRID_NEMSIO: 1 - - FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" - KEEPDATA: NO - NMEM_EFCSGRP: 10 - NTHSTACK: 1024000000 - - GROUP_NUMBER: null # replaced in workflow - ENSGRP: !expand "{GROUP_NUMBER:02d}" - - SMOOTH_ENKF: YES - TYPE: !calc doc.fv3_enkf_settings.nh_type - - VERBOSE: YES - WRITE_NEMSIOFILE: YES - - master_grid: !calc doc.case.master_grid - - DO_SKEB: NO - SKEB: -999. - SKEB_TAU: 21600. - SKEB_LSCALE: 500000. - SKEBNORM: 1 - DO_SHUM: YES - SHUM: 0.006 - SHUM_TAU: 21600. - SHUM_LSCALE: 500000. - DO_SPPT: YES - SPPT: 0.5 - SPPT_TAU: 21600. - SPPT_LSCALE: 500000. - SPPT_LOGIT: ".true." - SPPT_SFCLIMIT: ".true." - diff --git a/systems/fv3gfs/exp/actions/eobs.yaml b/systems/fv3gfs/exp/actions/eobs.yaml deleted file mode 100644 index 10c1fa9..0000000 --- a/systems/fv3gfs/exp/actions/eobs.yaml +++ /dev/null @@ -1,31 +0,0 @@ - -eobs: &eobs_action !Action - <<: *action_base - J_JOB: eobs - CASE_ENKF: !calc doc.fv3_enkf_settings.CASE - CASE: !calc doc.fv3_gdas_settings.CASE - walltime: !timedelta 00:15:00 - resources: !calc run_eobs.resources - memory: !calc run_eobs.memory - ANALYSISSH: !expand "{doc.places.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" - APRUN_GSI: !calc tools.command_without_exe(par,resources,'placeholder') - - shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*" ] - - Inherit: !Inherit - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.fv3_enkf_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.platform.mpi_tuning, '.*' ] - - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc" ] - - true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE', 'nst_anl', 'zhao_mic' ] - - NMEM_EOMGGRP: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - NTHREADS_GSI: !calc nodes.omp_threads_for(resources[0]) - NTHSTACK: 1024000000 - CDUMP: gdas -# GSI namelist options related to observer for EnKF - VERBOSE: YES - WRITE_NEMSIOFILE: YES diff --git a/systems/fv3gfs/exp/actions/eomg.yaml b/systems/fv3gfs/exp/actions/eomg.yaml deleted file mode 100644 index b30da7e..0000000 --- a/systems/fv3gfs/exp/actions/eomg.yaml +++ /dev/null @@ -1,35 +0,0 @@ -eomg: &eomg_action !Action - <<: *action_base - J_JOB: eomg - ANALYSISSH: !expand "{doc.places.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" - APRUN_GSI: !calc tools.command_without_exe(par,run_eomg.resources,'placeholder') - walltime: !timedelta 00:35:00 - resources: !calc run_eomg.resources - memory: !calc run_eomg.memory - shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "sys_tp", "l.*4d.*" ] - - Inherit: !Inherit - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.fv3_enkf_settings, "FHCYC" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.platform.mpi_tuning, ".*" ] - - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc" ] - CDUMP: gdas - CASE: !calc doc.fv3_enkf_settings.CASE - NMEM_EOMGGRP: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - NTHREADS_GSI: !calc nodes.omp_threads_for(run_gsi.resources[0]) - NTHSTACK: 1024000000 - GROUP_NUMBER: null # replaced in workflow - ENSGRP: !expand "{GROUP_NUMBER:02d}" - VERBOSE: YES - WRITE_NEMSIOFILE: YES - APRUN_CALCINC: " " - - APRUN_CYCLE: !FirstTrue - - when: !calc doc.platform.name=="THEIA" - do: time - - when: !calc doc.platform.name=="WCOSS_C" - do: !expand "aprun -j 1 -n $ncmd -N 1 -d {NTHREADS_CYCLE} -cc depth" - - otherwise: !error "No APRUN_CALCINC for unknown platform {doc.platform.name}" - NTHREADS_CYCLE: 12 diff --git a/systems/fv3gfs/exp/actions/epos.yaml b/systems/fv3gfs/exp/actions/epos.yaml deleted file mode 100644 index 56939d2..0000000 --- a/systems/fv3gfs/exp/actions/epos.yaml +++ /dev/null @@ -1,23 +0,0 @@ -epos: &epos_action !Action - <<: *action_base - J_JOB: epos - resources: !calc run_epos.resources - memory: !calc run_epos.memory - walltime: !timedelta 00:15:00 - APRUN_EPOS: !calc tools.command_without_exe(par,resources,'placeholder') - NTHREADS_EPOS: !calc nodes.omp_threads_for(resources[0]) - - CASE: !calc doc.fv3_enkf_settings.CASE - ENKFPOSTSH: !expand "{doc.places.BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_post_fv3gfs.sh.ecf" - shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*" ] - - Inherit: !Inherit - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.platform.mpi_tuning, '.*' ] - - [ doc.data_assimilation, ".*" ] - - [ doc.fv3_enkf_settings, "FHCYC|QUILTING|WRITE_NEMSIOFILE|nst_anl|CDUMP|LEVS" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc" ] - CDUMP: gdas - VERBOSE: YES - WRITE_NEMSIOFILE: YES - accounting: !calc doc.platform.parallel_accounting diff --git a/systems/fv3gfs/exp/actions/eupd.yaml b/systems/fv3gfs/exp/actions/eupd.yaml deleted file mode 100644 index 3e1b68b..0000000 --- a/systems/fv3gfs/exp/actions/eupd.yaml +++ /dev/null @@ -1,28 +0,0 @@ -eupd: &eupd_action !Action - <<: *action_base - J_JOB: eupd - ANALYSISSH: !expand "{doc.places.BASE_GSI}/scripts/exglobal_analysis_fv3gfs.sh.ecf" - APRUN_ENKF: !calc | - tools.command_without_exe( - par,enkf_resources,'placeholder') - ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" - walltime: !timedelta 00:15:00 - enkf_resources: !calc run_enkf.resources - eupd_resources: !calc run_eupd.resources - resources: !calc run_eupd.resources - memory: !calc run_eupd.memory - shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*" ] - - Inherit: !Inherit - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.fv3_enkf_settings, "FHCYC" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc" ] - CDUMP: gdas - ENKFUPDSH: !calc doc.places.ENKFUPDSH - CASE: !calc doc.fv3_enkf_settings.CASE - NTHREADS_ENKF: 2 - NTHSTACK: 1024000000 - VERBOSE: YES - WRITE_NEMSIOFILE: YES diff --git a/systems/fv3gfs/exp/actions/fcst.yaml b/systems/fv3gfs/exp/actions/fcst.yaml deleted file mode 100644 index 7169835..0000000 --- a/systems/fv3gfs/exp/actions/fcst.yaml +++ /dev/null @@ -1,85 +0,0 @@ -fcst_base: &fcst_base !Action - <<: *action_base - J_JOB: fcst - fcst_resources: !JobRequest - - exe: placeholder - mpi_ranks: !calc "layout_x*layout_y*6" - OMP_NUM_THREADS: 2 - resources: !calc fcst_resources - memory: !calc run_fcst.memory - resources_regrid_nemsio: !JobRequest - - exe: placeholder - mpi_ranks: !calc doc.fv3_settings.LEVS - max_ppn: !calc nodes.max_ranks_per_node(fcst_resources[0]) - true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE' ] - shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*" ] - - APRUN_REGRID_NEMSIO: !calc | - tools.command_without_exe( - par,resources_regrid_nemsio,'placeholder') - APRUN_FV3: !calc tools.command_without_exe(par,fcst_resources,'placeholder') - APRUN_REMAP: !calc APRUN_FV3 - ATARDIR: "/NCEPDEV/emc-global/1year/Samuel.Trahan/THEIA/scratch/wham" - - CASE_ENKF: !calc doc.fv3_enkf_settings.CASE - - FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" - - KEEPDATA: NO - - NTHREADS_FV3: !calc | - nodes.omp_threads_for(resources[0]) - NTHREADS_REGRID_NEMSIO: !calc | - nodes.omp_threads_for(resources_regrid_nemsio[0]) - NTHREADS_REMAP: !calc NTHREADS_FV3 - NTASKS_FV3: !calc resources.total_ranks() - - PSLOT: !calc doc.case.experiment_name - - REMAPSH: !expand "{doc.places.BASE_GSM}/ush/fv3gfs_remap.sh" - TYPE: "nh" - USE_COUPLER_RES: NO - VERBOSE: YES - WRITE_NEMSIOFILE: YES - - true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE', 'nst_anl', 'zhao_mic' ] - - # Variables to import in shell: - shell_vars: [ "[A-Z][A-Z0-9_]*$", 'restart_interval' ] - - cores_per_node: 24 - restart_interval: 6 - - USE_COUPLER_RES: NO - - restart_interval: !FirstTrue - - when: !calc CDUMP=="gdas" - do: 6 - - otherwise: 0 - - - master_grid: !calc doc.case.master_grid - -fcst_gdas: &fcst_gdas_action !Action - <<: *fcst_base - Template: *fv3_settings_template - Inherit: !Inherit - - [ doc.fv3_gdas_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.gfs_output_settings, ".*" ] - - [ doc.platform.mpi_tuning, ".*" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - CDUMP: gdas - walltime: !timedelta 00:25:00 - -fcst_gfs: &fcst_gfs_action !Action - <<: [ *fv3_gfs_settings, *data_assimilation, *fcst_base ] - Template: *fv3_settings_template - Inherit: !Inherit - - [ doc.fv3_gfs_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.gfs_output_settings, ".*" ] - - [ doc.platform.mpi_tuning, ".*" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - CDUMP: gfs - walltime: !timedelta 02:35:00 diff --git a/systems/fv3gfs/exp/actions/final.yaml b/systems/fv3gfs/exp/actions/final.yaml deleted file mode 100644 index e767005..0000000 --- a/systems/fv3gfs/exp/actions/final.yaml +++ /dev/null @@ -1,7 +0,0 @@ -final: &final_action !Action - <<: *action_base - walltime: !timedelta 00:03:00 - resources: !calc run_nothing.resources - memory: !calc run_nothing.memory - accounting: !calc doc.platform.serial_accounting - J_JOB: /bin/true diff --git a/systems/fv3gfs/exp/actions/ics.yaml b/systems/fv3gfs/exp/actions/ics.yaml deleted file mode 100644 index 4a3ab68..0000000 --- a/systems/fv3gfs/exp/actions/ics.yaml +++ /dev/null @@ -1,38 +0,0 @@ -fv3ics: &fv3ics_action !Action - <<: *action_base - J_JOB: fv3ic - walltime: !timedelta 00:30:00 - resources: !calc run_fv3ic.resources - memory: !calc run_fv3ic.memory - CASE: !calc doc.fv3_settings.CASE - - shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "sys_tp", "l.*4d.*" ] - Inherit: !Inherit - - [ doc.platform.general_env, ".*" ] - - [ doc.fv3_gfs_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.platform.mpi_tuning, '.*' ] - - [ doc.fv3_enkf_settings, "FHCYC" ] - - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc" ] - -fv3ics_df: &fv3ics_df_action !Action - <<: *fv3ics_action - J_JOB: fv3ic_df - -getics: &getics_action !Action - <<: *action_base - J_JOB: getic - walltime: !timedelta 06:00:00 - resources: !calc run_arch.resources - memory: !calc run_arch.memory - accounting: !calc doc.platform.transfer_accounting - - ics_from: !calc doc.case.ics_from - parexp: !calc doc.case.parexp - HPSS_PAR_PATH: !calc doc.case.HPSS_PAR_PATH - ICSDIR: !calc doc.places.ICSDIR - - # Variables to import in shell: - shell_vars: [ "[A-Z][A-Z0-9_]*$", "ics_from", "parexp", "HPSS_PAR_PATH" ] diff --git a/systems/fv3gfs/exp/actions/post.yaml b/systems/fv3gfs/exp/actions/post.yaml deleted file mode 100644 index b3392e5..0000000 --- a/systems/fv3gfs/exp/actions/post.yaml +++ /dev/null @@ -1,56 +0,0 @@ -post_base: &post_base !Action - <<: *action_base - J_JOB: post - resources: !calc run_post.resources - memory: !calc run_post.memory - - APRUN_DWN: !calc tools.command_without_exe(par,resources,'placeholder') - APRUN_NP: !calc tools.command_without_exe(par,resources,'placeholder') - CASE_ENKF: !calc doc.fv3_enkf_settings.CASE - DO_GDAS_FCST_POST: YES - - FCSTEXEC: "fv3_gfs_nh.prod.32bit.x" - FLXF: YES - GFS_DOWNSTREAM: YES - GOESF: NO - GPOST: YES - GTGF: NO - NTHREADS_DWN: 2 - NTHREADS_NP: 1 - downset: 1 - shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "l.*4d.*", "downset" ] - true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE' ] - -post_gfs: &post_gfs_action !Action - <<: *post_base - CDUMP: gfs - Template: null - Inherit: !Inherit - - [ doc.fv3_gfs_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.platform.general_env, ".*" ] - - [ doc.platform.mpi_tuning, ".*" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - walltime: !timedelta 02:35:00 - FHOUT: !calc doc.gfs_output_settings.FHOUT_GFS - FHMAX: !calc doc.gfs_output_settings.FHMAX_GFS - FHMIN: !calc doc.gfs_output_settings.FHMIN_GFS - QUILTING: !calc doc.fv3_gfs_settings.QUILTING - -post_gdas: &post_gdas_action !Action - <<: *post_base - CDUMP: gdas - Template: null - Inherit: !Inherit - - [ doc.fv3_gdas_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.platform.general_env, ".*" ] - - [ doc.platform.mpi_tuning, ".*" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - walltime: !timedelta 00:25:00 - FHOUT: !calc doc.gfs_output_settings.FHOUT_GDAS - FHMAX: !calc doc.gfs_output_settings.FHMAX_GDAS - FHMIN: !calc doc.gfs_output_settings.FHMIN_GDAS - QUILTING: !calc doc.fv3_gdas_settings.QUILTING diff --git a/systems/fv3gfs/exp/actions/prep.yaml b/systems/fv3gfs/exp/actions/prep.yaml deleted file mode 100644 index 425fef7..0000000 --- a/systems/fv3gfs/exp/actions/prep.yaml +++ /dev/null @@ -1,49 +0,0 @@ -prep: &prep_base !Action - <<: *action_base - J_JOB: prep - walltime: !timedelta 01:00:00 - resources: !calc run_prep.resources - memory: !calc run_prep.memory - - CASE_ENKF: !calc doc.fv3_enkf_settings.CASE - Template: null - - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - - POE: !calc doc.platform.name=='WCOSS_C' - PSLOT: !calc doc.case.experiment_name - VERBOSE: YES - WRITE_NEMSIOFILE: YES - - PARALLEL: YES - BACK: YES - NPROCS: 4 - - # Variables to import in shell: - shell_vars: [ "[A-Z][A-Z0-9_]*$", "sys_tp", "HOMEobs.*", "l.*4d.*" ] - -prep_gdas: &prep_gdas_action !Action - <<: *prep_base - CDUMP: gdas - Inherit: !Inherit - - [ doc.fv3_gdas_settings, '.*' ] - - [ doc.data_assimilation, '.*' ] - - [ doc.prepbufr, '.*' ] - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - - [ doc.platform.mpi_tuning, '.*' ] - Template: null - -prep_gfs: &prep_gfs_action !Action - <<: *prep_base - CDUMP: gfs - Inherit: !Inherit - - [ doc.fv3_gfs_settings, '.*' ] - - [ doc.data_assimilation, '.*' ] - - [ doc.prepbufr, '.*' ] - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - - [ doc.platform.mpi_tuning, '.*' ] - Template: null diff --git a/systems/fv3gfs/exp/actions/vrfy.yaml b/systems/fv3gfs/exp/actions/vrfy.yaml deleted file mode 100644 index 63eafbf..0000000 --- a/systems/fv3gfs/exp/actions/vrfy.yaml +++ /dev/null @@ -1,74 +0,0 @@ - -vrfy_base: &vrfy_base !Action - <<: *action_base - Template: [ *CYC_TRACK_VARS, *CYC_GEN_VARS, *MIN_VARS, *RAD_VARS, *OZN_VARS, *MIN_RAD_OZN_VARS, *VRFY_CDUMP_GFS_VARS, *vrfy_template ] - J_JOB: vrfy - walltime: !timedelta 01:00:00 - resources: !calc run_vrfy.resources - memory: !calc run_vrfy.memory - - shell_vars: [ "[A-Z][A-Z0-9_]*$", "assim_freq", "sys_tp", "l.*4d.*" ] - - CASE_ENKF: !calc doc.fv3_enkf_settings.CASE - CDFNL: "gdas" - CDUMPFCST: "gdas" # Fit-to-obs with GDAS/GFS prepbufr - GRIB1_WORKS: NO - SMOOTH_ENKF: YES - VRFYG2OBS: NO - VRFYGENESIS: NO - VRFYGMPK: NO - VRFYMINMON: YES - VRFYOZN: NO - VRFYPRCP: YES - VRFYRAD: YES - VRFYTRAK: NO - VSDB_STEP1: YES - VSDB_STEP2: NO - VRFYGMPK: NO # Gempak verification - WRITE_NEMSIOFILE: YES - assim_freq: 6 - nst_anl: YES - true_false_vars: [ 'QUILTING', 'WRITE_NEMSIOFILE', 'nst_anl', 'zhao_mic' ] - -vrfy_gfs_action: &vrfy_gfs_action !Action - <<: *vrfy_base - Template: [ *vrfy_template_gfs ] - CDUMP: gfs - CASE: !calc doc.fv3_gfs_settings.CASE - Inherit: !Inherit - - [ doc.fv3_gfs_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.platform.general_env, ".*" ] - - [ doc.platform.mpi_tuning, ".*" ] - - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - BACKDATEVSDB: 24 - VBACKUP_PRCP: 24 - VSDBSH: !calc doc.places.VSDBSH - FHMIN: !calc doc.gfs_output_settings.FHMIN_GFS - FHMAX: !calc doc.gfs_output_settings.FHMIN_GFS - FHOUT: !calc doc.gfs_output_settings.FHMIN_GFS - ftyplist: "pgbq" - vhr_rain: 240 - vlength: 240 - vsdbhome: !calc doc.places.vsdbhome - vsdbsave: !calc doc.places.vsdbsave - VDUMP: !calc CDUMP - - # Variables to import in shell: - shell_vars: [ "[A-Z][A-Z0-9_]*$", "v.*", "ftyplist", "envir", ".*syndir", "HOME.*", "COM.*", ".*TANK.*", ".*typlist", ".*vrfy.*", "fitdir" ] - -vrfy_gdas_action: &vrfy_gdas_action !Action - <<: *vrfy_base - CDUMP: gdas - CASE: !calc doc.fv3_gdas_settings.CASE - Inherit: !Inherit - - [ doc.fv3_gdas_settings, ".*" ] - - [ doc.data_assimilation, ".*" ] - - [ doc.gfs_output_settings, "^FH.*|OUTPUT_GRID" ] - - [ doc.platform.general_env, ".*" ] - - [ doc.platform.mpi_tuning, ".*" ] - - [ doc.fv3_settings, "LEVS|QUILTING|WRITE_NEMSIOFILE|nst_anl" ] - - [ doc.case, "EDATE|SDATE|gfs_cyc|DO_RELOCATE|DO_MAKEPREPBUFR" ] - VDUMP: !calc CDUMP diff --git a/systems/fv3gfs/exp/cases/August2017_GFS@C384_ENKF@C192+20MEM.yaml b/systems/fv3gfs/exp/cases/August2017_GFS@C384_ENKF@C192+20MEM.yaml deleted file mode 100644 index b469035..0000000 --- a/systems/fv3gfs/exp/cases/August2017_GFS@C384_ENKF@C192+20MEM.yaml +++ /dev/null @@ -1,71 +0,0 @@ -fv3_settings: &fv3_settings - LEVS: 65 # Number of vertical levels (mandatory) - QUILTING: NO - -fv3_gfs_settings: &fv3_gfs_settings - <<: *fv3_settings - Template: *fv3_settings_template - CASE: C384 # FV3 horizontal resolution (mandatory) - CDUMP: gfs - -fv3_enkf_settings: &fv3_enkf_settings - <<: [ *fv3_enkf_defaults, *fv3_settings ] - Template: *fv3_settings_template - CASE: C192 # FV3 horizontal resolution for ensemble (mandatory) - CDUMP: gdas - -fv3_gdas_settings: &fv3_gdas_settings - <<: *fv3_gfs_settings - Template: *fv3_settings_template - CDUMP: gdas - -######################################################################## - -gfs_output_settings: &gfs_output_settings - Template: *gfs_output_settings_template - - FHMAX_GFS: 120 # Last GFS forecast hour (mandatory) - FHOUT_GFS: 6 # GFS output frequency (optional) - - OUTPUT_GRID: "gaussian_grid" - -######################################################################## - -data_assimilation: &data_assimilation - Template: *data_assimilation_template - # Shared parameters/switches for data assimilation - DOHYBVAR: YES # optional - NMEM_ENKF: 20 - NMEM_ENKF_GRP_EOMN: 10 # DO NOT CHANGE (yet) - NMEM_ENKF_GRP_EFMN: 10 # DO NOT CHANGE (yet) - RECENTER_ENKF: YES # optional - l4densvar: ".false." # optional - lwrite4danl: ".false." # optional - DO_MAKEPREPBUFR: YES # if NO, will copy prepbufr from globaldump - -prepbufr: &prepbufr - # Parameters and switches for propbufr creation. - Template: *obsproc_template - # See validation/obsproc.yaml for variables you can override - -######################################################################## - -places: &places { <<: *user_places } - -case: - Template: [ *case_template, *known_workflows ] - - SDATE: 2017-07-31t18:00:00 - EDATE: 2017-08-05t00:00:00 - - workflow: cycled_workflow - - IC_CDUMP: gdas # Get initial conditions from 1st cycle from GFS or GDAS - IC_DIR: !FirstTrue - - when: !calc doc.platform.name == "THEIA" - do: "/scratch4/NCEPDEV/global/noscrub/glopara/ICS/FV3GFS" - - when: !calc doc.platform.name == "WCOSS_C" - do: "/gpfs/hps3/emc/global/noscrub/emc.glopara/ICS" - - otherwise: !error "No ICs for platform {doc.platform.name}" - -#-END OF FILE-# diff --git a/systems/fv3gfs/exp/cases/CROW_exe_Cindy_GFS@C384.yaml b/systems/fv3gfs/exp/cases/CROW_exe_Cindy_GFS@C384.yaml deleted file mode 100644 index 817dc0e..0000000 --- a/systems/fv3gfs/exp/cases/CROW_exe_Cindy_GFS@C384.yaml +++ /dev/null @@ -1,53 +0,0 @@ -fv3_settings: &fv3_settings - LEVS: 65 # Number of vertical levels (mandatory) - CASE: C384 # FV3 horizontal resolution (mandatory) - QUILTING: NO - -fv3_gfs_settings: &fv3_gfs_settings - <<: *fv3_settings - Template: *fv3_settings_template - CDUMP: gfs - -fv3_enkf_settings: &fv3_enkf_settings - <<: *no_enkf - -fv3_gdas_settings: &fv3_gdas_settings - <<: *no_gdas - -######################################################################## - -gfs_output_settings: &gfs_output_settings - Template: *gfs_output_settings_template - - FHMAX_GFS: 120 # Last GFS forecast hour (mandatory) - FHOUT_GFS: 6 # GFS output frequency (optional) - - OUTPUT_GRID: "gaussian_grid" - -######################################################################## - -data_assimilation: &data_assimilation - <<: *no_data_assimilation - -prepbufr: &prepbufr - <<: *no_prepbufr - -######################################################################## - -places: &places - <<: *user_places - FORECASTSH: !expand "{HOMEcrow}/model/fv3gfs/scripts/exglobal_fcst_crow_exe.sh" - -######################################################################## - -case: - Template: [ *case_template, *known_workflows ] - SDATE: 2017-06-19t18:00:00 - EDATE: 2017-06-22t12:00:00 - - workflow: crow_exe_fcst_workflow - - ics_from: pargfs - parexp: prnemsrn - -#-END OF FILE-# diff --git a/systems/fv3gfs/exp/cases/CROW_io_Cindy_GFS@C384.yaml b/systems/fv3gfs/exp/cases/CROW_io_Cindy_GFS@C384.yaml deleted file mode 100644 index 823715c..0000000 --- a/systems/fv3gfs/exp/cases/CROW_io_Cindy_GFS@C384.yaml +++ /dev/null @@ -1,53 +0,0 @@ -fv3_settings: &fv3_settings - LEVS: 65 # Number of vertical levels (mandatory) - CASE: C384 # FV3 horizontal resolution (mandatory) - QUILTING: NO - -fv3_gfs_settings: &fv3_gfs_settings - <<: *fv3_settings - Template: *fv3_settings_template - CDUMP: gfs - -fv3_enkf_settings: &fv3_enkf_settings - <<: *no_enkf - -fv3_gdas_settings: &fv3_gdas_settings - <<: *no_gdas - -######################################################################## - -gfs_output_settings: &gfs_output_settings - Template: *gfs_output_settings_template - - FHMAX_GFS: 120 # Last GFS forecast hour (mandatory) - FHOUT_GFS: 6 # GFS output frequency (optional) - - OUTPUT_GRID: "gaussian_grid" - -######################################################################## - -data_assimilation: &data_assimilation - <<: *no_data_assimilation - -prepbufr: &prepbufr - <<: *no_prepbufr - -######################################################################## - -places: &places - <<: *user_places - FORECASTSH: !expand "{HOMEcrow}/model/fv3gfs/scripts/exglobal_fcst_crow_io.sh" - -######################################################################## - -case: - Template: [ *case_template, *known_workflows ] - SDATE: 2017-06-19t18:00:00 - EDATE: 2017-06-22t12:00:00 - - workflow: crow_sh_df_fcst_workflow - - ics_from: pargfs - parexp: prnemsrn - -#-END OF FILE-# diff --git a/systems/fv3gfs/exp/cases/CROW_sh_Cindy_GFS@C384.yaml b/systems/fv3gfs/exp/cases/CROW_sh_Cindy_GFS@C384.yaml deleted file mode 100644 index 83c07df..0000000 --- a/systems/fv3gfs/exp/cases/CROW_sh_Cindy_GFS@C384.yaml +++ /dev/null @@ -1,51 +0,0 @@ -fv3_settings: &fv3_settings - LEVS: 65 # Number of vertical levels (mandatory) - CASE: C384 # FV3 horizontal resolution (mandatory) - QUILTING: NO - -fv3_gfs_settings: &fv3_gfs_settings - <<: *fv3_settings - Template: *fv3_settings_template - CDUMP: gfs - -fv3_enkf_settings: &fv3_enkf_settings - <<: *no_enkf - -fv3_gdas_settings: &fv3_gdas_settings - <<: *no_gdas - -######################################################################## - -gfs_output_settings: &gfs_output_settings - Template: *gfs_output_settings_template - - FHMAX_GFS: 120 # Last GFS forecast hour (mandatory) - FHOUT_GFS: 6 # GFS output frequency (optional) - - OUTPUT_GRID: "gaussian_grid" - -######################################################################## - -data_assimilation: &data_assimilation - <<: *no_data_assimilation - -prepbufr: &prepbufr - <<: *no_prepbufr - -######################################################################## - -places: &places - <<: *user_places - FORECASTSH: !expand "{HOMEcrow}/model/fv3gfs/scripts/exglobal_fcst_crow.sh" - -case: - Template: [ *case_template, *known_workflows ] - SDATE: 2017-06-19t18:00:00 - EDATE: 2017-06-22t12:00:00 - - workflow: crow_sh_fcst_workflow - - ics_from: pargfs - parexp: prnemsrn - -#-END OF FILE-# diff --git a/systems/fv3gfs/exp/cases/CROW_sh_df_Cindy_GFS@C384.yaml b/systems/fv3gfs/exp/cases/CROW_sh_df_Cindy_GFS@C384.yaml deleted file mode 100644 index 977fa82..0000000 --- a/systems/fv3gfs/exp/cases/CROW_sh_df_Cindy_GFS@C384.yaml +++ /dev/null @@ -1,53 +0,0 @@ -fv3_settings: &fv3_settings - LEVS: 65 # Number of vertical levels (mandatory) - CASE: C384 # FV3 horizontal resolution (mandatory) - QUILTING: NO - -fv3_gfs_settings: &fv3_gfs_settings - <<: *fv3_settings - Template: *fv3_settings_template - CDUMP: gfs - -fv3_enkf_settings: &fv3_enkf_settings - <<: *no_enkf - -fv3_gdas_settings: &fv3_gdas_settings - <<: *no_gdas - -######################################################################## - -gfs_output_settings: &gfs_output_settings - Template: *gfs_output_settings_template - - FHMAX_GFS: 120 # Last GFS forecast hour (mandatory) - FHOUT_GFS: 6 # GFS output frequency (optional) - - OUTPUT_GRID: "gaussian_grid" - -######################################################################## - -data_assimilation: &data_assimilation - <<: *no_data_assimilation - -prepbufr: &prepbufr - <<: *no_prepbufr - -######################################################################## - -places: &places - <<: *user_places - FORECASTSH: !expand "{HOMEcrow}/model/fv3gfs/scripts/exglobal_fcst_crow_df.sh" - -######################################################################## - -case: - Template: [ *case_template, *known_workflows ] - SDATE: 2017-06-19t18:00:00 - EDATE: 2017-06-22t12:00:00 - - workflow: crow_sh_df_fcst_workflow - - ics_from: pargfs - parexp: prnemsrn - -#-END OF FILE-# diff --git a/systems/fv3gfs/exp/cases/Cindy_GFS@C384.yaml b/systems/fv3gfs/exp/cases/Cindy_GFS@C384.yaml deleted file mode 100644 index f3300dc..0000000 --- a/systems/fv3gfs/exp/cases/Cindy_GFS@C384.yaml +++ /dev/null @@ -1,49 +0,0 @@ -fv3_settings: &fv3_settings - LEVS: 65 # Number of vertical levels (mandatory) - CASE: C384 # FV3 horizontal resolution (mandatory) - QUILTING: NO - -fv3_gfs_settings: &fv3_gfs_settings - <<: *fv3_settings - Template: *fv3_settings_template - CDUMP: gfs - -fv3_enkf_settings: &fv3_enkf_settings - <<: *no_enkf - -fv3_gdas_settings: &fv3_gdas_settings - <<: *no_gdas - -######################################################################## - -gfs_output_settings: &gfs_output_settings - Template: *gfs_output_settings_template - - FHMAX_GFS: 120 # Last GFS forecast hour (mandatory) - FHOUT_GFS: 6 # GFS output frequency (optional) - - OUTPUT_GRID: "gaussian_grid" - -######################################################################## - -data_assimilation: &data_assimilation - <<: *no_data_assimilation - -prepbufr: &prepbufr - <<: *no_prepbufr - -######################################################################## - -places: &places { <<: *user_places } - -case: - Template: [ *case_template, *known_workflows ] - SDATE: 2017-06-19t18:00:00 - EDATE: 2017-06-22t12:00:00 - - workflow: gfs_forecast_workflow - - ics_from: pargfs - parexp: prnemsrn - -#-END OF FILE-# diff --git a/systems/fv3gfs/exp/cases/Harvey_GFS@C192.yaml b/systems/fv3gfs/exp/cases/Harvey_GFS@C192.yaml deleted file mode 100644 index ce38d1e..0000000 --- a/systems/fv3gfs/exp/cases/Harvey_GFS@C192.yaml +++ /dev/null @@ -1,55 +0,0 @@ -fv3_settings: &fv3_settings - LEVS: 65 # Number of vertical levels (mandatory) - CASE: C192 # FV3 horizontal resolution (mandatory) - QUILTING: NO - -fv3_gfs_settings: &fv3_gfs_settings - <<: *fv3_settings - Template: *fv3_settings_template - CDUMP: gfs - -fv3_enkf_settings: &fv3_enkf_settings - <<: *no_enkf - -fv3_gdas_settings: &fv3_gdas_settings - <<: *no_gdas - -######################################################################## - -gfs_output_settings: &gfs_output_settings - Template: *gfs_output_settings_template - - FHMAX_GFS: 120 # Last GFS forecast hour (mandatory) - FHOUT_GFS: 6 # GFS output frequency (optional) - - OUTPUT_GRID: "gaussian_grid" - -######################################################################## - -data_assimilation: &data_assimilation - <<: *no_data_assimilation - -prepbufr: &prepbufr - <<: *no_prepbufr - -######################################################################## - -places: &places { <<: *user_places } - -case: - Template: [ *case_template, *known_workflows ] - SDATE: 2017-08-17t12:00:00 - EDATE: 2017-08-31t00:00:00 - - workflow: gfs_forecast_workflow - - ics_from: opsgfs - -#-END OF FILE-# - - - - - - -# ... or is it? diff --git a/systems/fv3gfs/exp/create_comrot.py b/systems/fv3gfs/exp/create_comrot.py deleted file mode 100644 index bbcb76e..0000000 --- a/systems/fv3gfs/exp/create_comrot.py +++ /dev/null @@ -1,57 +0,0 @@ -#! /usr/bin/env python3 -f'This script requires python 3.6 or later' - -import os, logging -from contextlib import suppress - -logger=logging.getLogger('create_comrot') - -def make_link(src,tgt): - logger.debug(f'{src}: symlink {tgt}') - with suppress(FileNotFoundError): os.unlink(tgt) - if not os.path.exists(src): - logger.warning(f'{src}: link target does not exist') - os.symlink(src,tgt) - -def make_dir(dir): - logger.debug(f'{dir}: makedirs') - with suppress(FileExistsError): os.makedirs(dir) - -def create_COMROT(conf): - cdump = conf.case.IC_CDUMP - icsdir = conf.case.IC_DIR - comrot = conf.places.ROTDIR - resens = conf.fv3_enkf_settings.CASE[1:] - resdet = conf.fv3_gfs_settings.CASE[1:] - idate = conf.case.SDATE - detdir = f'{cdump}.{idate:%Y%m%d}/{idate:%H}' - nens = conf.data_assimilation.NMEM_ENKF - enkfdir = f'enkf.{cdump}.{idate:%Y%m%d}/{idate:%H}' - idatestr = f'{idate:%Y%m%d%H}' - - logger.info(f'Input conditions: {icsdir}') - - make_dir(os.path.join(comrot,enkfdir)) - make_dir(os.path.join(comrot, detdir)) - - logger.info(f'Workflow COM root: {comrot}') - - # Link ensemble member initial conditions - for i in range(1, nens + 1): - memdir=os.path.join(comrot,enkfdir,f'mem{i:03d}') - make_dir(memdir) - src=os.path.join(icsdir, idatestr, f'C{resens}',f'mem{i:03d}','INPUT') - tgt=os.path.join(comrot, enkfdir, f'mem{i:03d}', 'INPUT') - make_link(src,tgt) - - # Link deterministic initial conditions - src=os.path.join(icsdir, idatestr, f'C{resdet}', 'control', 'INPUT') - tgt=os.path.join(comrot, detdir, 'INPUT') - make_link(src,tgt) - - # Link bias correction and radiance diagnostics files - for fname in ['abias', 'abias_pc', 'abias_air', 'radstat']: - file=f'{cdump}.t{idate:%H}z.{fname}' - src=os.path.join(icsdir, idatestr, file) - tgt=os.path.join(comrot, detdir, file) - make_link(src,tgt) diff --git a/systems/fv3gfs/exp/defaults/fv3_enkf.yaml b/systems/fv3gfs/exp/defaults/fv3_enkf.yaml deleted file mode 100644 index ead091f..0000000 --- a/systems/fv3gfs/exp/defaults/fv3_enkf.yaml +++ /dev/null @@ -1,34 +0,0 @@ -fv3_enkf_defaults: &fv3_enkf_defaults - FHSWR: 3600. - FHLWR: 3600. - IEMS: 1 - ISOL: 2 - IAER: 111 - ICO2: 2 - dspheat: ".true." - shal_cnv: ".false." - FHZER: 6 - FHCYC: 24 - restart_interval: 6 - FHMAX: 6 - -# Stochastic physics parameters (only for ensemble forecasts) - DO_SKEB: NO - SKEB: -999. - SKEB_TAU: 21600. - SKEB_LSCALE: 500000. - SKEBNORM: 1 - DO_SHUM: YES - SHUM: 0.006 - SHUM_TAU: 21600. - SHUM_LSCALE: 500000. - DO_SPPT: YES - SPPT: 0.5 - SPPT_TAU: 21600. - SPPT_LSCALE: 500000. - SPPT_LOGIT: ".true." - SPPT_SFCLIMIT: ".true." - - k_split: 1 - n_split: 12 - consv_te: 1. diff --git a/systems/fv3gfs/exp/defaults/no.yaml b/systems/fv3gfs/exp/defaults/no.yaml deleted file mode 100644 index 9e0bb94..0000000 --- a/systems/fv3gfs/exp/defaults/no.yaml +++ /dev/null @@ -1,17 +0,0 @@ -no_enkf: &no_enkf - Template: *fv3_settings_template - CDUMP: gdas - CASE: !calc doc.fv3_gdas_settings.CASE - LEVS: !calc doc.fv3_gdas_settings.LEVS - -no_gdas: &no_gdas - Template: *fv3_settings_template - CDUMP: gdas - CASE: !calc doc.fv3_gfs_settings.CASE - LEVS: !calc doc.fv3_gfs_settings.LEVS - -no_data_assimilation: &no_data_assimilation - Template: *data_assimilation_template - -no_prepbufr: &no_prepbufr - Template: *obsproc_template diff --git a/systems/fv3gfs/exp/defaults/places.yaml b/systems/fv3gfs/exp/defaults/places.yaml deleted file mode 100644 index c57e044..0000000 --- a/systems/fv3gfs/exp/defaults/places.yaml +++ /dev/null @@ -1,88 +0,0 @@ -default_places: &default_places - PROJECT_DIR: !error Please select a project directory. - - # Locations of CROW and this test suite. - HOMEcrow: !calc tools.crow_install_dir() - - # NOTE: These two directories will need to be changed for the real - # fv3gfs workflow: - HOMEgfs: /scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/fv3gfs-fcst-post/EXP-cyc-try2/ -#!calc tools.crow_install_dir('model/fv3gfs') - HOMEgdas: !calc HOMEgfs - - HOMEDIR: !expand "{PROJECT_DIR}/save/{tools.env('USER')}" - STMP: !calc PTMP - PTMP: !expand "{doc.platform.least_used_scrub}/{tools.env('USER')}" - NOSCRUB: !expand "{PROJECT_DIR}/noscrub/{tools.env('USER')}" - - BASE_WORKFLOW: !expand "{HOMEgfs}/gfs_workflow.v15.0.0" - BASE_GSM: !expand "{HOMEgfs}/global_shared.v15.0.0" - BASE_MODULES: !expand "{HOMEgfs}/global_shared.v15.0.0/modulefiles" - BASE_ENV: !expand "{HOMEgfs}/gfs_workflow.v15.0.0/env" - BASE_JOB: !expand "{HOMEcrow}/model/fv3gfs/jobs" - - # User paths - EXPDIR: !expand "{HOMEDIR}/{doc.case.experiment_name}" - ROTDIR: !expand "{PTMP}/{doc.case.experiment_name}" - ICSDIR: !expand "{ROTDIR}/FV3ICS" - RUNDIR: !expand "{STMP}/RUNDIRS/{doc.case.experiment_name}" - ARCDIR: !expand "{NOSCRUB}/archive/{doc.case.experiment_name}" - ATARDIR: !expand "/NCEPDEV/{doc.accounting.hpss_project}/1year/{tools.env('USER')}/{doc.platform.name}/scratch/{doc.case.experiment_name}" -# CDUMP: "gfs" - - # Component paths - BASE_FV3GFS: !calc HOMEgfs - BASE_GDAS: !expand "{HOMEgfs}/gdas.v15.0.0" - BASE_GFS: !expand "{HOMEgfs}/gfs.v15.0.0" - BASE_SVN: !calc doc.platform.BASE_SVN - MYBASE_SVN: !expand "{NOSCRUB}/{tools.env('USER')}/svn" - BASE_GSM: !expand "{HOMEgfs}/global_shared.v15.0.0" - BASE_GSI: !expand "{BASE_GSM}/sorc/gsi.fd" - BASE_NEMSfv3gfs: !expand "{BASE_GSM}/sorc/fv3gfs.fd" - BASE_POST: !expand "{BASE_SVN}/post/tags/ncep_post.v7.7.2/" - BASE_PREP: !expand "{BASE_SVN}/obsproc/releases/obsproc_prep_RB-4.0.0" - BASE_PREP_GLOBAL: !expand "{BASE_SVN}/obsproc/releases/obsproc_global_RB-3.0.0" - BASE_VERIF: !expand "{BASE_SVN}/verif/global/tags/vsdb" - BASE_OZNMON: !calc BASE_GSI - GFSDOWNSH: !expand "{BASE_WORKFLOW}/ush/fv3gfs_downstream_nems.sh" - GFSDWNSH: !expand "{BASE_WORKFLOW}/ush/fv3gfs_dwn_nems.sh" - ENKFUPDSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_update_fv3gfs.sh.ecf" - - FIX_DIR: !expand "{BASE_GSM}/fix" - FIX_AM: !expand "{FIX_DIR}/fix_am" - FIX_FV3: !expand "{FIX_DIR}/fix_fv3" - - CHGRESSH: !expand "{BASE_POST}/ush/global_chgres_GSM.sh" - CHGRESSH_ECEN: !expand "{BASE_GSM}/ush/global_chgres_GSM.sh" - - vsdbhome: !calc BASE_VERIF - vsdbsave: !expand "{PROJECT_DIR}/noscrub/{tools.env('USER')}/archive/vsdb_data" - VSDBSH: !expand "{vsdbhome}/vsdbjob.sh" # VSDB job script - -#"/scratch4/NCEPDEV/global/save/glopara/svn/verif/global/tags/vsdb/vsdbjob.sh" - - # Locations of specific scripts: - - POSTJJOBSH: !expand "{BASE_WORKFLOW}/jobs/JGFS_POST.sh" - ENKFFCSTSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" - ENKFRECENSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_recenter_fv3gfs.sh.ecf" - CHGRESEXEC: !expand "{BASE_GSM}/exec/global_chgres_GSM" - ENKFINVOBSSH: !expand "{BASE_GSI}/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" - INVOBSSH: !expand "{BASE_GSI}/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" - ENKFEXEC: !expand "{BASE_GSI}/exec/global_enkf" - - FCSTEXECDIR: !expand "{BASE_GSM}/sorc/fv3gfs.fd/NEMS/exe" - FORECASTSH: !expand "{BASE_GSM}/scripts/exglobal_fcst_nemsfv3gfs.sh" - POSTGPSH: !expand "{BASE_POST}/ush/global_nceppost.sh" - POSTGPEXEC: !expand "{BASE_POST}/exec/ncep_post" - PARM_FV3DIAG: !expand "{BASE_GSM}/parm/parm_fv3diag" - FIELD_TABLE: !expand "{PARM_FV3DIAG}/field_table_ncld1" - - REGRID_NEMSIO_SH: !expand "{BASE_GSM}/ush/fv3gfs_regrid_nemsio.sh" - REGRID_NEMSIO_TBL: !expand "{PARM_FV3DIAG}/variable_table_da_nonsst.txt" - NC2NEMSIOSH: !expand "{BASE_GSM}/ush/fv3gfs_nc2nemsio.sh" - REMAPSH: !expand "{BASE_GSM}/ush/fv3gfs_remap.sh" - - - DRIVE_MAKEPREPBUFRSH: !expand "{BASE_GSM}/ush/drive_makeprepbufr.sh" - MAKEPREPBUFRSH: !expand "{BASE_PREP}/ush/prepobs_makeprepbufr.sh" diff --git a/systems/fv3gfs/exp/defaults/settings.yaml b/systems/fv3gfs/exp/defaults/settings.yaml deleted file mode 100644 index 51ae58b..0000000 --- a/systems/fv3gfs/exp/defaults/settings.yaml +++ /dev/null @@ -1,2 +0,0 @@ -default_settings: &default_settings - NCO_NAMING_CONV: YES diff --git a/systems/fv3gfs/exp/platforms/_main.yaml b/systems/fv3gfs/exp/platforms/_main.yaml deleted file mode 100644 index 76e9da6..0000000 --- a/systems/fv3gfs/exp/platforms/_main.yaml +++ /dev/null @@ -1,3 +0,0 @@ -include: - - "*.yaml" - - choice.yaml diff --git a/systems/fv3gfs/exp/platforms/choice.yaml b/systems/fv3gfs/exp/platforms/choice.yaml deleted file mode 100644 index 6dc46a3..0000000 --- a/systems/fv3gfs/exp/platforms/choice.yaml +++ /dev/null @@ -1,11 +0,0 @@ -platform: !Immediate - - !FirstTrue - - do: - <<: *wcoss_cray - Evaluate: true - when: !calc do.detect - - do: - <<: *theia - Evaluate: true - when: !calc do.detect - - otherwise: null diff --git a/systems/fv3gfs/exp/platforms/jet.yaml b/systems/fv3gfs/exp/platforms/jet.yaml deleted file mode 100644 index 21e9131..0000000 --- a/systems/fv3gfs/exp/platforms/jet.yaml +++ /dev/null @@ -1,57 +0,0 @@ - -jet: &jet !Platform - <<: *resource_defaults - Evaluate: false - name: JET - detect: !calc tools.isdir("/scratch4") and tools.isdir("/scratch3") - - BASE_SVN: "/dev/null" - - # Environment variables to set in all jobs - general_env: - # FIXME: INSERT TEXT HERE - NCP: "/bin/cp -p" - NLN: "/bin/ln -sf" - NMV: "/bin/mv" - - CHGRP_CMD: chgrp rstprod - - default_cpu_project: fv3-cpu - - serial_accounting: - queue: debug - project: !calc doc.accounting.cpu_project - transfer_accounting: - queue: service - project: !calc doc.accounting.cpu_project - parallel_accounting: - queue: batch - project: !calc doc.accounting.cpu_project - - scheduler_settings: &jet_scheduler - name: MoabTorque - physical_cores_per_node: 24 - logical_cpus_per_core: 2 - hyperthreading_allowed: true - indent_text: " " - parallelism_settings: { <<: *jet_scheduler, name: HydraIMPI } - node_type_settings: { <<: *jet_scheduler, node_type: generic } - - mpi_tuning: - MPI_BUFS_PER_HOST: 2048 - MPI_BUFS_PER_PROC: 2048 - MPI_GROUP_MAX: 256 - MPI_MEMMAP_OFF: 1 - MP_STDOUTMODE: "ORDERED" - NTHSTACK: 1024000000 - OMP_STACKSIZE: 2048000 - - scheduler: !calc | - tools.get_scheduler(scheduler_settings.name, scheduler_settings) - parallelism: !calc | - tools.get_parallelism(parallelism_settings.name, parallelism_settings) - nodes: !calc | - tools.node_tool_for(node_type_settings.node_type, node_type_settings) - - # Path to pan_df, the program used to get Panasas disk usage information: - least_used_scrub: !error You must specify a scrub area when running on jet. diff --git a/systems/fv3gfs/exp/platforms/theia.yaml b/systems/fv3gfs/exp/platforms/theia.yaml deleted file mode 100644 index df5135a..0000000 --- a/systems/fv3gfs/exp/platforms/theia.yaml +++ /dev/null @@ -1,87 +0,0 @@ - -theia: &theia !Platform - <<: *resource_defaults - Evaluate: false - name: THEIA - detect: !calc tools.isdir("/scratch4") and tools.isdir("/scratch3") - - BASE_SVN: "/scratch4/NCEPDEV/global/save/glopara/svn" - - # Environment variables to set in all jobs - general_env: - POSTGRB2TBL: "/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" - NWPROD: "/scratch4/NCEPDEV/global/save/glopara/nwpara" - DMPDIR: "/scratch4/NCEPDEV/global/noscrub/dump" - RTMFIX: "/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" - NEMSIOGET: !expand "{doc.places.BASE_GSM}/exec/nemsio_get" - #NEMSIOGET: !expand "{NWPROD}/util/exec/nemsio_get" - NDATE: !expand "{NWPROD}/util/exec/ndate" - NHOUR: !expand "{NWPROD}/util/exec/nhour" - WGRIB: !expand "{NWPROD}/util/exec/wgrib" - WGRIB2: !expand "{NWPROD}/util/exec/wgrib2" - COPYGB: !expand "{NWPROD}/util/exec/copygb" - COPYGB2: !expand "{NWPROD}/util/exec/copygb2" - GRBINDEX: !expand "{NWPROD}/util/exec/grbindex" - GRB2INDEX: !expand "{NWPROD}/util/exec/grb2index" - GRBINDEX2: !expand "{NWPROD}/util/exec/grb2index" - CNVGRIB: "/apps/cnvgrib/1.4.0/bin/cnvgrib" - WGRIB: !expand "{NWPROD}/util/exec/wgrib" - WGRIB2: "/scratch3/NCEPDEV/nwprod/utils/wgrib2.v2.0.6c/wgrib2/wgrib2" - - prep_step: !expand "{NWPROD}/prod_util.v1.0.15/ush/prep_step" - - NCP: "/bin/cp -p" - NLN: "/bin/ln -sf" - NMV: "/bin/mv" - - CHGRP_CMD: chgrp rstprod - - default_cpu_project: fv3-cpu - - serial_accounting: - queue: debug - project: !calc doc.accounting.cpu_project - transfer_accounting: - queue: service - project: !calc doc.accounting.cpu_project - parallel_accounting: - queue: batch - project: !calc doc.accounting.cpu_project - - scheduler_settings: &theia_scheduler - name: MoabTorque - physical_cores_per_node: 24 - logical_cpus_per_core: 2 - hyperthreading_allowed: true - indent_text: " " - parallelism_settings: { <<: *theia_scheduler, name: HydraIMPI } - node_type_settings: { <<: *theia_scheduler, node_type: generic } - - mpi_tuning: - MPI_BUFS_PER_HOST: 2048 - MPI_BUFS_PER_PROC: 2048 - MPI_GROUP_MAX: 256 - MPI_MEMMAP_OFF: 1 - MP_STDOUTMODE: "ORDERED" - NTHSTACK: 1024000000 - OMP_STACKSIZE: 2048000 - - scheduler: !calc | - tools.get_scheduler(scheduler_settings.name, scheduler_settings) - parallelism: !calc | - tools.get_parallelism(parallelism_settings.name, parallelism_settings) - nodes: !calc | - tools.node_tool_for(node_type_settings.node_type, node_type_settings) - - # Path to pan_df, the program used to get Panasas disk usage information: - pan_df: pan_df - least_used_scrub: !Immediate - - !FirstMax - - do: /scratch3/NCEPDEV/stmp1 - when: !calc tools.panasas_gb(do) - - do: /scratch3/NCEPDEV/stmp2 - when: !calc tools.panasas_gb(do) - - do: /scratch4/NCEPDEV/stmp3 - when: !calc tools.panasas_gb(do) - - do: /scratch4/NCEPDEV/stmp4 - when: !calc tools.panasas_gb(do) diff --git a/systems/fv3gfs/exp/platforms/wcoss_cray.yaml b/systems/fv3gfs/exp/platforms/wcoss_cray.yaml deleted file mode 100644 index fac857b..0000000 --- a/systems/fv3gfs/exp/platforms/wcoss_cray.yaml +++ /dev/null @@ -1,59 +0,0 @@ - -wcoss_cray: &wcoss_cray !Platform - <<: *resource_defaults - name: WCOSS_C - Evaluate: false - detect: !calc | - tools.isdir("/gpfs/hps") and \ - tools.isfile("/etc/SuSE-release") - default_cpu_project: GFS-T2O - serial_accounting: - queue: dev - project: !calc doc.accounting.cpu_project - transfer_accounting: - queue: transfer - project: !calc doc.accounting.cpu_project - parallel_accounting: - queue: dev - project: !calc doc.accounting.cpu_project - - scheduler_settings: &wcoss_cray_scheduler - name: LSFAlps - physical_cores_per_node: 24 - logical_cpus_per_core: 2 - hyperthreading_allowed: true - indent_text: " " - parallelism_settings: { <<: *wcoss_cray_scheduler, name: LSFAlps } - node_type_settings: { <<: *wcoss_cray_scheduler, node_type: generic } - - mpi_tuning: - # FIXME: Update for WCOSS Cray - MPI_BUFS_PER_HOST: 2048 - MPI_BUFS_PER_PROC: 2048 - MPI_GROUP_MAX: 256 - MPI_MEMMAP_OFF: 1 - MP_STDOUTMODE: "ORDERED" - NTHSTACK: 1024000000 - OMP_STACKSIZE: 2048000 - - scheduler: !calc | - tools.get_scheduler(scheduler_settings.name, scheduler_settings) - parallelism: !calc | - tools.get_parallelism(parallelism_settings.name, parallelism_settings) - nodes: !calc | - tools.node_tool_for(node_type_settings.node_type, node_type_settings) - - BASE_SVN: "/gpfs/hps3/emc/global/noscrub/emc.glopara/svn" - - general_env: - POSTGRB2TBL: "/gpfs/hps/nco/ops/nwprod/lib/g2tmpl/v1.3.0/src/params_grib2_tbl_new" - CHGRP_CMD: chgrp rstprod - - # Path to mmlsquota, the program used to get GPFS disk usage information: - mmlsquota: "/usr/lpp/mmfs/bin/mmlsquota" - least_used_scrub: !Immediate - - !FirstMax - - do: /gpfs/hps2/ptmp - when: !calc tools.gpfs_gb(do,"hps2-ptmp","hps2",mmlsquota) - - do: /gpfs/hps3/ptmp - when: !calc tools.gpfs_gb(do,"hps3-ptmp","hps3",mmlsquota) diff --git a/systems/fv3gfs/exp/resources/resources.yaml b/systems/fv3gfs/exp/resources/resources.yaml deleted file mode 100644 index 5c2a919..0000000 --- a/systems/fv3gfs/exp/resources/resources.yaml +++ /dev/null @@ -1,188 +0,0 @@ -resource_defaults: &resource_defaults - - run_test: - resources: !JobRequest - - exe: nothing - mpi_ranks: 1 - - # From if[[...ecen]] block in config.resources: - run_ecen: - env: { } #*mpi_tuning - memory: !FirstTrue - - when: !calc doc.platform.name=='WCOSS_C' - do: "3072M" - - otherwise: "" - resources: !JobRequest - - exe: placeholder - mpi_ranks: 84 - # max_ppn comes from THEIA.env: 84/12 = 7 - max_ppn: 7 - OMP_NUM_THREADS: 2 - - run_chgres: - env: { } - resources: !JobRequest - - exe: time - OMP_NUM_THREADS: 12 - args: - - placeholder - - run_nothing: # Special placeholder for "do nothing" - env: { } - memory: 300M - resources: !JobRequest - - exe: nothing - - run_eobs: - env: { } - memory: !FirstTrue - - when: !calc doc.platform.name=='WCOSS_C' - do: "3072M" - - otherwise: "" - resources: !JobRequest - - exe: placeholder - mpi_ranks: !calc 12*6 - max_ppn: 6 - OMP_NUM_THREADS: 4 - - run_eomg: - env: { } - memory: !FirstTrue - - when: !calc doc.platform.name=='WCOSS_C' - do: "3072M" - - otherwise: "" - resources: !JobRequest - - exe: placeholder - mpi_ranks: !calc 6*12 - max_ppn: 6 - OMP_NUM_THREADS: 2 - - run_eupd: - env: { } - memory: !FirstTrue - - when: !calc doc.platform.name=='WCOSS_C' - do: "3072M" - - otherwise: "" - resources: !JobRequest - - exe: placeholder - mpi_ranks: !calc 10*12 - max_ppn: 12 - OMP_NUM_THREADS: 2 - - run_enkf: - env: { } - resources: !JobRequest - - exe: placeholder - mpi_ranks: !calc 10*12 - max_ppn: 12 - OMP_NUM_THREADS: 4 - - run_efcs: - env: { } - memory: !FirstTrue - - when: !calc doc.platform.name=='WCOSS_C' - do: "3072M" - - otherwise: "" - - run_epos: - env: { } - memory: !FirstTrue - - when: !calc doc.platform.name=='WCOSS_C' - do: "254M" - - otherwise: "" - resources: !JobRequest - - exe: placeholder - mpi_ranks: !calc 7*12 - max_ppn: 12 - OMP_NUM_THREADS: 2 - - run_prep: - env: { } - memory: !FirstTrue - - when: !calc doc.platform.name=='WCOSS_C' - do: "3072M" - - otherwise: "" - resources: !JobRequest - - exe: placeholder - mpi_ranks: 12 - max_ppn: 12 - OMP_NUM_THREADS: max - - run_anal: - env: { } - memory: !FirstTrue - - when: !calc doc.platform.name=='WCOSS_C' - do: "3072M" - - otherwise: "" - resources: !JobRequest - - exe: placeholder - mpi_ranks: !calc 24*6 - max_ppn: 6 - OMP_NUM_THREADS: 2 - - run_gsi: - env: { } - resources: !JobRequest - - exe: placeholder - mpi_ranks: !calc 24*6 - max_ppn: 6 - OMP_NUM_THREADS: 4 - - run_fcst: - env: { } - memory: !FirstTrue - - when: !calc doc.platform.name=='WCOSS_C' - do: "3072M" - - otherwise: "" - - run_post: - env: { } - memory: !FirstTrue - - when: !calc doc.platform.name=='WCOSS_C' - do: "3072M" - - otherwise: "" - resources: !JobRequest - - exe: placeholder - mpi_ranks: !calc 6*12 - max_ppn: 12 - OMP_NUM_THREADS: 1 - - run_vrfy: - env: { } - memory: !FirstTrue - - when: !calc doc.platform.name=='WCOSS_C' - do: "3072M" - - otherwise: "16384M" - resources: !JobRequest - - exe: placeholder - mpi_ranks: 1 - max_ppn: 1 - OMP_NUM_THREADS: 2 - - run_arch: - env: { } - memory: "3072M" - resources: !JobRequest - - exe: placeholder - mpi_ranks: 1 - max_ppn: 1 - OMP_NUM_THREADS: 2 - - run_earc: - env: { } - memory: "3072M" - resources: !JobRequest - - exe: placeholder - mpi_ranks: 1 - max_ppn: 1 - OMP_NUM_THREADS: 2 - - run_fv3ic: - env: { } - memory: !FirstTrue - - when: !calc doc.platform.name=='WCOSS_C' - do: "3072M" - - otherwise: "" - resources: !JobRequest - - exe: placeholder - mpi_ranks: 24 diff --git a/systems/fv3gfs/exp/runtime/_main.yaml b/systems/fv3gfs/exp/runtime/_main.yaml deleted file mode 100644 index 8207894..0000000 --- a/systems/fv3gfs/exp/runtime/_main.yaml +++ /dev/null @@ -1,4 +0,0 @@ -include: - - runtime.yaml - - rocoto.yaml - - "*.yaml" diff --git a/systems/fv3gfs/exp/runtime/crow_exe_fcst_workflow.yaml b/systems/fv3gfs/exp/runtime/crow_exe_fcst_workflow.yaml deleted file mode 100644 index 562b34f..0000000 --- a/systems/fv3gfs/exp/runtime/crow_exe_fcst_workflow.yaml +++ /dev/null @@ -1,92 +0,0 @@ -crow_exe_fcst_workflow: !Cycle - Rocoto: *Rocoto - - Clock: *clock - - ICSDIR_CYC: !calc | - doc.places.ICSDIR+"{cycle:%Y%m%d%H}/gfs/"+doc.fv3_gfs_settings.CASE+"/INPUT/" - - ics: !Family - getics: !Task - Perform: - <<: *getics_action - CDUMP: gfs - Rocoto: *task_template - - fv3ics: !Task - Perform: - <<: *fv3ics_df_action - CDUMP: gfs - Rocoto: *task_template - Trigger: !Depend getics - - - gfs_ctrl_nc: !OutputSlot { Loc: !calc suite.ICSDIR_CYC+"gfs_ctrl.nc" } - output_data_tiles: !OutputSlot - tile: [ 1, 2, 3, 4, 5, 6 ] - kind: [ sfc_data, gfs_data ] - Loc: !calc suite.ICSDIR_CYC+"{kind}.tile{tile}.nc" - - gfs: !Family - Trigger: !Depend ics - - fcst: !Task - Perform: *crow_exe_fcst_gfs_action - Rocoto: *task_template - - COMOUT: !calc | - doc.places.ROTDIR+"/gfs.{cycle:%Y%m%d}/{cycle:%H}/" - - # Inputs from fv3ic - gfs_ctrl_nc: !InputSlot - Out: !Message up.up.ics.fv3ics.gfs_ctrl_nc - input_data_tiles: !InputSlot - Out: !Message up.up.ics.fv3ics.output_data_tiles(tile=tile,kind=kind) - tile: [ 1, 2, 3, 4, 5, 6 ] - kind: [ sfc_data, gfs_data ] - - coupler_res: !OutputSlot - dt_end: !calc Perform.restart_dt - Loc: !calc COMOUT+"RESTART/"+"{cycle+dt_end:%Y%m%d.%H%M%S}.coupler.res" - - restart_time_tiles: !OutputSlot - dt_end: !calc Perform.restart_dt - tile: [ 1, 2, 3, 4, 5, 6 ] - kind: - - fv_core.res - - fv_srf_wnd.res - - fv_tracer.res - - phy_data - - sfc_data - Loc: !calc COMOUT+"RESTART/"+"{cycle+dt_end:%Y%m%d.%H%M%S}.{kind}.tile{tile}.nc" - - untimed_tiles: !OutputSlot - tile: [ 1, 2, 3, 4, 5, 6 ] - kind: - - atmos_4xdaily - - atmos_static - - grid_spec - - nggps2d - - nggps3d - Loc: !calc COMOUT+"{kind}.tile{tile}.nc" - - post: !Task - Perform: *post_gfs_action - Rocoto: *task_template - Trigger: !Depend fcst - - vrfy: !Task - Perform: *vrfy_gfs_action - Rocoto: *task_template - Trigger: !Depend post - - arch: !Task - Perform: - <<: *arch_gfs_action - CDUMP: gfs - Rocoto: *task_template - Trigger: !Depend gfs - - final: !Task - Perform: *final_action - Rocoto: *task_template diff --git a/systems/fv3gfs/exp/runtime/crow_sh_df_fcst_workflow.yaml b/systems/fv3gfs/exp/runtime/crow_sh_df_fcst_workflow.yaml deleted file mode 100644 index 3030d3c..0000000 --- a/systems/fv3gfs/exp/runtime/crow_sh_df_fcst_workflow.yaml +++ /dev/null @@ -1,92 +0,0 @@ -crow_sh_df_fcst_workflow: !Cycle - Rocoto: *Rocoto - - Clock: *clock - - ICSDIR_CYC: !calc | - doc.places.ICSDIR+"{cycle:%Y%m%d%H}/gfs/"+doc.fv3_gfs_settings.CASE+"/INPUT/" - - ics: !Family - getics: !Task - Perform: - <<: *getics_action - CDUMP: gfs - Rocoto: *task_template - - fv3ics: !Task - Perform: - <<: *fv3ics_df_action - CDUMP: gfs - Rocoto: *task_template - Trigger: !Depend getics - - - gfs_ctrl_nc: !OutputSlot { Loc: !calc suite.ICSDIR_CYC+"gfs_ctrl.nc" } - output_data_tiles: !OutputSlot - tile: [ 1, 2, 3, 4, 5, 6 ] - kind: [ sfc_data, gfs_data ] - Loc: !calc suite.ICSDIR_CYC+"{kind}.tile{tile}.nc" - - gfs: !Family - Trigger: !Depend ics - - fcst: !Task - Perform: *crow_sh_df_fcst_gfs_action - Rocoto: *task_template - - COMOUT: !calc | - doc.places.ROTDIR+"/gfs.{cycle:%Y%m%d}/{cycle:%H}/" - - # Inputs from fv3ic - gfs_ctrl_nc: !InputSlot - Out: !Message up.up.ics.fv3ics.gfs_ctrl_nc - input_data_tiles: !InputSlot - Out: !Message up.up.ics.fv3ics.output_data_tiles(tile=tile,kind=kind) - tile: [ 1, 2, 3, 4, 5, 6 ] - kind: [ sfc_data, gfs_data ] - - coupler_res: !OutputSlot - dt_end: !calc Perform.restart_dt - Loc: !calc COMOUT+"RESTART/"+"{cycle+dt_end:%Y%m%d.%H%M%S}.coupler.res" - - restart_time_tiles: !OutputSlot - dt_end: !calc Perform.restart_dt - tile: [ 1, 2, 3, 4, 5, 6 ] - kind: - - fv_core.res - - fv_srf_wnd.res - - fv_tracer.res - - phy_data - - sfc_data - Loc: !calc COMOUT+"RESTART/"+"{cycle+dt_end:%Y%m%d.%H%M%S}.{kind}.tile{tile}.nc" - - untimed_tiles: !OutputSlot - tile: [ 1, 2, 3, 4, 5, 6 ] - kind: - - atmos_4xdaily - - atmos_static - - grid_spec - - nggps2d - - nggps3d - Loc: !calc COMOUT+"{kind}.tile{tile}.nc" - - post: !Task - Perform: *post_gfs_action - Rocoto: *task_template - Trigger: !Depend fcst - - vrfy: !Task - Perform: *vrfy_gfs_action - Rocoto: *task_template - Trigger: !Depend post - - arch: !Task - Perform: - <<: *arch_gfs_action - CDUMP: gfs - Rocoto: *task_template - Trigger: !Depend gfs - - final: !Task - Perform: *final_action - Rocoto: *task_template diff --git a/systems/fv3gfs/exp/runtime/crow_sh_fcst_workflow.yaml b/systems/fv3gfs/exp/runtime/crow_sh_fcst_workflow.yaml deleted file mode 100644 index ffb69e7..0000000 --- a/systems/fv3gfs/exp/runtime/crow_sh_fcst_workflow.yaml +++ /dev/null @@ -1,46 +0,0 @@ -crow_sh_fcst_workflow: !Cycle - Rocoto: *Rocoto - - Clock: *clock - - ics: !Family - getics: !Task - Perform: - <<: *getics_action - CDUMP: gfs - Rocoto: *task_template - - fv3ics: !Task - Perform: - <<: *fv3ics_action - CDUMP: gfs - Rocoto: *task_template - Trigger: !Depend getics - - gfs: !Family - Trigger: !Depend ics - - fcst: !Task - Perform: *crow_sh_fcst_gfs_action - Rocoto: *task_template - - post: !Task - Perform: *post_gfs_action - Rocoto: *task_template - Trigger: !Depend fcst - - vrfy: !Task - Perform: *vrfy_gfs_action - Rocoto: *task_template - Trigger: !Depend post - - arch: !Task - Perform: - <<: *arch_gfs_action - CDUMP: gfs - Rocoto: *task_template - Trigger: !Depend gfs - - final: !Task - Perform: *final_action - Rocoto: *task_template diff --git a/systems/fv3gfs/exp/runtime/cycled_workflow.yaml b/systems/fv3gfs/exp/runtime/cycled_workflow.yaml deleted file mode 100644 index 8edd0aa..0000000 --- a/systems/fv3gfs/exp/runtime/cycled_workflow.yaml +++ /dev/null @@ -1,427 +0,0 @@ -cycled_workflow: !Cycle - Rocoto: *Rocoto - - Clock: *clock - - gdas: !Family - prep: !Task - Perform: *prep_gdas_action - Rocoto: *task_template - Complete: !Depend ~ suite.has_cycle('-6:00:00') - Trigger: !Depend up.gdas.post.at('-6:00:00') - - enkf: !Family - eobs: !Task - Perform: *eobs_action - Rocoto: *task_template - Complete: !Depend ~ suite.has_cycle('-6:00:00') - Trigger: !Depend ( up.prep & epos.at('-6:00:00') ) - - eomg: !Family - Trigger: !Depend eobs - Complete: !Depend ~ suite.has_cycle('-6:00:00') - grp1: !Task - Perform: - <<: *eomg_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 1 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - grp2: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==20 - do: !Task - Perform: - <<: *eomg_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 2 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - - grp3: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==30 - do: !Task - Perform: - <<: *eomg_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 3 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - - grp4: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==40 - do: !Task - Perform: - <<: *eomg_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 4 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - - grp5: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==50 - do: !Task - Perform: - <<: *eomg_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 5 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - - grp6: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==60 - do: !Task - Perform: - <<: *eomg_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 6 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - - grp7: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==70 - do: !Task - Perform: - <<: *eomg_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 7 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - - grp8: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==80 - do: !Task - Perform: - <<: *eomg_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 8 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - - eupd: !Task - Perform: *eupd_action - Rocoto: *task_template - Complete: !Depend ~ suite.has_cycle('-6:00:00') - Trigger: !Depend eomg - - ecen: !Task - Perform: *ecen_action - Rocoto: *task_template - Complete: !Depend ~ suite.has_cycle('-6:00:00') - Trigger: !Depend ( eupd & up.anal ) - -# efcs: !TaskArray -# Trigger: !Depend ecen -# Indices: -# GROUP_NUMBER_INDEX: [ 1, 2, 3, 4, 5, 6, 7, 8 ] -# OTHER_INDEX: [ a, b, c, d ] -# Names: -# grp: !expand grp{indices.GROUP_NUMBER_INDEX:%d}_{indices.OTHER_INDEX} -# other: !expand other{indices.OTHER_INDEX}_{indices.GROUP_NUMBER_INDEX} -# Contents: -# other: !Task -# ... -# grp: !Task -# Perform: -# <<: *efcs_action -# NMEM_ENKF: *NMEM_ENKF -# NMEM_ENKF_GRP_EFMN: *NMEM_ENKF_GRP_EFMN -# GROUP_NUMBER: !calc indices.GROUP_NUMBER_INDEX # Convert to ENSGRP %02d -# task_template: *task_template -# ens_more: *ens_task_template -# Rocoto: !expand "{task_template}{ens_more}" - - efcs: !Family - Trigger: !Depend ( ecen | ~ suite.has_cycle('-6:00:00') ) - grp1: !Task - Perform: - <<: *efcs_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN - GROUP_NUMBER: 1 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *efcs_task_template - Rocoto: !expand "{task_template}{ens_more}" - - grp2: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==20 - do: !Task - Perform: - <<: *efcs_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN - GROUP_NUMBER: 2 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *efcs_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - - grp3: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==30 - do: !Task - Perform: - <<: *efcs_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN - GROUP_NUMBER: 3 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *efcs_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - - grp4: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==40 - do: !Task - Perform: - <<: *efcs_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN - GROUP_NUMBER: 4 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *efcs_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - - grp5: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==50 - do: !Task - Perform: - <<: *efcs_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN - GROUP_NUMBER: 5 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *efcs_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - - grp6: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==60 - do: !Task - Perform: - <<: *efcs_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN - GROUP_NUMBER: 6 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *efcs_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - - grp7: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==70 - do: !Task - Perform: - <<: *efcs_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN - GROUP_NUMBER: 7 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *efcs_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - - grp8: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==80 - do: !Task - Perform: - <<: *efcs_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EFMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EFMN - GROUP_NUMBER: 8 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *efcs_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - - epos: !Task - Perform: *epos_action - Rocoto: *task_template - Trigger: !Depend efcs - - anal: !Task - Perform: *anal_gdas_action - Rocoto: *task_template - Complete: !Depend ~ suite.has_cycle('-6:00:00') - Trigger: !Depend ( prep & enkf.epos.at('-6:00:00') ) - - fcst: !Task - Perform: *fcst_gdas_action - Rocoto: *task_template - Trigger: !Depend ( anal | ~ suite.has_cycle('-6:00:00') ) - - post: !Task - Perform: *post_gdas_action - Rocoto: *task_template - Trigger: !Depend fcst - - vrfy: !Task - Perform: *vrfy_gdas_action - Rocoto: *task_template - Trigger: !Depend post - - gfs: !Family - Complete: !Depend ~ suite.has_cycle('-6:00:00') - prep: !Task - Perform: *prep_gfs_action - Rocoto: *task_template - Trigger: !Depend up.gdas.post.at('-6:00:00') - - anal: !Task - Perform: *anal_gfs_action - Rocoto: *task_template - Trigger: !Depend ( prep & up.gdas.enkf.epos.at('-6:00:00') ) - - fcst: !Task - Perform: *fcst_gfs_action - Rocoto: *task_template - Trigger: !Depend anal - - post: !Task - Perform: *post_gfs_action - Rocoto: *task_template - Trigger: !Depend fcst - - vrfy: !Task - Perform: *vrfy_gfs_action - Rocoto: *task_template - Trigger: !Depend post - - archive: !Family - gdasarch: !Task - Perform: - <<: *arch_gdas_action - CDUMP: gdas - Rocoto: *task_template - Trigger: !Depend up.gdas.vrfy - - gfsarch: !Task - Perform: - <<: *arch_gfs_action - CDUMP: gfs - Rocoto: *task_template - Complete: !Depend ~ suite.has_cycle('-6:00:00') - Trigger: !Depend up.gfs.vrfy - - earc: !Family - Trigger: !Depend up.gdas.enkf.epos - grp1: !Task - Perform: - <<: *earc_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 1 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - grp2: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==20 - do: !Task - Perform: - <<: *earc_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 2 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - grp3: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==30 - do: !Task - Perform: - <<: *earc_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 3 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - grp4: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==40 - do: !Task - Perform: - <<: *earc_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 4 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - grp5: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==50 - do: !Task - Perform: - <<: *earc_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 5 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - grp6: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==60 - do: !Task - Perform: - <<: *earc_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 6 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - grp7: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==70 - do: !Task - Perform: - <<: *earc_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 7 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - grp8: !FirstTrue - - when: !calc doc.data_assimilation.NMEM_ENKF==80 - do: !Task - Perform: - <<: *earc_action - NMEM_ENKF: !calc doc.data_assimilation.NMEM_ENKF - NMEM_ENKF_GRP_EOMN: !calc doc.data_assimilation.NMEM_ENKF_GRP_EOMN - GROUP_NUMBER: 8 # Convert to ENSGRP %02d - task_template: *task_template - ens_more: *eomn_task_template - Rocoto: !expand "{task_template}{ens_more}" - - otherwise: null - - final: !Task - Perform: *final_action - Rocoto: *task_template diff --git a/systems/fv3gfs/exp/runtime/gfs_forecast_workflow.yaml b/systems/fv3gfs/exp/runtime/gfs_forecast_workflow.yaml deleted file mode 100644 index 9c83310..0000000 --- a/systems/fv3gfs/exp/runtime/gfs_forecast_workflow.yaml +++ /dev/null @@ -1,46 +0,0 @@ -gfs_forecast_workflow: !Cycle - Rocoto: *Rocoto - - Clock: *clock - - ics: !Family - getics: !Task - Perform: - <<: *getics_action - CDUMP: gfs - Rocoto: *task_template - - fv3ics: !Task - Perform: - <<: *fv3ics_action - CDUMP: gfs - Rocoto: *task_template - Trigger: !Depend getics - - gfs: !Family - Trigger: !Depend ics - - fcst: !Task - Perform: *fcst_gfs_action - Rocoto: *task_template - - post: !Task - Perform: *post_gfs_action - Rocoto: *task_template - Trigger: !Depend fcst - - vrfy: !Task - Perform: *vrfy_gfs_action - Rocoto: *task_template - Trigger: !Depend post - - arch: !Task - Perform: - <<: *arch_gfs_action - CDUMP: gfs - Rocoto: *task_template - Trigger: !Depend gfs - - final: !Task - Perform: *final_action - Rocoto: *task_template diff --git a/systems/fv3gfs/exp/runtime/rocoto.yaml b/systems/fv3gfs/exp/runtime/rocoto.yaml deleted file mode 100644 index 70ba0f9..0000000 --- a/systems/fv3gfs/exp/runtime/rocoto.yaml +++ /dev/null @@ -1,117 +0,0 @@ -Rocoto: &Rocoto - scheduler: !calc doc.platform.scheduler - parallelism: !calc doc.platform.parallelism -# EXPDIR: !calc doc.places.EXPDIR - max_tries: 1 - - workflow_xml: !expand | - - - - - - - - - ]> - - &LOG_DIR;/rocoto_@Y@m@d@H.log - {to_rocoto.make_time_xml(indent=1)} - {to_rocoto.make_task_xml(indent=1)} - - - task_template: &task_template !expand | - {doc.places.BASE_JOB}/job_wrapper.sh {Perform.J_JOB} - {doc.case.experiment_name}{task_path_str}@@Y@m@d@H - &LOG_DIR;/@Y@m@d@H/{task_path_var}.log - {Perform.walltime} - {Perform.memory} - {sched.rocoto_accounting(Perform.accounting)} - {sched.rocoto_resources(Perform.resources)} - CDATE@Y@m@d@H - - - COMOUTgfs - &COM_DIR;/@Y@m@d@H - - - OLD_COM_DIR - &COM_DIR;/@Y@m@d@H - - - SCRUB_DIR - &SCRUB_DIR;/@Y@m@d@H - - - TASK_PATH - {task_path_var}.Perform - - - MACHINE - {doc.platform.name} - - - machine - {doc.platform.name} - - - BASE_MODULES - {doc.places.BASE_MODULES} - - - CONFIG_YAML - {doc.places.EXPDIR}/config.yaml - - - CROW_DATAFLOW_DB - {doc.places.EXPDIR}/dataflow.db - - - HOMEcrow - {doc.places.HOMEcrow} - - - BASE_JOB - {doc.places.BASE_JOB} - - - HOMEgfs - {doc.places.HOMEgfs} - - - ACTUALLY_RUN - {"YES" if doc.case.ACTUALLY_RUN else "NO"} - - - efcs_task_template: &efcs_task_template !expand | - - NMEM_ENKF - {Perform.NMEM_ENKF} - - - NMEM_ENKF_GRP_EFMN - {Perform.NMEM_ENKF_GRP_EFMN} - - - GROUP_NUMBER - GROUP_NUMBER - - - eomn_task_template: &eomn_task_template !expand | - - NMEM_ENKF - {Perform.NMEM_ENKF} - - - NMEM_ENKF_GRP_EOMN - {Perform.NMEM_ENKF_GRP_EOMN} - - - GROUP_NUMBER - GROUP_NUMBER - - diff --git a/systems/fv3gfs/exp/runtime/runtime.yaml b/systems/fv3gfs/exp/runtime/runtime.yaml deleted file mode 100644 index 5f69a04..0000000 --- a/systems/fv3gfs/exp/runtime/runtime.yaml +++ /dev/null @@ -1,28 +0,0 @@ -runtime: &runtime - com: !calc tools.env('COMINtest') - prior_com: !calc tools.env('COMOLDtest') - -clock: &clock !Clock - start: !calc doc.case.SDATE - end: !calc doc.case.EDATE - step: !timedelta 06:00 - now: !FirstTrue - - do: !calc tools.from_YMDH(tools.env('CDATE')) - when: !calc tools.have_env('CDATE') - - otherwise: null - -clock2: !Clock - start: !calc doc.case.SDATE - end: !calc doc.case.EDATE - step: !timedelta 06:00 - now: !FirstTrue - - do: !calc tools.from_YMDH(tools.env('CDATE')) - when: !calc tools.have_env('CDATE') - - otherwise: null - -test1: - test2: - test3: !FirstTrue - - do: !calc tools.from_YMDH(tools.env('CDATE')) - when: !calc tools.have_env('CDATE') - - otherwise: null diff --git a/systems/fv3gfs/exp/setup_case.py b/systems/fv3gfs/exp/setup_case.py deleted file mode 100755 index 401cc22..0000000 --- a/systems/fv3gfs/exp/setup_case.py +++ /dev/null @@ -1,109 +0,0 @@ -#! /usr/bin/env python3 -f'This script requires python 3.6 or later' - -import os, sys, logging, glob, io, getopt, re -from collections.abc import Sequence - -sys.path.append(os.path.abspath(os.path.join( - os.path.dirname(__file__),'../../..'))) - -from create_comrot import create_COMROT -import crow.config, crow.metascheduler, crow.dataflow -from crow.config import Platform, follow_main - -logger=logging.getLogger("setup_case") - -def epicfail(why): - logger.error(why) - sys.exit(1) - -def read_contents(case): - for case_file in [ case,f"{case}.yaml",f"cases/{case}", - f"cases/{case}.yaml","/" ]: - if os.path.exists(case_file) and case_file!='/': - logger.info(f"{case_file}: file for this case") - break - if case_file == "/": - epicfail(f"{case}: no such case; pick one from in cases/") - if not os.path.exists("user.yaml"): - epicfail("Please copy user.yaml.default to user.yaml and fill in values.") - with io.StringIO() as yfd: - follow_main(yfd,".",{ "case_yaml":case_file, "user_yaml":"user.yaml" }) - yaml=yfd.getvalue() - return crow.config.from_string(yaml) - -def main(): - ( optval, args ) = getopt.getopt(sys.argv[1:],"v",["verbose","force"]) - options=dict(optval) - level=logging.INFO - if '-v' in options or '--verbose' in options: - level=logging.DEBUG - logging.basicConfig(stream=sys.stderr,level=level) - force="--force" in options - - if len(args)!=2: - sys.stderr.write("Format: setup_case.py [-v] [--force] case-name experiment-name\n") - exit(1) - - case=args[0] - experiment_name=args[1] - - logger.info(f"read case {case}") - conf=read_contents(case) - conf.experiment_name=experiment_name - crow.config.validate(conf.case) - logger.info("Remove platforms from configuration.") - for key in list(conf.keys()): - if isinstance(conf[key],Platform) and key!='platform': - del conf[key] - - EXPDIR=conf.places.EXPDIR - logger.info(f'Run directory: {EXPDIR}') - config_yaml=os.path.join(EXPDIR,'config.yaml') - dataflow_db=os.path.join(EXPDIR,'dataflow.db') - - try: - os.makedirs(EXPDIR) - except FileExistsError: - logger.warning(f'{EXPDIR}: exists') - if not force: - logger.error(f'{EXPDIR}: already exists. Delete or use --force.') - sys.exit(1) - logger.warning(f'--force given; will replace config.yaml without ' - 'deleting directory') - - if 'IC_CDUMP' in conf.case and 'IC_DIR' in conf.case: - create_COMROT(conf) - - chosen_workflow=conf.case.workflow - conf.workflow=conf[chosen_workflow] - - suite=crow.config.Suite(conf[chosen_workflow]) - doc=crow.config.document_root(suite) - - expname=conf.case.experiment_name - logger.info(f'Experiment name: {expname}') - - logger.info(f'Generate suite definition') - rocoto_xml=crow.metascheduler.to_rocoto(suite) - logger.info(f'Prepare cached YAML') - yaml=crow.config.to_yaml(doc) - - logger.info(f'Write the config file: {config_yaml}') - with open(config_yaml,'wt') as fd: - fd.write(yaml) - - if not os.path.exists(dataflow_db): - logger.info(f'Write the dataflow sqlite3 file: {dataflow_db}') - df=crow.dataflow.from_suite(suite,dataflow_db) - #Uncomment to dump database to stdout: df.dump(sys.stdout) - - rocoto_xml_file=os.path.join(EXPDIR,f'{expname}.xml') - logger.info(f'Rocoto XML file: {rocoto_xml_file}') - with open(rocoto_xml_file,'wt') as fd: - fd.write(rocoto_xml) - logger.info('Workflow XML file is generated.') - logger.info('Use Rocoto to execute this workflow.') - -if __name__ == "__main__": - main() diff --git a/systems/fv3gfs/exp/test_sections.py b/systems/fv3gfs/exp/test_sections.py deleted file mode 100755 index 433e5eb..0000000 --- a/systems/fv3gfs/exp/test_sections.py +++ /dev/null @@ -1,26 +0,0 @@ -#! /usr/bin/env python3.6 - -import os, sys, logging - -try: - import crow.config -except ModuleNotFoundError: - there=os.path.abspath(os.path.join(os.path.dirname(__file__),'../..')) - sys.path.append(there) - import crow.config -from crow.config import Platform - -logging.basicConfig(stream=sys.stderr,level=logging.INFO, - format='%(module)s:%(lineno)d: %(levelname)8s: %(message)s') -logger=logging.getLogger('setup_expt') - -if len(sys.argv)<3: - logger.error('Format: test_sections.py /path/to/config.yaml sections') - exit(1) - -conf=crow.config.from_file(sys.argv[1]) - -for var in sys.argv[2:]: - val=eval(var,{},conf) - crow.config.validate(val) - print(f'{var} = {val!r}') diff --git a/systems/fv3gfs/exp/user.yaml.default b/systems/fv3gfs/exp/user.yaml.default deleted file mode 100644 index 0182e54..0000000 --- a/systems/fv3gfs/exp/user.yaml.default +++ /dev/null @@ -1,14 +0,0 @@ -user_places: &user_places - <<: *default_places - - PROJECT_DIR: !error Please select a project directory. - # ie. /scratch4/NCEPDEV/ocean - - HOMEgfs: !error Where is your fv3gfs checkout? - # NOTE: Use this: /scratch4/NCEPDEV/nems/noscrub/Samuel.Trahan/fv3gfs-fcst-post/fv3gfs - -accounting: &accounting - # Project for CPU accounting. - Template: *accounting_template - cpu_project: !error What accounting code do I use to submit jobs? # ie.: global - hpss_project: !error Where do I put data on HPSS? # ie.: emc-global diff --git a/systems/fv3gfs/exp/validation/_main.yaml b/systems/fv3gfs/exp/validation/_main.yaml deleted file mode 100644 index 1828e34..0000000 --- a/systems/fv3gfs/exp/validation/_main.yaml +++ /dev/null @@ -1,4 +0,0 @@ -include: - - fv3.yaml - - "*.yaml" - - case.yaml diff --git a/systems/fv3gfs/exp/validation/accounting.yaml b/systems/fv3gfs/exp/validation/accounting.yaml deleted file mode 100644 index 26a825f..0000000 --- a/systems/fv3gfs/exp/validation/accounting.yaml +++ /dev/null @@ -1,12 +0,0 @@ -accounting_template: !Template &accounting_template - cpu_project: - type: string - description: Which project or accounting code to use for cpu time in the batch queue - - hpss_project: - type: string - description: Which project or accounting code to use for HPSS tape storage. - - noscrub_project: - type: string - description: Which project to use for non-scrubbed disk areas diff --git a/systems/fv3gfs/exp/validation/case.yaml b/systems/fv3gfs/exp/validation/case.yaml deleted file mode 100644 index 6bea6e5..0000000 --- a/systems/fv3gfs/exp/validation/case.yaml +++ /dev/null @@ -1,57 +0,0 @@ -case_template: !Template &case_template - experiment_name: - type: string - description: user-defined experiment name - override: !FirstTrue - - when: !calc tools.grep('^[A-Za-z][A-Za-z0-9_]*$',experiment_name) - do: null # no change - - otherwise: !error Experiment names must be alphanumeric and start with a letter. - - gfs_cyc: - type: int - default: 2 - allowed: [ 0, 1, 2, 4 ] - description: | - When to run GFS forecast. Data assimilation is run for every - cycle regardless of these values - * 0: no GFS cycle - * 1: 00Z only - * 2: 00Z and 12Z only - * 4: all 4 cycles (0, 6, 12, 18 Z) - - SDATE: - type: datetime - description: | - First cycle to run. In the cycled workflow, this is a - "half-cycle" in that it only runs the parts of the workflow that - do not require a prior cycle. The first "real" cycle is the - following cycle. - - EDATE: - type: datetime - description: Last cycle to run GDAS. - - master_grid: - type: string - default: "0p25deg" - allowed: [ "1deg", "0p5deg", "0p25deg", "0p125deg" ] - description: Original grid of the post - - DO_RELOCATE: - type: bool - default: NO - allowed: [ NO ] - description: vortex relocation flag - keep as NO - relocate not yet implemented - - ACTUALLY_RUN: - type: bool - default: YES - description: For test purposes only. Keep as YES - - experiment_name: - type: string - default: !calc doc.experiment_name - description: | - User-defined experiment name; should be a-z followed by alphanumeric. - Formerly known as PSLOT. - diff --git a/systems/fv3gfs/exp/validation/chgres.yaml b/systems/fv3gfs/exp/validation/chgres.yaml deleted file mode 100644 index 4de42b7..0000000 --- a/systems/fv3gfs/exp/validation/chgres.yaml +++ /dev/null @@ -1,10 +0,0 @@ -chgres_template: !Template &chgres_template - CHGRESVARS_ENKF: - type: string - default: "use_ufo=.true.,nopdpvv=.true." - - CHGRESTHREAD: - type: int - default: 12 - description: Number of threads to use for chgres - diff --git a/systems/fv3gfs/exp/validation/data_assimilation.yaml b/systems/fv3gfs/exp/validation/data_assimilation.yaml deleted file mode 100644 index 8080b5f..0000000 --- a/systems/fv3gfs/exp/validation/data_assimilation.yaml +++ /dev/null @@ -1,46 +0,0 @@ -data_assimilation_template: !Template &data_assimilation_template - DOHYBVAR: - type: bool - default: YES - description: flag (YES or NO) for hybrid ensemble variational option - NMEM_ENKF: - type: int - default: 80 - allowed: [ 10, 20, 30, 40, 50, 60, 70, 80 ] - description: Number of members of the GFS ENKF ensemble. - NMEM_ENKF_GRP_EOMN: { type: int, default: 10, allowed: [ 10 ] } - NMEM_ENKF_GRP_EFMN: { type: int, default: 10, allowed: [ 10 ] } - RECENTER_ENKF: { type: bool, default: YES } - SMOOTH_ENKF: { type: bool, default: YES } - assim_freq: - type: int - default: 6 - l4densvar: - type: string - allowed: [ '.true.', '.false.' ] - default: '.false.' - lwrite4danl: - type: string - allowed: [ '.true.', '.false.' ] - default: '.false.' - - DO_MAKEPREPBUFR: - type: bool - default: YES - description: If NO, will copy prepbufr from globaldump. Otherwise, generates prepbufr file anew. - - OBSINPUT_INVOBS: - type: string - default: "dmesh(1)=225.0,dmesh(2)=225.0" - OBSQC_INVOBS: - type: string - default: "tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" - RERUN_EOMGGRP: - type: bool - default: YES - - -# These variable largely eliminate the need for explicitly setting -# USH directories, FIX files, PARM files, EXECutables below -# The USER can overwrite components that they wish -# e.g. PRVT is used from the GSI diff --git a/systems/fv3gfs/exp/validation/fv3.yaml b/systems/fv3gfs/exp/validation/fv3.yaml deleted file mode 100644 index e20bc4d..0000000 --- a/systems/fv3gfs/exp/validation/fv3.yaml +++ /dev/null @@ -1,250 +0,0 @@ -fv3_settings_template: !Template &fv3_settings_template - - do_vort_damp: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - description: vorticity and divergence damping - consv_te: - type: float - default: 1. - description: conserve total energy - fv_sg_adj: - type: int - default: 450 - description: time-scale to remove 2dz instability - dspheat: - type: string - default: ".true." - allowed: [ ".true.", ".false." ] - description: dissipative heating - shal_cnv: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - description: shallow convection flag - agrid_vel_rst: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - description: "write velocity restarts on A grid?" - - IEMS: { type: int, default: 1 } - IALB: { type: int, default: 1 } - ISOL: { type: int, default: 2 } - IAER: { type: int, default: 111 } - ICO2: { type: int, default: 2 } - - warm_start: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - - read_increment: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - - restart_interval: - type: int - default: 6 - - LEVS: - type: int - description: Number of vertical levels in FV3 - - FHCYC: - type: int - default: 24 - description: Surface cycle update frequency - - QUILTING: - type: bool - default: YES - description: Should output quilting be used? - - WRITE_NEMSIOFILE: - type: bool - default: YES - description: Should nemsio output be used (yes/true) instead of netcdf (no/false)? - - WRITE_NEMSIOFLIP: - type: bool - default: YES - - nst_anl: - type: bool - default: YES - - DONST: - type: bool - default: YES - - MONO: - type: string - default: "non-mono" - allowed: [ mono, non-mono ] - - MEMBER: - type: int - stage: [ execution ] - default: -1 - description: "-1: control, 0: ensemble mean, >0: ensemble member $MEMBER" - - ISEED: - type: int - default: 0 - stage: [ execution ] - description: > - Default seed for shum, skeb, and sppt, if specific seeds - are not specified. - - SET_STP_SEED: - type: bool - default: YES - description: > - Automatically set seeds for SKEB, SHUM, and SPPT at execution - time based on simulation date and member. - - DO_SHUM: - type: bool - default: NO - if_present: !FirstTrue - - when: !calc DO_SHUM - do: - ISEED_SHUM: { type: int, optional: true } - SHUM: { type: float, default: -999. } - SHUM_TAU: { type: float, default: -999. } - SHUM_LSCALE: { type: float, default: -999. } - - otherwise: null - - DO_SKEB: - type: bool - default: NO - if_present: !FirstTrue - - when: !calc DO_SKEB - do: - ISEED_SHUM: { type: int, optional: true } - SKEB_TAU: { type: float, default: -999. } - SKEB_LSCALE: { type: float, default: -999. } - SKEBNORM: { type: int, default: 1 } - - otherwise: null - - DO_SPPT: - type: bool - default: NO - if_present: !FirstTrue - - when: !calc DO_SPPT==True - do: - ISEED_SHUM: { type: int, optional: true } - SPPT: { type: float } - SPPT_TAU: { type: float, default: -999. } - SPPT_LSCALE: { type: float, default: -999. } - SPPT_SFCLIMIT: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - - otherwise: !Message "Don't SPPT" - - RUN_EFCSGRP: { type: bool, default: NO } - - ncld: { type: int, default: 1 } - nwat: { type: int, default: 2 } - - zhao_mic: { type: bool, default: YES } - - nh_type: { type: string, default: 'nh' } - - USE_COUPLER_RES: { type: bool, default: NO } - - CDUMP: - type: string - allowed: [ gfs, gdas ] - if_present: !Template - DIAG_TABLE: - type: string - stages: [ suite ] - default: !FirstTrue - - when: !calc CDUMP=="gdas" - do: !expand "{doc.places.BASE_GSM}/parm/parm_fv3diag/diag_table_da" - - when: !calc CDUMP=="gfs" - do: !expand "{doc.places.BASE_GSM}/parm/parm_fv3diag/diag_table" - - otherwise: !error "Do not know DIAG_TABLE for CDUMP={CDUMP}" - - CASE: - type: string - allowed: [ 'C48', 'C96', 'C192', 'C384', 'C768', 'C1152', 'C3072' ] - if_present: !FirstTrue - - when: !calc CASE=='C48' - do: !Template - DELTIM: { default: 3600, type: int } - layout_x: { default: 4, type: int } - layout_y: { default: 8, type: int } - WRITE_GROUP: { default: 1, type: int } - WRTTASK_PER_GROUP: { default: 12, type: int } - - - when: !calc CASE=='C96' - do: !Template - DELTIM: { default: 1800, type: int } - layout_x: { default: 4, type: int } - layout_y: { default: 8, type: int } - cdmbgwd: - default: "0.125,3.0" - type: string - description: "mountain blocking and gravity wave drag" - WRITE_GROUP: { default: 1, type: int } - WRTTASK_PER_GROUP: { default: 12, type: int } - - - when: !calc CASE=='C192' - do: !Template - DELTIM: { default: 900, type: int } - layout_x: { default: 4, type: int } - layout_y: { default: 8, type: int } - cdmbgwd: - default: "0.2,2.5" - type: string - description: "mountain blocking and gravity wave drag" - WRITE_GROUP: { default: 1, type: int } - WRTTASK_PER_GROUP: { default: 24, type: int } - - - when: !calc CASE=='C384' - do: !Template - DELTIM: { default: 450, type: int } - layout_x: { default: 4, type: int } - layout_y: { default: 8, type: int } - cdmbgwd: - default: "1.0,1.2" - type: string - description: "mountain blocking and gravity wave drag" - WRITE_GROUP: { default: 2, type: int } - WRTTASK_PER_GROUP: { default: 48, type: int } - - - when: !calc CASE=='C768' - do: !Template - DELTIM: { default: 225, type: int } - layout_x: { default: 8, type: int } - layout_y: { default: 16, type: int } - cdmbgwd: - default: "3.5,0.25" - type: string - description: 'mountain blocking and gravity wave drag' - WRITE_GROUP: { default: 2, type: int } - WRTTASK_PER_GROUP: { default: 96, type: int } - - - when: !calc CASE=='C1152' - do: - DELTIM: { default: 150, type: int } - layout_x: { default: 8, type: int } - layout_y: { default: 16, type: int } - WRITE_GROUP: { default: 2, type: int } - WRTTASK_PER_GROUP: { default: 120, type: int } - - - when: !calc CASE=='C3072' - do: - DELTIM: { default: 90, type: int } - layout_x: { default: 16, type: int } - layout_y: { default: 32, type: int } - WRITE_GROUP: { default: 4, type: int } - WRTTASK_PER_GROUP: { default: 120, type: int } - - - otherwise: null diff --git a/systems/fv3gfs/exp/validation/fv3_forecast.yaml b/systems/fv3gfs/exp/validation/fv3_forecast.yaml deleted file mode 100644 index eb27c97..0000000 --- a/systems/fv3gfs/exp/validation/fv3_forecast.yaml +++ /dev/null @@ -1,530 +0,0 @@ -fv3_forecast_template: !Template &fv3_forecast_template - # Required variables with no defaults: - QUILTING: { type: bool } - cores_per_node: { type: int } - OUTPUT_GRID: { type: string } - - FHZER: { type: int, default: 6 } - NSOUT: { type: int, default: -1 } - ENS_NUM: { type: int, default: 1 } - ntiles: { type: int, default: 6 } - NUM_FILES: { type: int, default: 2 } - hyperthread: { type: bool, default: false } - - filename_base: - type: string - stages: [ execution ] - default: !expand | - '{CDUMP}.t{doc.clock.now:%H}z.atm' '{CDUMP}.t{doc.clock.now:%H}z.sfc' - - rCDUMP: - type: string - allowed: [ gfs, gdas ] - default: !FirstTrue - - when: !calc CDUMP=="gfs" - do: gdas - - otherwise: !calc CDUMP - - FIELD_TABLE: - type: string - default: !expand "{doc.places.PARM_FV3DIAG}/field_table" - - DIAG_TABLE: - type: string - default: !expand "{doc.places.PARM_FV3DIAG}/diag_table" - - DATA_TABLE: - type: string - default: !expand "{doc.places.PARM_FV3DIAG}/data_table" - - domains_stack_size: { type: int, default: 3000000 } - print_memory_usage: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - - res: { type: int, default: !calc "int(CASE[1:])" } - JCAP_CASE: { type: int, default: !calc "2*res-2" } - LONB_CASE: { type: int, default: !calc "4*res" } - LATB_CASE: { type: int, default: !calc "2*res" } - JCAP: { type: int, default: !calc JCAP_CASE } - LONB: { type: int, default: !calc LONB_CASE } - LATB: { type: int, default: !calc LATB_CASE } - JCAP_STP: { type: int, default: !calc JCAP_CASE } - LONB_STP: { type: int, default: !calc LONB_CASE } - LATB_STP: { type: int, default: !calc LATB_CASE } - npx: { type: int, default: !calc res+1 } - npy: { type: int, default: !calc res+1 } - npz: { type: int, default: !calc LEVS-1 } - - FNGLAC: { type: string, default: !expand "{doc.places.FIX_AM}/global_glacier.2x2.grb" } - FNMXIC: { type: string, default: !expand "{doc.places.FIX_AM}/global_maxice.2x2.grb"} - FNTSFC: { type: string, default: !expand "{doc.places.FIX_AM}/RTGSST.1982.2012.monthly.clim.grb"} - FNSNOC: { type: string, default: !expand "{doc.places.FIX_AM}/global_snoclim.1.875.grb"} - FNZORC: { type: string, default: "igbp"} - FNALBC2: { type: string, default: !expand "{doc.places.FIX_AM}/global_albedo4.1x1.grb"} - FNAISC: { type: string, default: !expand "{doc.places.FIX_AM}/CFSR.SEAICE.1982.2012.monthly.clim.grb"} - FNTG3C: { type: string, default: !expand "{doc.places.FIX_AM}/global_tg3clim.2.6x1.5.grb"} - FNVEGC: { type: string, default: !expand "{doc.places.FIX_AM}/global_vegfrac.0.144.decpercent.grb"} - FNMSKH: { type: string, default: !expand "{doc.places.FIX_AM}/seaice_newland.grb"} - FNVMNC: { type: string, default: !expand "{doc.places.FIX_AM}/global_shdmin.0.144x0.144.grb"} - FNVMXC: { type: string, default: !expand "{doc.places.FIX_AM}/global_shdmax.0.144x0.144.grb"} - FNSLPC: { type: string, default: !expand "{doc.places.FIX_AM}/global_slope.1x1.grb"} - - FNALBC: - type: string - default: !expand "{doc.places.FIX_AM}/global_snowfree_albedo.bosu.t{JCAP}.{LONB}.{LATB}.rg.grb" - override: !FirstTrue - - when: !calc not tools.isfile(FNALBC) - do: "{doc.places.FIX_AM}/global_snowfree_albedo.bosu.t1534.3072.1536.rg.grb" - - otherwise: null - - FNVETC: - type: string - default: !expand "{doc.places.FIX_AM}/global_vegtype.igbp.t{JCAP}.{LONB}.{LATB}.rg.grb" - override: !FirstTrue - - when: !calc not tools.isfile(FNVETC) - do: "{doc.places.FIX_AM}/global_vegtype.igbp.t1534.3072.1536.rg.grb" - - otherwise: null - - FNSOTC: - type: string - default: !expand "{doc.places.FIX_AM}/global_soiltype.statsgo.t{JCAP}.{LONB}.{LATB}.rg.grb" - otherwise: !FirstTrue - - when: !calc tools.isfile(FNSOTC) - do: "{doc.places.FIX_AM}/global_soiltype.statsgo.t1534.3072.1536.rg.grb" - - otherwise: null - - FNABSC: - type: string - default: !expand "{doc.places.FIX_AM}/global_mxsnoalb.uariz.t{JCAP}.{LONB}.{LATB}.rg.grb" - otherwise: !FirstTrue - - when: !calc tools.isfile(FNABSC) - do: "{doc.places.FIX_AM}/global_mxsnoalb.uariz.t1534.3072.1536.rg.grb" - - otherwise: null - - FNTSFA: { type: string, default: "" } - FNACNA: { type: string, default: "" } - FNSNOA: { type: string, default: "" } - - FNSMCC: - type: string - default: !expand "{doc.places.FIX_AM}/global_soilmgldas.t{JCAP}.{LONB}.{LATB}.grb" - otherwise: !FirstTrue - - when: !calc tools.isfile(FNSMCC) - do: "{doc.places.FIX_AM}/global_soilmgldas.t1534.3072.1536.grb" - - otherwise: null - - nstf_name: - type: string - default: "0,0,0,0,0" - description: | - nstf_name contains the NSST related parameters - nstf_name(1) : 0 = NSSTM off, 1 = NSSTM on but uncoupled, 2 = NSSTM on and coupled - nstf_name(2) : 0 = NSSTM spin up off, 1 = NSSTM spin up on, - nstf_name(3) : 0 = NSSTM analysis off, 1 = NSST analysis on - nstf_name(4) : zsea1 in mm - nstf_name(5) : zsea2 in mm - - io_layout: - type: string - default: "1,1" - - blocksize: - type: int - default: 32 - description: | - Comment from exglobal_fcst_nemsfv3gfs.sh: - blocking factor used for threading and general physics performance - - nyblocks=`expr \( $npy - 1 \) \/ $layout_y ` - nxblocks=`expr \( $npx - 1 \) \/ $layout_x \/ 32` - if [ $nxblocks -le 0 ]; then nxblocks=1 ; fi - - warm_start: - type: string - allowed: [ ".true.", ".false." ] - description: | - True = warm start from restart file - False = CHGRES GFS analyses - if_present: !FirstTrue - - when: !calc warm_start==".true." - do: !Template - na_init: { type: int, default: 0 } - nggps_ic: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - ncep_ic: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - external_ic: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - mountain: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - - res_latlon_dynamics: - description: Add increment on the fly to the restarts - type: string - default: !FirstTrue - - when: !calc read_increment == ".true." - do: "fv3_increment.nc" - - otherwise: "" - - otherwise: !Template - na_init: { type: int, default: 1 } - - nggps_ic: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - ncep_ic: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - external_ic: - type: string - allowed: [ ".true.", ".false." ] - override: ".true." - mountain: - type: string - allowed: [ ".true.", ".false." ] - override: ".false." - read_increment: - type: string - allowed: [ ".true.", ".false." ] - override: ".false." - res_latlon_dynamics: - type: string - default: "" - - filtered_terrain: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - - gfs_dwinds: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - - no_dycore: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - - adiabatic: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - - dycore_only: - type: string - allowed: [ ".true.", ".false." ] - default: !calc adiabatic - - chksum_debug: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - - gfs_phys_debug: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - - print_esmf: { type: bool, default: true } - RUN_CONTINUE: { type: bool, default: false } - ENS_SPS: { type: bool, default: false } - calendar: { type: string, default: "julian" } - memuse_verbose: { type: bool, default: false } - - - print_freq: - type: int - default: 6 - - - k_split: { type: int, default: 2 } - n_split: { type: int, default: 6 } - - hord_mt_nh_mono: { type: int, default: 10 } - hord_xx_nh_mono: { type: int, default: 10 } - vtdm4_nh_mono: { type: float, default: 0.05 } - - hord_mt_hydro_mono: { type: int, default: 10 } - hord_xx_hydro_mono: { type: int, default: 10 } - vtdm4_hydro_mono: { type: float, default: 0.05 } - - hord_mt_nh_nonmono: { type: int, default: 5 } - hord_xx_nh_nonmono: { type: int, default: 5 } - vtdm4_nh_nonmono: { type: float, default: 0.06 } - - hord_mt_hydro_nonmono: { type: int, default: 10 } - hord_xx_hydro_nonmono: { type: int, default: 10 } - vtdm4_hydro_nonmono: { type: float, default: 0.05 } - - nh_type: - type: string - default: "nh" - if_present: !FirstTrue - - when: !calc nh_type == "nh" - do: !Template - hord_mt_mono: { type: int, default: !calc hord_mt_nh_mono } - hord_xx_mono: { type: int, default: !calc hord_xx_nh_mono } - vtdm4_mono: { type: float, default: !calc vtdm4_nh_mono } - hord_mt_nonmono: { type: int, default: !calc hord_mt_nh_nonmono } - hord_xx_nonmono: { type: int, default: !calc hord_xx_nh_nonmono } - vtdm4_nonmono: { type: float, default: !calc vtdm4_nh_nonmono } - hydrostatic: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - phys_hydrostatic: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - description: enable heating in hydrostatic balance in non-hydrostatic simulation - use_hydro_pressure: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - description: use hydrostatic pressure for physics - make_nh: - type: string - allowed: [ ".true.", ".false." ] - default: !FirstTrue - - when: !calc warm_start==".true." - do: ".false." - - otherwise: ".true." - - otherwise: !Template - hord_mt_mono: { type: int, default: !calc hord_mt_hydro_mono } - hord_xx_mono: { type: int, default: !calc hord_xx_hydro_mono } - vtdm4_mono: { type: float, default: !calc vtdm4_hydro_mono } - hord_mt_nonmono: { type: int, default: !calc hord_mt_hydro_nonmono } - hord_xx_nonmono: { type: int, default: !calc hord_xx_hydro_nonmono } - vtdm4_nonmono: { type: float, default: !calc vtdm4_hydro_nonmono } - hydrostatic: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - phys_hydrostatic: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - description: ignored when hydrostatic is true - use_hydro_pressure: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - description: ignored when hydrostatic is true - make_nh: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - - MONO: - type: string - default: "non-mono" - allowed: [ mono, non-mono ] - if_present: !FirstTrue - - when: !calc MONO == "mono" - do: !Template - d_con_mono: { type: float, default: 0. } - d_con: { type: float, override: !calc d_con_mono } - do_vort_damp: { type: string, override: ".false." } - hord_mt: { type: int, override: !calc hord_mt_mono } - hord_xx: { type: int, override: !calc hord_xx_mono } - vtdm4: { type: float, override: !calc vtdm4_mono } - - otherwise: !Template - d_con_nonmono: { type: float, default: 1. } - d_con: { type: float, override: !calc d_con_nonmono } - do_vort_damp: { type: string, override: ".true." } - hord_mt: { type: int, override: !calc hord_mt_nonmono } - hord_xx: { type: int, override: !calc hord_xx_nonmono } - vtdm4: { type: float, override: !calc vtdm4_nonmono } - - - - fv_debug: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - - range_warn: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - n_sponge: - type: int - default: 10 - nudge_qv: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - nudge_dz: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - - tau: { type: float, default: 10. } - rf_cutoff: { type: float, default: 7.5e+2 } - d2_bg_k1: { type: float, default: 0.15 } - d2_bg_k2: { type: float, default: 0.02 } - kord_tm: { type: int, default: -9 } - kord_mt: { type: int, default: 9 } - kord_wz: { type: int, default: 9 } - kord_tr: { type: int, default: 9 } - nwat: { type: int, default: 2 } - dnats: { type: int, default: 0 } - fv_sg_adj: { type: int, default: 450 } - nord: { type: int, default: 3 } - dddmp: { type: float, default: 0.2 } - d4_bg: { type: float, default: 0.15 } - delt_max: { type: float, default: 0.002 } - external_eta: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - gfs_phil: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - hord_tr: { type: int, default: 8 } - adjust_dry_mass: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - do_sat_adj: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - hord_dp: { type: int, default: !calc -hord_xx } - agrid_vel_rst: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - - ldiag3d: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - use_ufo: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - pre_rad: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - ncld: - type: int - default: 1 - pdfcld: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - FHSWR: { type: float, default: 3600. } - FHLWR: { type: float, default: 3600. } - isubc_sw: { type: int, default: 2 } - isubc_lw: { type: int, default: 2 } - lwhtr: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - swhtr: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - cnvgwd: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - shal_cnv: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - cal_pre: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - redrag: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - dspheat: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - hybedmf: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - random_clds: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - trans_trac: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - cnvcld: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - imfshalcnv: { type: int, default: 2 } - imfdeepcnv: { type: int, default: 2 } - cdmbgwd: { type: string, default: "3.5,0.25" } - prslrd0: { type: float, default: 0. } - ivegsrc: { type: int, default: 1 } - isot: { type: int, default: 1 } - psautco: { type: string, default: "0.0008,0.0005" } - prautco: { type: string, default: "0.00015,0.00015" } - - fdiag: { type: int, default: !calc FHOUT } - - LDEBUG: - type: string - allowed: [ ".true.", ".false." ] - default: ".false." - - FSMCL2: { type: int, default: 99999 } - FSMCL3: { type: int, default: 99999 } - FSMCL4: { type: int, default: 99999 } - - FTSFS: { type: int, default: 90 } - FAISL: { type: int, default: 99999 } - FAISS: { type: int, default: 99999 } - FSNOL: { type: int, default: 99999 } - FSNOS: { type: int, default: 99999 } - - SPPT_LOGIT: - type: string - allowed: [ ".true.", ".false." ] - default: ".true." - - restart_dt: - type: timedelta - default: !FirstTrue - - when: !calc restart_interval > 0 - do: !calc tools.to_timedelta(restart_interval*3600) - - otherwise: !calc tools.to_timedelta(FHMAX*3600) - - amip_interp_nml: { type: string, default: "" } - atmos_model_nml: { type: string, default: "" } - diag_manager_nml: { type: string, default: "" } - fms_io_nml: { type: string, default: "" } - fms_nml: { type: string, default: "" } - fv_core_nml: { type: string, default: "" } - external_ic_nml: { type: string, default: "" } - gfs_physics_nml: { type: string, default: "" } - nggps_diag_nml: { type: string, default: "" } - interpolator_nml: { type: string, default: "" } - namsfc_nml: { type: string, default: "" } - fv_grid_nml: { type: string, default: "" } - nam_stochy_nml: { type: string, default: "" } - diff --git a/systems/fv3gfs/exp/validation/obsproc.yaml b/systems/fv3gfs/exp/validation/obsproc.yaml deleted file mode 100644 index 8a2d8c7..0000000 --- a/systems/fv3gfs/exp/validation/obsproc.yaml +++ /dev/null @@ -1,119 +0,0 @@ -obsproc_template: !Template &obsproc_template - SYNDATA: - type: bool - default: YES - description: Execute program SYNDAT_SYNDATA - PREPACQC: - type: bool - default: YES - description: Execute program PREPOBS_PREPACQC - PROCESS_ACQC: - type: bool - default: YES - description: Execute program PREPOBS_PREPACQC - PROCESS_ACPF: - type: bool - default: NO - descriptioN: Execute program PREPOBS_PREPACPF - PROFCQC: - type: bool - default: YES - description: Execute program PREPOBS_PROFCQC - CQCVAD: - type: bool - default: YES - description: Execute program PREPOBS_CQCVAD - CQCBUFR: - type: bool - default: YES - description: Execute program PREPOBS_CQCBUFR - OIQCBUFR: - type: bool - default: NO - description: Execute program PREPOBS_OIQCBUFR - PREPDATA: - type: bool - default: YES - description: > - Execute program PREPOBS_MPCOPYBUFR, PREPOBS_PREPDATA, - PREPOBS_LISTHEADERS, PREPOBS_MONOPREPBUFR - GETGUESS: - type: bool - default: YES - description: > - Encode first guess (background) values interpolated to - observation locations in the PREPBUFR file for use by - the q.c. programs. This guess is always from a global - guess file valid at the center PREPBUFR processing date/time. - DO_QC: - type: bool - default: YES - description: > - IF NO, programs PREPOBS_PREPACQC, PREPOBS_ACARSQC, - PREPOBS_PROFCQC, PREPOBS_CQCVAD, PREPOBS_CQCBUFR and - PREPOBS_OIQCBUFR will NEVER execute regardless of other - switches. Should be set to NO only as a last resort!!! - override: !FirstTrue - - when: !calc DO_QC - do: null - message: "Enabling quality control." - - when: !calc not DO_QC - do: null - message: > - WARNING: DO_QC=NO. Disabling quality control! - You are being naughty! - - otherwise: null - - BUFRLIST: - type: string - description: BUFR data types to process - default: "adpupa proflr aircar aircft satwnd adpsfc sfcshp vadwnd wdsatr ascatw rassda gpsipw" - - NSPLIT: - type: int - default: 4 - description: execute in parallel - - HOMEobsproc_prep: { type: string, default: !calc doc.places.BASE_PREP } - HOMEobsproc_network: { type: string, default: !calc doc.places.BASE_PREP_GLOBAL } - EXECPREP: { type: string, default: !expand "{doc.places.BASE_PREP}/exec" } - FIXPREP: { type: string, default: !expand "{doc.places.BASE_PREP}/fix" } - PARMPREP: { type: string, default: !expand "{doc.places.BASE_PREP_GLOBAL}/parm" } - - USHSYND: { type: string, optional: true } #"$BASE_PREP/ush" - USHPREV: { type: string, optional: true } #"$BASE_PREP/ush" - USHCQC: { type: string, optional: true } #"$BASE_PREP/ush" - USHPQC: { type: string, optional: true } #"$BASE_PREP/ush" - USHVQC: { type: string, optional: true } #"$BASE_PREP/ush" - USHAQC: { type: string, optional: true } #"$BASE_PREP/ush" - USHOIQC: { type: string, optional: true } #"$BASE_PREP/ush" - - # Fix files - CQCS: { type: string, optional: true } #"$BASE_PREP/fix/prepobs_cqc_statbge" - LANDC: {type: string, optional: true } #"$BASE_PREP/fix/prepobs_landc" - PRPT: { type: string, optional: true } #"$BASE_PREP/fix/prepobs_prep.bufrtable" - PRVT: - type: string - default: !expand "{doc.places.BASE_GSI}/fix/prepobs_errtable.global" - OIQCT: { type: string, optional: true } #"$BASE_PREP_GLOBAL/fix/prepobs_oiqc.oberrs" - - # parm files - AQCC: { type: string, optional: true } #"$BASE_PREP_GLOBAL/parm/prepobs_prepacqc.${CDUMP}.parm" - CQCC: { type: string, optional: true } #"$BASE_PREP_GLOBAL/parm/prepobs_cqcbufr.gdas.parm" - PRPC: { type: string, optional: true } #"$BASE_PREP_GLOBAL/parm/prepobs_prepdata.${CDUMP}.parm" - PQCC: { type: string, optional: true } #"$BASE_PREP_GLOBAL/parm/prepobs_profcqc.gdas.parm" - SYNDC: { type: string, optional: true } #"$BASE_PREP_GLOBAL/parm/syndat_syndata.gdas.parm" - - # Executables - PRPX: {type: string, optional: true } #"$BASE_PREP/exec/prepobs_prepdata" - PREX: {type: string, optional: true } #"$BASE_PREP/exec/prepobs_prevents" - AQCX: {type: string, optional: true } #"$BASE_PREP/exec/prepobs_prepacqc" - PQCX: {type: string, optional: true } #"$BASE_PREP/exec/prepobs_profcqc" - CQCX: {type: string, optional: true } #"$BASE_PREP/exec/prepobs_cqcbufr" - SYNDX: {type: string, optional: true} #"$BASE_PREP/exec/syndat_syndata" - MPCOPYX: {type: string, optional: true} #"$BASE_PREP/exec/prepobs_mpcopybufr" - LISTHDX: {type: string, optional: true} #"$BASE_PREP/exec/prepobs_listheaders" - MONOBFRX: {type: string, optional: true} #"$BASE_PREP/exec/prepobs_monoprepbufr" - VQCX: {type: string, optional: true} #"$BASE_PREP/exec/prepobs_cqcvad" - OIQCX: {type: string, optional: true} #"$BASE_PREP/exec/prepobs_oiqcbufr" - diff --git a/systems/fv3gfs/exp/validation/output.yaml b/systems/fv3gfs/exp/validation/output.yaml deleted file mode 100644 index fc16325..0000000 --- a/systems/fv3gfs/exp/validation/output.yaml +++ /dev/null @@ -1,52 +0,0 @@ -gfs_output_settings_template: !Template &gfs_output_settings_template - FHOUT_GFS: - type: int - default: 3 - description: GFS forecast output frequency in hours - FHMAX_GFS: - type: int - description: GFS forecast length in hours - FHMIN_GFS: - type: int - default: 0 - description: GFS forecast initial hour for output - - FHMIN_ENKF: - type: int - default: 3 - description: GDAS ENKF initial hour for output - FHMAX_ENKF: - type: int - default: 9 - description: GDAS ENKF forecast length - FHOUT_ENKF: - type: int - default: 3 - description: GDAS ENKF output frequency in hours - - FHMIN_GDAS: - type: int - default: 0 - description: GDAS initial hour for output - FHMAX_GDAS: - type: int - default: 9 - description: GDAS forecast length - FHOUT_GDAS: - type: int - default: 3 - description: GDAS output frequency in hours - - FHMAX_HF_GFS: - type: int - default: 0 - description: Last forecast hour with high-frequency output for gfs - FHOUT_HF_GFS: - type: int - default: 1 - description: Output frequency until FHMAX_HF_GFS hours. - - NCO_NAMING_CONV: - type: bool - default: YES - description: "YES = use standard, operational, naming conventions. NO = use non-standard naming conventions" diff --git a/systems/fv3gfs/exp/validation/vrfy.yaml b/systems/fv3gfs/exp/validation/vrfy.yaml deleted file mode 100644 index 805bb4c..0000000 --- a/systems/fv3gfs/exp/validation/vrfy.yaml +++ /dev/null @@ -1,353 +0,0 @@ - -CYC_TRACK_VARS: &CYC_TRACK_VARS !Template - TRACKERSH: - type: string - default: !expand "{BASE_GSM}/ush/global_tracker.sh" - PARATRKR: - type: string - default: !expand "{BASE_GSM}/ush/global_extrkr.sh" - GETTRKEXEC: - type: string - default: !expand "{BASE_GSM}/exec/gettrk" - GETTX: - type: string - default: !calc GETTRKEXEC - SUPVX: - type: string - default: !expand "{BASE_GSM}/exec/supvit" - HOMERELO: - type: string - default: !calc BASE_GSM - homesyndir: - type: string - default: !calc BASE_GSM - FHMIN: { type: int, default: 0 } - FHMIN_ENKF: { type: int, default: !calc doc.gfs_output_settings.FHMIN_ENKF } - FHMAX_ENKF: { type: int, default: !calc doc.gfs_output_settings.FHMAX_ENKF } - FHOUT_ENKF: { type: int, default: !calc doc.gfs_output_settings.FHOUT_ENKF } - FHMAX_GFS: { type: int, default: !calc doc.gfs_output_settings.FHMAX_GFS } - FHMAX2_GFS: { type: int, default: !calc FHMAX_GFS } - FHOUT: - type: int - default: !FirstTrue - - when: !calc CDUMP=="gfs" - do: !calc FHOUT_GFS - - when: !calc CDUMP=="gdas" - do: !calc doc.gfs_output_settings.FHOUT_GDAS - - otherwise: !error "FHOUT undefined!" - FHMAX: - type: int - default: !FirstTrue - - when: !calc CDUMP=="gfs" - do: !calc FHMAX2_GFS - - when: !calc CDUMP=="gdas" - do: !calc doc.gfs_output_settings.FHMAX_GDAS - - otherwise: !error "FHMAX undefined!" - - FHMAX2: { type: int, default: !calc FHMAX2_GFS } - - COMROOTp1: - type: string - default: !FirstTrue - - when: !calc doc.platform.name=="THEIA" - do: "/scratch4/NCEPDEV/rstprod/com" - - otherwise: !error "COMROOTp1 undefined!" - archsyndir: - type: string - default: !FirstTrue - - when: !calc doc.platform.name=="THEIA" - do: !expand "{COMROOTp1}/arch/prod/syndat" - - otherwise: !error "archsyndir undefined!" - -CYC_GEN_VARS: &CYC_GEN_VARS !Template - NWROOTGENESIS: - type: string - default: !FirstTrue - - when: !calc doc.platform.name=="WCOSS_C" - do: "/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/gfs/q3fy17" - - when: !calc doc.platform.name=="THEIA" - do: "/scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17" - - otherwise: !error "doc.platform.name not supported!" - UTILROOT: - type: string - default: !FirstTrue - - when: !calc doc.platform.name=="THEIA" - do: "/scratch4/NCEPDEV/global/save/glopara/nwpara/prod_util.v1.0.15" - - otherwise: null - GETTX_GEN: - type: string - default: !FirstTrue - - when: !calc doc.platform.name=="THEIA" - do: !expand "{NWROOTGENESIS}/ens_tracker.v2.0.1/exec/gettrk_gen_g2_theia" - - otherwise: null - GENESISSH: - type: string - default: !FirstTrue - - when: !calc ( doc.platform.name=="WCOSS_C" or doc.platform.name=="THEIA" ) - do: !expand "{NWROOTGENESIS}/ens_tracker.v2.0.1/gfs_genesis_para_fv3gfs.sh" - - when: !calc ( not doc.platform.name=="WCOSS_C" and not doc.platform.name=="THEIA" ) - do: "" - - otherwise: null - -MIN_VARS: &MIN_VARS !Template - HOMEgfs: - type: string - default: !expand "{BASE_SVN}/fv3gfs/trunk/gfs.v15.0.0" - HOMEminmon: - type: string - default: !calc BASE_GSM - MINMON_SUFFIX: - type: string - default: !calc doc.case.experiment_name - M_TANKverf: - type: string - default: !expand "{NOSCRUB}/minmon" - VRFYMINSH: - type: string - default: !FirstTrue - - when: !calc ( CDUMP=="gdas" and ( doc.platform.name=="WCOSS_C" or doc.platform.name=="THEIA" ) ) - do: !expand "{HOMEgdas}/jobs/JGDAS_VMINMON" - - when: !calc ( CDUMP=="gfs" and ( doc.platform.name=="WCOSS_C" or doc.platform.name=="THEIA" ) ) - do: !expand "{HOMEgfs}/jobs/JGDAS_VMINMON" - - otherwise : null - message: !expand "WARNING: Minimization monitoring is not enabled on {doc.platform.name}!" - -RAD_VARS: &RAD_VARS !Template - HOMEradmon: - type: string - default: !calc BASE_GSM - RADMON_SUFFIX: - type: string - default: !calc doc.case.experiment_name - TANKverf: - type: string - default: !expand "{NOSCRUB}/radmon" - VRFYRADSH: - type: string - default: !FirstTrue - - when: !calc ( doc.platform.name=="WCOSS_C" or doc.platform.name=="THEIA" ) - do: !expand "{HOMEgdas}/jobs/JGDAS_VERFRAD" - - when: !calc ( not doc.platform.name=="WCOSS_C" and not doc.platform.name=="THEIA" ) - message: !expand "WARNING: Radiance monitoring is not enabled on {doc.platform.name}!" - do: null - - otherwise: null - -OZN_VARS: &OZN_VARS !Template -# echo "WARNING: Ozone Monitoring is just a stub for now!" - VRFYOZN: - type: bool - default: NO - OZNDIR: - type: string - stages: [ execution ] - default: !expand "{NOSCRUB}/{tools.env('LOGNAME')}/ozone/stats/{doc.case.experiment_name}" - VRFYOZNSH: - type: string - default: "" - BASEDIR_OZNMON: - type: string - default: !expand "{doc.places.BASE_OZNMON}/util/Ozone_Monitor" - -MIN_RAD_OZN_VARS: &MIN_RAD_OZN_VARS !Template - HOMEgdas: - type: string - default: !calc BASE_GDAS - envir: - type: string - default: "para" - -VRFY_CDUMP_GFS_VARS: &VRFY_CDUMP_GFS_VARS !Template - BACKDATEVSDB: - type: int - default: 24 - VBACKUP_PRCP: - type: int - default: 24 - vsdbsave: - type: string - default: !expand "{NOSCRUB}/archive/vsdb_data" - vsdbhome: - type: string - default: !calc BASE_VERIF - VSDBSH: - type: string - default: !expand "{vsdbhome}/vsdbjob.sh" - vlength: - type: int - default: !calc FHMAX_GFS - vhr_rain: - type: int - default: !calc FHMAX_GFS - ftyplist: - type: string - default: "pgbq" - -vrfy_template_gfs: &vrfy_template_gfs !Template # for "CDUMP = gfs" - VRFY_CDUMP_GFS: - type: bool - default: !FirstTrue - - when: !calc ( VSDB_STEP1 or VRFYPRCP or VRFYG2OBS ) - do: YES - - otherwise: NO - if_present: !FirstTrue - - when: !calc ( VSDB_STEP1 or VRFYPRCP or VRFYG2OBS ) - do: *VRFY_CDUMP_GFS_VARS - message: "VRFY_CDUMP_GFS_VARS loaded!" - - otherwise: null - -vrfy_template: &vrfy_template !Template - # verifying dump - VDUMP: - type: string - allowed: [ "gfs", "gdas" ] - - CDUMPFCST: - description: Fit-to-obs with GDAS/GFS prepbufr - type: string - allowed: [ "gfs", "gdas" ] - - CDFNL: - description: Scores verification against GDAS/GFS analysis - type: string - allowed: [ "gfs", "gdas" ] - - VSDB_STEP1: - description: populate VSDB database - type: bool - default: NO - - VSDB_STEP2: - type: bool - default: NO - - VRFYG2OBS: - description: Grid to observations - type: bool - default: NO - - VRFYFITS: - description: Fit to observations - type: bool - default: NO - - VRFYPRCP: - description: Precip threat scores - type: bool - default: NO - - VRFYMINMON: - type: bool - default: NO - if_present: !FirstTrue - - when: !calc VRFYMINMON - do: *MIN_VARS - - otherwise: null - message: !expand "WARNING: Minimization monitoring is turned off!" - - VRFYRAD: - description: Radiance data assimilation monitoring - type: bool - default: NO - - VRFYOZN: - type: bool - default: NO - if_present: !FirstTrue - - when: !calc VRFYOZN - message: "WARNING: Ozone Monitoring is just a stub for now!" - do: *OZN_VARS - - otherwise: null - - VRFYTRAK: - description: Hurricane track forecasts - type: bool - default: NO - if_present: !FirstTrue - - when: !calc VRFYTRAK - do: *CYC_TRACK_VARS - - otherwise: null - - VRFYGENESIS: - type: bool - default: NO - if_present: !FirstTrue - - when: !calc VRFYGENESIS - do: *CYC_GEN_VARS - - otherwise: null - - VRFYGMPK: - description: Gempak verification - type: bool - default: NO - - nth_vrfy: - type: int - default: 1 - -# End of user speficied variables -# - fitdir: - type: string - default: !FirstTrue - - when: !calc doc.platform.name=="WCOSS_C" - do: !expand "{BASE_SVN}/verif/global/parafits.fv3nems/batrun" - - when: !calc doc.platform.name=="THEIA" - do: !expand "{BASE_SVN}/verif/global/parafits.fv3nems/batrun" - - otherwise: !error "Do not know fitdir for this platform" - - PREPQFITSH: - type: string - default: !FirstTrue - - when: !calc ( doc.platform.name=="WCOSS_C" and VRFYFITS ) - do: !expand "{fitdir}/subfits_cray_nems" - message: WCOSS_C fits file - - when: !calc ( doc.platform.name=="THEIA" and VRFYFITS ) - do: !expand "{fitdir}/subfits_theia_nems" - message: "THEIA fits file" - - when: !calc not VRFYFITS - do: "/dev/null" - - otherwise: !error "Do not know subfits file (PREPQFITSH) for {doc.platform.name}" - -# VRFY_CDUMP_GFS: -# type: bool -# default: !FirstTrue -# - when: !calc ( CDUMP=="gfs" and ( VSDB_STEP1 or VRFYPRCP or VRFYG2OBS ) ) -# do: YES -# - otherwise: NO -# if_present: !FirstTrue -# - when: !calc ( CDUMP=="gfs" and ( VSDB_STEP1 or VRFYPRCP or VRFYG2OBS ) ) -# do: *VRFY_CDUMP_GFS_VARS -# message: "VRFY_CDUMP_GFS_VARS unavailable!" -# - otherwise: null - - NO_VRFYMINSH: - type: bool - default: NO - override: !FirstTrue - - when: !calc ( not doc.platform.name=="THEIA" and not doc.platform.name=="WCOSS_C" ) - do: YES - message: !expand "WARNING: Minimization monitoring is not enabled on {doc.platform.name}!" - - otherwise: null - - MIN_RAD_OZN: - type: bool - default: !FirstTrue - - when: !calc ( VRFYRAD or VRFYMINMON or VRFYOZN ) - do: YES - - otherwise: NO - if_present: !FirstTrue - - when: !calc ( VRFYRAD or VRFYMINMON or VRFYOZN ) - do: *MIN_RAD_OZN_VARS - - otherwise: null - - RAD: - type: bool - default: !FirstTrue - - when: !calc ( VRFYRAD and CDUMP==CDFNL ) - do: YES - - otherwise: NO - if_present: !FirstTrue - - when: !calc ( VRFYRAD and CDUMP==CDFNL ) - do: *RAD_VARS - - otherwise: null - message: !expand "WARNING: Radiance monitoring is turned off!" diff --git a/systems/fv3gfs/exp/validation/workflow.yaml b/systems/fv3gfs/exp/validation/workflow.yaml deleted file mode 100644 index ba448f8..0000000 --- a/systems/fv3gfs/exp/validation/workflow.yaml +++ /dev/null @@ -1,30 +0,0 @@ -known_workflows: !Template &known_workflows - workflow: - type: string - allowed: [ cycled_workflow, gfs_forecast_workflow, crow_sh_fcst_workflow, - crow_sh_df_fcst_workflow, crow_exe_fcst_workflow ] - if_present: !FirstTrue - - when: !calc workflow=="cycled_workflow" - do: !Template - IC_CDUMP: - type: string - allowed: [ gdas, gfs ] - description: Get initial conditions from 1st cycle from gfs or gdas - default: GDAS - IC_DIR: - type: string - description: Disk location of initial conditions for cycled workflow - - otherwise: !Template - ics_from: - type: string - allowed: [ opsgfs, pargfs ] - default: opsgfs - if_present: !Template - parexp: - default: prnemsrn - type: string - description: Name of a GFS parallel experiment to pull from tape - HPSS_PAR_PATH: - default: !expand "/5year/NCEPDEV/emc-global/emc.glopara/WCOSS_C/{parexp}" - type: string - description: Path to HPSS tapes with GFS parallel data diff --git a/systems/fv3gfs/jobs/anal.sh b/systems/fv3gfs/jobs/anal.sh deleted file mode 100755 index c294d96..0000000 --- a/systems/fv3gfs/jobs/anal.sh +++ /dev/null @@ -1,110 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ -# $Revision: 98721 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: anal.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 - -## Abstract: -## Analysis driver script -## EXPDIR : /full/path/to/config/files -## CDATE : current analysis date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -############################################################### - -set -ex -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) - -############################################################### -# Set script and dependency variables -export GDATE=$($NDATE -$assim_freq $CDATE) - -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) -gymd=$(echo $GDATE | cut -c1-8) -ghh=$(echo $GDATE | cut -c9-10) - -export OPREFIX="${CDUMP}.t${chh}z." -export GPREFIX="gdas.t${ghh}z." -export GSUFFIX=".nemsio" -export APREFIX="${CDUMP}.t${chh}z." -export ASUFFIX=".nemsio" - -export COMIN_GES="$ROTDIR/gdas.$gymd/$ghh" -export COMIN_GES_ENS="$ROTDIR/enkf.gdas.$gymd/$ghh" -export COMOUT="$ROTDIR/$CDUMP.$cymd/$chh" -export DATA="$RUNDIR/$CDATE/$CDUMP/anal" -[[ -d $DATA ]] && rm -rf $DATA - -export ATMGES="$COMIN_GES/${GPREFIX}atmf006${GSUFFIX}" -if [ ! -f $ATMGES ]; then - echo "FILE MISSING: ATMGES = $ATMGES" - exit 1 -fi -if [ $DOHYBVAR = "YES" ]; then - export ATMGES_ENSMEAN="$COMIN_GES_ENS/${GPREFIX}atmf006.ensmean$GSUFFIX" - if [ ! -f $ATMGES_ENSMEAN ]; then - echo "FILE MISSING: ATMGES_ENSMEAN = $ATMGES_ENSMEAN" - exit 2 - fi -fi - -# Background resolution -export JCAP=$($NEMSIOGET $ATMGES jcap | awk '{print $2}') -status=$? -[[ $status -ne 0 ]] && exit $status -export LONB=$($NEMSIOGET $ATMGES dimx | awk '{print $2}') -status=$? -[[ $status -ne 0 ]] && exit $status -export LATB=$($NEMSIOGET $ATMGES dimy | awk '{print $2}') -status=$? -[[ $status -ne 0 ]] && exit $status -export LEVS=$($NEMSIOGET $ATMGES dimz | awk '{print $2}') -status=$? -[[ $status -ne 0 ]] && exit $status - -if [ $DOHYBVAR = "YES" ]; then - # Ensemble resolution - export JCAP_ENKF=$($NEMSIOGET $ATMGES_ENSMEAN jcap | awk '{print $2}') - status=$? - [[ $status -ne 0 ]] && exit $status - export LONB_ENKF=$($NEMSIOGET $ATMGES_ENSMEAN dimx | awk '{print $2}') - status=$? - [[ $status -ne 0 ]] && exit $status - export LATB_ENKF=$($NEMSIOGET $ATMGES_ENSMEAN dimy | awk '{print $2}') - status=$? - [[ $status -ne 0 ]] && exit $status -fi - -# Analysis resolution -if [ $DOHYBVAR = "YES" ]; then - export JCAP_A=$JCAP_ENKF - export LONA=$LONB_ENKF - export LATA=$LATB_ENKF -else - export JCAP_A=$JCAP - export LONA=$LONB - export LATA=$LATB -fi - -# Link observational data -export PREPQC="${COMOUT}/${OPREFIX}prepbufr" -export PREPQCPF="${COMOUT}/${OPREFIX}prepbufr.acft_profiles" -[[ $DONST = "YES" ]] && export NSSTBF="${COMOUT}/${OPREFIX}nsstbufr" - -############################################################### -# Run relevant exglobal script -$ANALYSISSH -status=$? -[[ $status -ne 0 ]] && exit $status - -############################################################### -# Exit out cleanly -exit 0 diff --git a/systems/fv3gfs/jobs/arch.sh b/systems/fv3gfs/jobs/arch.sh deleted file mode 100755 index 7d7124f..0000000 --- a/systems/fv3gfs/jobs/arch.sh +++ /dev/null @@ -1,217 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ -# $Revision: 98721 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: arch.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 - -## Abstract: -## Archive driver script -## EXPDIR : /full/path/to/config/files -## CDATE : current analysis date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -############################################################### - -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) - -set -x - -############################################################### -# Run relevant tasks - -# CURRENT CYCLE -PDY=$(echo $CDATE | cut -c1-8) -cyc=$(echo $CDATE | cut -c9-10) -APREFIX="${CDUMP}.t${cyc}z." -ASUFFIX=".nemsio" - -COMIN="$ROTDIR/$CDUMP.$PDY/$cyc" - -DATA="$RUNDIR/$CDATE/$CDUMP/arch" -[[ -d $DATA ]] && rm -rf $DATA -mkdir -p $DATA -cd $DATA - -############################################################### -# Archive what is needed to restart the experiment -mkdir -p $DATA/${CDUMP}restart -cd $DATA/${CDUMP}restart - -restart_dir="$COMIN/RESTART" -if [ -d $restart_dir ]; then - mkdir -p RESTART - files=$(ls -1 $restart_dir) - for file in $files; do - $NCP $restart_dir/$file RESTART/$file - done -fi - -increment_file="$COMIN/${APREFIX}atminc.nc" -[[ -f $increment_file ]] && $NCP $increment_file . - -files="abias abias_pc abias_air radstat" -for file in $files; do - $NCP $COMIN/${APREFIX}$file . -done - -cd $DATA/${CDUMP}restart - -htar -P -cvf $ATARDIR/$CDATE/${CDUMP}restart.tar . -status=$? -if [ $status -ne 0 ]; then - echo "HTAR $CDATE ${CDUMP}restart.tar failed" - exit $status -fi - -hsi ls -l $ATARDIR/$CDATE/${CDUMP}restart.tar -status=$? -if [ $status -ne 0 ]; then - echo "HSI $CDATE ${CDUMP}restart.tar failed" - exit $status -fi - -cd $DATA -rm -rf ${CDUMP}restart - -############################################################### -# Archive extra information that is good to have -mkdir -p $DATA/$CDUMP -cd $DATA/$CDUMP - -files="gsistat cnvstat prepbufr prepbufr.acft_profiles" -for file in $files; do - $NCP $COMIN/${APREFIX}$file . -done - -$NCP $COMIN/${APREFIX}atmanl${ASUFFIX} . -$NCP $COMIN/${APREFIX}pgrb2.*.fanl* . -$NCP $COMIN/${APREFIX}pgrb2.*.f* . - -cd $DATA - -htar -P -cvf $ATARDIR/$CDATE/${CDUMP}.tar $CDUMP -status=$? -if [ $status -ne 0 ]; then - echo "HTAR $CDATE ${CDUMP}restart.tar failed" - exit $status -fi - -hsi ls -l $ATARDIR/$CDATE/${CDUMP}.tar -status=$? -if [ $status -ne 0 ]; then - echo "HSI $CDATE ${CDUMP}.tar failed" - exit $status -fi - -rm -rf $CDUMP - -############################################################### -# Archive online for verification and diagnostics -cd $COMIN - -[[ ! -d $ARCDIR ]] && mkdir -p $ARCDIR -$NCP ${APREFIX}gsistat $ARCDIR/gsistat.${CDUMP}.${CDATE} -$NCP ${APREFIX}pgrbanl $ARCDIR/pgbanl.${CDUMP}.${CDATE} - -# Archive 1 degree forecast GRIB1 files for verification -if [[ "$CDUMP" == "gfs" ]] ; then - for fname in ${APREFIX}pgrbf*; do - fhr=$(echo $fname | cut -d. -f3 | cut -c 6-) - $NCP $fname $ARCDIR/pgbf${fhr}.${CDUMP}.${CDATE} - done -fi -if [[ "$CDUMP" = "gdas" ]] ; then - flist="00 03 06 09" - for fhr in $flist; do - fname=${APREFIX}pgrbf${fhr} - $NCP $fname $ARCDIR/pgbf${fhr}.${CDUMP}.${CDATE} - done -fi - -# Archive -# 1. quarter degree GRIB1 files for precip verification -# 2. atmospheric nemsio gfs forecast files for fit2obs -VFYARC=$ROTDIR/vrfyarch -[[ ! -d $VFYARC ]] && mkdir -p $VFYARC -if [ $CDUMP = "gfs" ]; then - - for fname in pgbq*${CDUMP}.${CDATE}.grib1; do - fileout=$(echo $fname | cut -d. -f1-3) # strip off ".grib1" suffix - $NCP $fname $ARCDIR/$fileout - done - - mkdir -p $VFYARC/${CDUMP}.$PDY/$cyc - fhmax=$FHMAX_GFS - fhr=0 - while [[ $fhr -le $fhmax ]]; do - fhr3=$(printf %03i $fhr) - sfcfile=${CDUMP}.t${cyc}z.sfcf${fhr3}.nemsio - sigfile=${CDUMP}.t${cyc}z.atmf${fhr3}.nemsio - $NCP $sfcfile $VFYARC/${CDUMP}.$PDY/$cyc/ - $NCP $sigfile $VFYARC/${CDUMP}.$PDY/$cyc/ - (( fhr = $fhr + 6 )) - done - -fi - -############################################################### -# Clean up previous cycles; various depths -# PRIOR CYCLE: Leave the prior cycle alone -GDATE=$($NDATE -$assim_freq $CDATE) - -# PREVIOUS to the PRIOR CYCLE -GDATE=$($NDATE -$assim_freq $GDATE) -gymd=$(echo $GDATE | cut -c1-8) -ghh=$(echo $GDATE | cut -c9-10) - -# Remove the TMPDIR directory -COMIN="$RUNDIR/$GDATE" -[[ -d $COMIN ]] && rm -rf $COMIN - -# Remove the hour directory -COMIN="$ROTDIR/$CDUMP.$gymd/$ghh" -[[ -d $COMIN ]] && rm -rf $COMIN - -# Step back every assim_freq hours -# and remove old rotating directories for successfull cycles -# defaults from 24h to 120h -GDATEEND=$($NDATE -${RMOLDEND:-24} $CDATE) -GDATE=$( $NDATE -${RMOLDSTD:-120} $CDATE) -while [ $GDATE -le $GDATEEND ]; do - gymd=$(echo $GDATE | cut -c1-8) - ghh=$(echo $GDATE | cut -c9-10) - COMIN="$ROTDIR/$CDUMP.$gymd/$ghh" - if [ -d $COMIN ]; then - rocotolog="$EXPDIR/logs/${GDATE}.log" - testend=$(tail -n 1 $rocotolog | grep "This cycle is complete: Success" | wc -l) - rc=$? - [[ $rc -eq 0 ]] && rm -rf $COMIN - fi - # Remove any empty directories - COMIN="$ROTDIR/$CDUMP.$gymd" - if [ -d $COMIN ]; then - [[ ! "$(ls -A $COMIN)" ]] && rm -rf $COMIN - fi - GDATE=$($NDATE +$assim_freq $GDATE) -done - -# Remove archived stuff in $VFYARC that are (48+$FHMAX_GFS) hrs behind -# 1. atmospheric nemsio files used for fit2obs -if [ $CDUMP = "gfs" ]; then - GDATE=$($NDATE -$FHMAX_GFS $GDATE) - gymd=$(echo $GDATE | cut -c1-8) - COMIN="$VFYARC/$CDUMP.$gymd" - [[ -d $COMIN ]] && rm -rf $COMIN -fi - -############################################################### -# Exit out cleanly -if [ ${KEEPDATA:-"NO"} = "NO" ] ; then rm -rf $DATA ; fi -exit 0 diff --git a/systems/fv3gfs/jobs/drive_makeprepbufr.sh b/systems/fv3gfs/jobs/drive_makeprepbufr.sh deleted file mode 100755 index 3bc0c7b..0000000 --- a/systems/fv3gfs/jobs/drive_makeprepbufr.sh +++ /dev/null @@ -1,108 +0,0 @@ -#!/bin/sh -x - -# NOTE: This script must be run by prep.sh - -set -xue - -############################################################### -export KEEPDATA=${KEEPDATA:-"NO"} -export DO_RELOCATE=${DO_RELOCATE:-"NO"} -export DONST=${DONST:-"NO"} - -############################################################### -# Set script and dependency variables -GDATE=$($NDATE -$assim_freq $CDATE) - -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) -gymd=$(echo $GDATE | cut -c1-8) -ghh=$(echo $GDATE | cut -c9-10) - -OPREFIX="${CDUMP}.t${chh}z." -OSUFFIX=".bufr_d" -GPREFIX="gdas.t${ghh}z." -GSUFFIX=".nemsio" -APREFIX="${CDUMP}.t${chh}z." -ASUFFIX=".nemsio" - -COMIN_OBS=${COMIN_OBS:-"$DMPDIR/$CDATE/$CDUMP"} -COMIN_GES=${COMIN_GES:-"$ROTDIR/gdas.$gymd/$ghh"} -COMOUT=${COMOUT:-"$ROTDIR/$CDUMP.$cymd/$chh"} -[[ ! -d $COMOUT ]] && mkdir -p $COMOUT -export DATA="$RUNDIR/$CDATE/$CDUMP/prepbufr" -[[ -d $DATA ]] && rm -rf $DATA -mkdir -p $DATA -cd $DATA - -############################################################### -# MAKEPREPBUFRSH environment specific variables -export NEMSIO_IN=".true." -export COMSP="$DATA/" -export NET=$CDUMP - -############################################################### -# Link observation files in BUFRLIST -for bufrname in $BUFRLIST; do - $NLN $COMIN_OBS/${OPREFIX}${bufrname}.tm00$OSUFFIX ${bufrname}.tm00$OSUFFIX -done - -# Link first guess files -$NLN $COMIN_GES/${GPREFIX}atmf003${GSUFFIX} ./atmgm3$GSUFFIX -$NLN $COMIN_GES/${GPREFIX}atmf006${GSUFFIX} ./atmges$GSUFFIX -$NLN $COMIN_GES/${GPREFIX}atmf009${GSUFFIX} ./atmgp3$GSUFFIX - -[[ -f $COMIN_GES/${GPREFIX}atmf004${GSUFFIX} ]] && $NLN $COMIN_GES/${GPREFIX}atmf004${GSUFFIX} ./atmgm2$GSUFFIX -[[ -f $COMIN_GES/${GPREFIX}atmf005${GSUFFIX} ]] && $NLN $COMIN_GES/${GPREFIX}atmf005${GSUFFIX} ./atmgm1$GSUFFIX -[[ -f $COMIN_GES/${GPREFIX}atmf007${GSUFFIX} ]] && $NLN $COMIN_GES/${GPREFIX}atmf007${GSUFFIX} ./atmgp1$GSUFFIX -[[ -f $COMIN_GES/${GPREFIX}atmf008${GSUFFIX} ]] && $NLN $COMIN_GES/${GPREFIX}atmf008${GSUFFIX} ./atmgp2$GSUFFIX - -# If relocation is turned off: these files don't exist, touch them -if [ $DO_RELOCATE = "NO" ]; then - touch $DATA/tcvitals.relocate.tm00 - touch $DATA/tropcy_relocation_status.tm00 - echo "RECORDS PROCESSED" >> $DATA/tropcy_relocation_status.tm00 -fi - -############################################################### -# if PREPDATA is YES and -# 1. the aircft bufr file is not found, set PREPACQC to NO -# 2. the ****** bufr file is not found, set ******** to NO -if [ $PREPDATA = "YES" ]; then - [[ ! -s aircft.tm00$OSUFFIX ]] && export PREPACQC="NO" -fi - -############################################################### -# Execute MAKEPREPBUFRSH - -echo $(date) EXECUTING $MAKEPREPBUFRSH $CDATE >&2 -$MAKEPREPBUFRSH $CDATE -status=$? -echo $(date) EXITING $MAKEPREPBUFRSH with return code $status >&2 -[[ $status -ne 0 ]] && exit $status - -############################################################### -# Create nsstbufr file -if [ $DONST = "YES" ]; then - SFCSHPBF=${SFCSHPBF:-$COMIN_OBS/sfcshp.$CDUMP.$CDATE} - TESACBF=${TESACBF:-$COMIN_OBS/tesac.$CDUMP.$CDATE} - BATHYBF=${BATHYBF:-$COMIN_OBS/bathy.$CDUMP.$CDATE} - TRKOBBF=${TRKOBBF:-$COMIN_OBS/trkob.$CDUMP.$CDATE} - NSSTBF=${NSSTBF:-$COMOUT/${APREFIX}nsstbufr} - - cat $SFCSHPBF $TESACBF $BATHYBF $TRKOBBF > $NSSTBF - status=$? - echo $(date) CREATE $NSSTBF with return code $status >&2 - - # NSST bufr file must be restricted since it contains unmasked ship ids - chmod 640 $NSSTBF - $CHGRP_CMD $NSSTBF -fi -############################################################### -# Copy prepbufr and prepbufr.acft_profiles to COMOUT -$NCP $DATA/prepda.t${chh}z $COMOUT/${APREFIX}prepbufr -$NCP $DATA/prepbufr.acft_profiles $COMOUT/${APREFIX}prepbufr.acft_profiles - -############################################################### -# Exit out cleanly -if [ $KEEPDATA = "NO" ] ; then rm -rf $DATA ; fi -exit 0 diff --git a/systems/fv3gfs/jobs/earc.sh b/systems/fv3gfs/jobs/earc.sh deleted file mode 100755 index 886be95..0000000 --- a/systems/fv3gfs/jobs/earc.sh +++ /dev/null @@ -1,214 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-10-23 21:23:33 +0000 (Mon, 23 Oct 2017) $ -# $Revision: 98608 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: earc.sh 98608 2017-10-23 21:23:33Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 - -## Abstract: -## Ensemble archive driver script -## EXPDIR : /full/path/to/config/files -## CDATE : current analysis date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -## ENSGRP : ensemble sub-group to archive (0, 1, 2, ...) -############################################################### - -set -ex -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) - -############################################################### -# Run relevant tasks - -# CURRENT CYCLE -PDY=$(echo $CDATE | cut -c1-8) -cyc=$(echo $CDATE | cut -c9-10) -APREFIX="${CDUMP}.t${cyc}z." -ASUFFIX=".nemsio" - -############################################################### -# Determine if this cycle is going to save ensemble restarts -EARC_CYC=${EARC_CYC:-"00"} -if [ $ENSGRP -gt 0 ]; then - - arch_ens_rst="NO" - for ens_cyc in $EARC_CYC; do - [[ "$ens_cyc" = $cyc ]] && arch_ens_rst="YES" - done - - if [ $arch_ens_rst = "NO" ]; then - echo "Nothing to archive for ENSGRP = $ENSGRP and cyc = $cyc, EXITING!" - exit 0 - fi - -fi - -############################################################### -# Create temporary DATA directory -COMIN_ENS="$ROTDIR/enkf.$CDUMP.$PDY/$cyc" - -DATA="$RUNDIR/$CDATE/$CDUMP/earc$ENSGRP" -[[ -d $DATA ]] && rm -rf $DATA -mkdir -p $DATA -cd $DATA - -############################################################### -# ENSGRP -gt 0 archives ensemble member restarts -if [ $ENSGRP -gt 0 ]; then - - mkdir -p $DATA/enkf.${CDUMP}restart - cd $DATA/enkf.${CDUMP}restart - - # Get ENSBEG/ENSEND from ENSGRP and NMEM_EARCGRP - ENSEND=$((NMEM_EARCGRP * ENSGRP)) - ENSBEG=$((ENSEND - NMEM_EARCGRP + 1)) - - for imem in $(seq $ENSBEG $ENSEND); do - - memchar="mem"$(printf %03i $imem) - - memdir="$COMIN_ENS/$memchar" - tmpmemdir="$DATA/enkf.${CDUMP}restart/$memchar" - - mkdir -p $tmpmemdir - cd $tmpmemdir - - restart_dir="$memdir/RESTART" - if [ -d $restart_dir ]; then - mkdir -p RESTART - files=$(ls -1 $restart_dir) - for file in $files; do - $NCP $restart_dir/$file RESTART/$file - done - fi - - increment_file="$memdir/${APREFIX}atminc.nc" - [[ -f $increment_file ]] && $NCP $increment_file . - - cd $DATA/enkf.${CDUMP}restart - - htar -P -cvf $ATARDIR/$CDATE/enkf.${CDUMP}restart.$memchar.tar $memchar - status=$? - if [ $status -ne 0 ]; then - echo "HTAR $CDATE enkf.${CDUMP}restart.$memchar.tar failed" - exit $status - fi - - hsi ls -l $ATARDIR/$CDATE/enkf.${CDUMP}restart.$memchar.tar - status=$? - if [ $status -ne 0 ]; then - echo "HSI $CDATE enkf.${CDUMP}restart.$memchar.tar failed" - exit $status - fi - - rm -rf $tmpmemdir - - done - - cd $DATA - - rm -rf enkf.${CDUMP}restart - -fi - -############################################################### -# ENSGRP 0 archives extra info, ensemble mean, verification stuff -if [ $ENSGRP -eq 0 ]; then - - ############################################################### - # Archive extra information that is good to have - mkdir -p $DATA/enkf.$CDUMP - cd $DATA/enkf.$CDUMP - - # Ensemble mean related files - ENSMEAN_STATS="gsistat.ensmean cnvstat.ensmean enkfstat atmf006.ensmean.nc4 atmf006.ensspread.nc4" - for file in $ENSMEAN_STATS; do - $NCP $COMIN_ENS/${APREFIX}$file . - done - - # Ensemble member related files - # Only archive gsistat and cnvstat files, user can provide other to ENKF_STAT - # in config.earc if desired - ENKF_STATS=${ENKF_STATS:-"gsistat cnvstat"} - for imem in $(seq 1 $NMEM_ENKF); do - - memchar="mem"$(printf %03i $imem) - - memdir="$COMIN_ENS/$memchar" - tmpmemdir="$DATA/enkf.${CDUMP}/$memchar" - - mkdir -p $tmpmemdir - - for file in $ENKF_STATS; do - $NCP $memdir/${APREFIX}$file $tmpmemdir/. - done - - cd $DATA/enkf.$CDUMP - - done - - cd $DATA - - htar -P -cvf $ATARDIR/$CDATE/enkf.${CDUMP}.tar enkf.$CDUMP - status=$? - if [ $status -ne 0 ]; then - echo "HTAR $CDATE enkf.${CDUMP}.tar failed" - exit $status - fi - - hsi ls -l $ATARDIR/$CDATE/enkf.${CDUMP}.tar - status=$? - if [ $status -ne 0 ]; then - echo "HSI $CDATE enkf.${CDUMP}.tar failed" - exit $status - fi - - rm -rf enkf.$CDUMP - - ############################################################### - # Archive online for verification and diagnostics - [[ ! -d $ARCDIR ]] && mkdir -p $ARCDIR - cd $ARCDIR - - $NCP $COMIN_ENS/${APREFIX}enkfstat enkfstat.${CDUMP}.$CDATE - $NCP $COMIN_ENS/${APREFIX}gsistat.ensmean gsistat.${CDUMP}.${CDATE}.ensmean - -fi - -############################################################### -# ENSGRP 0 also does clean-up -if [ $ENSGRP -eq 0 ]; then - ############################################################### - # Clean up previous cycles; various depths - # PRIOR CYCLE: Leave the prior cycle alone - GDATE=$($NDATE -$assim_freq $CDATE) - - # PREVIOUS to the PRIOR CYCLE - # Now go 2 cycles back and remove the directory - GDATE=$($NDATE -$assim_freq $GDATE) - gymd=$(echo $GDATE | cut -c1-8) - ghh=$(echo $GDATE | cut -c9-10) - - COMIN_ENS="$ROTDIR/enkf.$CDUMP.$gymd/$ghh" - [[ -d $COMIN_ENS ]] && rm -rf $COMIN_ENS - - # PREVIOUS day 00Z remove the whole day - GDATE=$($NDATE -48 $CDATE) - gymd=$(echo $GDATE | cut -c1-8) - ghh=$(echo $GDATE | cut -c9-10) - - COMIN_ENS="$ROTDIR/enkf.$CDUMP.$gymd" - [[ -d $COMIN_ENS ]] && rm -rf $COMIN_ENS - -fi - -############################################################### -# Exit out cleanly -if [ ${KEEPDATA:-"NO"} = "NO" ] ; then rm -rf $DATA ; fi -exit 0 diff --git a/systems/fv3gfs/jobs/ecen.sh b/systems/fv3gfs/jobs/ecen.sh deleted file mode 100755 index 1fff763..0000000 --- a/systems/fv3gfs/jobs/ecen.sh +++ /dev/null @@ -1,53 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-08-16 21:42:24 +0000 (Wed, 16 Aug 2017) $ -# $Revision: 96658 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: ecen.sh 96658 2017-08-16 21:42:24Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 - -## Abstract: -## Ensemble recentering driver script -## EXPDIR : /full/path/to/config/files -## CDATE : current analysis date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -############################################################### - -set -ex -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) - -############################################################### -# Set script and dependency variables -export GDATE=$($NDATE -$assim_freq $CDATE) - -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) -gymd=$(echo $GDATE | cut -c1-8) -ghh=$(echo $GDATE | cut -c9-10) - -export APREFIX="${CDUMP}.t${chh}z." -export ASUFFIX=".nemsio" -export GPREFIX="${CDUMP}.t${ghh}z." -export GSUFFIX=".nemsio" - -export COMIN="$ROTDIR/$CDUMP.$cymd/$chh" -export COMIN_ENS="$ROTDIR/enkf.$CDUMP.$cymd/$chh" -export COMIN_GES_ENS="$ROTDIR/enkf.$CDUMP.$gymd/$ghh" -export DATA="$RUNDIR/$CDATE/$CDUMP/ecen" -if [ ${KEEPDATA:-"NO"} = "NO" ] ; then rm -rf $DATA ; fi - -############################################################### -# Run relevant exglobal script -$ENKFRECENSH -status=$? -[[ $status -ne 0 ]] && exit $status - -############################################################### -# Exit out cleanly -exit 0 diff --git a/systems/fv3gfs/jobs/efcs.sh b/systems/fv3gfs/jobs/efcs.sh deleted file mode 100755 index 3c957fd..0000000 --- a/systems/fv3gfs/jobs/efcs.sh +++ /dev/null @@ -1,88 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-10-23 21:23:33 +0000 (Mon, 23 Oct 2017) $ -# $Revision: 98608 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: efcs.sh 98608 2017-10-23 21:23:33Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 - -## Abstract: -## Ensemble forecast driver script -## EXPDIR : /full/path/to/config/files -## CDATE : current analysis date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -## ENSGRP : ensemble sub-group to make forecasts (1, 2, ...) -############################################################### - -set -ex -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH bool:.true.,.false. from:true_false_vars ) - -############################################################### -# Set script and dependency variables -export CASE=$CASE_ENKF -export DATA=$RUNDIR/$CDATE/$CDUMP/efcs.grp$ENSGRP -[[ -d $DATA ]] && rm -rf $DATA - -# Get ENSBEG/ENSEND from ENSGRP and NMEM_EFCSGRP -ENSEND=$((NMEM_EFCSGRP * ENSGRP)) -ENSBEG=$((ENSEND - NMEM_EFCSGRP + 1)) -export ENSBEG=$ENSBEG -export ENSEND=$ENSEND - -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) - -export GDATE=$($NDATE -$assim_freq $CDATE) -gymd=$(echo $GDATE | cut -c1-8) -ghh=$(echo $GDATE | cut -c9-10) - -# Default warm_start is OFF -export warm_start=".false." - -# If RESTART conditions exist; warm start the model -memchar="mem"$(printf %03i $ENSBEG) -if [ -f $ROTDIR/enkf.${CDUMP}.$gymd/$ghh/$memchar/RESTART/${cymd}.${chh}0000.coupler.res ]; then - export warm_start=".true." - if [ -f $ROTDIR/enkf.${CDUMP}.$cymd/$chh/$memchar/${CDUMP}.t${chh}z.atminc.nc ]; then - export read_increment=".true." - else - echo "WARNING: WARM START $CDUMP $CDATE WITHOUT READING INCREMENT!" - fi -fi - -# Forecast length for EnKF forecast -export FHMIN=$FHMIN_ENKF -export FHOUT=$FHOUT_ENKF -export FHMAX=$FHMAX_ENKF - -############################################################### -# Run relevant exglobal script -$ENKFFCSTSH -status=$? -[[ $status -ne 0 ]] && exit $status - -############################################################### -# Double check the status of members in ENSGRP -EFCSGRP=$ROTDIR/enkf.${CDUMP}.$cymd/$chh/efcs.grp${ENSGRP} -if [ -f $EFCSGRP ]; then - npass=$(grep "PASS" $EFCSGRP | wc -l) -else - npass=0 -fi -echo "$npass/$NMEM_EFCSGRP members successfull in efcs.grp$ENSGRP" -if [ $npass -ne $NMEM_EFCSGRP ]; then - echo "ABORT!" - cat $EFCSGRP - exit 99 -fi - -############################################################### -# Exit out cleanly -exit 0 diff --git a/systems/fv3gfs/jobs/eobs.sh b/systems/fv3gfs/jobs/eobs.sh deleted file mode 100755 index 15a786d..0000000 --- a/systems/fv3gfs/jobs/eobs.sh +++ /dev/null @@ -1,114 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ -# $Revision: 98721 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: eobs.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 - -## Abstract: -## EnKF innovations for ensemble mean driver script -## EXPDIR : /full/path/to/config/files -## CDATE : current analysis date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -############################################################### - -set -ex -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH bool:.true.,.false. from:true_false_vars ) -unset DELTIM - -############################################################### -# Set script and dependency variables -export GDATE=$($NDATE -$assim_freq $CDATE) - -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) -gymd=$(echo $GDATE | cut -c1-8) -ghh=$(echo $GDATE | cut -c9-10) - -export OPREFIX="${CDUMP}.t${chh}z." -export APREFIX="${CDUMP}.t${chh}z." -export ASUFFIX=".nemsio" -export GPREFIX="${CDUMP}.t${ghh}z." -export GSUFFIX=".nemsio" - -export COMIN_GES="$ROTDIR/$CDUMP.$gymd/$ghh" -export COMIN_ANL="$ROTDIR/$CDUMP.$cymd/$chh" -export COMIN_GES_ENS="$ROTDIR/enkf.$CDUMP.$gymd/$ghh" -export COMOUT="$ROTDIR/enkf.$CDUMP.$cymd/$chh" -export DATA="$RUNDIR/$CDATE/$CDUMP/eobs" -[[ -d $DATA ]] && rm -rf $DATA - -export ATMGES_ENSMEAN="$COMIN_GES_ENS/${GPREFIX}atmf006.ensmean$GSUFFIX" -if [ ! -f $ATMGES_ENSMEAN ]; then - echo "FILE MISSING: ATMGES_ENSMEAN = $ATMGES_ENSMEAN" - exit 2 -fi - -export LEVS=$($NEMSIOGET $ATMGES_ENSMEAN dimz | awk '{print $2}') -status=$? -[[ $status -ne 0 ]] && exit $status - -# Link observational data -export PREPQC="$COMIN_ANL/${OPREFIX}prepbufr" -export PREPQCPF="$COMIN_ANL/${OPREFIX}prepbufr.acft_profiles" - -# Guess Bias correction coefficients related to control -export GBIAS=${COMIN_GES}/${GPREFIX}abias -export GBIASPC=${COMIN_GES}/${GPREFIX}abias_pc -export GBIASAIR=${COMIN_GES}/${GPREFIX}abias_air -export GRADSTAT=${COMIN_GES}/${GPREFIX}radstat - -# Bias correction coefficients related to ensemble mean -export ABIAS="$COMOUT/${APREFIX}abias.ensmean" -export ABIASPC="$COMOUT/${APREFIX}abias_pc.ensmean" -export ABIASAIR="$COMOUT/${APREFIX}abias_air.ensmean" -export ABIASe="$COMOUT/${APREFIX}abias_int.ensmean" - -# Diagnostics related to ensemble mean -export GSISTAT="$COMOUT/${APREFIX}gsistat.ensmean" -export CNVSTAT="$COMOUT/${APREFIX}cnvstat.ensmean" -export OZNSTAT="$COMOUT/${APREFIX}oznstat.ensmean" -export RADSTAT="$COMOUT/${APREFIX}radstat.ensmean" - -# Select observations based on ensemble mean -export RUN_SELECT="YES" -export USE_SELECT="NO" -export SELECT_OBS="$COMOUT/${APREFIX}obsinput.ensmean" - -export DIAG_SUFFIX="_ensmean" - -# GSI namelist options specific to eobs -export SETUP_INVOBS="passive_bc=.false." - -# Over-write variables -COMIN_GES_SAVE=$COMIN_GES -GSUFFIX_SAVE=$GSUFFIX -export COMIN_GES=$COMIN_GES_ENS -export GSUFFIX=".ensmean$GSUFFIX" - -# Do not run global_cycle for ensemble mean -export DOGCYCLE="NO" - -############################################################### -# Ensure clean stat tarballs for ensemble mean -for fstat in $CNVSTAT $OZNSTAT $RADSTAT; do - [[ -f $fstat ]] && rm -f $fstat -done - -############################################################### -# Run relevant exglobal script -$INVOBSSH -status=$? -[[ $status -ne 0 ]] && exit $status - -############################################################### -# Exit out cleanly -exit 0 diff --git a/systems/fv3gfs/jobs/eomg.sh b/systems/fv3gfs/jobs/eomg.sh deleted file mode 100755 index 3d18a8d..0000000 --- a/systems/fv3gfs/jobs/eomg.sh +++ /dev/null @@ -1,98 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ -# $Revision: 98721 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: eomg.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 - -## Abstract: -## EnKF innovations for ensemble members driver script -## EXPDIR : /full/path/to/config/files -## CDATE : current analysis date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -## ENSGRP : ensemble sub-group to compute innovations (1, 2, ...) -############################################################### - -set -ex -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) - -############################################################### -# Set script and dependency variables -export CASE=$CASE_ENKF -export GDATE=$($NDATE -$assim_freq $CDATE) - -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) -gymd=$(echo $GDATE | cut -c1-8) -ghh=$(echo $GDATE | cut -c9-10) - -export OPREFIX="${CDUMP}.t${chh}z." -export APREFIX="${CDUMP}.t${chh}z." -export ASUFFIX=".nemsio" -export GPREFIX="${CDUMP}.t${ghh}z." -export GSUFFIX=".nemsio" - -export COMIN_GES="$ROTDIR/$CDUMP.$gymd/$ghh" -export COMIN_GES_ENS="$ROTDIR/enkf.$CDUMP.$gymd/$ghh" -export COMOUT="$ROTDIR/enkf.$CDUMP.$cymd/$chh" -export DATA="$RUNDIR/$CDATE/$CDUMP/eomg.grp$ENSGRP" -[[ -d $DATA ]] && rm -rf $DATA - -export ATMGES_ENSMEAN="$COMIN_GES_ENS/${GPREFIX}atmf006.ensmean$GSUFFIX" -if [ ! -f $ATMGES_ENSMEAN ]; then - echo "FILE MISSING: ATMGES_ENSMEAN = $ATMGES_ENSMEAN" - exit 1 -fi - -export LEVS=$($NEMSIOGET $ATMGES_ENSMEAN dimz | awk '{print $2}') -status=$? -[[ $status -ne 0 ]] && exit $status - -# Guess Bias correction coefficients related to control -export GBIAS=${COMIN_GES}/${GPREFIX}abias -export GBIASPC=${COMIN_GES}/${GPREFIX}abias_pc -export GBIASAIR=${COMIN_GES}/${GPREFIX}abias_air -export GRADSTAT=${COMIN_GES}/${GPREFIX}radstat - -# Use the selected observations from ensemble mean -export RUN_SELECT="NO" -export USE_SELECT="YES" -export SELECT_OBS="$COMOUT/${APREFIX}obsinput.ensmean" - -############################################################### -# Get ENSBEG/ENSEND from ENSGRP and NMEM_EOMGGRP -ENSEND=$((NMEM_EOMGGRP * ENSGRP)) -ENSBEG=$((ENSEND - NMEM_EOMGGRP + 1)) -export ENSBEG=$ENSBEG -export ENSEND=$ENSEND - -# Run relevant exglobal script -$ENKFINVOBSSH -status=$? -[[ $status -ne 0 ]] && exit $status - -############################################################### -# Double check the status of members in ENSGRP -EOMGGRP=$ROTDIR/enkf.${CDUMP}.$cymd/$chh/eomg.grp${ENSGRP} -if [ -f $EOMGGRP ]; then - npass=$(grep "PASS" $EOMGGRP | wc -l) -else - npass=0 -fi -echo "$npass/$NMEM_EOMGGRP members successfull in eomg.grp$ENSGRP" -if [ $npass -ne $NMEM_EOMGGRP ]; then - echo "ABORT!" - cat $EOMGGRP - exit 99 -fi - -############################################################### -# Exit out cleanly -exit 0 diff --git a/systems/fv3gfs/jobs/epos.sh b/systems/fv3gfs/jobs/epos.sh deleted file mode 100755 index b86fe91..0000000 --- a/systems/fv3gfs/jobs/epos.sh +++ /dev/null @@ -1,48 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-09-23 02:48:49 +0000 (Sat, 23 Sep 2017) $ -# $Revision: 97753 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: epos.sh 97753 2017-09-23 02:48:49Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 - -## Abstract: -## Ensemble post-processing driver script -## EXPDIR : /full/path/to/config/files -## CDATE : current analysis date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -############################################################### - -set -ex -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) - -############################################################### -# Set script and dependency variables -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) - -export PREFIX="${CDUMP}.t${chh}z." -export SUFFIX=".nemsio" - -export COMIN="$ROTDIR/enkf.$CDUMP.$cymd/$chh" -export COMOUT="$ROTDIR/enkf.$CDUMP.$cymd/$chh" -export DATA="$RUNDIR/$CDATE/$CDUMP/epos" -if [ ${KEEPDATA:-"NO"} = "NO" ] ; then rm -rf $DATA ; fi - -export LEVS=$((LEVS-1)) - -############################################################### -# Run relevant exglobal script -$ENKFPOSTSH -status=$? -[[ $status -ne 0 ]] && exit $status - -############################################################### -# Exit out cleanly -exit 0 diff --git a/systems/fv3gfs/jobs/eupd.sh b/systems/fv3gfs/jobs/eupd.sh deleted file mode 100755 index 492dbfc..0000000 --- a/systems/fv3gfs/jobs/eupd.sh +++ /dev/null @@ -1,53 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-09-23 02:48:49 +0000 (Sat, 23 Sep 2017) $ -# $Revision: 97753 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: eupd.sh 97753 2017-09-23 02:48:49Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 - -## Abstract: -## EnKF update driver script -## EXPDIR : /full/path/to/config/files -## CDATE : current analysis date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -############################################################### - -set -ex -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) -set +e -############################################################### -# Set script and dependency variables -export GDATE=$($NDATE -$assim_freq $CDATE) - -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) -gymd=$(echo $GDATE | cut -c1-8) -ghh=$(echo $GDATE | cut -c9-10) - -export GPREFIX="${CDUMP}.t${ghh}z." -export GSUFFIX=".nemsio" -export APREFIX="${CDUMP}.t${chh}z." -export ASUFFIX=".nemsio" - -export COMIN_GES_ENS="$ROTDIR/enkf.$CDUMP.$gymd/$ghh" -export COMOUT_ANL_ENS="$ROTDIR/enkf.$CDUMP.$cymd/$chh" -export DATA="$RUNDIR/$CDATE/$CDUMP/eupd" -if [ ${KEEPDATA:-"NO"} = "NO" ] ; then rm -rf $DATA ; fi - -############################################################### -# Run relevant exglobal script -echo "RUN: $ENKFUPDSH" -$ENKFUPDSH -status=$? -[[ $status -ne 0 ]] && exit $status - -############################################################### -# Exit out cleanly -exit 0 diff --git a/systems/fv3gfs/jobs/fcst.sh b/systems/fv3gfs/jobs/fcst.sh deleted file mode 100755 index 1e4e70c..0000000 --- a/systems/fv3gfs/jobs/fcst.sh +++ /dev/null @@ -1,105 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-10-08 16:02:04 +0000 (Sun, 08 Oct 2017) $ -# $Revision: 98185 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: fcst.sh 98185 2017-10-08 16:02:04Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Fanglin Yang Org: NCEP/EMC Date: October 2016 -## Rahul Mahajan Org: NCEP/EMC Date: April 2017 - -## Abstract: -## Model forecast driver script -## EXPDIR : /full/path/to/config/files -## CDATE : current analysis date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -############################################################### - -set -ex -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH bool:.true.,.false. from:true_false_vars ) - -############################################################### -# Set script and dependency variables -export DATA=$RUNDIR/$CDATE/$CDUMP/fcst -[[ -d $DATA ]] && rm -rf $DATA - -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) - -export GDATE=$($NDATE -$assim_freq $CDATE) -gymd=$(echo $GDATE | cut -c1-8) -ghh=$(echo $GDATE | cut -c9-10) - -# Default warm_start is OFF -export warm_start=".false." - -# If RESTART conditions exist; warm start the model -# Restart conditions for GFS cycle come from GDAS -rCDUMP=$CDUMP -[[ $CDUMP = "gfs" ]] && export rCDUMP="gdas" - -if [ -f $ROTDIR/${rCDUMP}.$gymd/$ghh/RESTART/${cymd}.${chh}0000.coupler.res ]; then - export warm_start=".true." - if [ -f $ROTDIR/${CDUMP}.$cymd/$chh/${CDUMP}.t${chh}z.atminc.nc ]; then - export read_increment=".true." - else - echo "WARNING: WARM START $CDUMP $CDATE WITHOUT READING INCREMENT!" - fi -fi - -# Forecast length for GFS forecast -if [ $CDUMP = "gfs" ]; then - export FHMIN=$FHMIN_GFS - export FHOUT=$FHOUT_GFS - export FHMAX=$FHMAX_GFS - export FHMAX_HF=$FHMAX_HF_GFS - export FHOUT_HF=$FHOUT_HF_GFS -fi - -############################################################### -# Run relevant exglobal script -$FORECASTSH -status=$? -[[ $status -ne 0 ]] && exit $status - -############################################################### -# Convert model native history files to nemsio - -export DATA=$ROTDIR/${CDUMP}.$cymd/$chh - -if [ $CDUMP = "gdas" ]; then - - if [ $OUTPUT_GRID = 'cubed_sphere_grid' -o $QUILTING = ".false." ]; then - # Regrid 6-tile output to global array in NEMSIO gaussian grid for DA - $REGRID_NEMSIO_SH - status=$? - [[ $status -ne 0 ]] && exit $status - fi - -elif [ $CDUMP = "gfs" ]; then - - if [ $OUTPUT_GRID = 'cubed_sphere_grid' -o $QUILTING = ".false." ]; then - # Remap 6-tile output to global array in NetCDF latlon - $REMAPSH - status=$? - [[ $status -ne 0 ]] && exit $status - fi - - if [ $WRITE_NEMSIOFILE = ".false." -o $QUILTING = ".false." ]; then - # Convert NetCDF to nemsio - $NC2NEMSIOSH - status=$? - [[ $status -ne 0 ]] && exit $status - fi - -fi - -############################################################### -# Exit out cleanly -exit 0 diff --git a/systems/fv3gfs/jobs/fcst_df.sh b/systems/fv3gfs/jobs/fcst_df.sh deleted file mode 100755 index 1e4e70c..0000000 --- a/systems/fv3gfs/jobs/fcst_df.sh +++ /dev/null @@ -1,105 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-10-08 16:02:04 +0000 (Sun, 08 Oct 2017) $ -# $Revision: 98185 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: fcst.sh 98185 2017-10-08 16:02:04Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Fanglin Yang Org: NCEP/EMC Date: October 2016 -## Rahul Mahajan Org: NCEP/EMC Date: April 2017 - -## Abstract: -## Model forecast driver script -## EXPDIR : /full/path/to/config/files -## CDATE : current analysis date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -############################################################### - -set -ex -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH bool:.true.,.false. from:true_false_vars ) - -############################################################### -# Set script and dependency variables -export DATA=$RUNDIR/$CDATE/$CDUMP/fcst -[[ -d $DATA ]] && rm -rf $DATA - -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) - -export GDATE=$($NDATE -$assim_freq $CDATE) -gymd=$(echo $GDATE | cut -c1-8) -ghh=$(echo $GDATE | cut -c9-10) - -# Default warm_start is OFF -export warm_start=".false." - -# If RESTART conditions exist; warm start the model -# Restart conditions for GFS cycle come from GDAS -rCDUMP=$CDUMP -[[ $CDUMP = "gfs" ]] && export rCDUMP="gdas" - -if [ -f $ROTDIR/${rCDUMP}.$gymd/$ghh/RESTART/${cymd}.${chh}0000.coupler.res ]; then - export warm_start=".true." - if [ -f $ROTDIR/${CDUMP}.$cymd/$chh/${CDUMP}.t${chh}z.atminc.nc ]; then - export read_increment=".true." - else - echo "WARNING: WARM START $CDUMP $CDATE WITHOUT READING INCREMENT!" - fi -fi - -# Forecast length for GFS forecast -if [ $CDUMP = "gfs" ]; then - export FHMIN=$FHMIN_GFS - export FHOUT=$FHOUT_GFS - export FHMAX=$FHMAX_GFS - export FHMAX_HF=$FHMAX_HF_GFS - export FHOUT_HF=$FHOUT_HF_GFS -fi - -############################################################### -# Run relevant exglobal script -$FORECASTSH -status=$? -[[ $status -ne 0 ]] && exit $status - -############################################################### -# Convert model native history files to nemsio - -export DATA=$ROTDIR/${CDUMP}.$cymd/$chh - -if [ $CDUMP = "gdas" ]; then - - if [ $OUTPUT_GRID = 'cubed_sphere_grid' -o $QUILTING = ".false." ]; then - # Regrid 6-tile output to global array in NEMSIO gaussian grid for DA - $REGRID_NEMSIO_SH - status=$? - [[ $status -ne 0 ]] && exit $status - fi - -elif [ $CDUMP = "gfs" ]; then - - if [ $OUTPUT_GRID = 'cubed_sphere_grid' -o $QUILTING = ".false." ]; then - # Remap 6-tile output to global array in NetCDF latlon - $REMAPSH - status=$? - [[ $status -ne 0 ]] && exit $status - fi - - if [ $WRITE_NEMSIOFILE = ".false." -o $QUILTING = ".false." ]; then - # Convert NetCDF to nemsio - $NC2NEMSIOSH - status=$? - [[ $status -ne 0 ]] && exit $status - fi - -fi - -############################################################### -# Exit out cleanly -exit 0 diff --git a/systems/fv3gfs/jobs/fv3ic.sh b/systems/fv3gfs/jobs/fv3ic.sh deleted file mode 100755 index 37e67bd..0000000 --- a/systems/fv3gfs/jobs/fv3ic.sh +++ /dev/null @@ -1,55 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ -# $Revision: 98721 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: fv3ic.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Rahul Mahajan Org: NCEP/EMC Date: August 2017 - -## Abstract: -## Create FV3 initial conditions from GFS intitial conditions -## EXPDIR : /full/path/to/config/files -## CDATE : current date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -export EXPDIR=${1:-$EXPDIR} -export CDATE=${2:-$CDATE} -export CDUMP=${3:-$CDUMP} -############################################################### - -set -ex -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) - -# Temporary runtime directory -export DATA="$RUNDIR/$CDATE/$CDUMP/fv3ic$$" -[[ -d $DATA ]] && rm -rf $DATA - -# Input GFS initial condition files -export INIDIR="$ICSDIR/$CDATE/$CDUMP" -export ATMANL="$ICSDIR/$CDATE/$CDUMP/siganl.${CDUMP}.$CDATE" -export SFCANL="$ICSDIR/$CDATE/$CDUMP/sfcanl.${CDUMP}.$CDATE" -if [ -f $ICSDIR/$CDATE/$CDUMP/nstanl.${CDUMP}.$CDATE ]; then - export NSTANL="$ICSDIR/$CDATE/$CDUMP/nstanl.${CDUMP}.$CDATE" -fi - -# Output FV3 initial condition files -export OUTDIR="$ICSDIR/$CDATE/$CDUMP/$CASE/INPUT" - -export OMP_NUM_THREADS_CH=$NTHREADS_CHGRES -export APRUNC=$APRUN_CHGRES - -# Call global_chgres_driver.sh -$BASE_GSM/ush/global_chgres_driver.sh -status=$? -if [ $status -ne 0 ]; then - echo "global_chgres_driver.sh returned with a non-zero exit code, ABORT!" - exit $status -fi - -############################################################### -# Exit cleanly -exit 0 diff --git a/systems/fv3gfs/jobs/fv3ic_df.sh b/systems/fv3gfs/jobs/fv3ic_df.sh deleted file mode 100755 index 868fc74..0000000 --- a/systems/fv3gfs/jobs/fv3ic_df.sh +++ /dev/null @@ -1,81 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ -# $Revision: 98721 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: fv3ic.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Rahul Mahajan Org: NCEP/EMC Date: August 2017 - -## Abstract: -## Create FV3 initial conditions from GFS intitial conditions -## EXPDIR : /full/path/to/config/files -## CDATE : current date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -export EXPDIR=${1:-$EXPDIR} -export CDATE=${2:-$CDATE} -export CDUMP=${3:-$CDUMP} -############################################################### - -set -ex -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) - -# Temporary runtime directory -export DATA="$RUNDIR/$CDATE/$CDUMP/fv3ic$$" -[[ -d $DATA ]] && rm -rf $DATA - -# Input GFS initial condition files -export INIDIR="$ICSDIR/$CDATE/$CDUMP" -export ATMANL="$ICSDIR/$CDATE/$CDUMP/siganl.${CDUMP}.$CDATE" -export SFCANL="$ICSDIR/$CDATE/$CDUMP/sfcanl.${CDUMP}.$CDATE" -if [ -f $ICSDIR/$CDATE/$CDUMP/nstanl.${CDUMP}.$CDATE ]; then - export NSTANL="$ICSDIR/$CDATE/$CDUMP/nstanl.${CDUMP}.$CDATE" -fi - -# Output FV3 initial condition files -#export OUTDIR="$ICSDIR/$CDATE/$CDUMP/$CASE/INPUT" -export OUTDIR="$DATA/outdir" -mkdir -p "$OUTDIR" - -$HOMEcrow/crow_dataflow_cycle_sh.py "$CROW_DATAFLOW_DB" add "$CDATE" -$HOMEcrow/crow_dataflow_cycle_sh.py "$CROW_DATAFLOW_DB" add "$CDATE" - -export OMP_NUM_THREADS_CH=$NTHREADS_CHGRES -export APRUNC=$APRUN_CHGRES - -# Call global_chgres_driver.sh -$BASE_GSM/ush/global_chgres_driver.sh -status=$? -if [ $status -ne 0 ]; then - echo "global_chgres_driver.sh returned with a non-zero exit code, ABORT!" - exit $status -fi - -set -xue - -ACTOR=$( echo "$TASK_PATH" | sed 's,\.[^.]*$,,g' ) - -$HOMEcrow/crow_dataflow_deliver_sh.py -i "$OUTDIR/gfs_ctrl.nc" \ - "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=gfs_ctrl_nc - -$HOMEcrow/crow_dataflow_deliver_sh.py -m -i "$OUTDIR/{kind}.tile{tile}.nc" \ - "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=output_data_tiles - -# $HOMEcrow/crow_dataflow_deliver_sh.py \ -# -i "$OUTDIR/RESTART/{cycle:%Y%m%d.%H%M%S}0000.{kind}.tile{tile:%d}.nc" \ -# "$crow_db" "$CDATE" "$ACTOR" "slot=end_time_tiles" - -# $HOMEcrow/crow_dataflow_deliver_sh.py \ -# -i "$OUTDIR/RESTART/{kind}.tile{tile:%d}.nc" \ -# "$crow_db" "$CDATE" "$ACTOR" "slot=end_time_tiles" - -# $HOMEcrow/crow_dataflow_deliver_sh.py \ -# -i "$OUTDIR/RESTART/{cycle:%Y%m%d" \ - -############################################################### -# Exit cleanly -exit 0 diff --git a/systems/fv3gfs/jobs/getic.sh b/systems/fv3gfs/jobs/getic.sh deleted file mode 100755 index 89e448b..0000000 --- a/systems/fv3gfs/jobs/getic.sh +++ /dev/null @@ -1,183 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ -# $Revision: 98721 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: getic.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Rahul Mahajan Org: NCEP/EMC Date: August 2017 - -## Abstract: -## Get GFS intitial conditions -## EXPDIR : /full/path/to/config/files -## CDATE : current date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -############################################################### - -set -ex -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) - -############################################################### -# Set script and dependency variables - -yyyy=$(echo $CDATE | cut -c1-4) -mm=$(echo $CDATE | cut -c5-6) -dd=$(echo $CDATE | cut -c7-8) -hh=$(echo $CDATE | cut -c9-10) -cymd=$(echo $CDATE | cut -c1-8) - -############################################################### - -target_dir=$ICSDIR/$CDATE/$CDUMP -mkdir -p $target_dir -cd $target_dir - -# Save the files as legacy EMC filenames -ftanal[1]="pgbanl.${CDUMP}.$CDATE" -ftanal[2]="siganl.${CDUMP}.$CDATE" -ftanal[3]="sfcanl.${CDUMP}.$CDATE" -ftanal[4]="nstanl.${CDUMP}.$CDATE" - -# Initialize return code to 0 -rc=1 - -if [ $ics_from = "opsgfs" ]; then - - # Handle nemsio and pre-nemsio GFS filenames - if [ $CDATE -gt "2017072000" ]; then - nfanal=4 - fanal[1]="./${CDUMP}.t${hh}z.pgrbanl" - fanal[2]="./${CDUMP}.t${hh}z.atmanl.nemsio" - fanal[3]="./${CDUMP}.t${hh}z.sfcanl.nemsio" - fanal[4]="./${CDUMP}.t${hh}z.nstanl.nemsio" - flanal="${fanal[1]} ${fanal[2]} ${fanal[3]} ${fanal[4]}" - tarpref="gpfs_hps_nco_ops_com" - else - nfanal=3 - [[ $CDUMP = "gdas" ]] && str1=1 - fanal[1]="./${CDUMP}${str1}.t${hh}z.pgrbanl" - fanal[2]="./${CDUMP}${str1}.t${hh}z.sanl" - fanal[3]="./${CDUMP}${str1}.t${hh}z.sfcanl" - flanal="${fanal[1]} ${fanal[2]} ${fanal[3]}" - tarpref="com2" - fi - - # First check the COMROOT for files, if present copy over - if [ $machine = "WCOSS_C" ]; then - - # Need COMROOT - module load prod_envir >> /dev/null 2>&1 - - comdir="$COMROOT/$CDUMP/prod/$CDUMP.$cymd" - rc=0 - for i in `seq 1 $nfanal`; do - if [ -f $comdir/${fanal[i]} ]; then - $NCP $comdir/${fanal[i]} ${ftanal[i]} - else - rb=1 ; ((rc+=rb)) - fi - done - - fi - - # Get initial conditions from HPSS - if [ $rc -ne 0 ]; then - - hpssdir="/NCEPPROD/hpssprod/runhistory/rh$yyyy/$yyyy$mm/$cymd" - if [ $CDUMP = "gdas" ]; then - tarball="$hpssdir/${tarpref}_gfs_prod_${CDUMP}.${CDATE}.tar" - elif [ $CDUMP = "gfs" ]; then - tarball="$hpssdir/${tarpref}_gfs_prod_${CDUMP}.${CDATE}.anl.tar" - fi - - # check if the tarball exists - hsi ls -l $tarball - rc=$? - if [ $rc -ne 0 ]; then - echo "$tarball does not exist and should, ABORT!" - exit $rc - fi - # get the tarball - htar -xvf $tarball $flanal - rc=$? - if [ $rc -ne 0 ]; then - echo "untarring $tarball failed, ABORT!" - exit $rc - fi - - # Move the files to legacy EMC filenames - for i in `seq 1 $nfanal`; do - if [[ "${fanal[i]}" != "${ftanal[i]}" ]] ; then - $NMV ${fanal[i]} ${ftanal[i]} - fi - done - - fi - - # If found, exit out - if [ $rc -ne 0 ]; then - echo "Unable to obtain operational GFS initial conditions, ABORT!" - exit 1 - fi - -elif [ $ics_from = "pargfs" ]; then - - # Filenames in parallel - nfanal=4 - fanal[1]="pgbanl.${CDUMP}.$CDATE" - fanal[2]="gfnanl.${CDUMP}.$CDATE" - fanal[3]="sfnanl.${CDUMP}.$CDATE" - fanal[4]="nsnanl.${CDUMP}.$CDATE" - flanal="${fanal[1]} ${fanal[2]} ${fanal[3]} ${fanal[4]}" - - # Get initial conditions from HPSS from retrospective parallel - tarball="$HPSS_PAR_PATH/${CDATE}${CDUMP}.tar" - - # check if the tarball exists - hsi ls -l $tarball - rc=$? - if [ $rc -ne 0 ]; then - echo "$tarball does not exist and should, ABORT!" - exit $rc - fi - # get the tarball - htar -xvf $tarball $flanal - rc=$? - if [ $rc -ne 0 ]; then - echo "untarring $tarball failed, ABORT!" - exit $rc - fi - - # Move the files to legacy EMC filenames - for i in `seq 1 $nfanal`; do - if [[ "${fanal[i]}" != "${ftanal[i]}" ]] ; then - $NMV ${fanal[i]} ${ftanal[i]} - fi - done - - # If found, exit out - if [ $rc -ne 0 ]; then - echo "Unable to obtain parallel GFS initial conditions, ABORT!" - exit 1 - fi - -else - - echo "ics_from = $ics_from is not supported, ABORT!" - exit 1 - -fi -############################################################### - -# Copy pgbanl file to COMROT for verification -COMROT=$ROTDIR/${CDUMP}.$cymd/$hh -[[ ! -d $COMROT ]] && mkdir -p $COMROT -$NCP ${ftanal[1]} $COMROT/${CDUMP}.t${hh}z.pgrbanl - -############################################################### -# Exit out cleanly -exit 0 diff --git a/systems/fv3gfs/jobs/job_wrapper.sh b/systems/fv3gfs/jobs/job_wrapper.sh deleted file mode 100755 index 1bd0559..0000000 --- a/systems/fv3gfs/jobs/job_wrapper.sh +++ /dev/null @@ -1,36 +0,0 @@ -#! /bin/sh - -# Theia workaround. Reduce stack soft limit while running "module" to -# avoid runaway memory allocation: -ulimit_s=$( ulimit -S -s ) -ulimit -S -s 10000 - -source "$BASE_MODULES"/module-setup.sh.inc -module use "$BASE_MODULES" -module load module_base.$( echo $MACHINE | tr A-Z a-z ) - -# FIXME: Remove hard-coded modules. -module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/python/modulefiles/ -module unload python anaconda -module load python/3.6.1-emc - -# Restore stack soft limit: -ulimit -S -s "$ulimit_s" -unset ulimit_s - -module list - -set -xue - -export PYTHONPATH="$HOMEcrow${PYTHONPATH:+:$PYTHONPATH}" - -python3.6 -c 'import crow ; print(f"CROW library version {crow.version}")' - -if [[ "${1:0:1}" == "/" ]] ; then - exec "$@" -fi - -# Relative path is from j-jobs directory -prog=$1 -shift -exec "$BASE_JOB/$prog.sh" "$@" diff --git a/systems/fv3gfs/jobs/post.sh b/systems/fv3gfs/jobs/post.sh deleted file mode 100755 index bb54857..0000000 --- a/systems/fv3gfs/jobs/post.sh +++ /dev/null @@ -1,85 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ -# $Revision: 98721 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: post.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Fanglin Yang Org: NCEP/EMC Date: October 2016 -## Rahul Mahajan Org: NCEP/EMC Date: April 2017 - -## Abstract: -## NCEP post driver script -## EXPDIR : /full/path/to/config/files -## CDATE : current analysis date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -############################################################### - -set -ex -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH bool:.true.,.false. from:true_false_vars ) - -############################################################### -# Set script and dependency variables -PDY=$(echo $CDATE | cut -c1-8) -cyc=$(echo $CDATE | cut -c9-10) - -export COMROT=$ROTDIR/$CDUMP.$PDY/$cyc - -export pgmout="/dev/null" # exgfs_nceppost.sh.ecf will hang otherwise -export PREFIX="$CDUMP.t${cyc}z." -export SUFFIX=".nemsio" - -export DATA=$RUNDIR/$CDATE/$CDUMP/post -[[ -d $DATA ]] && rm -rf $DATA - -# Get metadata JCAP, LONB, LATB from ATMF00 -ATMF00=$ROTDIR/$CDUMP.$PDY/$cyc/${PREFIX}atmf000$SUFFIX -if [ ! -f $ATMF00 ]; then - echo "$ATMF00 does not exist and should, ABORT!" - exit 99 -fi - -if [ $QUILTING = ".false." ]; then - export JCAP=$($NEMSIOGET $ATMF00 jcap | awk '{print $2}') - status=$? - [[ $status -ne 0 ]] && exit $status -else - echo SHOULD NOT GET HERE - exit 99 - # write component does not add JCAP anymore - res=$(echo $CASE | cut -c2-) - export JCAP=$((res*2-2)) -fi - -[[ $status -ne 0 ]] && exit $status -export LONB=$($NEMSIOGET $ATMF00 dimx | awk '{print $2}') -status=$? -[[ $status -ne 0 ]] && exit $status -export LATB=$($NEMSIOGET $ATMF00 dimy | awk '{print $2}') -status=$? -[[ $status -ne 0 ]] && exit $status - -# Run post job to create analysis grib files -export ATMANL=$ROTDIR/$CDUMP.$PDY/$cyc/${PREFIX}atmanl$SUFFIX -if [ -f $ATMANL ]; then - export ANALYSIS_POST="YES" - $POSTJJOBSH - status=$? - [[ $status -ne 0 ]] && exit $status -fi - -# Run post job to create forecast grib files -export ANALYSIS_POST="NO" -$POSTJJOBSH -status=$? -[[ $status -ne 0 ]] && exit $status - -############################################################### -# Exit out cleanly -exit 0 diff --git a/systems/fv3gfs/jobs/prep.sh b/systems/fv3gfs/jobs/prep.sh deleted file mode 100755 index 26d6e97..0000000 --- a/systems/fv3gfs/jobs/prep.sh +++ /dev/null @@ -1,56 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-10-30 18:48:54 +0000 (Mon, 30 Oct 2017) $ -# $Revision: 98721 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: prep.sh 98721 2017-10-30 18:48:54Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Rahul Mahajan Org: NCEP/EMC Date: April 2017 - -## Abstract: -## Do prepatory tasks -## EXPDIR : /full/path/to/config/files -## CDATE : current analysis date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -############################################################### - -set -ex -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:shell_vars ) - -############################################################### -# Set script and dependency variables - -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) - -export OPREFIX="${CDUMP}.t${chh}z." - -export COMOUT="$ROTDIR/$CDUMP.$cymd/$chh" -[[ ! -d $COMOUT ]] && mkdir -p $COMOUT - -# Do relocation -if [ $DO_RELOCATE = "YES" ]; then - $DRIVE_RELOCATESH - echo "RELOCATION IS TURNED OFF in FV3, DRIVE_RELOCATESH does not exist, ABORT!" - status=1 - [[ $status -ne 0 ]] && exit $status -fi - -# Generate prepbufr files from dumps or copy from OPS -if [ $DO_MAKEPREPBUFR = "YES" ]; then - "$BASE_JOB"/drive_makeprepbufr.sh - [[ $status -ne 0 ]] && exit $status -else - $NCP $DMPDIR/$CDATE/$CDUMP/${OPREFIX}prepbufr $COMOUT/${OPREFIX}prepbufr - $NCP $DMPDIR/$CDATE/$CDUMP/${OPREFIX}prepbufr.acft_profiles $COMOUT/${OPREFIX}prepbufr.acft_profiles - [[ $DONST = "YES" ]] && $NCP $DMPDIR/$CDATE/$CDUMP/${OPREFIX}nsstbufr $COMOUT/${OPREFIX}nsstbufr -fi - -################################################################################ -# Exit out cleanly -exit 0 diff --git a/systems/fv3gfs/jobs/vrfy.sh b/systems/fv3gfs/jobs/vrfy.sh deleted file mode 100755 index 1a46bde..0000000 --- a/systems/fv3gfs/jobs/vrfy.sh +++ /dev/null @@ -1,127 +0,0 @@ -#! /bin/bash -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-09-29 00:33:10 +0000 (Fri, 29 Sep 2017) $ -# $Revision: 97961 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: vrfy.sh 97961 2017-09-29 00:33:10Z fanglin.yang@noaa.gov $ -############################################################### - -############################################################### -## Author: Fanglin Yang Org: NCEP/EMC Date: October 2016 -## Rahul Mahajan Org: NCEP/EMC Date: April 2017 - -## Abstract: -## Inline verification and diagnostics driver script -## EXPDIR : /full/path/to/config/files -## CDATE : current analysis date (YYYYMMDDHH) -## CDUMP : cycle name (gdas / gfs) -############################################################### - -set -ex - -export LOGNAME=${LOGNAME:-${CDUMP:-fv3gfs}} # usually set at ecflow level - -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:platform.general_env import:".*" ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH from:Inherit ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH \ - apply:LOGNAME=\"$LOGNAME\" from:shell_vars ) -eval $( $HOMEcrow/to_sh.py $CONFIG_YAML export:y scope:workflow.$TASK_PATH bool:.true.,.false. from:true_false_vars ) - -export OZNDIR="${OZNDIR:-$NOSCRUB/$LOGNAME/ozone/stats/{doc.case.experiment_name}" - -############################################################### - -export PDY=$(echo $CDATE | cut -c1-8) -export cyc=$(echo $CDATE | cut -c9-10) -export CDATEm1=$($NDATE -24 $CDATE) -export PDYm1=$(echo $CDATEm1 | cut -c1-8) -export COMIN="$ROTDIR/$CDUMP.$PDY/$cyc" -export DATAROOT="$RUNDIR/$CDATE/$CDUMP/vrfy" -[[ -d $DATAROOT ]] && rm -rf $DATAROOT - -############################################################### -# Verify Fits -if [ $VRFYFITS = "YES" -a $CDUMP = $CDFNL ]; then - - export CDUMPFCST=$VDUMP - export TMPDIR="$RUNDIR/$CDATE/$CDUMP" - [[ ! -d $TMPDIR ]] && mkdir -p $TMPDIR - - $PREPQFITSH $PSLOT $CDATE $ROTDIR $ARCDIR $TMPDIR - -fi - -############################################################### -# Run VSDB Step1, Verify precipitation and Grid2Obs -# VSDB_STEP1 and VRFYPRCP works -if [ $CDUMP = "gfs" ]; then - - if [ $VSDB_STEP1 = "YES" -o $VRFYPRCP = "YES" -o $VRFYG2OBS = "YES" ]; then - - xdate=$(echo $($NDATE -${BACKDATEVSDB} $CDATE) | cut -c1-8) - export ARCDIR1="$NOSCRUB/archive" - export rundir="$RUNDIR/$CDUMP/$CDATE/vrfy/vsdb_exp" - export COMROT="$ARCDIR1/dummy" - - $VSDBSH $xdate $xdate $vlength $cyc $PSLOT $CDATE $CDUMP $gfs_cyc - - fi -fi - -############################################################### -# Run RadMon data extraction -if [ $VRFYRAD = "YES" -a $CDUMP = $CDFNL ]; then - - export EXP=$PSLOT - export COMOUT="$ROTDIR/$CDUMP.$PDY/$cyc" - export jlogfile="$ROTDIR/logs/$CDATE/${CDUMP}radmon.log" - export TANKverf_rad="$TANKverf/stats/$PSLOT/$CDUMP.$PDY" - export TANKverf_radM1="$TANKverf/stats/$PSLOT/$CDUMP.$PDYm1" - export MY_MACHINE=$machine - - $VRFYRADSH - -fi - -############################################################### -# Run MinMon -if [ $VRFYMINMON = "YES" ]; then - - export COMOUT="$ROTDIR/$CDUMP.$PDY/$cyc" - export jlogfile="$ROTDIR/logs/$CDATE/${CDUMP}minmon.log" - export M_TANKverfM0="$M_TANKverf/stats/$PSLOT/$CDUMP.$PDY" - export M_TANKverfM1="$M_TANKverf/stats/$PSLOT/$CDUMP.$PDYm1" - export MY_MACHINE=$machine - - $VRFYMINSH - -fi - -################################################################################ -# Verify tracks -if [ $VRFYTRAK = "YES" ]; then - - export DATA="${DATAROOT}/tracker" - export COMOUT=$ARCDIR - - $TRACKERSH $CDATE $CDUMP $COMOUT $DATA - -fi - -################################################################################ -# Verify genesis -if [ $VRFYGENESIS = "YES" -a $CDUMP = "gfs" ]; then - - export DATA="${DATAROOT}/genesis_tracker" - export COMOUT=$ARCDIR - export gfspara=$COMIN - - $GENESISSH $CDATE $CDUMP $COMOUT $DATA - -fi - -############################################################### -# Force Exit out cleanly -if [ ${KEEPDATA:-"NO"} = "NO" ] ; then rm -rf $DATAROOT ; fi -exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_dump.sh.ecf b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_dump.sh.ecf deleted file mode 100755 index 085b631..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_dump.sh.ecf +++ /dev/null @@ -1,1732 +0,0 @@ -#!/bin/ksh -############################################################################# -echo "----------------------------------------------------------------------" -echo "exglobal_dump.sh.ecf - Global (GDAS, GFS) network data dump processing" -echo "----------------------------------------------------------------------" -echo "History: Jan 18 2000 - Original script. " -echo " May 16 2007 - Added DBNet alerts for GFS products. " -echo " Apr 2014 - Pick up grib files for planned GFS upgrade. " -echo " Oct 2014 - Remove attempts to dump obsolete sources. " -echo " Nov 2014 - Use parallel scripting to process dump groups. " -echo " Widen hourly satwnd dump window for GFS/GDAS. " -echo " Add new satwnd subtypes for GFS & GDAS. " -echo " GFS/GDAS continue if surface file unavailable. " -echo " Remove DBNet alerts for old surface files. " -echo " Dec 3 2014 - CDAS network, split off into its own script " -echo " excdas_dump.sh.ecf. This script now tailored " -echo " exclusively to GDAS and GFS. " -echo " Feb 2 2015 - Dump window for new satwnd type NC005090 set " -echo " to 3.00 to +2.99 hours about center dump time. " -echo " Removed ADD_satwnd=\"005019 005080\" since " -echo " types are now part of "satwnd" dump group " -echo " mnemonic in bufr_dumplist. " -echo " Aug 22 2016 - GSPIPW dump window reset for new data stream " -echo " (moved to dump group #4 where TIME_TRIM=on) " -echo " Jan 5 2017 - Dump new satellite data types. Reordered to " -echo " improve run time with all the new data. " -echo " Feb 8 2017 - Update to run on Cray-XC40 or IBM iDataPlex " -############################################################################# - -# NOTE: NET is changed to gdas in the parent Job script for the gdas RUN -# (was gfs - NET remains gfs for gfs RUN) -# ----------------------------------------------------------------------- - -set -xau - -# function to highlight an echoed msg with surrounding hashed separator lines. - echo_hashed_msg () { - set +x - msg=$* - echo -e "\n ${msg//?/#}" - echo " ${msg}" - echo -e " ${msg//?/#}\n" - set -x - } -# end of function setup -# -# set some variables if they have not already been set - -set +u - -# JOB_NUMBER = 1 indicates the prepbufr dump job. -# JOB_NUMBER = 2 indicates the non-prepbufr dump job. -# JOB_NUMBER not present indicates dump BOTH prepbufr and non-prepbufr data. -# ----------------------------------------------------------------------------- -# Dump group #1 (non-pb, TIME_TRIM defaults to OFF) = -# avcsam eshrs3 ssmisu cris saphir atms 1bhrs4 sevcsr tesac mls -# esatms -# -# Dump group #2 (pb, TIME_TRIM defaults to OFF) = -# sfcshp atovs* adpsfc ascatt -# * - for GDAS only -# -# Dump group #3 (pb, TIME_TRIM defaults to OFF) = -# adpupa -# -# Dump group #4 (pb, TIME_TRIM defaults to ON) = -# aircar aircft proflr vadwnd rassda gpsipw -# -# Dump group #5 (pb, TIME_TRIM defaults to OFF) = -# msonet -# -# Dump group #6 (non-pb, TIME_TRIM defaults to OFF) = -# nexrad -# -# Dump group #7 (non-pb, TIME_TRIM defaults to OFF) = -# avcspm esmhs goesfv 1bmhs airsev atmsdb gome omi trkob gpsro -# escris -# -# Dump group #8 (pb, TIME_TRIM defaults to ON) = -# satwnd -# -# Dump group #9 (non-pb, TIME_TRIM defaults to ON) = -# geoimr -# -# Dump group #10 (non-pb, TIME_TRIM defaults to OFF) = -# esiasi mtiasi esamua crisdb iasidb sevasr 1bamua bathy osbuv8 -# -# Dump group #11 (non-pb, TIME_TRIM defaults to OFF) = -# amsr2 -# -# Dump group #12 STATUS FILE -# ----------------------------------------------------------------------------- - -#VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV -# The settings below are based on a future change when the DUMP job will dump -# only types that go into PREPBUFR and the DUMP2 job will dump only types that -# do not go into PREPBUFR. This will speed up the DUMP + PREP processing. -# Although the logic is in place to now do this (see below), for now we will -# continue to run only a DUMP job which will dump ALL types (no DUMP2 job) - -# since JOB_NUMBER is not imported to this script, the logic below will dump -# all types ... -# ----------------------------------------------------------------------------- -#^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ - -if [ -n "$JOB_NUMBER" ]; then -set -u - if [ $JOB_NUMBER = 2 ]; then - dump_ind=DUMP2 - DUMP_group1=${DUMP_group1:-"YES"} - DUMP_group2=${DUMP_group2:-"NO"} - DUMP_group3=${DUMP_group3:-"NO"} - DUMP_group4=${DUMP_group4:-"NO"} - DUMP_group5=${DUMP_group5:-"NO"} - DUMP_group6=${DUMP_group6:-"NO"} - DUMP_group7=${DUMP_group7:-"YES"} - DUMP_group8=${DUMP_group8:-"NO"} - DUMP_group9=${DUMP_group9:-"YES"} - DUMP_group10=${DUMP_group10:-"YES"} - DUMP_group11=${DUMP_group11:-"YES"} - else - dump_ind=DUMP - DUMP_group1=${DUMP_group1:-"NO"} - DUMP_group2=${DUMP_group2:-"YES"} - DUMP_group3=${DUMP_group3:-"YES"} - DUMP_group4=${DUMP_group4:-"YES"} - DUMP_group5=${DUMP_group5:-"NO"} - DUMP_group6=${DUMP_group6:-"NO"} - DUMP_group7=${DUMP_group7:-"NO"} - DUMP_group8=${DUMP_group8:-"YES"} - DUMP_group9=${DUMP_group9:-"NO"} - DUMP_group10=${DUMP_group10:-"NO"} - DUMP_group11=${DUMP_group11:-"NO"} - fi -else - dump_ind=DUMP - DUMP_group1=${DUMP_group1:-"YES"} - DUMP_group2=${DUMP_group2:-"YES"} - DUMP_group3=${DUMP_group3:-"YES"} - DUMP_group4=${DUMP_group4:-"YES"} - DUMP_group5=${DUMP_group5:-"NO"} - DUMP_group6=${DUMP_group6:-"NO"} - DUMP_group7=${DUMP_group7:-"YES"} - DUMP_group8=${DUMP_group8:-"YES"} - DUMP_group9=${DUMP_group9:-"YES"} - DUMP_group10=${DUMP_group10:-"YES"} - DUMP_group11=${DUMP_group11:-"YES"} -fi - -if [ "$NET" = 'gfs' ]; then - ADPUPA_wait=${ADPUPA_wait:-"YES"} -########ADPUPA_wait=${ADPUPA_wait:-"NO"} # saves time if ADPUPA_wait=NO -else - ADPUPA_wait=${ADPUPA_wait:-"NO"} -fi - - -# send extra output of DUMP2 for monitoring purposes. -set +u -if [ -n "$JOB_NUMBER" ]; then - [ $JOB_NUMBER = 2 ] && export PS4='$SECONDS + ' -fi -set -u - -# Make sure we are in the $DATA directory -cd $DATA - -msg="HAS BEGUN on `hostname`" -$DATA/postmsg "$jlogfile" "$msg" - -cat break > $pgmout - -export dumptime=`cut -c7-16 ncepdate` -export cycp=`echo $dumptime|cut -c9-10` - -export NET_uc=$(echo $NET | tr [a-z] [A-Z]) -export tmmark_uc=$(echo $tmmark | tr [a-z] [A-Z]) - -msg="$NET_uc ANALYSIS TIME IS $PDY$cyc" -$DATA/postmsg "$jlogfile" "$msg" - -set +x -echo -echo "CENTER DATA DUMP DATE-TIME FOR $tmmark_uc $NET_uc IS $dumptime" -echo -set -x - -export COMSP=$COMOUT/$RUN.${cycle}. - -if [ "$PROCESS_GRIBFLDS" = 'YES' ]; then - -######################################################## -######################################################## -## The following files are not *required* but will still -# be processed here for the near term (missing files -# will not cause job to fail) -# -# copy snogrb (0.5 deg) from $TANK_GRIBFLDS -# copy snogrb_t574 from $TANK_GRIBFLDS -# copy engicegrb from $COM_ENGICE -# copy sstgrb from $COM_SSTOI -# generate sstgrb index file -######################################################## -######################################################## - - snogrb=$TANK_GRIBFLDS/$PDY/wgrbbul/snowdepth.global.grb - snoold=$TANK_GRIBFLDS/$PDYm1/wgrbbul/snowdepth.global.grb - - if [ -s $snogrb ]; then - cp $snogrb ${COMSP}snogrb - msg="todays 0.5 degree snow grib file located and copied to ${COMSP}snogrb" - $DATA/postmsg "$jlogfile" "$msg" - elif [ -s $snoold ]; then - cp $snoold ${COMSP}snogrb - msg="**todays 0.5 degree snow grib file not located - copy 1-day old file" - $DATA/postmsg "$jlogfile" "$msg" - else - set +x - echo " " - echo " #####################################################" - echo " cannot locate 0.5 degree snow grib file" - echo " #####################################################" - echo " " - set -x - msg="***WARNING: CANNOT LOCATE 0.5 DEGREE SNOW GRIB FILE. Not critical." - $DATA/postmsg "$jlogfile" "$msg" - fi - - snogrb_t574=$TANK_GRIBFLDS/$PDY/wgrbbul/snowdepth.t574.grb - snoold_t574=$TANK_GRIBFLDS/$PDYm1/wgrbbul/snowdepth.t574.grb - - if [ -s $snogrb_t574 ]; then - cp $snogrb_t574 ${COMSP}snogrb_t574 - msg="todays T574 snow grib file located and copied to ${COMSP}snogrb_t574" - $DATA/postmsg "$jlogfile" "$msg" - elif [ -s $snoold_t574 ]; then - cp $snoold_t574 ${COMSP}snogrb_t574 - msg="**todays T574 snow grib file not located - copy 1-day old file" - $DATA/postmsg "$jlogfile" "$msg" - else - set +x - echo " " - echo " ###############################################" - echo " cannot locate T574 snow grib file" - echo " ###############################################" - echo " " - set -x - msg="***WARNING: CANNOT LOCATE T574 SNOW GRIB FILE. Not critical." - $DATA/postmsg "$jlogfile" "$msg" - fi - - engicegrb=${COM_ENGICE}.$PDY/engice.t00z.grb - engiceold=${COM_ENGICE}.$PDYm1/engice.t00z.grb - - if [ -s $engicegrb ]; then - cp $engicegrb ${COMSP}engicegrb - msg="todays engice grib file located and copied to ${COMSP}engicegrb" - $DATA/postmsg "$jlogfile" "$msg" - elif [ -s $engiceold ]; then - cp $engiceold ${COMSP}engicegrb - msg="**todays engice grib file not located - copy 1-day old file" - $DATA/postmsg "$jlogfile" "$msg" - else - set +x - echo " " - echo " ############################################" - echo " cannot locate engice grib file" - echo " ############################################" - echo " " - set -x - msg="***WARNING: CANNOT LOCATE LOW RES ENGICE GRIB FILE. Not critical." - $DATA/postmsg "$jlogfile" "$msg" - fi - - sstgrb=${COM_SSTOI}.$PDY/sstoi_grb - sstold=${COM_SSTOI}.$PDYm1/sstoi_grb - - if [ -s $sstgrb ]; then - cp $sstgrb ${COMSP}sstgrb - msg="todays lowres sst grib file located and copied to ${COMSP}sstgrb" - $DATA/postmsg "$jlogfile" "$msg" - elif [ -s $sstold ]; then - cp $sstold ${COMSP}sstgrb - msg="**todays lowres sst grib file not located - copy 1-day old file" - $DATA/postmsg "$jlogfile" "$msg" - else - set +x - echo " " - echo " #########################################" - echo " cannot locate lowres sst grib file" - echo " #########################################" - echo " " - set -x - msg="***WARNING: CANNOT LOCATE LOW RES SST GRIB FILE. Not critical." - $DATA/postmsg "$jlogfile" "$msg" - fi - - if [ -s ${COMSP}sstgrb ]; then - rm errfile - $GRBINDEX ${COMSP}sstgrb ${COMSP}sstgrb.index 2> errfile - errindx=$? - [ "$errindx" -ne '0' ] && cat errfile - rm errfile - else - echo_hashed_msg "cannot create grib index since sst file does not exist" - fi - -# The following may no longer be needed, but leave them in place for now. -# Print msg in the rare case the grib2 files cannot be created. - if [ "$NET" = 'gdas' ]; then - if [ -s ${COMSP}engicegrb ]; then - $CNVGRIB -g12 -p40 ${COMSP}engicegrb ${COMSP}engicegrb.grib2 - else - echo_hashed_msg "Skip engicegrb.grib2 since grib1 file does not exist" - fi - if [ -s ${COMSP}sstgrb ]; then - $CNVGRIB -g12 -p40 ${COMSP}sstgrb ${COMSP}sstgrb.grib2 - else - echo_hashed_msg "Skip sstgrb.grib2 since grib1 file does not exist" - fi - if [ -s ${COMSP}snogrb ]; then - $CNVGRIB -g12 -p40 ${COMSP}snogrb ${COMSP}snogrb.grib2 - else - echo_hashed_msg "Skip snogrb.grib2 since grib1 file does not exist" - fi - fi - - -###################################################################### -###################################################################### -# For the following, try as far as $ndaysback to find recent file. # -# Post warning if no file found for $ndaysback_warn or beyond. # -# The job will continue if no suitable file is available. # -# ---------------------------------------------------------------- # -# copy NPR.SNWN.SP.S1200.MESH16 from $TANK_GRIBFLDS # -# copy NPR.SNWS.SP.S1200.MESH16 from $TANK_GRIBFLDS # -# copy imssnow96.grb.grib2 from $TANK_GRIBFLDS # -# copy seaice.t00z.5min.grb from $COM_ICE5MIN # -# copy seaice.t00z.5min.grb.grib2 from $COM_ICE5MIN # -# copy rtgssthr_grb_0.083 from $COM_SSTRTG # -# copy rtgssthr_grb_0.083.grib2 from $COM_SSTRTG # -###################################################################### -###################################################################### - for gribfile in \ - NPR.SNWN.SP.S1200.MESH16 \ - NPR.SNWS.SP.S1200.MESH16 \ - imssnow96.grb.grib2 \ - seaice.t00z.5min.grb \ - seaice.t00z.5min.grb.grib2 \ - rtgssthr_grb_0.083 \ - rtgssthr_grb_0.083.grib2 - do -# set the values specific to each file - case $gribfile in - NPR.SNWN.SP.S1200.MESH16 | NPR.SNWS.SP.S1200.MESH16 ) # AFWA snow - grib_source='$TANK_GRIBFLDS/$DDATE/wgrbbul'; - target_filename=$gribfile.grb - ndaysback=1; - ndaysback_warn=1;; - imssnow96.grb.grib2 ) # IMS snow - grib_source='$TANK_GRIBFLDS/$DDATE/wgrbbul'; - target_filename=imssnow96.grib2 - ndaysback=1; - ndaysback_warn=1;; - seaice.t00z.5min.grb ) - grib_source='${COM_ICE5MIN}.$DDATE'; - target_filename=seaice.5min.grb - ndaysback=7; - ndaysback_warn=1;; - seaice.t00z.5min.grb.grib2 ) - grib_source='${COM_ICE5MIN}.$DDATE'; - target_filename=seaice.5min.grib2 - ndaysback=7; - ndaysback_warn=1;; - rtgssthr_grb_0.083 ) - grib_source='${COM_SSTRTG}.$DDATE'; - target_filename=rtgssthr.grb - ndaysback=10; - ndaysback_warn=1;; - rtgssthr_grb_0.083.grib2 ) - grib_source='${COM_SSTRTG}.$DDATE'; - target_filename=rtgssthr.grib2 - ndaysback=10; - ndaysback_warn=1;; - *) - msg="***FATAL ERROR: unexpected grib field file $gribfile"; - echo_hashed_msg "$msg" - $DATA/postmsg "$jlogfile" "$msg" - $DATA/err_exit;; - esac -# set up string of dates to check - if [ $ndaysback -gt 0 ];then -set +x; echo -e "\n---> path to finddate.sh below is: `which finddate.sh`"; set -x - CHECK_DATES="$PDY $(finddate.sh $PDY s-$ndaysback)" - else - CHECK_DATES=$PDY - fi - set +x; - echo -e "\nWill check as far back as ${CHECK_DATES##* } for $gribfile" - set -x - ndtry=0 - found=false -# loop through dates to check for this file type - for DDATE in $CHECK_DATES;do - ndtry=`expr $ndtry + 1` - eval tryfile=$grib_source/$gribfile - if [ -s $tryfile ];then - set +x; echo -e "\nPicking up file $tryfile\n"; set -x - cp $tryfile ${COMSP}$target_filename - found=true - break - fi - if [ $DDATE -ne ${CHECK_DATES##* } ]; then - set +x;echo -e "\n$tryfile not available. Try previous day.\n" - set -x - else - set +x;echo -e "\n$tryfile not available.\n";set -x - fi - if [ $ndtry -gt $ndaysback_warn ];then - msg="***WARNING: INVESTIGATE UNEXPECTED ABSENCE OF $tryfile" - echo_hashed_msg "$msg" - $DATA/postmsg "$jlogfile" "$msg" - fi - done - if [ $found != true ]; then - msg="***WARNING: NO USEFUL RECENT FILES FOUND FOR $gribfile!!!" - echo_hashed_msg "$msg" - $DATA/postmsg "$jlogfile" "$msg" - fi - done - if [ "$SENDECF" = "YES" ]; then - ecflow_client --event=release_sfcprep - fi - -# endif loop $PROCESS_GRIBFLDS -fi - - -echo "=======> Dump group 1 (thread_1) not executed." > $DATA/1.out -echo "=======> Dump group 2 (thread_2) not executed." > $DATA/2.out -echo "=======> Dump group 3 (thread_3) not executed." > $DATA/3.out -echo "=======> Dump group 4 (thread_4) not executed." > $DATA/4.out -echo "=======> Dump group 5 (thread_5) not executed." > $DATA/5.out -echo "=======> Dump group 6 (thread_6) not executed." > $DATA/6.out -echo "=======> Dump group 7 (thread_7) not executed." > $DATA/7.out -echo "=======> Dump group 8 (thread_8) not executed." > $DATA/8.out -echo "=======> Dump group 9 (thread_9) not executed." > $DATA/9.out -echo "=======> Dump group 10 (thread_10) not executed." > $DATA/10.out -echo "=======> Dump group 11 (thread_11) not executed." > $DATA/11.out - -err1=0 -err2=0 -err3=0 -err4=0 -err5=0 -err6=0 -err7=0 -err8=0 -err9=0 -err10=0 -err11=0 -if [ "$PROCESS_DUMP" = 'YES' ]; then - -#################################### -#################################### -# The data "dump" script for tm00 -#################################### -#################################### - -msg="START THE $tmmark_uc $NET_uc DATA $dump_ind CENTERED ON $dumptime" -$DATA/postmsg "$jlogfile" "$msg" - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_1; chmod +x thread_1 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_1 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=1 - -#========================================================================= -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump # 1 : AVCSAM: 1 subtype(s) -# ESHRS3: 1 subtype(s) -# SSMISU: 1 subtype(s) -# CRIS: 1 subtype(s) (if present in past 10 days of tanks) -# SAPHIR: 1 subtype(s) -# ATMS: 1 subtype(s) (if present in past 10 days of tanks) -# 1BHRS4: 1 subtype(s) -# SEVCSR: 1 subtype(s) -# TESAC: 1 subtype(s) -# MLS: 1 subtype(s) (if present in past 10 days of tanks) -# ESATMS: 1 subtype(s) (if present in past 10 days of tanks) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 11 -# -#========================================================================= - -DTIM_latest_avcsam=${DTIM_latest_avcsam:-"+2.99"} -DTIM_latest_eshrs3=${DTIM_latest_eshrs3:-"+2.99"} -DTIM_latest_ssmisu=${DTIM_latest_ssmisu:-"+2.99"} -#----------------------------------------------- -# check for cris tank presence in past 10 days -cris="" -err_check_tanks=0 -sh $USHobsproc_dump/check_tanks.sh cris -err_check_tanks=$? -if [ $err_check_tanks -eq 0 ];then - cris=cris - DTIM_latest_cris=${DTIM_latest_cris:-"+2.99"} -fi -#----------------------------------------------- -DTIM_latest_saphir=${DTIM_latest_saphir:-"+2.99"} -#----------------------------------------------- -# check for atms tank presence in past 10 days -atms="" -err_check_tanks=0 -sh $USHobsproc_dump/check_tanks.sh atms -err_check_tanks=$? -if [ $err_check_tanks -eq 0 ];then - atms=atms - DTIM_latest_atms=${DTIM_latest_atms:-"+2.99"} -fi -#----------------------------------------------- -DTIM_latest_1bhrs4=${DTIM_latest_1bhrs4:-"+2.99"} -DTIM_latest_sevcsr=${DTIM_latest_sevcsr:-"+2.99"} -DTIM_latest_tesac=${DTIM_latest_tesac:-"+2.99"} -#----------------------------------------------- -# check for mls tank presence in past 10 days -mls="" -err_check_tanks=0 -sh $USHobsproc_dump/check_tanks.sh mls -err_check_tanks=$? -if [ $err_check_tanks -eq 0 ];then - mls=mls - DTIM_latest_mls=${DTIM_latest_mls:-"+2.99"} -fi -#----------------------------------------------- -#----------------------------------------------- -# check for esatms tank presence in past 10 days -esatms="" -err_check_tanks=0 -sh $USHobsproc_dump/check_tanks.sh esatms -err_check_tanks=$? -if [ $err_check_tanks -eq 0 ];then - esatms=esatms - DTIM_latest_esatms=${DTIM_latest_esatms:-"+2.99"} -fi -#----------------------------------------------- - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM1:-off}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 avcsam eshrs3 ssmisu $cris \ - saphir $atms 1bhrs4 sevcsr tesac $mls $esatms -error1=$? -echo "$error1" > $DATA/error1 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_avcsam $job \ - ${COMSP}avcsam.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_eshrs3 $job \ - ${COMSP}eshrs3.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_ssmisu $job \ - ${COMSP}ssmisu.tm00.bufr_d - if [ "$cris" = cris ];then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_cris $job \ - ${COMSP}cris.tm00.bufr_d - fi -### restricted $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_saphir $job \ -### restricted ${COMSP}saphir.tm00.bufr_d - if [ "$atms" = atms ];then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_atms $job \ - ${COMSP}atms.tm00.bufr_d - fi - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_1bhrs4 $job \ - ${COMSP}1bhrs4.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_sevcsr $job \ - ${COMSP}sevcsr.tm00.bufr_d -####### ALERTS TURNED OFF UNTIL REQUESTED BY USER ######################### -# $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_tesac $job \ -# ${COMSP}tesac.tm00.bufr_d -########################################################################### - if [ "$mls" = mls ];then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_mls $job \ - ${COMSP}mls.tm00.bufr_d - fi - if [ "$esatms" = esatms ];then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esatms $job \ - ${COMSP}esatms.tm00.bufr_d - fi -fi - -set +x -echo "********************************************************************" -echo Script thread_1 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/1.out 2>&1 -EOF -set -x - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_2; chmod +x thread_2 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_2 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=2 - -#========================================================================== -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# (3) GDAS GSI doesn't use ATOVS, but NASA/GSFC is pulling them off our -# server, also CDAS may be running special tests using data from GDAS -# cutoff time (ATOVS is not dumped in GFS) -# -#-------------------------------------------------------------------------- -# GDAS: -# Dump # 2 : SFCSHP: 5 subtype(s) -# ATOVS: 1 subtype(s) -# ADPSFC: 4 subtype(s) -# ASCATT: 1 subtype(s) -# xxxxxxxxx WNDSAT: 1 subtype(s) (if present in past 10 days of tanks) -# ===> Dumping of WNDSAT removed from here until new ingest feed is established -# (had been dumped with a time window radius of -3.00 to +2.99 hours) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 11 -# -#-------------------------------------------------------------------------- -# GFS: -# Dump # 2 : SFCSHP: 5 subtype(s) -# ADPSFC: 4 subtype(s) -# ASCATT: 1 subtype(s) -# xxxxxxxxx WNDSAT: 1 subtype(s) (if present in past 10 days of tanks) -# ===> Dumping of WNDSAT removed from here until new ingest feed is established -# (had been dumped with a time window radius of -3.00 to +2.99 hours) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 10 -# -#========================================================================== - -DTIM_latest_sfcshp=${DTIM_latest_sfcshp:-"+2.99"} - -atovs="" -if [ "$NET" = 'gdas' ]; then - atovs=atovs - DTIM_latest_atovs=${DTIM_latest_atovs:-"+2.99"} -fi - -DTIM_latest_adpsfc=${DTIM_latest_adpsfc:-"+2.99"} -DTIM_latest_ascatt=${DTIM_latest_ascatt:-"+2.99"} -#----------------------------------------------- -# check for wndsat tank presence in past 10 days -wndsat="" -err_check_tanks=0 -##########sh $USHobsproc_dump/check_tanks.sh wndsat -##########err_check_tanks=$? -err_check_tanks=99 # comment out 2 lines above & add this line to ensure wndsat - # is not ever dumped -if [ $err_check_tanks -eq 0 ];then - wndsat=wndsat - DTIM_latest_wndsat=${DTIM_latest_wndsat:-"+2.99"} -fi -#----------------------------------------------- - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM2:-off}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 sfcshp $atovs adpsfc ascatt $wndsat -error2=$? -echo "$error2" > $DATA/error2 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_sfcshp $job \ - ${COMSP}sfcshp.tm00.bufr_d - [ -f ${COMSP}atovs.tm00.bufr_d ] && \ - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_atovs $job \ - ${COMSP}atovs.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_adpsfc $job \ - ${COMSP}adpsfc.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_ascatt $job \ - ${COMSP}ascatt.tm00.bufr_d - if [ "$NET" = 'gdas' ]; then - ####### ALERT TURNED ON for GDAS only ######################## - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_ascatw $job \ - ${COMSP}ascatw.tm00.bufr_d - fi - if [ "$wndsat" = wndsat ];then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_wndsat $job \ - ${COMSP}wndsat.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_wdsatr $job \ - ${COMSP}wdsatr.tm00.bufr_d - fi -fi - -set +x -echo "********************************************************************" -echo Script thread_2 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/2.out 2>&1 -EOF -set -x - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_3; chmod +x thread_3 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_3 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=3 - -#==================================================================== -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump #3: ADPUPA: 6 subtype(s) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 6 -# -#==================================================================== - -DTIM_latest_adpupa=${DTIM_latest_adpupa:-"+2.99"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM3:-off}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 adpupa -error3=$? -echo "$error3" > $DATA/error3 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_adpupa $job \ - ${COMSP}adpupa.tm00.bufr_d -fi - -set +x -echo "********************************************************************" -echo Script thread_3 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/3.out 2>&1 -EOF -set -x - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_4; chmod +x thread_4 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_4 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=4 - -#======================================================================= -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# EXCEPT: AIRCFT where it is +/- 3.25 hours -# AIRCAR where it is +/- 3.25 hours -# PROFLR where it is -4.00 to +3.99 hours -# GSPIPW where it is +/- 0.05 hours (+/- 3min) -# (2) TIME TRIMMING IS DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump # 4 : AIRCAR: 2 subtype(s) -# AIRCFT: 6 subtype(s) -# PROFLR: 4 subtype(s) -# VADWND: 1 subtype(s) -# RASSDA: 1 subtype(s) -# GPSIPW: 1 subtype(s) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 15 -# -#======================================================================= - -# Skip NeXRaD VAD WINDS FROM LEVEL 2 DECODER (not ready to be handled in GSI) - -export SKIP_002017=YES - -# Dump AIRCFT and AIRCAR with wide time window to improve PREPOBS_PREPACQC -# track-check performance -# (time window will be winnowed down to +/- 3.00 hours in output from -# PREPOBS_PREPACQC) - -# Dump PROFLR with wide time window to improve PREPOBS_PROFCQC performance -# (time window will be winnowed down in output from PREPOBS_PROFCQC, see -# parm cards for output time window) - -# Dump GPSIPW with narrow (+/- 3-min) time window since new Ground Based -# GPS-IPW/ZTD (from U.S.-ENI and foreign GNSS providers) is currently limited -# to obs only at cycle-time - -DTIM_earliest_aircft=${DTIM_earliest_aircft:-"-3.25"} -DTIM_latest_aircft=${DTIM_latest_aircft:-"+3.25"} - -DTIM_earliest_aircar=${DTIM_earliest_aircar:-"-3.25"} -DTIM_latest_aircar=${DTIM_latest_aircar:-"+3.25"} - -DTIM_earliest_proflr=${DTIM_earliest_proflr:-"-4.00"} -DTIM_latest_proflr=${DTIM_latest_proflr:-"+3.99"} - -DTIM_latest_vadwnd=${DTIM_latest_vadwnd:-"+2.99"} -DTIM_latest_rassda=${DTIM_latest_rassda:-"+2.99"} - -DTIM_earliest_gpsipw=${DTIM_latest_gpsipw:-"-0.05"} -DTIM_latest_gpsipw=${DTIM_latest_gpsipw:-"+0.05"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM4:-on}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 aircar aircft proflr vadwnd \ - rassda gpsipw -error4=$? -echo "$error4" > $DATA/error4 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_aircar $job \ - ${COMSP}aircar.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_aircft $job \ - ${COMSP}aircft.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_proflr $job \ - ${COMSP}proflr.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_vadwnd $job \ - ${COMSP}vadwnd.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_rassda $job \ - ${COMSP}rassda.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_gpsipw $job \ - ${COMSP}gpsipw.tm00.bufr_d -fi - -set +x -echo "********************************************************************" -echo Script thread_4 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/4.out 2>&1 -EOF -set -x - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_5; chmod +x thread_5 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_5 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=5 - -#=================================================================== -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Currently not executed in GDAS or GFS: -# Dump # 5 : MSONET: 30 subtype(s) -# --------------------- -# TOTAL NUMBER OF SUBTYPES = 30 -# -#=================================================================== - -DTIM_latest_msonet=${DTIM_latest_msonet:-"+2.99"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM5:-off}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 msonet -error5=$? -echo "$error5" > $DATA/error5 - -set +x -echo "********************************************************************" -echo Script thread_5 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/5.out 2>&1 -EOF -set -x - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_6; chmod +x thread_6 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_6 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=6 - -#=================================================================== -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Currently not executed in GDAS or GFS: -# Dump # 6 : NEXRAD: 8 subtype(s) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 8 -# -#=================================================================== - -DTIM_latest_nexrad=${DTIM_latest_nexrad:-"+2.99"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM6:-off}} - -# NEXRAD tanks are hourly -# Process only those hourly tanks w/i requested dump center cycle time window - -SKIP_006010=YES # radial wind 00Z -SKIP_006011=YES # radial wind 01Z -SKIP_006012=YES # radial wind 02Z -SKIP_006013=YES # radial wind 03Z -SKIP_006014=YES # radial wind 04Z -SKIP_006015=YES # radial wind 05Z -SKIP_006016=YES # radial wind 06Z -SKIP_006017=YES # radial wind 07Z -SKIP_006018=YES # radial wind 08Z -SKIP_006019=YES # radial wind 09Z -SKIP_006020=YES # radial wind 10Z -SKIP_006021=YES # radial wind 11Z -SKIP_006022=YES # radial wind 12Z -SKIP_006023=YES # radial wind 13Z -SKIP_006024=YES # radial wind 14Z -SKIP_006025=YES # radial wind 15Z -SKIP_006026=YES # radial wind 16Z -SKIP_006027=YES # radial wind 17Z -SKIP_006028=YES # radial wind 18Z -SKIP_006029=YES # radial wind 19Z -SKIP_006030=YES # radial wind 20Z -SKIP_006031=YES # radial wind 21Z -SKIP_006032=YES # radial wind 22Z -SKIP_006033=YES # radial wind 23Z - -SKIP_006040=YES # reflectivity 00Z -SKIP_006041=YES # reflectivity 01Z -SKIP_006042=YES # reflectivity 02Z -SKIP_006043=YES # reflectivity 03Z -SKIP_006044=YES # reflectivity 04Z -SKIP_006045=YES # reflectivity 05Z -SKIP_006046=YES # reflectivity 06Z -SKIP_006047=YES # reflectivity 07Z -SKIP_006048=YES # reflectivity 08Z -SKIP_006049=YES # reflectivity 09Z -SKIP_006050=YES # reflectivity 10Z -SKIP_006051=YES # reflectivity 11Z -SKIP_006052=YES # reflectivity 12Z -SKIP_006053=YES # reflectivity 13Z -SKIP_006054=YES # reflectivity 14Z -SKIP_006055=YES # reflectivity 15Z -SKIP_006056=YES # reflectivity 16Z -SKIP_006057=YES # reflectivity 17Z -SKIP_006058=YES # reflectivity 18Z -SKIP_006059=YES # reflectivity 19Z -SKIP_006060=YES # reflectivity 20Z -SKIP_006061=YES # reflectivity 21Z -SKIP_006062=YES # reflectivity 22Z -SKIP_006063=YES # reflectivity 23Z - -if [ $cycp -eq 00 ]; then # (22.5 - 01.5 Z) - unset SKIP_006032 # radial wind 22Z - unset SKIP_006033 # radial wind 23Z - unset SKIP_006010 # radial wind 00Z - unset SKIP_006011 # radial wind 01Z - unset SKIP_006062 # reflectivity 22Z - unset SKIP_006063 # reflectivity 23Z - unset SKIP_006040 # reflectivity 00Z - unset SKIP_006041 # reflectivity 01Z -elif [ $cycp -eq 06 ]; then # (04.5 - 07.5 Z) - unset SKIP_006014 # radial wind 04Z - unset SKIP_006015 # radial wind 05Z - unset SKIP_006016 # radial wind 06Z - unset SKIP_006017 # radial wind 07Z - unset SKIP_006044 # reflectivity 04Z - unset SKIP_006045 # reflectivity 05Z - unset SKIP_006046 # reflectivity 06Z - unset SKIP_006047 # reflectivity 07Z -elif [ $cycp -eq 12 ]; then # (10.5 - 13.5 Z) - unset SKIP_006020 # radial wind 10Z - unset SKIP_006021 # radial wind 11Z - unset SKIP_006022 # radial wind 12Z - unset SKIP_006023 # radial wind 13Z - unset SKIP_006050 # reflectivity 10Z - unset SKIP_006051 # reflectivity 11Z - unset SKIP_006052 # reflectivity 12Z - unset SKIP_006053 # reflectivity 13Z -elif [ $cycp -eq 18 ]; then # (16.5 - 19.5 Z) - unset SKIP_006026 # radial wind 16Z - unset SKIP_006027 # radial wind 17Z - unset SKIP_006028 # radial wind 18Z - unset SKIP_006029 # radial wind 19Z - unset SKIP_006056 # reflectivity 16Z - unset SKIP_006057 # reflectivity 17Z - unset SKIP_006058 # reflectivity 18Z - unset SKIP_006059 # reflectivity 19Z -fi - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 nexrad -error6=$? -echo "$error6" > $DATA/error6 - -set +x -echo "********************************************************************" -echo Script thread_6 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/6.out 2>&1 -EOF -set -x - -set +x -#------------------------------------------------------------------------------ -cat<<\EOF>thread_7; chmod +x thread_7 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_7 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=7 - -#========================================================================= -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump # 7 : AVCSPM: 1 subtype(s) -# ESMHS: 1 subtype(s) -# GOESFV: 1 subtype(s) -# 1BMHS: 1 subtype(s) -# AIRSEV: 1 subtype(s) -# ATMSDB: 1 subtype(s) -# GOME: 1 subtype(s) -# OMI: 1 subtype(s) -# TRKOB: 1 subtype(s) -# GPSRO: 1 subtype(s) -# ESCRIS: 1 subtype(s) (if present in past 10 days of tanks) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 11 -# -#========================================================================= - -DTIM_latest_avcspm=${DTIM_latest_avcspm:-"+2.99"} -DTIM_latest_esmhs=${DTIM_latest_esmhs:-"+2.99"} -DTIM_latest_goesfv=${DTIM_latest_goesfv:-"+2.99"} -DTIM_latest_1bmhs=${DTIM_latest_1bmhs:-"+2.99"} -DTIM_latest_airsev=${DTIM_latest_airsev:-"+2.99"} -DTIM_latest_atmsdb=${DTIM_latest_atmsdb:-"+2.99"} -DTIM_latest_gome=${DTIM_latest_gome:-"+2.99"} -DTIM_latest_omi=${DTIM_latest_omi:-"+2.99"} -DTIM_latest_trkob=${DTIM_latest_trkob:-"+2.99"} -DTIM_latest_gpsro=${DTIM_latest_gpsro:-"+2.99"} -#----------------------------------------------- -# check for escris tank presence in past 10 days -escris="" -err_check_tanks=0 -sh $USHobsproc_dump/check_tanks.sh escris -err_check_tanks=$? -if [ $err_check_tanks -eq 0 ];then - escris=escris - DTIM_latest_escris=${DTIM_latest_escris:-"+2.99"} -fi -#----------------------------------------------- - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM7:-off}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 avcspm esmhs goesfv 1bmhs \ - airsev atmsdb gome omi trkob gpsro $escris -error7=$? -echo "$error7" > $DATA/error7 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_avcspm $job \ - ${COMSP}avcspm.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esmhs $job \ - ${COMSP}esmhs.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_goesfv $job \ - ${COMSP}goesfv.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_1bmhs $job \ - ${COMSP}1bmhs.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_airsev $job \ - ${COMSP}airsev.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_atmsdb $job \ - ${COMSP}atmsdb.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_gome $job \ - ${COMSP}gome.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_omi $job \ - ${COMSP}omi.tm00.bufr_d -####### ALERTS TURNED OFF UNTIL REQUESTED BY USER ######################### -# $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_trkob $job \ -# ${COMSP}trkob.tm00.bufr_d -########################################################################### - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_gpsro $job \ - ${COMSP}gpsro.tm00.bufr_d - if [ "$escris" = escris ];then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_escris $job \ - ${COMSP}escris.tm00.bufr_d - fi -fi - -set +x -echo "********************************************************************" -echo Script thread_7 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/7.out 2>&1 -EOF -set -x - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_8; chmod +x thread_8 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_8 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=8 - -#======================================================================= -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is +/- 1.5 hrs for all SATWND types -# EXCEPT: SATWND subtypes 005/010, 005/011, 005/12, 005/019, 005/064, -# 005/065, 005/066, 005/070, 005/071, 005/080 and 005/090 where -# it is -3.00 to +2.99 hours. -# (2) TIME TRIMMING IS DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump # 8 : SATWND: 17 subtype(s) -# --------------------- -# TOTAL NUMBER OF SUBTYPES = 17 -# -#======================================================================= - -# satwnd types -# ------------ -DTIM_earliest_005010=${DTIM_earliest_005010:-"-3.00"} -DTIM_latest_005010=${DTIM_latest_005010:-"+2.99"} -DTIM_earliest_005011=${DTIM_earliest_005011:-"-3.00"} -DTIM_latest_005011=${DTIM_latest_005011:-"+2.99"} -DTIM_earliest_005012=${DTIM_earliest_005012:-"-3.00"} -DTIM_latest_005012=${DTIM_latest_005012:-"+2.99"} -DTIM_earliest_005019=${DTIM_earliest_005019:-"-3.00"} -DTIM_latest_005019=${DTIM_latest_005019:-"+2.99"} -DTIM_earliest_005064=${DTIM_earliest_005064:-"-3.00"} -DTIM_latest_005064=${DTIM_latest_005064:-"+2.99"} -DTIM_earliest_005065=${DTIM_earliest_005065:-"-3.00"} -DTIM_latest_005065=${DTIM_latest_005065:-"+2.99"} -DTIM_earliest_005066=${DTIM_earliest_005066:-"-3.00"} -DTIM_latest_005066=${DTIM_latest_005066:-"+2.99"} -DTIM_earliest_005070=${DTIM_earliest_005070:-"-3.00"} -DTIM_latest_005070=${DTIM_latest_005070:-"+2.99"} -DTIM_earliest_005071=${DTIM_earliest_005071:-"-3.00"} -DTIM_latest_005071=${DTIM_latest_005071:-"+2.99"} -DTIM_earliest_005080=${DTIM_earliest_005080:-"-3.00"} -DTIM_latest_005080=${DTIM_latest_005080:-"+2.99"} -DTIM_earliest_005090=${DTIM_earliest_005090:-"-3.00"} -DTIM_latest_005090=${DTIM_latest_005090:-"+2.99"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM8:-on}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 1.5 1 satwnd -error8=$? -echo "$error8" > $DATA/error8 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_satwnd $job \ - ${COMSP}satwnd.tm00.bufr_d -fi - -set +x -echo "********************************************************************" -echo Script thread_8 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/8.out 2>&1 -EOF -set -x - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_9; chmod +x thread_9 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_9 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=9 - -#======================================================================= -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# EXCEPT: GEOIMR where it is -0.50 to +0.50 hour -# (2) TIME TRIMMING IS DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump # 9 : GEOIMR: 1 subtype(s) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 1 -# -#======================================================================= - -DTIM_earliest_geoimr=${DTIM_earliest_geoimr:-"-0.50"} -DTIM_latest_geoimr=${DTIM_latest_geoimr:-"+0.50"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM9:-on}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 geoimr -error9=$? -echo "$error9" > $DATA/error9 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_geoimr $job \ - ${COMSP}geoimr.tm00.bufr_d -fi - -set +x -echo "********************************************************************" -echo Script thread_9 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/9.out 2>&1 -EOF -set -x - -set +x -#------------------------------------------------------------------------------ -cat<<\EOF>thread_10; chmod +x thread_10 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_10 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=10 - -#========================================================================= -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump #10 : ESIASI: 1 subtype(s) -# MTIASI: 1 subtype(s) -# ESAMUA: 1 subtype(s) -# CRISDB: 1 subtype(s) -# IASIDB: 1 subtype(s) -# SEVASR: 1 subtype(s) -# 1BAMUA: 1 subtype(s) -# BATHY: 1 subtype(s) -# OSBUV8: 1 subtype(s) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 9 -# -#========================================================================= - -DTIM_latest_esiasi=${DTIM_latest_esiasi:-"+2.99"} -DTIM_latest_mtiasi=${DTIM_latest_mtiasi:-"+2.99"} -DTIM_latest_esamua=${DTIM_latest_esamua:-"+2.99"} -DTIM_latest_crisdb=${DTIM_latest_crisdb:-"+2.99"} -DTIM_latest_iasidb=${DTIM_latest_iasidb:-"+2.99"} -DTIM_latest_sevasr=${DTIM_latest_sevasr:-"+2.99"} -DTIM_latest_1bamua=${DTIM_latest_1bamua:-"+2.99"} -DTIM_latest_bathy=${DTIM_latest_bathy:-"+2.99"} -DTIM_latest_osbuv8=${DTIM_latest_osbuv8:-"+2.99"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM10:-off}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 esiasi mtiasi esamua \ - crisdb iasidb sevasr 1bamua bathy osbuv8 -error10=$? -echo "$error10" > $DATA/error10 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esiasi $job \ - ${COMSP}esiasi.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_mtiasi $job \ - ${COMSP}mtiasi.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esamua $job \ - ${COMSP}esamua.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_crisdb $job \ - ${COMSP}crisdb.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_iasidb $job \ - ${COMSP}iasidb.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_sevasr $job \ - ${COMSP}sevasr.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_1bamua $job \ - ${COMSP}1bamua.tm00.bufr_d -####### ALERTS TURNED OFF UNTIL REQUESTED BY USER ######################### -# $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_bathy $job \ -# ${COMSP}bathy.tm00.bufr_d -########################################################################### - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_osbuv8 $job \ - ${COMSP}osbuv8.tm00.bufr_d -fi - -set +x -echo "********************************************************************" -echo Script thread_10 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/10.out 2>&1 -EOF -set -x - -set +x -#------------------------------------------------------------------------------ -cat<<\EOF>thread_11; chmod +x thread_11 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_11 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=11 - -#========================================================================= -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump #11 : AMSR2: 1 subtype(s) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 1 -# -#========================================================================= - -DTIM_latest_amsr2=${DTIM_latest_amsr2:-"+2.99"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM11:-off}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 amsr2 -error11=$? -echo "$error11" > $DATA/error11 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_amsr2 $job \ - ${COMSP}amsr2.tm00.bufr_d -fi - -set +x -echo "********************************************************************" -echo Script thread_11 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/11.out 2>&1 -EOF -set -x - - -#---------------------------------------------------------------- -# Now launch the threads - -# determine local system name and type if available -# ------------------------------------------------- -SITE=${SITE:-""} -sys_tp=${sys_tp:-$(getsystem.pl -tp)} -getsystp_err=$? -if [ $getsystp_err -ne 0 ]; then - msg="***WARNING: error using getsystem.pl to determine system type and phase" - set +u - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - set -u -fi -echo sys_tp is set to: $sys_tp - -if [ "$sys_tp" = 'Cray-XC40' -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - launcher=${launcher:-"aprun_cfp"} -else - launcher=${launcher:-"cfp"} -fi -if [ "$launcher" = aprun_cfp ]; then - # Get compute node count: Subtract one from the total number of unique - # hosts to account for the MAMU node that runs serial portion of job - typeset -i nodesall=$(echo -e "${LSB_HOSTS// /\\n}"|sort -u|wc -w) - typeset -i ncnodes=$(($nodesall-1)) # we want compute nodes only - if [ $ncnodes -lt 1 ]; then - set +x - echo - echo " ######################################################## " - echo " --> Could not get positive compute node count for aprun! " - echo " --> Check that BSUB directives included a reservation " - echo " request for one or more compute nodes. " - echo " --> @@ F A T A L E R R O R @@ -- ABNORMAL EXIT " - echo " ######################################################## " - echo - set -x - $DATA/err_exit "***FATAL: Check if compute nodes were allocated" - fi -elif [[ "$launcher" = cfp && -z "$LSB_HOSTS" ]]; then - set +x - echo - echo "You requested the cfp poe launcher but are not running under LSF!!" - echo "You must run under LSF to use cfp option on IBM. Exiting..." - echo - set -x - $DATA/err_exit -fi -if [ "$launcher" = cfp -o "$launcher" = aprun_cfp ]; then - > $DATA/poe.cmdfile - -# To better take advantage of cfp, execute the longer running commands first. -# Some reordering was done here based on recent sample runtimes. - [ $DUMP_group7 = YES ] && echo thread_7 >> $DATA/poe.cmdfile # moved up - [ $DUMP_group1 = YES ] && echo thread_1 >> $DATA/poe.cmdfile - [ $DUMP_group5 = YES ] && echo thread_5 >> $DATA/poe.cmdfile # moved up - [ $DUMP_group6 = YES ] && echo thread_6 >> $DATA/poe.cmdfile # moved up - [ $DUMP_group8 = YES ] && echo thread_8 >> $DATA/poe.cmdfile # moved up - [ $DUMP_group11 = YES ] && echo thread_11 >> $DATA/poe.cmdfile # moved up - [ $DUMP_group10 = YES ] && echo thread_10 >> $DATA/poe.cmdfile # moved up - [ $DUMP_group2 = YES ] && echo thread_2 >> $DATA/poe.cmdfile - [ $DUMP_group3 = YES -a $ADPUPA_wait != YES ] && echo thread_3 >> $DATA/poe.cmdfile - [ $DUMP_group4 = YES ] && echo thread_4 >> $DATA/poe.cmdfile - [ $DUMP_group9 = YES ] && echo thread_9 >> $DATA/poe.cmdfile - - if [ -s $DATA/poe.cmdfile ]; then - nthreads=$(cat $DATA/poe.cmdfile | wc -l) - if [ $nthreads -eq 1 ]; then # don't expect to need this, but just in case - echo "do not need cfp for 1 thread" - if [ "$launcher" = aprun_cfp ]; then - aprun -n 1 -N 1 -d 1 sh $DATA/poe.cmdfile - else - sh $DATA/poe.cmdfile - fi - elif [ "$launcher" = cfp ]; then # iDataPlex - module load cfp - export MP_CSS_INTERRUPT=yes - mpirun.lsf cfp $DATA/poe.cmdfile 2>&1 - elif [ "$launcher" = aprun_cfp ]; then - if [[ -z ${DUMPStpn:-""} ]]; then # pes per node - # cfp is faster with extra thread so add one if there is room. - # For now, going with 20 as default max rather than 24. - if [ $nthreads -lt 20 ]; then - DUMPStpn=$(($nthreads+1)) - else - DUMPStpn=20 - fi - fi - NPROCS=$(($ncnodes*$DUMPStpn)) # concurrent processes - aprun -j 1 -n${NPROCS} -N${DUMPStpn} -d 1 --cc depth cfp $DATA/poe.cmdfile - fi - errpoe=$? - if [ $errpoe -ne 0 ]; then - $DATA/err_exit "***FATAL: EXIT STATUS $errpoe RUNNING POE COMMAND FILE" - fi - else - echo - echo "==> There are no tasks in POE Command File - POE not run" - echo - fi -else - if [ "$sys_tp" = 'Cray-XC40' -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - set +x - echo - echo " ############################################################# " - echo " --> Option to use background threads is disabled on Cray-XC40." - echo " --> @@ F A T A L E R R O R @@ -- ABNORMAL EXIT " - echo " ############################################################# " - echo - set -x - $DATA/err_exit "***FATAL: Check if compute nodes were allocated" - else - echo "Spawning background threads" - [ $DUMP_group1 = YES ] && thread_1 & - [ $DUMP_group2 = YES ] && thread_2 & - [ $DUMP_group3 = YES -a $ADPUPA_wait != YES ] && thread_3 & - [ $DUMP_group4 = YES ] && thread_4 & - [ $DUMP_group5 = YES ] && thread_5 & - [ $DUMP_group6 = YES ] && thread_6 & - [ $DUMP_group7 = YES ] && thread_7 & - [ $DUMP_group8 = YES ] && thread_8 & - [ $DUMP_group9 = YES ] && thread_9 & - [ $DUMP_group10 = YES ] && thread_10 & - [ $DUMP_group11 = YES ] && thread_11 & - wait - fi -fi - -# if ADPUPA_wait is YES, adpupa is dumped AFTER all other dump threads have -# run (normally done in real-time GFS runs to dump as late as possible in -# order to maximize data availability in GFS network, particularly DROPs) -# -------------------------------------------------------------------------- - -[ $DUMP_group3 = YES -a $ADPUPA_wait = YES ] && thread_3 - -cat $DATA/1.out $DATA/2.out $DATA/3.out $DATA/4.out $DATA/5.out $DATA/6.out $DATA/7.out $DATA/8.out $DATA/9.out $DATA/10.out $DATA/11.out - -set +x -echo " " -echo " " -set -x - -[ -s $DATA/error1 ] && err1=`cat $DATA/error1` -[ -s $DATA/error2 ] && err2=`cat $DATA/error2` -[ -s $DATA/error3 ] && err3=`cat $DATA/error3` -[ -s $DATA/error4 ] && err4=`cat $DATA/error4` -[ -s $DATA/error5 ] && err5=`cat $DATA/error5` -[ -s $DATA/error6 ] && err6=`cat $DATA/error6` -[ -s $DATA/error7 ] && err7=`cat $DATA/error7` -[ -s $DATA/error8 ] && err8=`cat $DATA/error8` -[ -s $DATA/error9 ] && err9=`cat $DATA/error9` -[ -s $DATA/error10 ] && err10=`cat $DATA/error10` -[ -s $DATA/error11 ] && err11=`cat $DATA/error11` - - -#=============================================================================== - -export STATUS=YES -export DUMP_NUMBER=12 -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.00 1 null - -# endif loop $PROCESS_DUMP -fi - -echo " " >> $pgmout -echo "##################################################################\ -####################" >> $pgmout -echo " " >> $pgmout - -#================================================================ -#================================================================ - - -if [ "$PROCESS_DUMP" = 'YES' ]; then - - if [ "$err1" -gt '5' -o "$err2" -gt '5' -o "$err3" -gt '5' -o \ - "$err4" -gt '5' -o "$err5" -gt '5' -o "$err6" -gt '5' -o \ - "$err7" -gt '5' -o "$err8" -gt '5' -o "$err9" -gt '5' -o \ - "$err10" -gt '5' -o "$err11" -gt '5' ]; then - for n in $err1 $err2 $err3 $err4 $err5 $err6 $err7 $err8 $err9 $err10 $err11 - do - if [ "$n" -gt '5' ]; then - if [ "$n" -ne '11' -a "$n" -ne '22' ]; then - -## fatal error in dumping of BUFR obs. files - - set +x -echo -echo " ###################################################### " -echo " --> > 22 RETURN CODE FROM DATA DUMP, $err1, $err2, $err3, $err4, \ -$err5, $err6, $err7, $err8, $err9, $err10, $err11 " -echo " --> @@ F A T A L E R R O R @@ -- ABNORMAL EXIT " -echo " ###################################################### " -echo - set -x - $DATA/err_exit - exit 9 - fi - fi - done - -## a status code of 11 or 22 from dumping of BUFR obs. files -## is non-fatal but still worth noting - - set +x - echo - echo " ###################################################### " - echo " --> > 5 RETURN CODE FROM DATA DUMP, $err1, $err2, $err3, $err4, \ -$err5, $err6, $err7, $err8, $err9, $err10, $err11 " - echo " --> NOT ALL DATA DUMP FILES ARE COMPLETE - CONTINUE " - echo " ###################################################### " - echo - set -x - fi - -# endif loop $PROCESS_DUMP -fi - - -# GOOD RUN -set +x -echo " " -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " " -set -x - - -# save standard output -cat break $pgmout break > allout -cat allout -# rm allout - -sleep 10 - -msg='ENDED NORMALLY.' -$DATA/postmsg "$jlogfile" "$msg" - -################## END OF SCRIPT ####################### diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_makeprepbufr.sh.ecf b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_makeprepbufr.sh.ecf deleted file mode 100755 index 074c71b..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/exglobal_makeprepbufr.sh.ecf +++ /dev/null @@ -1,578 +0,0 @@ -#!/bin/ksh -# Run under ksh - -############################################################################# -echo "----------------------------------------------------------------------" -echo "exglobal_makeprepbufr.sh.ecf - Global (GDAS, GFS) model prepbufr " -echo " processing " -echo "----------------------------------------------------------------------" -echo "History: Mar 1 2013 - Original script. " -echo " Dec 3 2014 - CDAS network, split off into its own script " -echo " excdas_makeprepbufr.sh.ecf. This script now " -echo " tailored exclusively to GDAS and GFS. " -echo " Mar 11 2017 - Update to handle nemsio filename patterns " -############################################################################# - -set -x - -# Make sure we are in the $DATA directory -cd $DATA - -msg="HAS BEGUN on `hostname`" -$DATA/postmsg "$jlogfile" "$msg" - -cat break > $pgmout - -CHGRP_RSTPROD=${CHGRP_RSTPROD:-YES} -MAKE_NSSTBUFR=${MAKE_NSSTBUFR:-YES} - -export COMSP=${COMSP:-$COMIN/${RUN}.${cycle}.} - - -if [ "$DO_QC" = 'YES' -a "$CQCBUFR" = 'YES' -a -n "$COM1" -a -n "$CQCC" ]; then - -# NOTE: The following logic currently does not apply to the GFS or GDAS -# network. (It applies only to the CDAS network.) It is maintained here -# in case it ever does. -# ----------------------------------------------------------------------------- -# If running PREPOBS_CQCBUFR, must check its data cards to see if -# namelist switch DOTMP is TRUE - if so, must get prepbufr_pre-qc files -# from t-24, t-12, t+12, t+24 to feed into PREPOBS_CQCBUFR - - DOTMP=`grep DOTMP $CQCC | awk -F, \ - '{print $1; print $2; print $3; print $4; print$5}' | grep DOTMP | \ - awk -F= '{print $2}'` - - if [[ $DOTMP = *T* ]]; then - [ -s ${COM1}${PDYm1}/${RUN}.${cycle}.prepbufr_pre-qc ] && \ - export PRPI_m24=${COM1}${PDYm1}/${RUN}.${cycle}.prepbufr_pre-qc - [ -s ${COM1}${PDYp1}/${RUN}.${cycle}.prepbufr_pre-qc ] && \ - export PRPI_p24=${COM1}${PDYp1}/${RUN}.${cycle}.prepbufr_pre-qc - tdate10=`$NDATE -12 $PDY$cyc` - cyc_m12=`echo $tdate10|cut -c9-10` - pdy_m12=`echo $tdate10|cut -c1-8` - [ -s ${COM1}${pdy_m12}/${RUN}.t${cyc_m12}z.prepbufr_pre-qc ] && \ - export PRPI_m12=${COM1}${pdy_m12}/${RUN}.t${cyc_m12}z.prepbufr_pre-qc - tdate10=`$NDATE +12 $PDY$cyc` - cyc_p12=`echo $tdate10|cut -c9-10` - pdy_p12=`echo $tdate10|cut -c1-8` - [ -s ${COM1}${pdy_p12}/${RUN}.t${cyc_p12}z.prepbufr_pre-qc ] && \ - export PRPI_p12=${COM1}${pdy_p12}/${RUN}.t${cyc_p12}z.prepbufr_pre-qc - fi -fi - -cdate10=`cut -c7-16 ncepdate` - -msg="CENTER TIME FOR PREPBUFR PROCESSING IS $cdate10" -$DATA/postmsg "$jlogfile" "$msg" - -ksh $ushscript_prep/prepobs_makeprepbufr.sh $cdate10 -errsc=$? - -[ "$errsc" -ne '0' ] && exit $errsc - -if [ "$CHGRP_RSTPROD" = 'YES' ]; then - msg="NOTE: These files (if present) are RESTRICTED to rstprod group: \ -prepbufr_pre-qc, prepbufr, prepbufr.acft_profiles*, acqc_???*, \ -acqc_merged*_sorted, tosslist, prepbufr.unblok" - $DATA/postmsg "$jlogfile" "$msg" -set +x - echo " " - echo "$msg" - echo " " -set -x -fi -warning=no - -if [ "$PREPDATA" = 'YES' ]; then - -# save snapshot of prepbufr file after PREPOBS_PREPDATA in COMOUT - cp prepda.prepdata $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc - chmod 664 $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc - - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc - else - cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc - warning=yes - fi - fi - -# save current prepbufr mnemonic table in COMOUT if either it isn't already -# there for a previous cycle or if it has changed from a previous cycle - if [ ! -s $COMOUT/*prep.bufrtable ]; then - cp prep.bufrtable $COMOUT/${RUN}.${cycle}.prep.bufrtable - else - diff `ls -t $COMOUT/*prep.bufrtable | head -n1` prep.bufrtable \ - > /dev/null 2>&1 - errdiff=$? - [ "$errdiff" -ne '0' ] && \ - cp prep.bufrtable $COMOUT/${RUN}.${cycle}.prep.bufrtable - fi -fi - -# save global guess file(s) in COMOUT if they haven't already been saved -# here by previous tropical cyclone relocation processing -# first block is for nemsio input, second is for sigio input -if [[ "$NEMSIO_IN" == .true. ]]; then - [ -s sgm3prep -a ! -s $COMOUT/${RUN}.${cycle}.atmgm3.nemsio ] && \ - cp sgm3prep $COMOUT/${RUN}.${cycle}.atmgm3.nemsio - [ -s sgp3prep -a ! -s $COMOUT/${RUN}.${cycle}.atmgp3.nemsio ] && \ - cp sgp3prep $COMOUT/${RUN}.${cycle}.atmgp3.nemsio - if [ -s sgesprep ]; then - if [ -s sgesprepA ]; then - cp sgesprep $COMOUT/${RUN}.${cycle}.atmges.nemsio_before - cp sgesprepA $COMOUT/${RUN}.${cycle}.atmges.nemsio_after - else - [ ! -s $COMOUT/${RUN}.${cycle}.atmges.nemsio ] && \ - cp sgesprep $COMOUT/${RUN}.${cycle}.atmges.nemsio - fi - fi -else - [ -s sgm3prep -a ! -s $COMOUT/${RUN}.${cycle}.sgm3prep ] && \ - cp sgm3prep $COMOUT/${RUN}.${cycle}.sgm3prep - [ -s sgp3prep -a ! -s $COMOUT/${RUN}.${cycle}.sgp3prep ] && \ - cp sgp3prep $COMOUT/${RUN}.${cycle}.sgp3prep - if [ -s sgesprep ]; then - if [ -s sgesprepA ]; then - cp sgesprep $COMOUT/${RUN}.${cycle}.sgesprep_before - cp sgesprepA $COMOUT/${RUN}.${cycle}.sgesprep_after - else - [ ! -s $COMOUT/${RUN}.${cycle}.sgesprep ] && \ - cp sgesprep $COMOUT/${RUN}.${cycle}.sgesprep - fi - fi -fi -# end nemsio vs sigio logic to copy guess files to COMOUT - -# save path name of global guess file valid at center PREPBUFR -# date/time (encoded into PREPBUFR file and used by q.c. programs) in COMOUT -# FOR NOW, staying with term "sgesprep" for these "pathname" filenames even for -# nemsio (as done in tropical cyclone relocation processing) - 03/2017 -if [ "$GETGUESS" = 'YES' ]; then - if [[ "$NEMSIO_IN" == .true. ]]; then - set +x; echo -e "\n\"sges_pathname\" files point to nemsio files\n";set -x - fi - if [ -s sgesprepA_pathname ]; then - cp sgesprep_pathname \ - $COMOUT/${RUN}.${cycle}.sgesprep_pathname_before.$tmmark - cp sgesprepA_pathname \ - $COMOUT/${RUN}.${cycle}.sgesprep_pathname_after.$tmmark - else - -# if the target file already exists, it was created in previous -# tropcy_relocate.sh script because either there was an error or no -# tcvitals were present - in this case the target file points to the orig. -# getges global sigma guess (since the guess was not modified by relocation) -# - otherwise sgesprep_pathname will either contain either the path to the -# getges guess (if tropical cyclone relocation did not run previously) or -# it will contain the path to the modified sgesprep guess (if tropical -# cyclone relocation did run previously and did modify the guess) -# --------------------------------------------------------------------------- - - [ ! -s $COMOUT/${RUN}.${cycle}.sgesprep_pathname.$tmmark ] && \ - cp sgesprep_pathname $COMOUT/${RUN}.${cycle}.sgesprep_pathname.$tmmark - fi -fi - -# save synthetic bogus files in COMOUT -[ -s bogrept ] && cp bogrept $COMOUT/${RUN}.${cycle}.syndata.bogrept -[ -s bogdata ] && cp bogdata $COMOUT/${RUN}.${cycle}.syndata.bogdata -[ -s dthistry ] && cp dthistry $COMOUT/${RUN}.${cycle}.syndata.dthistry - -if [[ "$SENDDBN" == "YES" ]]; then - if [[ "$RUN" == "gfs" || "$RUN" == "gdas" || "$RUN" == "gdas1" ]]; then - RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) - if [[ -s bogrept ]]; then - $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job $COMOUT/${RUN}.${cycle}.syndata.bogrept - fi - if [[ -s bogdata ]]; then - $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job $COMOUT/${RUN}.${cycle}.syndata.bogdata - fi - fi -fi - -if [ "$DO_QC" = 'YES' ]; then - -# save final form of prepbufr file in COMOUT - cp prepda.${cycle} $COMOUT/${RUN}.${cycle}.prepbufr - chmod 664 $COMOUT/${RUN}.${cycle}.prepbufr - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr - else - cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr - warning=yes - fi - fi - -# save prepacqc prepbufr.acft_profiles file in COMOUT - if [ -s prepbufr.acft_profiles ]; then - cp prepbufr.acft_profiles $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles - else - cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles - warning=yes - fi - fi - fi - -# save prepacqc prepbufr.acft_profiles_sfc file in COMOUT - if [ -s prepbufr.acft_profiles_sfc ]; then - cp prepbufr.acft_profiles_sfc \ - $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc - else - cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc - warning=yes - fi - fi - fi - -# save prepacqc output files in COMOUT - if [ -s acftqc_*.sus ]; then - mv acftqc_*.sus acftqc_sus - cp acftqc_sus $COMOUT/${RUN}.${cycle}.acqc_sus - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_sus - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_sus - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_sus - warning=yes - fi - fi - fi - - if [ -s acftqc_*.stk ]; then - mv acftqc_*.stk acftqc_stk - cp acftqc_stk $COMOUT/${RUN}.${cycle}.acqc_stk - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_stk - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_stk - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_stk - warning=yes - fi - fi - fi - - if [ -s acftqc_*.spk ]; then - mv acftqc_*.spk acftqc_spk - cp acftqc_spk $COMOUT/${RUN}.${cycle}.acqc_spk - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_spk - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_spk - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_spk - warning=yes - fi - fi - fi - - if [ -s acftqc_*.ord ]; then - mv acftqc_*.ord acftqc_ord - cp acftqc_ord $COMOUT/${RUN}.${cycle}.acqc_ord - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_ord - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_ord - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_ord - warning=yes - fi - fi - fi - - if [ -s acftqc_*.lst ]; then - mv acftqc_*.lst acftqc_lst - cp acftqc_lst $COMOUT/${RUN}.${cycle}.acqc_lst - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_lst - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_lst - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_lst - warning=yes - fi - fi - fi - - if [ -s acftqc_*.inv ]; then - mv acftqc_*.inv acftqc_inv - cp acftqc_inv $COMOUT/${RUN}.${cycle}.acqc_inv - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_inv - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_inv - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_inv - warning=yes - fi - fi - fi - - if [ -s acftqc_*.inc ]; then - mv acftqc_*.inc acftqc_inc - cp acftqc_inc $COMOUT/${RUN}.${cycle}.acqc_inc - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_inc - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_inc - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_inc - warning=yes - fi - fi - fi - - if [ -s acftqc_*.grc ]; then - mv acftqc_*.grc acftqc_grc - cp acftqc_grc $COMOUT/${RUN}.${cycle}.acqc_grc - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_grc - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_grc - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_grc - warning=yes - fi - fi - fi - - if [ -s acftqc_*.dup ]; then - mv acftqc_*.dup acftqc_dup - cp acftqc_dup $COMOUT/${RUN}.${cycle}.acqc_dup - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_dup - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_dup - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_dup - warning=yes - fi - fi - fi - - if [ -s acftqc_*.log ]; then - mv acftqc_*.log acftqc_log - cp acftqc_log $COMOUT/${RUN}.${cycle}.acqc_log - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_log - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_log - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_log - warning=yes - fi - fi - fi - - if [ -s merged.reports.post_acftobs_qc.sorted ]; then - cp merged.reports.post_acftobs_qc.sorted \ - $COMOUT/${RUN}.${cycle}.acqc_merged_sorted - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_merged_sorted - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_merged_sorted - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_merged_sorted - warning=yes - fi - fi - fi - - if [ -s merged.profile_reports.post_acftobs_qc.sorted ]; then - cp merged.profile_reports.post_acftobs_qc.sorted \ - $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted - warning=yes - fi - fi - fi - -# save cqcbufr output files in COMOUT - touch cqc_events - cp cqc_events $COMOUT/${RUN}.${cycle}.cqc_events - touch cqc_stncnt - cp cqc_stncnt $COMOUT/${RUN}.${cycle}.cqc_stncnt - touch cqc_stnlst - cp cqc_stnlst $COMOUT/${RUN}.${cycle}.cqc_stnlst - touch cqc_sdm - cp cqc_sdm $COMOUT/${RUN}.${cycle}.cqc_sdm - touch cqc_radcor - cp cqc_radcor $COMOUT/${RUN}.${cycle}.cqc_radcor - -# save oiqc tosslist in COMOUT (if it runs) - if [ -s tosslist ]; then - cp tosslist $COMOUT/${RUN}.${cycle}.tosslist - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.tosslist - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.tosslist - else - cp /dev/null $COMOUT/${RUN}.${cycle}.tosslist - warning=yes - fi - fi - fi - - # Remove the following logic to create unblocked prepbufr files once we know - # it is definitely no longer needed. - if [ "${PROCESS_UNBLKBUFR:-NO}" = 'YES' ]; then -# -# make unblocked prepbufr file -# ---> ON WCOSS prepbufr is already unblocked, so for now just copy it to the -# unblok file location used before on CCS - hopefully this can be removed -# someday! - cp -p prepda.${cycle} prepda.${cycle}.unblok - err_cp=$? - if [ $err_cp -eq 0 ]; then - cp prepda.${cycle}.unblok $COMOUT/${RUN}.${cycle}.prepbufr.unblok - chmod 664 $COMOUT/${RUN}.${cycle}.prepbufr.unblok - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr.unblok - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr.unblok - else - cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr.unblok - warning=yes - fi - fi - fi - fi ## end logic to potentially create unblok version of prepbufr file - - if [[ "$SENDDBN" == "YES" ]]; then - if [[ "$RUN" == "gdas" || "$RUN" == "gdas1" ]]; then - RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) - $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_BUFR_PREPda $job \ - $COMOUT/${RUN}.${cycle}.prepbufr - if [ "${PROCESS_UNBLKBUFR:-NO}" = 'YES' ]; then - $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_BUFR_PREPda_unblok $job \ - $COMOUT/${RUN}.${cycle}.prepbufr.unblok - fi - elif [[ "$RUN" == "gfs" ]]; then - $DBNROOT/bin/dbn_alert MODEL GFS_BUFR_PREPda $job \ - $COMOUT/${RUN}.${cycle}.prepbufr - if [ "${PROCESS_UNBLKBUFR:-NO}" = 'YES' ]; then - $DBNROOT/bin/dbn_alert MODEL GFS_BUFR_PREPda_unblok $job \ - $COMOUT/${RUN}.${cycle}.prepbufr.unblok - fi - if [[ "$NEMSIO_IN" == .true. ]]; then - $DBNROOT/bin/dbn_alert MODEL GFS_atmges_NEMSIO $job \ - $COMOUT/${RUN}.${cycle}.atmges.nemsio - $DBNROOT/bin/dbn_alert MODEL GFS_atmgm3_NEMSIO $job \ - $COMOUT/${RUN}.${cycle}.atmgm3.nemsio - $DBNROOT/bin/dbn_alert MODEL GFS_atmgp3_NEMSIO $job \ - $COMOUT/${RUN}.${cycle}.atmgp3.nemsio - else - $DBNROOT/bin/dbn_alert MODEL GFS_sges_PREP $job \ - $COMOUT/${RUN}.${cycle}.sgesprep - $DBNROOT/bin/dbn_alert MODEL GFS_sgm3_PREP $job \ - $COMOUT/${RUN}.${cycle}.sgm3prep - $DBNROOT/bin/dbn_alert MODEL GFS_sgp3_PREP $job \ - $COMOUT/${RUN}.${cycle}.sgp3prep - fi - fi - fi -fi -## create combined ocean data dump file expected by NSST -if [[ "$MAKE_NSSTBUFR" == 'YES' ]]; then - > nsstbufr - chgrp rstprod nsstbufr - errch=$? - if [ $errch -eq 0 ]; then - for type in sfcshp tesac bathy trkob; do - file=${COMSP}$type.$tmmark.bufr_d - if [ -s $file ]; then - cat $file >> nsstbufr - err=$? - if [ $err -ne 0 ]; then - msg="**WARNING: exit status $err from cat of $file to nsstbufr" - $DATA/postmsg "$jlogfile" "$msg" - fi - else - echo $file is empty or does not exist - fi - done - cp nsstbufr $COMOUT/${RUN}.${cycle}.nsstbufr - chgrp rstprod $COMOUT/${RUN}.${cycle}.nsstbufr - chmod 640 $COMOUT/${RUN}.${cycle}.nsstbufr - msg="NOTE: nsstbufr file contains RESTRICTED data, only users in \ -rstprod group have read permission" - $DATA/postmsg "$jlogfile" "$msg" - else - cp /dev/null $COMOUT/${RUN}.${cycle}.nsstbufr - warning=yes - fi -fi - -if [ "$warning" = 'yes' ]; then - msg="**WARNING: Since user $USER is not in rstprod group all RESTRICTED \ -files are replaced with a null file" - $DATA/postmsg "$jlogfile" "$msg" -set +x - echo " " - echo "$msg" - echo " " -set -x -fi - -######################################################## - -# GOOD RUN -set +x -echo " " -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " " -set -x - - -# save standard output -cat break $pgmout break > allout -cat allout -# rm allout - -sleep 10 - -msg='ENDED NORMALLY.' -$DATA/postmsg "$jlogfile" "$msg" - -################## END OF SCRIPT ####################### diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/getges.sh b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/getges.sh deleted file mode 100755 index 1672800..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/getges.sh +++ /dev/null @@ -1,1385 +0,0 @@ -#!/bin/ksh -################################################################################ -# -# Name: getges.sh Author: Mark Iredell -# -# Abstract: -# This script copies the valid global guess file to a given file. -# Alternatively, it writes the name of the guess file to standard output. -# Specify option "-n network" for the job network (default global). -# Other options are gdas, gfs, cdas, mrf, prx, etc. -# Specify option "-e environment" for the job environment (default prod). -# Another option is test. -# Specify option "-f fhour" for the specific forecast hour wanted (default any). -# Specify option "-q" for quiet mode to turn off script messages. -# Specify option "-r resolution" for the resolution wanted (default high). -# Other options are 25464 17042, 12628, low, 6228, namopl, any. -# Specify option "-t filetype" for the filetype wanted from among these choices: -# sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3, -# sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3, -# biascr, satang, satcnt, gesfil -# pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3, -# sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl, -# enggrb, enggri, icegrb, icegri, snogrb, snogrb_high, snogri, sstgrb, sstgri. -# natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur, -# nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur, -# nstcur, nflges, nflgp3 -# Specify option "-v valid" for the valid date wanted (default $CDATE). -# Currently, the valid hours specified must be a multiple of 3. -# Either 2-digit or 4-digit years are currently allowed. -# Specify positional argument to be the file to which to copy the guess. -# If missing, the NAME of the guess file is written to standard output. -# A nonzero return code from this script means either the arguments are invalid -# or the guess could not be found; a message is written to standard error in -# this case, but neither a file copy nor a standard output write will be done. -# The file returned is guaranteed to exist and be readable. -# The script uses the utility commands NDATE and NHOUR. -# -# Example 1. Copy the production sigma guess for 1998100100 to the file sges. -# getges.sh -e prod -t sigges -v 1998100100 sges -# -# Example 2. Assign the pressure grib guess for the date 1998100121. -# export CDATE=1998100121 -# export XLFUNIT_12="$(getges.sh -qt pgbges||echo /dev/null)" -# -# Example 3. Get the PRX pgb analysis or the best valid guess at 1998100112. -# getges -e prx -t pgbcur -v 1998100112 pgbfile -# -# Example 5. Get the 24-hour GFS forecast sigma file valid at 1998100112. -# getges -t sigcur -v 1998100112 -f 24 -e gfs sigfile -# -# History: 1996 December Iredell Initial implementation -# 1997 March Iredell Nine new filetypes -# 1997 April Iredell Two new filetypes and -f option -# 1997 December Iredell Four new filetypes -# 1998 April Iredell 4-digit year allowed; -# sigges internal date no longer checked -# 1998 May Iredell T170L42 defaulted; four new filetypes -# and two filetypes deleted -# 1998 June Rogers Nam types added -# 1998 September Iredell high is default resolution -# 2000 March Iredell Cdas and -n option -# 2000 June Iredell Eight new filetypes -# 2002 April Treadon T254L64 defaulted; add angle dependent -# bias correction file -# 2003 March Iredell GFS network out to 384 hours -# 2003 August Iredell Hourly global guesses -# 2005 September Treadon Add satellite data count file (satcnt) -# 2006 September Gayno Add high-res snow analysis -# 2009 January Rogers Added sfluxgrb file -# 2011 April Rogers Added GFS pg2ges file -# 2016 May Menlove Changed GETGES_COM variable to $COMINmodel -# 2016 November Iredell Adapted getges for NEMS GSM -# Also removed a lot of dead wood -# -################################################################################ -#------------------------------------------------------------------------------- -# Set some default parameters. -fhbeg=03 # hour to begin searching backward for guess -fhinc=03 # hour to increment backward in search -fhend=384 # hour to end searching backward for guess - -#------------------------------------------------------------------------------- -# Get options and arguments. -netwk=global # default network -envir=prod # default environment -fhour=any # default forecast hour -quiet=NO # default quiet mode -trace=NO # default execution trace mode -resol=high # default resolution -typef=sigges # default filetype -valid=${CDATE:-'?'} # default valid date -err=0 - -while getopts n:e:f:qxr:t:v: opt;do - case $opt in - n) netwk="$OPTARG";; - e) envir="$OPTARG";; - f) fhour="$OPTARG";; - q) quiet=YES;; - x) trace=YES;; - r) resol="$OPTARG";; - t) typef="$OPTARG";; - v) valid="$OPTARG";; - \?) err=1;; - esac -done -shift $(($OPTIND-1)) -gfile=$1 -if [[ -z $valid ]];then - echo "$0: either -v option or environment variable CDATE must be set" >&2 -elif [[ $# -gt 1 ]];then - echo "$0: too many positional arguments" >&2 -elif [[ $err -ne 0 ]];then - echo "$0: invalid option" >&2 -fi -if [[ $gfile = '?' || $# -gt 1 || $err -ne 0 || -z $valid ||\ - $netwk = '?' || $envir = '?' || $fhour = '?' || $resol = '?' ||\ - $typef = '?' || $valid = '?' ]];then - echo "Usage: getges.sh [-n network] [-e environment] [-f fhour] [-q] [-r resolution]" >&2 - echo " [-t filetype] [-v valid] [gfile]" >&2 - if [[ $netwk = '?' ]];then - echo " network choices:" >&2 - echo " global (default), namopl, gdas, gfs, cdas, etc." >&2 - elif [[ $envir = '?' ]];then - echo " environment choices:" >&2 - echo " prod (default), test, para, dump, prx" >&2 - echo " (some network values allowed for compatibility)" >&2 - elif [[ $fhour = '?' ]];then - echo " fhour is optional specific forecast hour" >&2 - elif [[ $resol = '?' ]];then - echo " resolution choices:" >&2 - echo " high (default), 25464, 17042, 12628, low, 6228, namopl, any" >&2 - elif [[ $typef = '?' ]];then - echo " filetype choices:" >&2 - echo " sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3," >&2 - echo " sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3," >&2 - echo " sfgges, sfggp3, biascr, satang, satcnt, gesfil" >&2 - echo " pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3," >&2 - echo " sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl," >&2 - echo " enggrb, enggri, icegrb, icegri, snogrb, snogri, sstgrb, sstgri," >&2 - echo " pg2cur, pg2ges, restrt," >&2 - echo " natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur," >&2 - echo " nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur," >&2 - echo " nstcur, nflges, nflgp3," >&2 - elif [[ $valid = '?' ]];then - echo " valid is the valid date in yyyymmddhh or yymmddhh form" >&2 - echo " (default is environmental variable CDATE)" >&2 - elif [[ $gfile = '?' ]];then - echo " gfile is the guess file to write" >&2 - echo " (default is to write the guess file name to stdout)" >&2 - else - echo " (Note: set a given option to '?' for more details)" >&2 - fi - exit 1 -fi -[[ $trace == YES ]]&&set -x -if [[ $envir != prod && $envir != test && $envir != para && $envir != dump && $envir != pr? && $envir != dev ]];then - netwk=$envir - envir=prod - echo '************************************************************' >&2 - echo '* WARNING: Using "-e" is deprecated in this case. *' >&2 - echo '* Please use "-n" instead. *' >&2 - echo '************************************************************' >&2 -fi -if [[ "$netwk" = "namopl" || "$resol" = "namopl" ]];then - netwk=namopl - typef=restrt - resol=namopl -fi -[[ $resol = 57464 || $resol = 38264 || $resol = 19064 || $resol = 25464 || $resol = 17042 || $resol = 12628 ]]&&resol=high -[[ $resol = 6228 ]]&&resol=low -resolsuf="" -[[ $resol == *deg ]]&&resolsuf=.$resol -fhbeg=$(${NHOUR:?} $valid) -[[ $fhbeg -le 0 ]]&&fhbeg=03 -((fhbeg=(10#$fhbeg-1)/3*3+3)) -[[ $fhbeg -lt 10 ]]&&fhbeg=0$fhbeg -if [[ $typef = enggrb ]];then - typef=icegrb - echo '************************************************************' >&2 - echo '* WARNING: Using "-t enggrb" is now deprecated. *' >&2 - echo '* Please use "-t icegrb". *' >&2 - echo '************************************************************' >&2 -elif [[ $typef = enggri ]];then - typef=icegri - echo '************************************************************' >&2 - echo '* WARNING: Using "-t enggri" is now deprecated. *' >&2 - echo '* Please use "-t icegri". *' >&2 - echo '************************************************************' >&2 -fi - -#------------------------------------------------------------------------------- -# Assemble guess list in descending order from the best guess. -geslist="" -getlist00="" - -# GDAS -if [[ "$netwk" = "gdas" ]];then - if [ -z "$COMINgdas" ]; then - echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 - exit 1 - fi - fhend=12 - case $typef in - biascr) geslist=' - $COMINgdas/gdas.t${cyc}z.abias' - ;; - biascr_pc) geslist=' - $COMINgdas/gdas.t${cyc}z.abias_pc' - ;; - biascr_air) geslist=' - $COMINgdas/gdas.t${cyc}z.abias_air' - ;; - radstat) geslist=' - $COMINgdas/gdas.t${cyc}z.radstat' - ;; - pgbges) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh' - ;; - pg2ges) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' - ;; - pgbgm6) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6' - ;; - pgbgm3) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3' - ;; - pgbgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3' - ;; - pgbcur) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINgdas/gdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINgdas/gdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINgdas/gdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576' - fhbeg=00 - fhinc=06 - ;; - snogrb_1534) geslist=' - $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINgdas/gdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - natges) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' - ;; - natgm3) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio' - ;; - natgm2) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio' - ;; - natgm1) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio' - ;; - natgp1) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio' - ;; - natgp2) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio' - ;; - natgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio' - ;; - natcur) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' - getlist00=' - $COMINgdas/gdas.t${cyc}z.atmanl.nemsio' - fhbeg=00 - ;; - nsfges) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' - ;; - nsfgm3) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio' - ;; - nsfgm2) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio' - ;; - nsfgm1) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio' - ;; - nsfgp1) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio' - ;; - nsfgp2) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio' - ;; - nsfgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio' - ;; - nsfcur) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' - getlist00=' - $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio' - fhbeg=00 - ;; - nstcur) geslist=' - $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio' - getlist00=' - $COMINgdas/gdas.t${cyc}z.nstanl.nemsio' - fhbeg=00 - ;; - nflges) geslist=' - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' - ;; - nflgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio' - ;; - nflcur) geslist=' - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' - fhbeg=00 - ;; - esac - -# CFS-CDAS -elif [[ "$netwk" = "cfs-cdas" ]];then - if [ -z "$COMINcfs_cdas" ]; then - echo "getges.sh ERROR: The \$COMINcfs_cdas variable must be defined." >&2 - exit 1 - fi - fhend=12 - case $typef in - sigges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fh}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm3}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm2}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm1}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp1}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp2}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp3}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.abias' - ;; - satang) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.satang' - ;; - satcnt) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fh' - ;; - sfggp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fhp3' - ;; - pgbges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm6 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm6 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhp3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhp3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' - getlist00=' - $COMINcfs_cdas/cdas1.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' - getlist00=' - $COMINcfs_cdas/cdas1.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574 - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# GFS -elif [[ "$netwk" = "gfs" ]];then - if [ -z "$COMINgfs" ]; then - echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 - exit 1 - fi - fhend=384 - case $typef in - natges) geslist=' - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - ;; - pgbcur) geslist=' - $COMINgfs/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINgfs/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvitl) geslist=' - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINgfs/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINgfs/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_1534) geslist=' - $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINgfs/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - natcur) geslist=' - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - getlist00=' - $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' - fhbeg=00 - ;; - nsfcur) geslist=' - $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' - getlist00=' - $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' - fhbeg=00 - ;; - nstcur) geslist=' - $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' - getlist00=' - $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' - fhbeg=00 - ;; - nflcur) geslist=' - $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' - fhbeg=00 - ;; - esac - -# CDAS -elif [[ "$netwk" = "cdas" ]];then - if [ -z "$COMINcdas" ]; then - echo "getges.sh ERROR: The \$COMINcdas variable must be defined." >&2 - exit 1 - fi - fhbeg=06 - fhend=06 - case $typef in - sigges) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $COMINcdas/cdas.t${cyc}z.abias' - ;; - satang) geslist=' - $COMINcdas/cdas.t${cyc}z.satang' - ;; - satcnt) geslist=' - $COMINcdas/cdas.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $COMINcdas/cdas.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fh' - getlist00=' - $COMINcdas/cdas.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fh' - getlist00=' - $COMINcdas/cdas.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINcdas/cdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINcdas/cdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $COMINcdas/cdas.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINcdas/cdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $COMINcdas/cdas.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINcdas/cdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $COMINcdas/cdas.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# CDC CDAS -elif [[ "$netwk" = "cdc" ]];then - if [ -z "$COMINcdc" ]; then - echo "getges.sh ERROR: The \$COMINcdc variable must be defined." >&2 - exit 1 - fi - fhbeg=06 - fhend=06 - case $typef in - sigges) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $COMINcdc/cdas.t${cyc}z.abias' - ;; - satang) geslist=' - $COMINcdc/cdas.t${cyc}z.satang' - ;; - satcnt) geslist=' - $COMINcdc/cdas.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $COMINcdc/cdas.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fh' - getlist00=' - $COMINcdc/cdas.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fh' - getlist00=' - $COMINcdc/cdas.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINcdc/cdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINcdc/cdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $COMINcdc/cdas.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINcdc/cdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $COMINcdc/cdas.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINcdc/cdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $COMINcdc/cdas.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# Any resolution production -elif [[ "$netwk" = "global" ]];then - if [ -z "$COMINgdas" ]; then - echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 - exit 1 - fi - if [ -z "$COMINgfs" ]; then - echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 - exit 1 - fi - GETGES_NWG=${GETGES_NWG:-${GESROOT:?}} - case $typef in - biascr) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.abias - $COMINgdas/gdas.t${cyc}z.abias - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias - $COMINgfs/gfs.t${cyc}z.abias' - fhbeg=06 - fhinc=06 - ;; - pgbges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $COMINgfs/gfs.t${cyc}z.pgrbf$fh' - ;; - pgbgm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm6 - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm6 - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 - $COMINgfs/gfs.t${cyc}z.pgrbf$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm3 - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm3 - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 - $COMINgfs/gfs.t${cyc}z.pgrbf$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhp3 - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhp3 - $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 - $COMINgfs/gfs.t${cyc}z.pgrbf$fhp3' - ;; - pg2ges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$gh - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$gh - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' - ;; - pg2gm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm6 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm6' - ;; - pg2gm5) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm5 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm5' - ;; - pg2gm4) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm4 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm4' - ;; - pg2gm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm3 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm3' - ;; - pg2gm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm2 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm2' - ;; - pg2gm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm1 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm1' - ;; - pg2gp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp1 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp1' - ;; - pg2gp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp2 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp2' - ;; - pg2gp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp3 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp3' - ;; - pgbcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $COMINgfs/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p50.f$gh - $COMINgdas/gdas.t${cyc}z.pgrb2.0p50.f$gh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f$gh - $COMINgfs/gfs.t${cyc}z.pgrb2.0p50.f$gh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.prepbufr - $COMINgdas/gdas.t${cyc}z.prepbufr - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr - $COMINgfs/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.engicegrb - $COMINgdas/gdas.t${cyc}z.engicegrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb - $COMINgfs/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb - $COMINgdas/gdas.t${cyc}z.snogrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb - $COMINgfs/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t574.1152.576 - $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574.1152.576 - $COMINgfs/gfs.t${cyc}z.snogrb_t574.1152.576' - fhbeg=00 - fhinc=06 - ;; - snogrb_1534) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t1534.3072.1536 - $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t1534.3072.1536 - $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sstgrb - $COMINgdas/gdas.t${cyc}z.sstgrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb - $COMINgfs/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - natges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - ((vhr=$valid%100)) - if [[ $(($vhr % 3)) -ne 0 ]]; then - fhinc=01 - fi - ;; - natgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm3.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm3.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghm3.nemsio' - ;; - natgm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm2.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm2.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghm2.nemsio' - ;; - natgm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm1.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm1.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghm1.nemsio' - ;; - natgp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp1.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp1.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghp1.nemsio' - ;; - natgp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp2.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp2.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghp2.nemsio' - ;; - natgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp3.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp3.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghp3.nemsio' - ;; - natcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmanl.nemsio - $COMINgdas/gdas.t${cyc}z.atmanl.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmanl.nemsio - $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' - fhbeg=00 - ;; - nsfges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' - ;; - nsfgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm3.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm3.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghm3.nemsio' - ;; - nsfgm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm2.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm2.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghm2.nemsio' - ;; - nsfgm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm1.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm1.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghm1.nemsio' - ;; - nsfgp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp1.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp1.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghp1.nemsio' - ;; - nsfgp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp2.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp2.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghp2.nemsio' - ;; - nsfgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp3.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp3.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghp3.nemsio' - ;; - nsfcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcanl.nemsio - $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl.nemsio - $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' - fhbeg=00 - ;; - nstcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstanl.nemsio - $COMINgdas/gdas.t${cyc}z.nstanl.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstanl.nemsio - $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' - fhbeg=00 - ;; - nflges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' - ;; - nflgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$ghp3.nemsio - $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$ghp3.nemsio - $COMINgfs/gfs.t${cyc}z.flxf$ghp3.nemsio' - ;; - nflcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' - fhbeg=00 - ;; - esac -fi - -# Check validity of options. -if [[ $fhour != any ]];then - fhbeg=$fhour - fhend=$fhour -fi -if [[ $valid -lt 20000000 ]];then - valid=20$valid - echo '************************************************************' >&2 - echo '* WARNING: A 2-digit year was converted to a 4-digit year. *' >&2 - echo '* Please use full a 4-digit year in this utility. *' >&2 - echo '************************************************************' >&2 -fi -if [[ $($NDATE 0 $valid 2>/dev/null) != $valid ]];then - echo getges.sh: invalid date $valid >&2 - exit 2 -fi -if [[ -z "$geslist" ]];then - echo getges.sh: filetype $typef or resolution $resol not recognized >&2 - exit 2 -fi - -#------------------------------------------------------------------------------- -# Loop until guess is found. -fh=$fhbeg -if [ -z "$PDY" ];then echo "getges.sh WARNING: \$PDY variable not set" >&2; fi -while [[ $fh -le $fhend ]];do - ((fhm6=10#$fh-6)) - [[ $fhm6 -lt 10 && $fhm6 -ge 0 ]]&&fhm6=0$fhm6 - ((fhm5=10#$fh-5)) - [[ $fhm5 -lt 10 && $fhm5 -ge 0 ]]&&fhm5=0$fhm5 - ((fhm4=10#$fh-4)) - [[ $fhm4 -lt 10 && $fhm4 -ge 0 ]]&&fhm4=0$fhm4 - ((fhm3=10#$fh-3)) - [[ $fhm3 -lt 10 && $fhm3 -ge 0 ]]&&fhm3=0$fhm3 - ((fhm2=10#$fh-2)) - [[ $fhm2 -lt 10 && $fhm2 -ge 0 ]]&&fhm2=0$fhm2 - ((fhm1=10#$fh-1)) - [[ $fhm1 -lt 10 && $fhm1 -ge 0 ]]&&fhm1=0$fhm1 - ((fhp1=10#$fh+1)) - [[ $fhp1 -lt 10 ]]&&fhp1=0$fhp1 - ((fhp2=10#$fh+2)) - [[ $fhp2 -lt 10 ]]&&fhp2=0$fhp2 - ((fhp3=10#$fh+3)) - [[ $fhp3 -lt 10 ]]&&fhp3=0$fhp3 - gh=$fh;[[ $gh -lt 100 ]]&&gh=0$gh - ghm6=$fhm6;[[ $ghm6 -lt 100 ]]&&ghm6=0$ghm6 - ghm5=$fhm5;[[ $ghm5 -lt 100 ]]&&ghm5=0$ghm5 - ghm4=$fhm4;[[ $ghm4 -lt 100 ]]&&ghm4=0$ghm4 - ghm3=$fhm3;[[ $ghm3 -lt 100 ]]&&ghm3=0$ghm3 - ghm2=$fhm2;[[ $ghm2 -lt 100 ]]&&ghm2=0$ghm2 - ghm1=$fhm1;[[ $ghm1 -lt 100 ]]&&ghm1=0$ghm1 - ghp1=$fhp1;[[ $ghp1 -lt 100 ]]&&ghp1=0$ghp1 - ghp2=$fhp2;[[ $ghp2 -lt 100 ]]&&ghp2=0$ghp2 - ghp3=$fhp3;[[ $ghp3 -lt 100 ]]&&ghp3=0$ghp3 - id=$($NDATE -$fh $valid) - typeset -L8 day=$id - typeset -R2 cyc=$id - eval list=\$getlist$fh - [[ -z "$list" ]]&&list=${geslist} - for ges_var in $list;do - # Replace variables in guess with their values - eval ges_val=$ges_var - # Replace the current PDY with the valid date - ges=${ges_val/$PDY\//$day/} - [[ $quiet = NO ]]&&echo Checking: $ges >&2 - [[ -r $ges ]]&&break 2 - done - fh=$((10#$fh+10#$fhinc)) - [[ $fh -lt 10 ]]&&fh=0$fh -done -if [[ $fh -gt $fhend ]];then - echo getges.sh: unable to find $netwk.$envir.$typef.$resol.$valid >&2 - exit 8 -fi - -#------------------------------------------------------------------------------- -# Either copy guess to a file or write guess name to standard output. -if [[ -z "$gfile" ]];then - echo $ges - exit $? -else - cp $ges $gfile - exit $? -fi diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/getges_sig.sh b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/getges_sig.sh deleted file mode 100755 index ee050d4..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/getges_sig.sh +++ /dev/null @@ -1,3000 +0,0 @@ -#!/bin/ksh -################################################################################ -# -# Name: getges.sh Author: Mark Iredell -# -# Abstract: -# This script copies the valid global guess file to a given file. -# Alternatively, it writes the name of the guess file to standard output. -# Specify option "-n network" for the job network (default global). -# Other options are gdas, gfs, cdas, mrf, prx, etc. -# Specify option "-e environment" for the job environment (default prod). -# Another option is test. -# Specify option "-f fhour" for the specific forecast hour wanted (default any). -# Specify option "-q" for quiet mode to turn off script messages. -# Specify option "-r resolution" for the resolution wanted (default high). -# Other options are 25464 17042, 12628, low, 6228, namopl, any. -# Specify option "-t filetype" for the filetype wanted from among these choices: -# sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3, -# sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3, -# biascr, satang, satcnt, gesfil -# pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3, -# sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl, -# enggrb, enggri, icegrb, icegri, snogrb, snogrb_high, snogri, sstgrb, sstgri. -# Specify option "-v valid" for the valid date wanted (default $CDATE). -# Currently, the valid hours specified must be a multiple of 3. -# Either 2-digit or 4-digit years are currently allowed. -# Specify positional argument to be the file to which to copy the guess. -# If missing, the NAME of the guess file is written to standard output. -# A nonzero return code from this script means either the arguments are invalid -# or the guess could not be found; a message is written to standard error in -# this case, but neither a file copy nor a standard output write will be done. -# The file returned is guaranteed to exist and be readable. -# The script uses the utility commands NDATE and NHOUR. -# -# Example 1. Copy the production sigma guess for 1998100100 to the file sges. -# getges.sh -e prod -t sigges -v 1998100100 sges -# -# Example 2. Assign the pressure grib guess for the date 1998100121. -# export CDATE=1998100121 -# export XLFUNIT_12="$(getges.sh -qt pgbges||echo /dev/null)" -# -# Example 3. Get the PRX pgb analysis or the best valid guess at 1998100112. -# getges -e prx -t pgbcur -v 1998100112 pgbfile -# -# Example 5. Get the 24-hour GFS forecast sigma file valid at 1998100112. -# getges -t sigcur -v 1998100112 -f 24 -e gfs sigfile -# -# History: 1996 December Iredell Initial implementation -# 1997 March Iredell Nine new filetypes -# 1997 April Iredell Two new filetypes and -f option -# 1997 December Iredell Four new filetypes -# 1998 April Iredell 4-digit year allowed; -# sigges internal date no longer checked -# 1998 May Iredell T170L42 defaulted; four new filetypes -# and two filetypes deleted -# 1998 June Rogers Nam types added -# 1998 September Iredell high is default resolution -# 2000 March Iredell Cdas and -n option -# 2000 June Iredell Eight new filetypes -# 2002 April Treadon T254L64 defaulted; add angle dependent -# bias correction file -# 2003 March Iredell GFS network out to 384 hours -# 2003 August Iredell Hourly global guesses -# 2005 September Treadon Add satellite data count file (satcnt) -# 2006 September Gayno Add high-res snow analysis -# 2009 January Rogers Added sfluxgrb file -# 2011 April Rogers Added GFS pg2ges file -# -################################################################################ -#------------------------------------------------------------------------------- -# Set some default parameters. -fhbeg=03 # hour to begin searching backward for guess -fhinc=03 # hour to increment backward in search -fhend=384 # hour to end searching backward for guess - -#------------------------------------------------------------------------------- -# Get options and arguments. -netwk=global # default network -envir=prod # default environment -fhour=any # default forecast hour -quiet=NO # default quiet mode -resol=high # default resolution -typef=sigges # default filetype -valid=${CDATE:-'?'} # default valid date -valid=$CDATE # default valid date -err=0 -while getopts n:e:f:qr:t:v: opt;do - case $opt in - n) netwk="$OPTARG";; - e) envir="$OPTARG";; - f) fhour="$OPTARG";; - q) quiet=YES;; - r) resol="$OPTARG";; - t) typef="$OPTARG";; - v) valid="$OPTARG";; - \?) err=1;; - esac -done -shift $(($OPTIND-1)) -gfile=$1 -if [[ -z $valid ]];then - echo "$0: either -v option or environment variable CDATE must be set" >&2 -elif [[ $# -gt 1 ]];then - echo "$0: too many positional arguments" >&2 -elif [[ $err -ne 0 ]];then - echo "$0: invalid option" >&2 -fi -if [[ $gfile = '?' || $# -gt 1 || $err -ne 0 || -z $valid ||\ - $netwk = '?' || $envir = '?' || $fhour = '?' || $resol = '?' ||\ - $typef = '?' || $valid = '?' ]];then - echo "Usage: getges.sh [-n network] [-e environment] [-f fhour] [-q] [-r resolution]" >&2 - echo " [-t filetype] [-v valid] [gfile]" >&2 - if [[ $netwk = '?' ]];then - echo " network choices:" >&2 - echo " global (default), namopl, gdas, gfs, cdas, etc." >&2 - elif [[ $envir = '?' ]];then - echo " environment choices:" >&2 - echo " prod (default), test, para, dump, prx" >&2 - echo " (some network values allowed for compatibility)" >&2 - elif [[ $fhour = '?' ]];then - echo " fhour is optional specific forecast hour" >&2 - elif [[ $resol = '?' ]];then - echo " resolution choices:" >&2 - echo " high (default), 25464, 17042, 12628, low, 6228, namopl, any" >&2 - elif [[ $typef = '?' ]];then - echo " filetype choices:" >&2 - echo " sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3," >&2 - echo " sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3," >&2 - echo " sfgges, sfggp3, biascr, satang, satcnt, gesfil" >&2 - echo " pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3," >&2 - echo " sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl," >&2 - echo " enggrb, enggri, icegrb, icegri, snogrb, snogri, sstgrb, sstgri," >&2 - echo " pg2cur, pg2ges, restrt" >&2 - elif [[ $valid = '?' ]];then - echo " valid is the valid date in yyyymmddhh or yymmddhh form" >&2 - echo " (default is environmental variable CDATE)" >&2 - elif [[ $gfile = '?' ]];then - echo " gfile is the guess file to write" >&2 - echo " (default is to write the guess file name to stdout)" >&2 - else - echo " (Note: set a given option to '?' for more details)" >&2 - fi - exit 1 -fi -#[[ $quiet = NO ]]&&set -x -if [[ $envir != prod && $envir != test && $envir != para && $envir != dump && $envir != pr? && $envir != dev ]];then - netwk=$envir - envir=prod - echo '************************************************************' >&2 - echo '* CAUTION: Using "-e" is deprecated in this case. *' >&2 - echo '* Please use "-n" instead. *' >&2 - echo '************************************************************' >&2 -fi -if [[ $netwk = namopl || $resol = namopl ]];then - netwk=namopl - typef=restrt - resol=namopl -fi -[[ $resol = 57464 || $resol = 38264 || $resol = 19064 || $resol = 25464 || $resol = 17042 || $resol = 12628 ]]&&resol=high -[[ $resol = 6228 ]]&&resol=low -resolsuf="" -[[ $resol == *deg ]]&&resolsuf=.$resol -fhbeg=$($NHOUR $valid) -[[ $fhbeg -le 0 ]]&&fhbeg=03 -((fhbeg=(10#$fhbeg-1)/3*3+3)) -[[ $fhbeg -lt 10 ]]&&fhbeg=0$fhbeg -if [[ $typef = enggrb ]];then - typef=icegrb - echo '************************************************************' >&2 - echo '* CAUTION: Using "-t enggrb" is now deprecated. *' >&2 - echo '* Please use "-t icegrb". *' >&2 - echo '************************************************************' >&2 -elif [[ $typef = enggri ]];then - typef=icegri - echo '************************************************************' >&2 - echo '* CAUTION: Using "-t enggri" is now deprecated. *' >&2 - echo '* Please use "-t icegri". *' >&2 - echo '************************************************************' >&2 -fi - -#------------------------------------------------------------------------------- -# Default top level directories. -export GETGES_COM=${GETGES_COM:-${COMROOT}} -export GETGES_NWG=${GETGES_NWG:-${GESROOT}} -export GETGES_GLO=${GETGES_GLO:-/gloptmp} - -#------------------------------------------------------------------------------- -# Assemble guess list in descending order from the best guess. -geslist="" -geslist00="" - -# GDAS -if [[ $netwk = gdas ]];then - fhend=12 - case $typef in - sigges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias' - ;; - biascr_pc) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias_pc' - ;; - biascr_air) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias_air' - ;; - radstat) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.radstat' - ;; - satang) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh' - ;; - sfggp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fhp3' - ;; - pgbges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh' - ;; - pg2ges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2f$fh$resolsuf' - ;; - pgiges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2f$fh$resolsuf' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574.1152.576' - fhbeg=00 - fhinc=06 - ;; - snogrb_1534) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t1534.3072.1536' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# CFS-CDAS -elif [[ $netwk = cfs-cdas ]];then - fhend=12 - case $typef in - sigges) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fh}.LIS - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhm3}.LIS - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhm2}.LIS - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhm1}.LIS - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhp1}.LIS - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhp2}.LIS - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhp3}.LIS - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.abias' - ;; - satang) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sfluxgrbf$fh' - ;; - sfggp3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sfluxgrbf$fhp3' - ;; - pgbges) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fhm6 - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fhm6 - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fhm3 - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fhm3 - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fhp3 - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fhp3 - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# GFS -elif [[ $netwk = gfs ]];then - fhend=384 - case $typef in - sigges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' - ;; - satang) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' - ;; - sfggp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3' - ;; - pgbges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - ;; - pg2ges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f0$fh$resolsuf' - ;; - pgiges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f0$fh$resolsuf' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# GFS -elif [[ $netwk = gfs ]];then - fhend=126 - case $typef in - sigges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' - ;; - satang) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' - ;; - sfggp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3' - ;; - pgbges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - echo '************************************************************' >&2 - echo '* CAUTION: Using "-n gfs" is now deprecated. *' >&2 - echo '* Please use "-n gfs". *' >&2 - echo '************************************************************' >&2 - -# CDAS -elif [[ $netwk = cdas ]];then - fhbeg=06 - fhend=06 - case $typef in - sigges) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.abias' - ;; - satang) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# CDC CDAS -elif [[ $netwk = cdc ]];then - fhbeg=06 - fhend=06 - case $typef in - sigges) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.abias' - ;; - satang) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# MRF -elif [[ $netwk = mrf ]];then - fhend=384 - case $typef in - sigges) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias' - ;; - satang) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - echo '************************************************************' >&2 - echo '* CAUTION: Using "-n mrf" is now deprecated. *' >&2 - echo '* Please use "-n gfs". *' >&2 - echo '************************************************************' >&2 - -# PRZ -elif [[ $netwk = prz ]];then - fhend=384 - case $typef in - sigges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.abias' - ;; - satang) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm6 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm6 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - echo '************************************************************' >&2 - echo '* CAUTION: Using "-n prz" is now deprecated. *' >&2 - echo '* Please use "-n gfs". *' >&2 - echo '************************************************************' >&2 - -# High resolution production -elif [[ $netwk = global && $resol = high ]];then - case $typef in - sigges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.abias - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' - fhbeg=06 - fhinc=06 - ;; - satang) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satang - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satang - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' - fhbeg=06 - fhinc=06 - ;; - satcnt) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satcnt - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satcnt - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' - fhbeg=06 - fhinc=06 - ;; - gesfil) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.gesfile - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.gesfile - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' - ;; - sfggp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3' - ;; - pgbges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' - ;; - pg2ges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh' - ;; - pg2gm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6' - ;; - pg2gm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3' - ;; - pg2gp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3' - ;; - sigcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sanl - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sanl - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sanl - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# Low resolution production -elif [[ $netwk = global && $resol = low ]];then - case $typef in - sigges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm2 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm1 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp1 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp2 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm2 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm1 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp1 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp2 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.abias - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.abias - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.abias - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.abias' - fhbeg=06 - fhinc=06 - ;; - satang) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.satang - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satang - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.satang - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.satang' - fhbeg=06 - fhinc=06 - ;; - satcnt) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.satcnt - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satcnt - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.satcnt - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.satcnt' - fhbeg=06 - fhinc=06 - ;; - gesfil) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.gesfile - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.gesfile - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.gesfile - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' - ;; - pgbges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm6 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm6 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm6 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm6 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhp3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhp3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhp3' - ;; - pg2ges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh' - ;; - pg2gm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6' - ;; - pg2gm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3' - ;; - pg2gp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3' - ;; - sigcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sanl - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sanl - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sanl - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sfcanl - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sfcanl - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sfcanl - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - esac - -# Any resolution production -elif [[ $netwk = global && $resol = any ]];then - case $typef in - sigges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.abias - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' - fhbeg=06 - fhinc=06 - ;; - satang) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satang - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satang - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' - fhbeg=06 - fhinc=06 - ;; - satcnt) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satcnt - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satcnt - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' - fhbeg=06 - fhinc=06 - ;; - gesfil) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.gesfile - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.gesfile - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' - ;; - pgbges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhp3 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3' - ;; - pg2ges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh' - ;; - pg2gm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6' - ;; - pg2gm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3' - ;; - pg2gp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3' - ;; - sigcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sanl - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sanl - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sanl - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# Early nam-32 resolution -elif [[ $netwk = namopl && $resol = namopl ]];then - fhbeg=03 - fhinc=03 - fhend=12 - case $typef in - restrt) geslist=' - $GETGES_NWG/$envir/nam.$day/nam.t${cyc}z.restrt$fh.tm00' - ;; - esac -fi - -# Global parallel -if [[ $envir = dump || $envir = pr? ]];then - fhend=384 - if [[ $netwk = global ]];then - case $typef in - sigges) geslist=' - $GETGES_GLO/$envir/sigf$fh.gdas.$id - $GETGES_GLO/$envir/sigf$fh.gfs.$id' - ;; - siggm3) geslist=' - $GETGES_GLO/$envir/sigf$fhm3.gdas.$id - $GETGES_GLO/$envir/sigf$fhm3.gfs.$id' - ;; - siggm2) geslist=' - $GETGES_GLO/$envir/sigf$fhm2.gdas.$id - $GETGES_GLO/$envir/sigf$fhm2.gfs.$id' - ;; - siggm1) geslist=' - $GETGES_GLO/$envir/sigf$fhm1.gdas.$id - $GETGES_GLO/$envir/sigf$fhm1.gfs.$id' - ;; - siggp1) geslist=' - $GETGES_GLO/$envir/sigf$fhp1.gdas.$id - $GETGES_GLO/$envir/sigf$fhp1.gfs.$id' - ;; - siggp2) geslist=' - $GETGES_GLO/$envir/sigf$fhp2.gdas.$id - $GETGES_GLO/$envir/sigf$fhp2.gfs.$id' - ;; - siggp3) geslist=' - $GETGES_GLO/$envir/sigf$fhp3.gdas.$id - $GETGES_GLO/$envir/sigf$fhp3.gfs.$id' - ;; - sfcges) geslist=' - $GETGES_GLO/$envir/sfcf$fh.gdas.$id - $GETGES_GLO/$envir/sfcf$fh.gfs.$id' - ;; - sfcgm3) geslist=' - $GETGES_GLO/$envir/sfcf$fhm3.gdas.$id - $GETGES_GLO/$envir/sfcf$fhm3.gfs.$id' - ;; - sfcgm2) geslist=' - $GETGES_GLO/$envir/sfcf$fhm2.gdas.$id - $GETGES_GLO/$envir/sfcf$fhm2.gfs.$id' - ;; - sfcgm1) geslist=' - $GETGES_GLO/$envir/sfcf$fhm1.gdas.$id - $GETGES_GLO/$envir/sfcf$fhm1.gfs.$id' - ;; - sfcgp1) geslist=' - $GETGES_GLO/$envir/sfcf$fhp1.gdas.$id - $GETGES_GLO/$envir/sfcf$fhp1.gfs.$id' - ;; - sfcgp2) geslist=' - $GETGES_GLO/$envir/sfcf$fhp2.gdas.$id - $GETGES_GLO/$envir/sfcf$fhp2.gfs.$id' - ;; - sfcgp3) geslist=' - $GETGES_GLO/$envir/sfcf$fhp3.gdas.$id - $GETGES_GLO/$envir/sfcf$fhp3.gfs.$id' - ;; - biascr) geslist=' - $GETGES_GLO/$envir/biascr.gdas.$id - $GETGES_GLO/$envir/biascr.gfs.$id' - fhbeg=06 - fhinc=06 - ;; - satang) geslist=' - $GETGES_GLO/$envir/satang.gdas.$id - $GETGES_GLO/$envir/satang.gfs.$id' - fhbeg=06 - fhinc=06 - ;; - satcnt) geslist=' - $GETGES_GLO/$envir/satcnt.gdas.$id - $GETGES_GLO/$envir/satcnt.gfs.$id' - fhbeg=06 - fhinc=06 - ;; - gesfil) geslist=' - $GETGES_GLO/$envir/gesfile.gdas.$id - $GETGES_GLO/$envir/gesfile.gfs.$id' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $GETGES_GLO/$envir/pgbf$fh.gdas.$id - $GETGES_GLO/$envir/pgbf$fh.gfs.$id' - ;; - pgbgm6) geslist=' - $GETGES_GLO/$envir/pgbf$fhm6.gdas.$id - $GETGES_GLO/$envir/pgbf$fhm6.gfs.$id' - ;; - pgbgm3) geslist=' - $GETGES_GLO/$envir/pgbf$fhm3.gdas.$id - $GETGES_GLO/$envir/pgbf$fhm3.gfs.$id' - ;; - pgbgp3) geslist=' - $GETGES_GLO/$envir/pgbf$fhp3.gdas.$id - $GETGES_GLO/$envir/pgbf$fhp3.gfs.$id' - ;; - sigcur) geslist=' - $GETGES_GLO/$envir/sigf$fh.gdas.$id - $GETGES_GLO/$envir/sigf$fh.gfs.$id' - getlist00=' - $GETGES_GLO/$envir/siganl.gdas.$id - $GETGES_GLO/$envir/siganl.gfs.$id' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_GLO/$envir/sfcf$fh.gdas.$id - $GETGES_GLO/$envir/sfcf$fh.gfs.$id' - getlist00=' - $GETGES_GLO/$envir/sfcanl.gdas.$id - $GETGES_GLO/$envir/sfcanl.gfs.$id' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_GLO/$envir/pgbf$fh.gdas.$id - $GETGES_GLO/$envir/pgbf$fh.gfs.$id' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_GLO/$envir/prepqc.gdas.$id - $GETGES_GLO/$envir/prepqc.gfs.$id' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_GLO/$envir/tcvitl.gdas.$id - $GETGES_GLO/$envir/tcvitl.gfs.$id' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_GLO/$envir/tcvitl.gdas.$id - $GETGES_GLO/$envir/tcvitl.gfs.$id' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_GLO/$envir/tcvitl.gdas.$id - $GETGES_GLO/$envir/tcvitl.gfs.$id' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_GLO/$envir/icegrb.gdas.$id - $GETGES_GLO/$envir/icegrb.gfs.$id' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_GLO/$envir/snogrb.gdas.$id - $GETGES_GLO/$envir/snogrb.gfs.$id' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_GLO/$envir/sstgrb.gdas.$id - $GETGES_GLO/$envir/sstgrb.gfs.$id' - fhbeg=00 - fhinc=06 - ;; - esac - else - case $typef in - sigges) geslist=' - $GETGES_GLO/$envir/sigf$fh.$netwk.$id' - ;; - siggm3) geslist=' - $GETGES_GLO/$envir/sigf$fhm3.$netwk.$id' - ;; - siggm2) geslist=' - $GETGES_GLO/$envir/sigf$fhm2.$netwk.$id' - ;; - siggm1) geslist=' - $GETGES_GLO/$envir/sigf$fhm1.$netwk.$id' - ;; - siggp1) geslist=' - $GETGES_GLO/$envir/sigf$fhp1.$netwk.$id' - ;; - siggp2) geslist=' - $GETGES_GLO/$envir/sigf$fhp2.$netwk.$id' - ;; - siggp3) geslist=' - $GETGES_GLO/$envir/sigf$fhp3.$netwk.$id' - ;; - sfcges) geslist=' - $GETGES_GLO/$envir/sfcf$fh.$netwk.$id' - ;; - sfcgm3) geslist=' - $GETGES_GLO/$envir/sfcf$fhm3.$netwk.$id' - ;; - sfcgm2) geslist=' - $GETGES_GLO/$envir/sfcf$fhm2.$netwk.$id' - ;; - sfcgm1) geslist=' - $GETGES_GLO/$envir/sfcf$fhm1.$netwk.$id' - ;; - sfcgp1) geslist=' - $GETGES_GLO/$envir/sfcf$fhp1.$netwk.$id' - ;; - sfcgp2) geslist=' - $GETGES_GLO/$envir/sfcf$fhp2.$netwk.$id' - ;; - sfcgp3) geslist=' - $GETGES_GLO/$envir/sfcf$fhp3.$netwk.$id' - ;; - biascr) geslist=' - $GETGES_GLO/$envir/biascr.$netwk.$id' - fhbeg=06 - fhinc=06 - ;; - satang) geslist=' - $GETGES_GLO/$envir/satang.$netwk.$id' - fhbeg=06 - fhinc=06 - ;; - satcnt) geslist=' - $GETGES_GLO/$envir/satcnt.$netwk.$id' - fhbeg=06 - fhinc=06 - ;; - gesfil) geslist=' - $GETGES_GLO/$envir/gesfile.$netwk.$id' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $GETGES_GLO/$envir/pgbf$fh.$netwk.$id' - ;; - pgbgm6) geslist=' - $GETGES_GLO/$envir/pgbf$fhm6.$netwk.$id' - ;; - pgbgm3) geslist=' - $GETGES_GLO/$envir/pgbf$fhm3.$netwk.$id' - ;; - pgbgp3) geslist=' - $GETGES_GLO/$envir/pgbf$fhp3.$netwk.$id' - ;; - sigcur) geslist=' - $GETGES_GLO/$envir/sigf$fh.$netwk.$id' - getlist00=' - $GETGES_GLO/$envir/siganl.$netwk.$id' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_GLO/$envir/sfcf$fh.$netwk.$id' - getlist00=' - $GETGES_GLO/$envir/sfcanl.$netwk.$id' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_GLO/$envir/pgbf$fh.$netwk.$id' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_GLO/$envir/prepqc.$netwk.$id' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_GLO/$envir/tcvitl.$netwk.$id' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_GLO/$envir/tcvitl.$netwk.$id' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_GLO/$envir/tcvitl.$netwk.$id' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_GLO/$envir/icegrb.$netwk.$id' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_GLO/$envir/snogrb.$netwk.$id' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_GLO/$envir/sstgrb.$netwk.$id' - fhbeg=00 - fhinc=06 - ;; - esac - fi -fi - -#------------------------------------------------------------------------------- -# Check validity of options. -if [[ $fhour != any ]];then - fhbeg=$fhour - fhend=$fhour -fi -if [[ $valid -lt 20000000 ]];then - valid=20$valid - echo '************************************************************' >&2 - echo '* CAUTION: A 2-digit year was converted to a 4-digit year. *' >&2 - echo '* Please use full a 4-digit year in this utility. *' >&2 - echo '************************************************************' >&2 -elif [[ $valid -lt 100000000 ]];then - valid=19$valid - echo '************************************************************' >&2 - echo '* CAUTION: A 2-digit year was converted to a 4-digit year. *' >&2 - echo '* Please use full a 4-digit year in this utility. *' >&2 - echo '************************************************************' >&2 -fi -if [[ $($NDATE 0 $valid 2>/dev/null) != $valid ]];then - echo getges.sh: invalid date $valid >&2 - exit 2 -fi -if [[ -z $geslist ]];then - echo getges.sh: filetype $typef or resolution $resol not recognized >&2 - exit 2 -fi - -#------------------------------------------------------------------------------- -# Loop until guess is found. -fh=$fhbeg -while [[ $fh -le $fhend ]];do - ((fhm6=10#$fh-6)) - [[ $fhm6 -lt 10 && $fhm6 -ge 0 ]]&&fhm6=0$fhm6 - ((fhm3=10#$fh-3)) - [[ $fhm3 -lt 10 && $fhm3 -ge 0 ]]&&fhm3=0$fhm3 - ((fhm2=10#$fh-2)) - [[ $fhm2 -lt 10 && $fhm2 -ge 0 ]]&&fhm2=0$fhm2 - ((fhm1=10#$fh-1)) - [[ $fhm1 -lt 10 && $fhm1 -ge 0 ]]&&fhm1=0$fhm1 - ((fhp1=10#$fh+1)) - [[ $fhp1 -lt 10 ]]&&fhp1=0$fhp1 - ((fhp2=10#$fh+2)) - [[ $fhp2 -lt 10 ]]&&fhp2=0$fhp2 - ((fhp3=10#$fh+3)) - [[ $fhp3 -lt 10 ]]&&fhp3=0$fhp3 - id=$($NDATE -$fh $valid) - typeset -L8 day=$id - typeset -R2 cyc=$id - eval list=\$getlist$fh - [[ -z $list ]]&&list=${geslist} - for gestest in $list;do - eval ges=$gestest - [[ $quiet = NO ]]&&echo Checking: $ges >&2 - [[ -r $ges ]]&&break 2 - done - fh=$((10#$fh+10#$fhinc)) - [[ $fh -lt 10 ]]&&fh=0$fh -done -if [[ $fh -gt $fhend ]];then - echo getges.sh: unable to find $netwk.$envir.$typef.$resol.$valid >&2 - exit 8 -fi - -#------------------------------------------------------------------------------- -# Either copy guess to a file or write guess name to standard output. -if [[ -z "$gfile" ]];then - echo $ges - exit $? -else - cp $ges $gfile - exit $? -fi diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcbufr.sh b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcbufr.sh deleted file mode 100755 index 4448067..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcbufr.sh +++ /dev/null @@ -1,127 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - - -# This script performs rawinsonde upper-air complex quality control checking -# -# It is normally executed by the script prepobs_makeprepbufr.sh -# but can also be executed from a checkout parent script -# -------------------------------------------------------------------------- - -set -aux - -qid=$$ - -# Positional parameters passed in: -# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr -# file upon successful completion of this script (note that input -# prepbufr file is NOT saved by this script) - -# Imported variables that must be passed in: -# DATA - path to working directory -# CQCS - path to PREPOBS_CQCBUFR program statbge file -# CQCX - path to PREPOBS_CQCBUFR program executable -# CQCC - path to PREPOBS_CQCBUFR program parm cards - -# Imported variables that can be passed in: -# pgmout - string indicating path to for standard output file -# (skipped over by this script if not passed in) -# PRPI_m24 - string indicating path to prepbufr file valid 24-hours previous -# (only needed if temporal checking is being done) -# (skipped over by this script if not passed in) -# PRPI_m12 - string indicating path to prepbufr file valid 12-hours previous -# (only needed if temporal checking is being done) -# (skipped over by this script if not passed in) -# PRPI_p12 - string indicating path to prepbufr file valid 12-hours ahead -# (only needed if temporal checking is being done) -# (skipped over by this script if not passed in) -# PRPI_p24 - string indicating path to prepbufr file valid 24-hours ahead -# (only needed if temporal checking is being done) -# (skipped over by this script if not passed in) - -cd $DATA -PRPI=$1 -if [ ! -s $PRPI ] ; then exit 1 ;fi - -cp /dev/null $DATA/prepbufr_m24 -cp /dev/null $DATA/prepbufr_m12 -cp /dev/null $DATA/prepbufr_p12 -cp /dev/null $DATA/prepbufr_p24 - -set +u -[ -n "$PRPI_m24" ] && cp $PRPI_m24 prepbufr_m24 -[ -n "$PRPI_m12" ] && cp $PRPI_m12 prepbufr_m12 -[ -n "$PRPI_p12" ] && cp $PRPI_p12 prepbufr_p12 -[ -n "$PRPI_p24" ] && cp $PRPI_p24 prepbufr_p24 -set -u - -rm $PRPI.cqcbufr -rm cqc_events cqc_stncnt cqc_stnlst - -pgm=`basename $CQCX` -if [ -s $DATA/prep_step ]; then - set +u - . $DATA/prep_step - set -u -else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -fi - -export FORT4=cqcbufr.unit04.wrk -export FORT12=cqc_events -export FORT14=$PRPI -export FORT15=cqc_stncnt -export FORT16=cqc_stnlst -export FORT17=prepbufr_m24 -export FORT18=prepbufr_m12 -export FORT19=prepbufr_p12 -export FORT20=prepbufr_p24 -export FORT22=cqc_wndpbm -export FORT23=$CQCS -export FORT51=$PRPI.cqcbufr -export FORT52=cqc_sdm -export FORT60=cqcbufr.unit60.wrk -export FORT61=cqcbufr.unit61.wrk -export FORT62=cqcbufr.unit62.wrk -export FORT64=cqcbufr.unit64.wrk -export FORT68=cqc_radcor -export FORT80=cqcbufr.unit80.wrk -TIMEIT=${TIMEIT:-""} -[ -s $DATA/time ] && TIMEIT="$DATA/time -p" -# The following improves performance on Cray-XC40 if $CQCX was -# linked to the IOBUF i/o buffering library -export IOBUF_PARAMS='*wrk:verbose,*cqc_*:verbose' -$TIMEIT $CQCX< $CQCC > outout 2> errfile -err=$? -unset IOBUF_PARAMS -###cat errfile -cat errfile >> outout -cat outout >> cqcbufr.out -set +u -[ -n "$pgmout" ] && cat outout >> $pgmout -set -u -rm outout -set +x -echo -echo 'The foreground exit status for PREPOBS_CQCBUFR is ' $err -echo -set -x -if [ -s $DATA/err_chk ]; then - $DATA/err_chk -else - if test "$err" -gt '0' - then -######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out - # in ops - exit 55 - fi -fi - -if [ "$err" -gt '0' ]; then - exit 9 -else - mv $PRPI.cqcbufr $PRPI -fi - -exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcvad.sh b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcvad.sh deleted file mode 100755 index bb8f950..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_cqcvad.sh +++ /dev/null @@ -1,101 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - - -# This script performs VAD wind complex quality control checking -# -# It is normally executed by the script prepobs_makeprepbufr.sh -# but can also be executed from a checkout parent script -# -------------------------------------------------------------- - -set -aux - -qid=$$ - -# Positional parameters passed in: -# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr -# file upon successful completion of this script (note that input -# prepbufr file is NOT saved by this script) -# 2 - ncep production date (YYYYMMDDHH) - -# Imported variables that must be passed in: -# DATA - path to working directory -# VQCX - path to PREPOBS_CQCVAD program executable - -# Imported variables that can be passed in: -# pgmout - string indicating path to for standard output file (skipped -# over by this script if not passed in) - -cd $DATA -PRPI=$1 -if [ ! -s $PRPI ] ; then exit 1;fi -CDATE10=$2 - -set +x -cat <<\EOFc > cqcvad05 - &NAMLST - HONOR_FLAGS=TRUE, ! If TRUE then levels with bad q.m. flags are honored - PRINT_52=TRUE, ! If TRUE then writes bird quality control information - ! to unit 52 - PRINT_53=FALSE, ! If TRUE then writes a final report listing with q.c. - ! information to unit 53 - PRINT_60=FALSE, ! If TRUE then writes event information to unit 60 - TEST=FALSE ! If TRUE then writes diagnostic print to stdout (unit 06) - / -EOFc -set -x - -rm $PRPI.cqcvad - -pgm=`basename $VQCX` -if [ -s $DATA/prep_step ]; then - set +u - . $DATA/prep_step - set -u -else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -fi - -export FORT11=$PRPI -echo "$CDATE10" > cdate10.dat -export FORT14=cdate10.dat -export FORT51=$PRPI.cqcvad -export FORT52=cqcvad.birdqc -export FORT53=cqcvad.unit53.wrk -export FORT55=cqcvad.unit55.wrk -export FORT60=cqcvad.unit60.wrk -TIMEIT=${TIMEIT:-""} -[ -s $DATA/time ] && TIMEIT="$DATA/time -p" -$TIMEIT $VQCX < cqcvad05 > outout 2> errfile -err=$? -###cat errfile -cat errfile >> outout -cat outout >> cqcvad.out -set +u -[ -n "$pgmout" ] && cat outout >> $pgmout -set -u -rm outout -set +x -echo -echo 'The foreground exit status for PREPOBS_CQCVAD is ' $err -echo -set -x -if [ -s $DATA/err_chk ]; then - $DATA/err_chk -else - if test "$err" -gt '0' - then -######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out - # in ops - exit 55 - fi -fi - -if [ "$err" -gt '0' ]; then - exit 9 -else - mv $PRPI.cqcvad $PRPI -fi - -exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_makeprepbufr.sh b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_makeprepbufr.sh deleted file mode 100755 index ff85639..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_makeprepbufr.sh +++ /dev/null @@ -1,2466 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - -#### UNIX Script Documentation Block -# -# Script name: prepobs_makeprepbufr.sh -# Script description: Prepares & quality controls PREPBUFR file -# -# Author: Keyser Org: EMC Date: 2017-04-20 -# -# Abstract: This script creates the PREPBUFR file containing observational data -# assimilated by all versions of NCEP atmospheric analyses. It points to BUFR -# observational data dumps as input files. PREPOBS_PREPDATA combines them to -# generate an initial form of the PREPBUFR file which also contains the -# background guess as well as the observational error field. If tropical -# cyclone relocation processing has previously occurred, the background global -# guess read in by PREPOBS_PREPDATA (and later by SYNDAT_SYNDATA if it runs) -# is the relocated guess valid at the center date/time for the PREPBUFR -# processing. Otherwise it is the regular (unrelocated) global atmosperic -# guess obtained via the getges utility script. After PREPOBS_PREPDATA runs, -# this script can execute SYNDAT_SYNDATA to generate synthetic wind bogus -# data, as well as their background guess and observational error fields, -# which are appended to the PREPBUFR file. -# -# In the global networks the decision to append synthetic wind bogus data in -# the SYNDATA processing is determined by the outcome of the previous -# tropical cyclone relocation processing (if it was run). There are three -# possible outcomes: -# 1) If all storms in the original tcvitals file have vorticies of at -# least medium intensity such that a relocation was previously -# performed for each, then SYNDAT_SYNDATA will still run but will not -# append synthetic wind bogus data to the PREPBUFR file for any storm. -# It will input the original tcvitals file (output from qctropcy -# processing) and (if the option is set) it will flag dropwinsonde -# winds in the vicinity of each tropical storm in the file. -# 2) If all storms in the original tcvitals file (output from qctropcy -# processing) have weak vorticies such that a relocation was not -# previously performed for any, then SYNDAT_SYNDATA will run, inputting -# the original tcvitals file, and it will append synthetic wind bogus -# data to the PREPBUFR file for each storm in it. It will also -# possibly flag mass pressure and/or dropwinsonde wind reports in the -# vicinity of each storm (if requested). -# 3) If some storms in the original tcvitals file (output from qctropcy -# processing) have weak vorticies, such that a relocation was not -# previously performed for them, and others have vorticies of at least -# medium intensity, such that a relocation was previously performed for -# these, then SYNDAT_SYNDATA will run twice. The first time, it will -# input the relocation-generated tcvitals file, which contains all of -# the weak storms, and it will append synthetic wind bogus data to the -# PREPBUFR file for each storm in it. It will also possibly flag mass -# pressure and/or dropwinsonde wind reports in the vicinity of each of -# these storms (if requested). The second time SYNDAT_SYNDATA runs, it -# will input any storm records that were in the original tcvitals file -# but not in the relocation-generated tcvitals file (i.e., storms with -# vorticies of at least medium intensity). It will not append -# synthetic wind bogus data to the PREPBUFR file for any of these -# storms, but it will flag dropwinsonde winds in the vicinity of each -# storm in the original tcvitals file but not in the relocation- -# generated tcvitals file (if requested). -# If this is the nam network, the only reason relocation processing would -# have been previously run would be to update the first guess read in here by -# PREPOBS_PREPDATA and SYNDAT_SYNDATA. In this case, SYNDAT_SYNDATA inputs -# the original tcvitals file (output from qctropcy processing), appends -# synthetic wind bogus data to the PREPBUFR file for each storm in it, and -# possibly also flags mass pressure and/or dropwinsonde wind reports in the -# vicinity of each storm in the file (if requested). -# -# After all of this, the script then executes a series of quality control -# programs which can change the observation value and/or its quality marker. -# The PREPBUFR file is set up such that all changes to data are stacked on -# top of previous values. Such changes are considered to be "events", with -# the event containing an associated program code and reason code to describe -# it. This allows the PREPBUFR file to internally contain a record of all -# events preformed on the observations. This script has been designed to be -# executed by either an "operational J-job" script, a "test J-job" script, a -# "parallel J-job" script, or a stand-alone batch run initiated by a user. -# -# Script history log: -# 1999-07-20 Dennis A. Keyser -- Original version for implementation -# 2000-06-14 Dennis A. Keyser -- Added the tropical cyclone relocation -# processing -# 2001-03-20 Dennis A. Keyser -- Now gets tcvitals file for t-12 as well as -# t-06 in tropical cyclone relocation processing and passes both to ush -# relocate_relocate_ts.sh as new pos. parameters 3 and 4 -# 2004-01-15 Dennis A. Keyser -- Reads file *aircar_status_flag* in $COMSP -# path to see whether ARINC (primary) or AFWA (backup) reports in AIRCAR -# dump should be read and processed as ACARS data in PREPBUFR (flag file -# generated in upstream dump process and is based on a comparison of report -# counts), inserts proper switch in parm cards read by PREPOBS_PREPDATA -# program -# 2004-08-30 Dennis A. Keyser -- Connects new data dumps to PREPDATA -# processing, copies t-3 and t+3 sigma guess files for GFS and GDAS even if -# DO_RELOCATE = NO (unless GETGUESS = NO); Copies the "PRE-QC" snapshot of -# the PREPBUFR file AFTER SYNDAT_SYNDATA runs (if it does) rather than -# before it runs (ensures that the PRE-QC PREPBUFR file contains ALL of the -# observations); Variable PRVT (observational error table file path) is now -# read by NAM network and defaults to $FIXPREP/prepobs_errtable.nam if not -# imported (obs. errors are now read into PREPBUFR file in NAM network in -# preparation for the switch to the GSI analysis, the operational 3DVAR -# analysis ignores the obs errors in PREPBUFR and still reads them in from -# $PARMPREP/nam_errtable.r3dv) -# 2005-07-01 Dennis A. Keyser -- Logic changes to run SYNDAT_SYNDATA in all -# networks where requested regardless of outcome of relocation processing, -# but sets new script variable DO_BOGUS to NO if SYNDAT_SYNDATA is to NOT -# generate synthetic wind bogus reports and append them to PREPBUFR file -# (SYNDAT_SYNDATA program also modified to read in this variable) - change -# needed because (if requested) SYNDAT_SYNDATA will now flag all -# dropwinsonde wind reports in vicinity of each storm in original tcvitals -# file, regardless of whether or not bogus winds are generated -# 2006-03-22 Dennis A. Keyser -- Accounts for possibility of split dump status -# files (status1 and status2) at the time this runs {in the test for the -# presence of the dump status file(s)} -# 2006-06-13 Dennis A. Keyser -- Adds dump file "wdsatr" to default BUFRLIST -# value and assigns it to unit 38 read in to PREPOBS_PREPDATA; Removed -# tropical cyclone relocation processing, this is now done (if requested) -# in a new script called tropcy_relocate.sh which runs in the new -# TROPCY_QC_RELOC job prior to the PREP job that executes this script -# (TROPCY_QC_RELOC first performs qctropcy processing, this was moved from -# the DUMP job) - this was done to allow the TROPCY_QC_RELOC job to run at -# the same time as the DUMP job in order to speed up overall obs -# processing and remove variability in the PREP job executing this script -# (i.e., this job had run faster when no tropical storms were present) -# 2007-09-14 Dennis A. Keyser -- Replaced "export XLFUNIT_xx=" with -# "ln .sf fort.xx" in preparation for PREPOBS_PREPDATA -# interfacing with global spectral guess files using sigio routines (via -# W3LIB routine GBLEVENTS - sigio requires explicit open statements in the -# code and this conflicts with XLFUNIT statements; Removed test on -# existence of status2 file from NAM_DUMP2 and NDAS_DUMP2 jobs since dump -# files here (currently only "nexrad") are not processed into the PREPBUFR -# file - PREP job initiation may soon no longer be dependent upon -# completion of DUMP2 job in NAM and NDAS networks; in the case where an -# input (normally, pre-QC) PREPBUFR file is passed into the script via the -# variable PREPBUFR_IN, it had been assumed that this file had already -# been run through SYNDATA processing (but that was not the case prior to -# 12Z 25 Jan 2005) - this script changed to use the value of variable -# SYNDATA to determine if the file in PREPBUFR_IN should be run through -# SYNDATA processing (i.e., if SYNDATA=YES, run it through SYNDATA -# processing) (this change will allow reanalysis runs prior to 12Z 25 Jan -# 2005 to work properly) -# 2008-09-25 Dennis A. Keyser -- Added dump file "ascatw" to default BUFRLIST -# value and assigns it to unit 39 read in to PREPOBS_PREPDATA; in -# preparation for future NRL aircraft QC code NRLACQC, added new script -# variables NRLACQC (def=NO), USHNQC (def=${HOMEALL}/ush), NQCX -# (def=$EXECPREP/prepobs_nrlacqc) and NQCC -# (def=$PARMPREP/prepobs_nrlacqc.${NET}.parm"), if NRLACQC=YES will -# execute script USHNQC to perform NRL aircraft QC (not yet ready) -# 2011-10-14 D.A. Keyser -- Updated to handle new "rap" (Rapid Refresh) -# network and its model runs "rap", "rap_p" and "rap_e" -# 2012-05-09 S. Bender/D. Keyser -- Removed all prior references to "NRL" -# aircraft QC script variables (never actually used) since the NRL -# aircraft QC nomenclature is being dropped in place of the existing -# PREPACQC nomenclature and will use its existing script variables; -# removed all references to the ACARSQC processing since it is no longer -# executed (ACARS QC is now performed within the revamped PREPACQC -# processing); removed script variables no longer used by the new version -# of the PREPACQC processing; added new script variables which are -# associated with the new program PREPOBS_PREPACPF which now runs as a -# second program within the PREPACQC processing (after program -# PREPOBS_PREPACQC) in the ush script prepobs_prepacqc.sh {PROCESS_ACQC -# (def=YES), PROCESS_ACPF (def=YES), DICTPREP (def=$HOMEALL/dictionaries), -# DICT (def=$DICTPREP/metar.tbl), APFX (def=$EXECPREP/prepobs_prepacpf), -# and the new second argument "$DATA/adpsfc" passed to -# prepobs_prepacqc.sh} -# 2013-03-05 D.A. Keyser -- Modified to properly run on WCOSS system: replaced -# all usage of "timex" with "time -p."; replaced script variables -# XLFUNIT_n with FORTn (where n is the unit number connected to the -# filename defined by the variable FORTn) - needed because ifort uses -# FORTn; script is now set to run under ksh shell as the default; added -# script variable "BACK" which, when YES, threads the mp_prepdata herefile -# into background shells that run simultaneously (an alternative option -# to poe which is not ready on WCOSS); touches all dump files not included -# in BUFRLIST so that they will not cause a read error if PREPOBS_PREPDATA -# tries to read them -# 2014-01-15 S. Melchior -- Placed into new OBSPROC_PREP vertical directory -# structure/environmental equivalence paradigm. As a result: imports new -# environment variable $HOMEobsproc_prep which points to directory path for -# generic prep subdirectories under version control (in production this is -# normally /nwprod/obsproc_prep.vX.Y.Z where X.Y.Z is version number being -# used, usually the latest); and imports new environment variable -# $HOMEobsproc_network which points to directory path for network-specific -# prep subdirectories under version control (in production this is normally -# /nwprod//obsproc_NETWORK.vX.Y.Z where NETWORK is, e.g., global, namp, rap, -# rtma, urma, and X.Y.Z is version number being used, usually the latest) - -# these replace /nw${envir} in order to point to files moved from -# horizontal to vertical directory structure. -# 2014-02-25 D.A. Keyser -- Removed all references to RUC. Removed option -# to run on MACHINE=sgi - this is obsolete (as a result variables $MACHINE -# and $HOMEALL are no longer used in this script). Replaced variable -# $EXECUTIL with $utilexec for directory path to utility program ndate -# (both were exported from job scripts with same value, $EXECUTIL has now -# been removed from all job scripts). Removed all references to "cdc" -# network (this is obsolete). -# 2014-07-23 D.A. Keyser -- Imported script environment variable DICTPREP now -# defaults to new vertical structure directory path location for metar.tbl -# dictionary, /nw${envir}/decoders/decod_shared/dictionaries, rather than -# old horizontal structure location, /nw${envir}/dictionaries (the latter -# will be removed in September 2014). -# 2016-02-05 JWhiting -- Use NCO-established variables to point to root -# directories for main software components and input/output directories in -# order to run on WCOSS Phase 1 or Phase 2 (here, $COMROOT which replaces -# hardwire to "/com", $NWROOT which replaces hardwire to "/nwprod" in -# comments only). Use NCO-established variables (presumably obtained from -# modules) to point to prod utilities [here, $NDATE from module prod_util -# (default or specified version, loaded in each network which executes this -# script) which replaces executable ndate in non-versioned, horizontal -# structure utility directory path defined by imported variable $utilexec]. -# 2016-04-29 D.A. Keyser -- Updated logic such that when tropical cyclone -# relocation has not run, a first guess is required, the network is gfs or -# gdas, but the cycle time is not 00, 06, 12 or 18z, no attempt will be -# made to obtain a guess 3-hrs before and after cycle time (since it can -# fail). Instead this is treated the same as any 3- or 1-hrly cycle run -# (like rap, e.g.) meaning two guess files will be obtained at the -# spanning 3 hour interval around any cycle time not a multiple of 3 hrs. -# BENEFIT: Allows future hourly WAM model to run properly. -# 2016-07-12 D.C. Stokes -- Reinstated poe option to run multiple instances -# of the PREPDATA processing script in parallel. New variable $launcher -# defines the parallel scripting launch mechanism (description below). -# Added logic to create scaled down versions of err_chk and err_exit -# scripts if they don't exist in the working directory and eliminated -# similar blocks of logic that had been repeated throughout the script. -# Updated USHGETGES default to pick up more recent versions of getges.sh. -# 2017-02-07 D.C. Stokes -- Updated to run on Cray-XC40 as well as iDataPlex. -# If on Cray-XC40, default parallel scripting launching mechanism is cfp -# inovked by aprun. Variable name used for launching mechanism changed from -# "launcher" to "launcher_PREP". Variable COMDATEROOT is now the primary -# default for the root of the directory containing NCEP date files. The -# variable NWROOTp1 is now the default root for directory DICTPREP. Logic -# used to determine if $COMSP points to production "com" directory was -# updated to recognize full path name (as needed on luna/surge). -# 2017-03-19 D.C. Stokes/D.A. Keyser -- Updated to input nemsio atmopheric -# guess files -or- the older sigio atmospheric files. The nemsio option -# is triggered by flag NEMSIO_IN=.true. For nemsio runs, a single guess -# file valid at the prepbufr center time is picked up, even for runs with -# center time that is not a multiple of 3. Also the dbn_alert subtype is -# now dependent upon $RUN (for transition from "gdas1" to "gdas"). -# 2017-04-20 D.C. Stokes -- Relocated assignments of variable stype to ensure -# it always passes the proper value to the getges utility script. -# -# -# Usage: prepobs_makeprepbufr.sh yyyymmddhh -# -# Input script positional parameters: -# 1 String indicating the center date/time for the PREPBUFR -# processing - if missing, then this time -# is obtained from the ${COMDATEROOT}/date/$cycle file -# -# Imported Shell Variables: -# -# These must ALWAYS be exported to this script by the parent script -- -# -# COMROOT Root to input/output "com" directory (in production, -# normally "/com", "/com2", or "/gpfs/hps/nco/ops/com") -# NSPLIT Number of parts into which the PREPDATA processing shell -# script (herefile MP_PREPDATA) will be split in order to -# run in parallel for computational efficiency (either using -# multiple tasks when POE is not "NO" or in background threads -# when BACK is "YES") -# NOTE : This is required ONLY if the imported shell variable -# POE is not "NO" (see below) or the imported shell -# variable BACK is "YES" (see below) (i.e., a parallel -# environment), and the imported shell variable -# PREPDATA=YES (see below) -# NET String indicating system network {either "gfs", "gdas", -# "cdas", "nam", "rap", "rtma" or "urma"} -# NOTE : NET is changed to gdas in the parent Job script for -# RUN=gdas or RUN=gdas1 (was gfs) -# RUN String indicating model run {either "gfs", "gdas", "gdas1", -# "cdas", "nam", "ndas", "rap", "rap_p", "rap_e", -# "rtma", or "urma"} -# cycle String indicating the center cycle hour for PREPBUFR -# processing {"txxz", where xx is two-digit hour of the day -# (UTC)} -# NOTE : This is required ONLY if input script positional -# parameter 1 is missing (see above) -# DATA String indicating the working directory path (usually a -# temporary location) -# COMSP String indicating the directory/filename path to input BUFR -# observational data dumps, tropical cyclone location -# (tcvitals) files, global atmos guess files, and status -# files (e.g., "$COMROOT/gfs/prod/gfs.20060612/gfs.t12z.") -# DBNROOT String indicating directory path to bin/dbn_alert file -# location -# NOTE : This is required ONLY if the imported shell variable -# SENDDBN is "YES" (see below) -# job - String indicating job name (e.g., 'gdas_prep_12') -# NOTE : This is required ONLY if the imported shell variable -# SENDDBN is "YES" (see below) -# $HOMEobsproc_prep - string indicating directory path to generic prep -# subdirectories under version control -# (in production this is normally -# ${NWROOT}/obsproc_prep.vX.Y.Z where X.Y.Z is -# version number being used, usually the latest) -# $HOMEobsproc_network - string indicating directory path to network- -# specific prep subdirectories under version control -# (in production this is normally -# ${NWROOT}/obsproc_NETWORK.vX.Y.Z where NETWORK is, -# e.g., global, nam, rap, rtma, urma, and X.Y.Z is -# version number being used, usually the latest) -# -# These will be set to their default value in this script if not exported -# to this script by the parent script -- -# -# SITE Site name (may have been set by local shell startup script) -# Default is "" -# sys_tp System type and phase. If not imported, an attempt is made -# to set it using getsystem.pl (an NCO prod_util script). -# A failed attempt results in an empty string. -# NEMSIO_IN Flag that if ".true." indicates that nemsio atmospheric -# background fields will be input rather than sigio. -# Default is "" -# SENDDBN String indicating whether or not to alert an output file to -# the NWS/TOC (= "YES" - invoke alert; anything else - do not -# invoke alert) -# Default is "NO" -# NPROCS Number of "poe" tasks to use for mpmd (must be .GE. $NSPLIT) -# NOTE : This is applicable ONLY if the imported shell -# variable POE is not "NO" (see below) and variable -# launcher_PREP is not "cfp" or "aprun" (see below) and -# the imported shell variable PREPDATA=YES (see below) -# For LSF jobs, the count of hosts listed in string $LSB_HOSTS -# will be used to set NPROCS (overriding any imported value). -# Default is "$NSPLIT" -# envir String indicating environment under which job runs ('prod' -# or 'test') -# Default is "prod" -# envir_getges String indicating environment under which GETGES utility -# ush runs (see getges.sh docblock for more information) -# Default is "$envir" -# network_getges -# String indicating job network under which GETGES utility -# ush runs (see getges.sh docblock for more information) -# Default is "global" unless the center PREPBUFR processing -# date/time is not a multiple of 3-hrs and the global guess is -# sigio-based, then the default is "gfs" -# pgmout String indicating file containing standard output (output -# always contatenated onto this file) -# Default is "/dev/null" -# tstsp String indicating the directory/filename path to one or -# more BUFR observational data dumps and/or tropical cyclone -# location (tcvitals) files and/or global atmos guess files -# and/or status files that are to override the corresponding -# file in $COMSP (this should be imported with the same -# naming convention as $COMSP; e.g., -# "/gpfstmp/wx22dk/test_dump/ndas.20060612/ndas.t12z." - -# (if tstsp is not imported, the default is used and no -# overriding file would exist; if tstsp is imported then any -# file found would override the correspoding file in $COMSP) -# Default is "/tmp/null/" -# tmmark - string indicating hour for center PREPBUFR processing date/ -# time relative to the analysis time embedded in $tstsp or -# $COMSP (e.g., "tm12", "tm09", "tm06", "tm03", "tm00") -# Default is "tm00" -# BUFRLIST String indicating list of BUFR data dump file names to -# process -# Default is "adpupa proflr aircar aircft satwnd adpsfc \ -# sfcshp sfcbog vadwnd goesnd spssmi erscat qkswnd msonet \ -# gpsipw rassda wdsatr ascatw" -# POE String indicating whether or not to use a poe-like launcher -# to spread instances of the PREPBUFR processing herefile -# MP_PREPDATA over multiple pes in parallel. (= "NO" - -# do not invoke invoke "poe"; anything else - invoke "poe") -# Default is "YES" -# launcher_PREP Parallel scripting launch tool. Settings are in place for -# aprun, mpirun.lsf, and cfp but a different tool can be -# specified. -# NOTE : This is applicable ONLY if the imported shell -# variable POE is not "NO" and the imported shell -# variable PREPDATA=YES (see below) -# Default on Cray-XC40 is "aprun". Otherwise: "mpirun.lsf" -# BACK String indicating whether or not to run background shells -# (on the same task) for the PREPBUFR processing (= "YES" - -# run background shells; anything else - do not run -# background shells). IF BACK=YES on Cray-XC40, the shells -# are invoked by aprun. -# USHSYND String indicating directory path for SYNDATA ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHPREV String indicating directory path for PREVENTS ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHCQC String indicating directory path for CQCBUFR ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHPQC String indicating directory path for PROFCQC ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHVQC String indicating directory path for CQCVAD ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHAQC String indicating directory path for PREPACQC ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHOIQC String indicating directory path for OIQCBUFR ush file -# Default is "${HOMEobsproc_prep}/ush" -# EXECPREP String indicating directory path for PREPOBS executables -# Default is "${HOMEobsproc_prep}/exec" -# PARMPREP String indicating directory path for PREPOBS parm files -# Default is "${HOMEobsproc_network}/parm" -# FIXPREP String indicating directory path for PREPOBS fix-field -# files -# Default is "${HOMEobsproc_prep}/fix" -# DICTPREP String indicating directory path for PREPOBS dictionary -# files -# Default is "${NWROOTp1}/decoders/decod_shared/dictionaries" -# EXECSYND String indicating directory path for SYNTHETIC data -# executables -# Default is "${HOMEobsproc_prep}/exec" -# PARMSYND String indicating directory path for SYNTHETIC parm files -# Default is "${HOMEobsproc_network}/parm" -# FIXSYND String indicating directory path for SYNTHETIC data fix- -# field files -# Default is "${HOMEobsproc_prep}/fix" -# GETGUESS String: if = "YES" will encode first guess (background) -# values interpolated by the program PREPOBS_PREPDATA to -# observation locations in the PREPBUFR file for use by the -# q.c. programs. This guess is always from a global atmos -# guess file valid at the center PREPBUFR processing date/ -# time or from an interpolated guess obtained from global -# atmos guess files valid at times 3-hours apart which span -# the PREPBUFR processing date/time (the latter is performed -# by the program PREPOBS_PREPDATA and occurs when the guess -# files are sigio-based and the PREPBUFR date/time hour is not -# a multiple of 3, e.g. 02Z rap or tm04 nam catchup runs). The -# guess file (or files) may be obtained in one of two ways: -# 1) From pre-existing files in the working directory -# $DATA called sgesprep and sgesprepA (either copied -# there prior to the execution of this script, or -# copied there earlier in this script from either -# $tstsp, or if not found there, $COMSP which was -# populated by the previous running of tropical -# cyclone relocation processing -# NOTE 1: sgesprepA is needed only when the guess is -# sigio-based and the PREPBUFR processing -# date/time is not a multiple of 3-hrs. -# NOTE 2: if previous tropical cyclone relocation -# processing was run, then an sgesprepA file -# is NEVER generated, not a problem since -# previous tropical cyclone relocation -# processing is not run in rap, rap_p or -# rap_e runs -# 2) Via the execution of the GETGES utility ush to -# obtain sgesprep (if pre-existing file $DATA/sgesprep -# does not exist), and possibly via the execution of -# the GETGES utility ush to obtain sgesprepA (if -# PREPBUFR processing date/time is not a multiple of -# 3-hrs and the global guess is sigio-based, and the -# pre-existing file $DATA/sgesprepA does not exist) -# Default is "YES" -# NOTE: If GETGUESS=NO, then the program PREPOBS_PREPDATA -# will NOT call w3emc routine GBLEVENTS to perform -# "prevents" processing -# PREPDATA String: if = "YES" will perform PREPDATA processing -# (in either a parallel or serial environment depending upon -# the values for POE and BACK) -# Default is "YES" -# SYNDATA String: if = "YES" will attempt to perform synthetic bogus -# processing (generation of synthetic bogus winds to be -# appended to PREPBUFR file and, possibly, flagging of mass -# pressure data "near" storms; and, possibly, flagging of -# dropwinsonde wind data "near" storms) -# Default is "YES" -# DO_QC String: if = "YES" will perform quality control -# Default is "YES" -# PREVENTS String: if = "YES" will encode background and obs. errors -# into PREPBUFR file (usually this should be "NO" since the -# programs PREPOBS_PREPDATA and SYNDAT_SYNDATA normally are -# set to perform this function) -# NOTE: Only invoked if DO_QC=YES -# Default is "NO" -# CQCBUFR String: if = "YES" will complex quality control radiosonde -# data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# PROFCQC String: if = "YES" will quality control wind profiler data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# CQCVAD String: if = "YES" will quality control VAD wind data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# PREPACQC String: if = "YES" will quality control aircraft data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# PROCESS_ACQC String: if = "YES" will execute PREPOBS_PREPACQC program as -# part of PREPACQC processing -# NOTE: Only invoked if PREPACQC=YES -# Default is "YES" -# PROCESS_ACPF String: if = "YES" will execute PREPOBS_PREPACPF program as -# part of PREPACQC processing -# NOTE: Only invoked if PREPACQC=YES -# Default is "YES" -# OIQCBUFR String: if = "YES" will perform final oi-based quality -# control on all data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# MPCOPYX String indicating executable path for PREPOBS_MPCOPYBUFR -# program -# Default is "$EXECPREP/prepobs_mpcopybufr" -# PRPX String indicating executable path for PREPOBS_PREPDATA -# program -# Default is "$EXECPREP/prepobs_prepdata" -# errPREPDATA_limit -# String indicating the highest allowed foreground exit -# status for program PREPOBS_PREPDATA (any exit status higher -# than this is considered a failure) -# (Note: errPREPDATA_limit=1 is ALWAYS considered a FAILURE) -# Default is "0" -# PRPC String indicating data card path for PREPOBS_PREPDATA -# program -# Default is "$PARMPREP/prepobs_prepdata.${NET}.parm" -# PRPT String indicating bufrtable file path for PREPOBS_PREPDATA -# program -# Default is "$FIXPREP/prepobs_prep.bufrtable" -# LANDC String indicating land/sea mask file path for -# PREPOBS_PREPDATA program -# Default is "$FIXPREP/prepobs_landc" -# PRVT String indicating observational error table file path for -# PREPOBS_PREPDATA, SYNDAT_SYNDATA and PREPOBS_PREVENTS -# programs (used by GBLEVENTS subroutine) -# NOTE: Only read by gdas, gfs, cdas and nam networks -# If imported "NET=gdas" or "NET=gfs", default is -# "$HOMEobproc_network/fix/prepobs_errtable.global"; -# if imported "NET=cdas", default is -# "$HOMEobsproc_network/fix/prepobs_errtable.cdas"; -# if imported "NET=nam", default is -# "$HOMEobsproc_network/fix/prepobs_errtable.nam" -# otherwise, default is "$DATA/scratch.PRVT" a null file -# LISTHDX String indicating executable path for PREPOBS_LISTHEADERS -# program -# Default is "$EXECPREP/prepobs_listheaders" -# MONOBFRX String indicating executable path for PREPOBS_MONOPREPBUFR -# program -# Default is "$EXECPREP/prepobs_monoprepbufr" -# SYNDX String indicating executable path for SYNDAT_SYNDATA -# program -# Default is "$EXECSYND/syndat_syndata" -# SYNDC String indicating data card path for SYNDAT_SYNDATA program -# Default is "$PARMSYND/syndat_syndata.${NET}.parm" -# PREX String indicating executable path for PREPOBS_PREVENTS -# program -# Default is "$EXECPREP/prepobs_prevents" -# PREC String indicating data card path for PREPOBS_PREVENTS -# program -# Default is "$PARMPREP/prepobs_prevents.${NET}.parm" -# AQCX String indicating executable path for PREPOBS_PREPACQC -# program -# Default is "$EXECPREP/prepobs_prepacqc" -# AQCC String indicating data card path for PREPOBS_PREPACQC -# program -# Default is "$PARMPREP/prepobs_prepacqc.${NET}.parm" -# APFX String indicating executable path for PREPOBS_PREPACPF -# program -# Default is "$EXECPREP/prepobs_prepacpf" -# DICT String indicating METAR station dictionary path for -# PREPOBS_PREPACPF program -# Default is "$DICTPREP/metar.tbl" -# PQCX String indicating executable path for PREPOBS_PROFCQC -# program -# Default is "$EXECPREP/prepobs_profcqc" -# PQCC String indicating data card path for PREPOBS_PROFCQC -# program -# Default is "$PARMPREP/prepobs_profcqc.${NET}.parm" -# VQCX String indicating executable path for PREPOBS_CQCVAD -# program -# Default is "$EXECPREP/prepobs_cqcvad" -# CQCX String indicating executable path for PREPOBS_CQCBUFR -# program -# Default is "$EXECPREP/prepobs_cqcbufr" -# CQCC String indicating data card path for PREPOBS_CQCBUFR -# program -# Default is "$PARMPREP/prepobs_cqcbufr.${NET}.parm" -# CQCS String indicating statbge path for PREPOBS_CQCBUFR program -# Default is "$FIXPREP/prepobs_cqc_statbge" -# OIQCX String indicating executable path for PREPOBS_OIQCBUFR -# program -# Default is "$EXECPREP/prepobs_oiqcbufr" -# OIQCT String indicating observational error table file path for -# PREPOBS_OIQCBUFR program -# NOTE: If imported "NET=cdas", default is -# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas"; -# otherwise default is -# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs" -# -# These do not have to be exported to this script. If they are, they will -# be used by the script. If they are not, they will be skipped -# over by the script. -# -# PREPBUFR_APP String indicating path to output PREPBUFR file for -# PREPOBS_PREPDATA program. -# If present and POE is "NO" and BACK is not "YES" (i.e., a -# serial environment), PREPOBS_PREPDATA will append all -# output BUFR messages to a copy of this file (prepda) in -# the current working directory, using the internal BUFR -# mnemonic table in the first several BUFR messages at the -# top of the file -# NOTE 1: In this case, it is assumed the the switch APPEND -# is set to TRUE in the parm cards $PRPC (careful, -# if APPEND is FALSE, the original copy of -# $PREPBUFR_APP will be wiped out and the case below -# will occur) -# NOTE 2: When POE is not "NO" or BACK is "YES" (i.e., a -# parallel environment), appending makes no sense -# because the original output PREPBUFR file is -# monolithic -# If not present or POE is not "NO" or BACK is "YES" (i.e., a -# parallel environment), PREPOBS_PREPDATA will write all -# output BUFR messages to a new file (prepda) in the current -# working directory using the external BUFR mnemonic table -# in the file $PRPT -# NOTE 3: In this case, it is assumed the the switch APPEND -# is set to FALSE in the parm cards $PRPC (careful, -# if APPEND is TRUE, PREPOBS_PREPDATA will abort -# because the original empty PREPBUFR file has no -# internal BUFR mnemonic table) -# PREPBUFR_IN String indicating path to input PREPBUFR file -# If present, this file will be used by SYNDAT_SYNDATA (if -# SYNDATA=YES - see @ below) and by all applicable Q.C. -# programs (set to to be invoked here) rather than the -# PREPBUFR file generated in this script by PREPOBS_PREPDATA -# (normally this would be used when PREPDATA=NO) -# @ - if the PREPBUFR_IN target file is obtained from -# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, -# then for all runs on and after 12Z 25 Jan 2005, -# SYNDATA should be NO because the target files -# will already contain synthetic bogus data; -# if the PREPBUFR_IN target file is obtained from -# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, -# then for all runs prior to 12Z 25 Jan 2005, -# SYNDATA should be YES because the target files -# will not have contain synthetic bogus data. -# If not present, then the PREPBUFR file generated in this -# script by PREPOBS_PREPDATA and possibly appended to by -# SYNDAT_SYNDATA is passed on as input to all applicable -# Q.C. programs -# jlogfile String indicating path to joblog file -# -# These do not have be exported to this script. -# -# COMDATEROOT Primary default for the root of the directory containing -# produciton date files. -# -# NWROOTp1 Root directory for production software on WCOSS Phase 1. -# -# USHGETGES String indicating directory path for GETGES utility script. -# Default is $HOMEobsproc_prep/ush. -# -# GETGESprep GETGES utility script. If NEMSIO_IN=.true., defaults to: -# $USHGETGES/getges.sh -# otherwise, defaults to: -# $USHGETGES/getges_sig.sh -# -# PREPDATAtpn Tasks per node when invoking cfp on Cray-XC40. Will be -# computed if needed but was not imported. -# -# These do not have to be exported to this script. If they are, they will -# be passed on to the script $USHCQC/prepobs_cqcbufr.sh. They are not used -# by this script. -# -# PRPI_m24 See documentation in $USHCQC/prepobs_cqcbufr.sh -# PRPI_m12 See documentation in $USHCQC/prepobs_cqcbufr.sh -# PRPI_p12 See documentation in $USHCQC/prepobs_cqcbufr.sh -# PRPI_p24 See documentation in $USHCQC/prepobs_cqcbufr.sh -# -# Exported Shell Variables: -# CDATE10 String indicating the center date/time for the PREPBUFR -# processing -# SGES Either ... -# 1) String indicating the full path name for global -# sigio-based or nemsio-based guess file valid at the -# center PREPBUFR processing date/time (in which case the -# center PREPBUFR processing date/time is a multiple of -# 3-hrs, or for any PREPBUFR center hour if global guess -# is nemsio-based) - This guess file will be encoded -# into the PREPBUFR file for use by the q.c. programs. -# -- or -- -# 2) String indicating the full path name for the global -# atmosperic guess file valid at the nearest cycle time -# prior to the center PREPBUFR processing date/time which -# is a multiple of 3 (in which case the center PREPBUFR -# processing date/time is not a multiple of 3-hrs and the -# global guess is sigio-based) - A linear interpolation -# (of the spectal coefficients) between this file and the -# guess file indicated by SGESA case 2 below will be -# performed by program PREPOBS_PREPDATA and encoded into -# the PREPBUFR file for use by the q.c. programs. The -# SGES file is always from the GFS in this case. -# NOTE 1: Only case 1 above is valid when tropical cyclone -# relocation processing previously occurred. -# NOTE 2: Case 2 above is necessary because the w3emc lib -# routine gblevents called by PREPOBS_PREPDATA -# expects that sigio-based guess files will only -# have valid hours which are a multiple of 3 -# NOTE 3: Only case 1 above is valid when global guess is -# nemsio-based. -# SGESA Either ... -# 1) String set to "/dev/null" for case 1 of SGES above -# (default) -# -- or -- -# 2) String indicating the full path name for the global -# sigma guess file valid at the nearest cycle time after -# the center PREPBUFR processing/date time which is a -# multiple of 3 for case 2 of SGES above - A linear -# interpolation (of the spectal coefficients) between -# this guess file and the guess file indicated by SGES -# above (see case 2 for SGES) will be performed by the -# program PREPOBS_PREPDATA and encoded into the PREPBUFR -# file for use by the q.c. programs. The SGESA file is -# always from the GFS in this case and its forecast hour -# is 3-hrs later than the SGES file (thus both initiate -# at the same time). -# NOTE 1: Only case 1 above is valid when tropical cyclone -# relocation processing previously occurred. -# NOTE 2: Case 2 above is necessary because the w3emc lib -# routine gblevents called by PREPOBS_PREPDATA -# expects that sigio-based guess files will only -# have valid hours which are a multiple of 3 -# NOTE 3: Only case 1 above is valid when global guess is -# nemsio-based. -# -# -# Modules and files referenced: -# herefiles : $DATA/MP_PREPDATA -# $DATA/MERGE_MSGS -# scripts : $USHGETGES/getges.sh -# $USHGETGES/getges_sig.sh -# $USHSYND/prepobs_syndata.sh -# $USHPREV/prepobs_prevents.sh -# $USHCQC/prepobs_cqcbufr.sh -# $USHPQC/prepobs_profcqc.sh -# $USHVQC/prepobs_cqcvad.sh -# $USHAQC/prepobs_prepacqc.sh -# $USHOIQC/prepobs_oiqcbufr.sh -# $DATA/postmsg (required ONLY if "$jlogfile" is present) -# $DATA/prep_step {here and by referenced script(s)} -# $DATA/err_exit -# $DATA/err_chk {here and by referenced script(s)} -# (NOTE: The last three scripts above are NOT REQUIRED -# utilities. If $DATA/prep_step not found, a scaled down -# version of it is executed in-line. If $DATA/err_exit -# or $DATA/err_chk are not found, scaled down versions, -# created in-line, are executed. -# executables: $NDATE (from prod_util module) -# programs : -# PREPOBS_MPCOPYBUFR - executable: $MPCOPYX -# PREPOBS_PREPDATA - executable: $PRPX -# land/sea mask: $LANDC -# bufr mnemonic user table: $PRPT -# obs. error table: $PRVT -# data cards: $PRPC -# PREPOBS_LISTHEADERS - executable: $LISTHDX -# PREPOBS_MONOPREPBUFR - executable: $MONOBFRX -# SYNDAT_SYNDATA - executable: $SYNDX -# T126 gaussian land/sea mask: -# $FIXSYND/syndat_syndata.slmask.t126.gaussian -# weights: $FIXSYND/syndat_weight -# obs. error table: $PRVT -# data cards: $SYNDC -# PREPOBS_PREVENTS - executable: $PREX -# obs. error table: $PRVT -# data cards: $PREC -# PREPOBS_PREPACQC - executable: $AQCX -# data cards: $AQCC -# PREPOBS_PREPACPF - executable: $APFX -# dictionary: $DICT -# PREPOBS_PROFCQC - executable: $PQCX -# data cards: $PQCC -# PREPOBS_CQCVAD - executable: $VQCX -# PREPOBS_CQCBUFR - executable: $CQCX -# data cards: $CQCC -# PREPOBS_OIQCBUFR - executable: $OIQCX -# obs. error table: $OIQCT -# -# Remarks: -# -# Condition codes -# 0 - no problem encountered -# >0 - some problem encountered -# -# Attributes: -# Language: Korn shell under linux -# Machine: NCEP WCOSS -# -#### - -set -aux - -NEMSIO_IN=${NEMSIO_IN:=""} -jlogfile=${jlogfile:=""} -SENDDBN=${SENDDBN:-NO} - -if [ ! -d $DATA ] ; then mkdir -p $DATA ;fi - -cd $DATA - -qid=$$ - -##################################################### -##################################################### -# create error check and exit utilities if necessary. -# (as may be the case for some developer runs) -##################################################### - -if [ ! -x $DATA/err_exit ]; then -cat <<\EOFerrexit > $DATA/err_exit - set -x - if [ -n "$LSB_JOBID" ]; then - bkill $LSB_JOBID - sleep 60 - date - else - set -e - kill -n 9 $qid - fi - exit 7 # for extra measure -EOFerrexit -chmod 775 $DATA/err_exit -fi - -if [ ! -x $DATA/err_chk ]; then -cat <<\EOFerrchk > $DATA/err_chk - set -x - if [ "$err" != '0' ]; then - $DATA/err_exit - fi -EOFerrchk -chmod 775 $DATA/err_chk -fi - -##################################################### -##################################################### - - -# determine local system name and type if available -# ------------------------------------------------- -SITE=${SITE:-""} -sys_tp=${sys_tp:-$(getsystem.pl -tp)} -getsystp_err=$? -if [ $getsystp_err -ne 0 ]; then - msg="***WARNING: error using getsystem.pl to determine system type and phase" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" -fi -echo sys_tp is set to: $sys_tp - -#------------------------------------------------------------------------------- - -# obtain the center date/time for PREPBUFR processing -# --------------------------------------------------- - -if [ $# -ne 1 ] ; then - cp ${COMDATEROOT:-$COMROOT}/date/$cycle ncepdate - err0=$? - CDATE10=`cut -c7-16 ncepdate` -else - CDATE10=$1 - if [ "${#CDATE10}" -ne '10' ]; then - err0=1 - else - cycle=t`echo $CDATE10|cut -c9-10`z - err0=0 - fi -fi - -if test $err0 -ne 0 -then -# problem with obtaining date record so exit - set +x - echo - echo "problem with obtaining date record;" - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure -fi - -cyc=`echo $CDATE10|cut -c9-10` -modhr=`expr $cyc % 3` - -set +x -echo -echo "CENTER DATE/TIME FOR PREPBUFR PROCESSING IS $CDATE10" -echo -set -x - -#---------------------------------------------------------------------------- - -# Create variables needed for this script and its children -# -------------------------------------------------------- - -envir=${envir:-prod} - -envir_getges=${envir_getges:-$envir} -if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then - network_getges=${network_getges:-global} -else - network_getges=${network_getges:-gfs} -fi - -pgmout=${pgmout:-/dev/null} - -tstsp=${tstsp:-/tmp/null/} -tmmark=${tmmark:-tm00} - -BUFRLIST=${BUFRLIST:-"adpupa proflr aircar aircft satwnd adpsfc sfcshp \ - sfcbog vadwnd goesnd spssmi erscat qkswnd msonet gpsipw rassda wdsatr \ - ascatw"} - -PREPDATA=${PREPDATA:-YES} - -if [ "$PREPDATA" != 'YES' ] ; then - POE=NO - BACK=NO -else - set +u - [ -z "$POE" -a "$BACK" = 'YES' ] && POE=NO - POE=${POE:-YES} - if [ "$POE" != 'NO' -a "$BACK" = 'YES' ]; then - set -u - set +x -echo -echo "YOU have set both POE and BACK to YES - choose one or the other!!" -echo "Defaults are POE=YES and BACK=NO, as is preferable for WCOSS." -echo - set -x - exit 99 - fi - BACK=${BACK:-NO} - PARALLEL=NO - [ "$POE" != 'NO' -o "$BACK" = 'YES' ] && PARALLEL=YES - if [ "$POE" != 'NO' ] ; then - if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - launcher_PREP=${launcher_PREP:-aprun} - else - launcher_PREP=${launcher_PREP:-mpirun.lsf} - fi - if [ "$launcher_PREP" != 'cfp' -a "$launcher_PREP" != aprun ]; then - if [ -n ${LSB_HOSTS:-""} ]; then - NPROCS=$(echo $LSB_HOSTS|wc -w) - set +x; echo "Setting NPROCS based on LSB_HOSTS count"; set -x - else - NPROCS=${NPROCS:-$NSPLIT} - fi - if [ $NPROCS -lt $NSPLIT ]; then - set +x -echo "********************************************************************" -echo " P R O B L E M ! ! ! " -echo "********************************************************************" -echo " NPROCS=$NPROCS IS NOT SUFFICIENT FOR NSPLIT=$NSPLIT " -echo " NPROCS must be greater than NSPLIT when using a " -echo " parallel processing launcher other than cfp " -echo "********************************************************************" - set -x - msg="***FATAL ERROR: Insufficient NPROCS for NSPLIT=$NSPLIT" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - $DATA/err_exit - exit 55 # for extra measure - fi - fi - elif [ "$BACK" = 'YES' ] ; then - NPROCS=$NSPLIT - fi -# fi for PREPDATA != YES -fi - -USHSYND=${USHSYND:-${HOMEobsproc_prep}/ush} -USHPREV=${USHPREV:-${HOMEobsproc_prep}/ush} -USHCQC=${USHCQC:-${HOMEobsproc_prep}/ush} -USHPQC=${USHPQC:-${HOMEobsproc_prep}/ush} -USHVQC=${USHVQC:-${HOMEobsproc_prep}/ush} -USHAQC=${USHAQC:-${HOMEobsproc_prep}/ush} -USHOIQC=${USHOIQC:-${HOMEobsproc_prep}/ush} - -EXECPREP=${EXECPREP:-${HOMEobsproc_prep}/exec} -PARMPREP=${PARMPREP:-${HOMEobsproc_network}/parm} -FIXPREP=${FIXPREP:-${HOMEobsproc_prep}/fix} -DICTPREP=${DICTPREP:-${NWROOTp1}/decoders/decod_shared/dictionaries} - -EXECSYND=${EXECSYND:-${HOMEobsproc_prep}/exec} -PARMSYND=${PARMSYND:-${HOMEobsproc_network}/parm} -FIXSYND=${FIXSYND:-${HOMEobsproc_prep}/fix} - -GETGUESS=${GETGUESS:-YES} -if [ "$GETGUESS" = 'YES' ]; then - USHGETGES=${USHGETGES:-${HOMEobsproc_prep}/ush} - if [ "$NEMSIO_IN" = .true. ]; then - GETGESprep=${GETGESprep:-$USHGETGES/getges.sh} - else - GETGESprep=${GETGESprep:-$USHGETGES/getges_sig.sh} - fi -fi - -PREPDATA=${PREPDATA:-YES} - -SYNDATA=${SYNDATA:-YES} - -DO_QC=${DO_QC:-YES} - -PREVENTS=${PREVENTS:-NO} -CQCBUFR=${CQCBUFR:-YES} -PROFCQC=${PROFCQC:-YES} -CQCVAD=${CQCVAD:-YES} -PREPACQC=${PREPACQC:-YES} -PROCESS_ACQC=${PROCESS_ACQC:-YES} -PROCESS_ACPF=${PROCESS_ACPF:-YES} -OIQCBUFR=${OIQCBUFR:-YES} - -MPCOPYX=${MPCOPYX:-$EXECPREP/prepobs_mpcopybufr} -PRPX=${PRPX:-$EXECPREP/prepobs_prepdata} -errPREPDATA_limit=${errPREPDATA_limit:-0} -PRPC=${PRPC:-$PARMPREP/prepobs_prepdata.${NET}.parm} -PRPT=${PRPT:-$FIXPREP/prepobs_prep.bufrtable} -cp $PRPT prep.bufrtable -LANDC=${LANDC:-$FIXPREP/prepobs_landc} -if [ "$NET" = 'gdas' -o "$NET" = 'gfs' ]; then - PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.global} -elif [ "$NET" = 'cdas' ]; then - PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.cdas} -elif [ "$NET" = 'nam' ]; then - PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.nam} -else - cp /dev/null $DATA/scratch.PRVT - PRVT=${PRVT:-$DATA/scratch.PRVT} -fi -LISTHDX=${LISTHDX:-$EXECPREP/prepobs_listheaders} -MONOBFRX=${MONOBFRX:-$EXECPREP/prepobs_monoprepbufr} -SYNDX=${SYNDX:-$EXECSYND/syndat_syndata} -SYNDC=${SYNDC:-$PARMSYND/syndat_syndata.${NET}.parm} -PREX=${PREX:-$EXECPREP/prepobs_prevents} -PREC=${PREC:-$PARMPREP/prepobs_prevents.${NET}.parm} -AQCX=${AQCX:-$EXECPREP/prepobs_prepacqc} -AQCC=${AQCC:-$PARMPREP/prepobs_prepacqc.${NET}.parm} -APFX=${APFX:-$EXECPREP/prepobs_prepacpf} -DICT=${DICT:-$DICTPREP/metar.tbl} -PQCX=${PQCX:-$EXECPREP/prepobs_profcqc} -PQCC=${PQCC:-$PARMPREP/prepobs_profcqc.${NET}.parm} -VQCX=${VQCX:-$EXECPREP/prepobs_cqcvad} -CQCX=${CQCX:-$EXECPREP/prepobs_cqcbufr} -CQCC=${CQCC:-$PARMPREP/prepobs_cqcbufr.${NET}.parm} -CQCS=${CQCS:-$FIXPREP/prepobs_cqc_statbge} -OIQCX=${OIQCX:-$EXECPREP/prepobs_oiqcbufr} -if [ "$NET" = 'cdas' ]; then - OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas} -else - OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs} -fi -TIMEIT=${TIMEIT:-""} -[ -s $DATA/time ] && TIMEIT="$DATA/time -p" - - -# See if tropical cyclone relocation previously ran for this network and cycle -# by checking for status file in first in $tstsp, and if not found there, -# then in $COMSP -# ---------------------------------------------------------------------------- - -relo_rec=no # this will remain no even if relocation run, in the event it did - # not process an tropical cyclone records -if [ -s ${tstsp}tropcy_relocation_status.$tmmark ]; then - RELOCATION_HAS_RUN=YES - msg="Tropical cyclone RELOCATION RAN prior to this job - \ -`cat ${tstsp}tropcy_relocation_status.$tmmark`" - [ "`cat ${tstsp}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ - && relo_rec=yes -elif [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then - RELOCATION_HAS_RUN=YES - msg="Tropical cyclone RELOCATION RAN prior to this job - \ -`cat ${COMSP}tropcy_relocation_status.$tmmark`" - [ "`cat ${COMSP}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ - && relo_rec=yes -else - RELOCATION_HAS_RUN=NO - msg="Tropical cyclone RELOCATION did NOT run prior to this job" -fi -[ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - -if [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then - if [ "$SENDDBN" = "YES" ]; then - if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then - RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) - $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job \ - ${COMSP}tropcy_relocation_status.$tmmark - fi - fi -fi - -if [ "$RELOCATION_HAS_RUN" != 'YES' -a "$GETGUESS" != 'NO' ]; then - - if [ $cyc = 00 -o $cyc = 06 -o $cyc = 12 -o $cyc = 18 ]; then - -# The GFS and GDAS networks at 00, 06, 12 and 18z will get the t-3 and t+3 -# atmos guess files here since they are needed by the GSI even if tropical -# cyclone relocation was not previously performed (RELOCATION_HAS_RUN=NO) -# (NOTE 1: Normally RELOCATION_HAS_RUN=YES for these networks) -# (NOTE 2: If RELOCATION_HAS_RUN=YES, the t-3 and t+3 atmos guess files have -# already been obtained for all networks including the GFS and GDAS) -# (NOTE 3: This is not done if GETGUESS is NO) -# - - if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then - for fhr in -3 +3 ;do - if [ "$NEMSIO_IN" = .true. ]; then - if [ $fhr = "-3" ] ; then - sges=sgm3prep - stype=natgm3 - echo $sges - else - sges=sgp3prep - stype=natgp3 - echo $sges - fi - else - if [ $fhr = "-3" ] ; then - sges=sgm3prep - stype=siggm3 - echo $sges - else - sges=sgp3prep - stype=siggp3 - echo $sges - fi - fi - if [ ! -s $sges ]; then - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Tropical cylone relocation HAS NOT previously run" -echo " Get global atmospheric GUESS valid for $fhr hrs relative to center" -echo " PREPBUFR processing date/time" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - $GETGESprep -e $envir_getges -n $network_getges \ - -v $CDATE10 -t $stype $sges - errges=$? - if test $errges -ne 0; then -# problem obtaining global atmospheric first guess so exit - set +x - echo - echo "problem obtaining global atmos guess valid $fhr hrs \ -relative to center PREPBUFR date/time;" - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - set +x - echo -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - fi - done - fi - fi - -elif [ "$RELOCATION_HAS_RUN" = 'YES' ]; then - -# If Tropical cyclone relocation previously ran for this network and cycle -# copy the t-3, t+0 and t+3 atmos guess files and the tcvitals_relocate file -# from either $tstsp or, if not found there, $COMSP to working directory -# (Note: tcvitals_relocate file can be empty, but it must exist) -# -------------------------------------------------------------------------- - - qual_last=".$tmmark" # need this because gfs and gdas don't add $tmmark - # qualifier to end of output atmos guess files - [ $NET = gfs -o $NET = gdas ] && qual_last="" - for file in sgm3prep sgesprep sgp3prep tcvitals.relocate.$tmmark; do - case $file in - tcvitals.relocate.$tmmark) infile=$file; qual_last="";; # already has $tmmark at end - sgm3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgm3.nemsio;else infile=$file;fi;; - sgesprep) if [ "$NEMSIO_IN" = .true. ];then infile=atmges.nemsio;else infile=$file;fi;; - sgp3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgp3.nemsio;else infile=$file;fi;; - esac - if [ -s ${tstsp}${infile}${qual_last} ]; then - cp ${tstsp}${infile}${qual_last} $file - continue - elif [ -s ${COMSP}${infile}${qual_last} ]; then - cp ${COMSP}${infile}${qual_last} $file - continue - else - if [ $file = tcvitals.relocate.$tmmark ]; then - if [ -f ${tstsp}$file ]; then - > $file - continue - elif [ -f ${COMSP}$file ]; then - > $file - continue - fi - fi - fi -# either t-3,t+0 or t+3 atmos guess file or the tcvitals_relocate file not -# found in expected location so exit - set +x - echo - echo "$file file not found in expected location where it should have \ -populated by earlier tropical cyclone relocation processing" - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - done - cp tcvitals.relocate.$tmmark tcvitals - if [ $relo_rec = yes ]; then # come here if relocation ran and processed - # 1 or more records, means it updated - # sgesprep - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" -echo " Global atmospheric GUESS valid for 0 hrs relative to center" -echo " PREPBUFR processing date/time was generated by" -echo " previous tropical cyclone relocation processing" -echo " It will be encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - else # come here if relocation ran but did not - # process any records, means it did not update - # sgesprep (sgesprep obtained via getges used) - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" -echo " Global atmospheric GUESS valid for 0 hrs relative to center" -echo " PREPBUFR processing date/time was obtained via GETGES" -echo " It will be encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - fi - -fi - -############################################################################### -# POSSIBLY OBTAIN GBL ATMOS GUESS FILE(S) FOR LATER ENCODING INTO PREPBUFR FILE -############################################################################### - -if [ "$PREPDATA" = 'YES' -o "$SYNDATA" = 'YES' -o "$PREVENTS" = 'YES' ]; then - - SGES=/dev/null - SGESA=/dev/null - > sgesprep_pathname - > sgesprepA_pathname - - if [ "$GETGUESS" != 'NO' ]; then - -# Either ... -# If the global background guess will be nemsio-based -OR- if the global -# background guess will be sigio-based and the center PREPBUFR processing -# date/time is a multiple of 3-hrs, then get a global atmospheric guess valid -# at the center PREPBUFR processing date/time - this will be interpolated to -# observation locations by PREPDATA and encoded into the PREPBUFR file for -# use by the q.c. programs; if a non-zero length file sgesprep exists in the -# working directory, then this guess is used - otherwise: the GETGES utility -# is executed to obtain the global atmospheric guess file here -# -# (NOTE 1: a pre-existing sgesprep file in the working directory at this -# point was either: -# copied there prior to the execution of this script -# or -# copied there earlier in this script from either $tstsp, or if -# not found there, $COMSP which was populated by the previous -# running of tropical cyclone relocation processing -# (NOTE 2: If imported variable GETGUESS=NO, then bypass this step - a -# global atmos guess valid at center PREPBUFR time is not obtained) -# -# -- or -- -# -# (AND THIS APPLIES ONLY TO A GLOBAL SIGIO-BASED GUESS!!) -# -# If center PREPBUFR processing date/time is not a multiple of 3-hrs -AND- -# global guess is sigio-based, then get a global sigma guess valid at the -# nearest cycle time prior to the center PREPBUFR processing date/time which -# is a multiple of 3, then get a global sigma guess valid at the nearest -# cycle time after the center PREPBUFR processing date/time which is a -# multiple of 3 - the spectral coefficients will be linearly interpolated to -# the center PREPBUFR processing date/time by the program PREPOBS_PREPDATA -# and this guess will then be interpolated to observation locations (again by -# the program PREPOBS_PREPDATA) and encoded into the PREPBUFR file for use by -# the q.c. programs; if a non-zero length file sgesprep exists in the working -# directory, then this guess is used for time prior to the center PREPBUFR -# processing date/time - otherwise: the utility ush GETGES is executed to -# obtain the global atmos guess file here (will always be from GFS network); -# -# likewise if a non-zero length file sgesprepA exists in the working -# directory, then this guess is used for time after the center PREPBUFR -# processing date/time - otherwise: the utility ush GETGES is executed to -# obtain the global atmos guess file here (will always be from the GFS -# network and initiate at the same time as the guess file valid prior to the -# PREPBUFR processing date/time) -# -# (NOTE 1: a pre-existing sgesprep file in the working directory at this -# point was either: -# copied there prior to the execution of this script -# or -# copied there earlier in this script from either $tstsp, or if -# not found there, $COMSP which was populated by the previous -# running of tropical cyclone relocation processing -# (NOTE 2: a pre-existing sgesprepA file in the working directory at this -# point was copied there prior to the execution of this script - -# it could not have been copied from either $tstsp or $COMSP -# because previous tropical cyclone relocation processing can run -# only when the center tropical cyclone relocation (or PREPBUFR) -# processing date/time is a multiple of 3) -# (NOTE 3: this case is necessary because the gblevents subroutine used to -# add background forecast values to the prepbufr file expects sigio- -# based files to be valid only at hours that are a multiple of 3) -# (NOTE 4: if imported variable GETGUESS=NO, then bypass this step - a -# global atmos guess valid at center PREPBUFR time is not obtained) -# ---------------------------------------------------------------------- - - for sfx in "" A; do - if [ ! -s sgesprep${sfx} ]; then - fhr=any - if [ "$NEMSIO_IN" = .true. ]; then - dhr=0 - stype=natges - else - dhr=`expr 0 - $modhr` - stype=sigges - fi - if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then - [ "$sfx" = 'A' ] && break - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Either center PREPBUFR processing date/time is a multiple of 3-hrs" -echo " -OR-" -echo " global guess is nemsio-based" -echo " Use GETGES to get global sigio-based or nemsio-based GUESS valid for" -echo " 0 hrs relative to center PREPBUFR processing date/time" -echo " Will be encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - else - if [ "$sfx" = 'A' ]; then - typeset -Z2 fhr - fhr=`awk -F"sf" '{print$2}' sgesprep_pathname | cut -c1-2` - fhr=`expr $fhr + 03` - dhr=`expr 3 - $modhr` - fi - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Center PREPBUFR processing date/time is not a multiple of 3-hrs" - if [ "$sfx" != 'A' ]; then -echo " Get global atmos GUESS valid at the nearest cycle time prior to" - else -echo " Get global atmos GUESS valid at the nearest cycle time after" - fi -echo " center PREPBUFR processing date/time which is a multiple of 3" -echo " Will be used to generate an interpolated guess which will be" -echo " encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - fi - $GETGESprep -e $envir_getges -n $network_getges -t $stype\ - -f $fhr -v `${NDATE} $dhr $CDATE10` > sgesprep${sfx}_pathname - errges=$? - if test $errges -ne 0 - then -# problem obtaining global sigio-based or nemsio-based guess - exit if center -# PREPBUFR processing date/time is a multiple of 3-hrs or if global guess is -# nemsio-based, otherwise continue running but set GETGUESS=NO meaning a -# first guess will NOT be encoded in PREPBUFR file - if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then - if [ "$NEMSIO_IN" = .true. ]; then - set +x - echo -echo "problem obtaining global nemsio-based guess;" - else - set +x - echo -echo "problem obtaining global sigio-based guess valid 0 hrs relative to \ -center PREPBUFR date/time;" - fi -echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - else - set +x - echo -echo "problem obtaining global atmos guess valid at the nearest cycle time " - if [ "$sfx" != 'A' ]; then -echo "prior to center PREPBUFR processing date/time which is a multiple of 3" - else -echo "after center PREPBUFR processing date/time which is a multiple of 3" - fi -echo "will continue running but a GUESS will NOT be encoded in PREPBUFR file!!" - echo - set -x - msg="PROBLEM OBTAINING ONE OR BOTH SPANNING ATMOS GUESS \ -FILES, GUESS NOT ENCODED IN PREPBUFR FILE --> non-fatal" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - GETGUESS=NO - SGES=/dev/null - SGESA=/dev/null - > sgesprep - > sgesprepA - > sgesprep_pathname - > sgesprepA_pathname - break - fi - fi - cp `cat sgesprep${sfx}_pathname | awk '{ print $1 }'` sgesprep${sfx} - set +x - echo -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - else - if [ $RELOCATION_HAS_RUN = YES ]; then - -# If relocation ran, then ${sfx} is always "" (null) because relocation will -# only run on center times that are a multiple of 3-hrs - come here to -# store the pathname for the sgesprep file in sgesprep${sfx}_pathname - -# note that it will not be stored here if relocation did not process any -# records, i.e., it did not update the guess, because it was already stored -# in tropcy_relocate.sh (with the getges path) -# -------------------------------------------------------------------------- - - qual_last=".$tmmark" # need this because gfs and gdas don't add - # $tmmark qualifer to end of output atmos - # guess files - [ $NET = gfs -o $NET = gdas ] && qual_last="" - if [ "$NEMSIO_IN" = .true. ]; then - gesbase="atmges.nemsio" - else - gesbase="sgesprep" - fi - if [ -s ${tstsp}${gesbase}${qual_last} ]; then - echo "${tstsp}${gesbase}${qual_last}" > sgesprep${sfx}_pathname - elif [ -s ${COMSP}${gesbase}${qual_last} ]; then - echo "${COMSP}${gesbase}${qual_last}" > sgesprep${sfx}_pathname - fi - else - -# If relocation did not run, then the guess files in $DATA were copied there -# prior to the execution of this script by the user - just echo the path -# to this guess file in $DATA into sgesprep${sfx}_pathname -# -------------------------------------------------------------------------- - - echo "$DATA/sgesprep${sfx}" > sgesprep${sfx}_pathname - fi - fi - eval SGES${sfx}=$DATA/sgesprep${sfx} - done - fi -fi - -################################ -# EXECUTE PREPDATA PROCESSING -################################ - -if [ "$PREPDATA" = 'YES' ]; then - - cd $DATA - -set +u - if [ -z "$PREPBUFR_APP" -o "$PARALLEL" = 'YES' ]; then -set -u - if [ ! -s ${tstsp}status.${tmmark}.bufr_d -a \ - ! -s ${COMSP}status.${tmmark}.bufr_d ]; then - -#########if [ \( ! -s ${tstsp}status1.${tmmark}.bufr_d -o \ -######### ! -s ${tstsp}status2.${tmmark}.bufr_d \) -a \ -######### \( ! -s ${COMSP}status1.${tmmark}.bufr_d -o \ -######### ! -s ${COMSP}status2.${tmmark}.bufr_d \) ]; then - if [ ! -s ${tstsp}status1.${tmmark}.bufr_d -a \ - ! -s ${COMSP}status1.${tmmark}.bufr_d ]; then - -# problem: status file not found - indicates some or all data dumps were not -# found (produced) for requested time ... -# If highest level directory pointing to input BUFR observational -# data dumps is /com or /com2 then EXIT (assumes all data dumps are -# required) -# Otherwise, just echo a diagnostic (assumes only some data dumps are -# required) -# ---------------------------------------------------------------------------- - -echo -echo "Some or all BUFR data dumps were not found for requested time ... " -echo - set -x - - if [[ "$COMSP" =~ (^/com/|^/com2/|^/gpfs/.../nco/ops/com/) && \ - "$tstsp" =~ (^/tmp/null) ]]; then - set +x -echo -echo "ABNORMAL EXIT!!!!!!!!!!!" -echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - fi - fi - - echo $BUFRLIST | grep adpsfc - grp_adpsfc=$? - echo $BUFRLIST | grep adpupa - grp_adpupa=$? - if [ \( ! -f ${COMSP}adpsfc.${tmmark}.bufr_d -a \ - ! -f ${tstsp}adpsfc.${tmmark}.bufr_d -a $grp_adpsfc -eq 0 \) -o \ - \( ! -f ${COMSP}adpupa.${tmmark}.bufr_d -a \ - ! -f ${tstsp}adpupa.${tmmark}.bufr_d -a $grp_adpupa -eq 0 \) ] - then - -# problem: either adpsfc (surface land) or adpupa (raob/pibal/recco) file, or -# both, not found for requested time - this is unacceptable; EXIT -# (unless the culprit file was not included in the $BUFRLIST) -# --------------------------------------------------------------------------- - - set +x -echo -echo "ADPSFC and/or ADPUPA BUFR data dump was not produced for requested" -echo " time (but is in BUFRLIST); ABNORMAL EXIT!!!!!!!!!!!" -echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - - fi - - for name in ${BUFRLIST} ;do - > $name - if [ -f ${tstsp}${name}.${tmmark}.bufr_d ]; then - cp ${tstsp}${name}.${tmmark}.bufr_d $name - elif [ -s ${COMSP}${name}.${tmmark}.bufr_d ]; then - cp ${COMSP}${name}.${tmmark}.bufr_d $name - fi - done - - > prep_exec.cmd - - > prepda.${cycle} - - echo " $CDATE10" > cdate10.dat - -# If GETGUESS=YES, then either ... -# a global sigio-based guess file valid at the center PREPBUFR processing -# date/time which is a multiple of 3-hrs is valid at this point -# -- or -- -# global sigio-based guess files valid at times which are multiples of 3-hrs -# and span the center PREPBUFR processing date/time which is NOT a multiple of -# 3-hrs are available and valid at this point -# -- or -- -# a global nemsio-based guess file valid at the center PREPBUFR processing -# date/time for any hour is valid at this point - -# In any case, namelist "GBLEVN" with PREVEN=T is cat'ed to the beginning -# of the PREPOBS_PREPDATA program data cards file - this means -# PREPOBS_PREPDATA will call w3emc routine GBLEVENTS to do the "prevents" -# processing (otherwise PREVEN=F by default) - - > prepdata.stdin - [ "$GETGUESS" != 'NO' ] && echo " &gblevn preven=true /" >>prepdata.stdin - cat $PRPC >> prepdata.stdin - -# Check contents of *aircar_status_flag* file in $tstsp, or if not found there, -# $COMSP path - this was generated by previous bufr_dump_obs.sh script: if it -# exists and indicates that there were more AFWA (backup) ACARS reports than -# ARINC (primary) ACARS reports in the AIRCAR dump, then skip processing of -# ARINC ACARS messages in PREPOBS_PREPDATA (meaning process ONLY AFWA ACARS -# messages); otherwise, as is usually the case, skip processing of AFWA ACARS -# messages (meaning process only ARINC ACARS messages in PREPOBS_PREPDATA) - - echo " SUBSKP(004,007) = TRUE," > insert - if [ -s ${tstsp}aircar_status_flag.${tmmark}.bufr_d ]; then - grep -q -Fe "004.007" ${tstsp}aircar_status_flag.${tmmark}.bufr_d - err_grep=$? - if [ $err_grep -eq 0 ]; then - echo " SUBSKP(004,004) = TRUE," > insert - msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ -backup AFWA ACARS into PREPBUFR" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - fi - elif [ -s ${COMSP}aircar_status_flag.${tmmark}.bufr_d ]; then - grep -q -Fe "004.007" ${COMSP}aircar_status_flag.${tmmark}.bufr_d - err_grep=$? - if [ $err_grep -eq 0 ]; then - echo " SUBSKP(004,004) = TRUE," > insert - msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ -backup AFWA ACARS into PREPBUFR" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - fi - fi - - grep -q -Fe "`cat insert`" prepdata.stdin - err_grep=$? - if [ $err_grep -ne 0 ]; then - nlines=`cat < prepdata.stdin | wc -l` - line=`grep -n -Fe "&LDTA" prepdata.stdin | cut -f1 -d:` - head -n $line prepdata.stdin > top_part - mlines=`expr $nlines - $line` - tail -n $mlines prepdata.stdin > bottom_part - [ $mlines -gt 2 ] && cat top_part insert bottom_part > prepdata.stdin - rm top_part bottom_part - fi - rm insert - - -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## -## HEREFILE MP_PREPDATA ## -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## - -set +x -cat <<\EOFmpp > MP_PREPDATA - -{ echo - -# This herefile script performs the "prepdata" processing. It is designed to -# run in either a parallel (e.g., poe/mpi or background threads) or serial -# environment. In the parallel environment, it first splits the input BUFR -# data dump files into $NSPLIT equally-sized parts (analogous to dealing -# multiple sets of cards to $NSPLIT players, where each set of cards is a -# different BUFR data dump file). Next, in either the parallel or serial -# environment, it runs PREPOBS_PREPDATA to write out prepbufr files (either -# a single complete file in the serial environment or $NSPLIT partial -# PREPBUFR files in the parallel environment). Finally, it generates a list of -# PREPBUFR message headers which, in the parallel environment, is needed to -# later merge the partial PREPBUFR files together in the proper order. -# -# IMPORTANT: This script assumes that the BUFR data dump files it is to -# process have been copied into the $DATA directory and that each -# file name is the same as in $BUFRLIST. It also assumes that the -# NCEP production date file is present in the $DATA directory and -# that it is called cdate10.dat. Finally, it assumes that the -# PREPOBS_PREPDATA program data cards (parm) file is present in the -# $DATA directory and it is called prepdata.stdin -# ----------------------------------------------------------------------------- -# -# Positional parameters passed in: -# 1 - Stream index ($multi) (0 to $NSPLIT-1) -# -# Imported variables that must be passed in: -# DATA - path to working directory -# PARALLEL - indicates whether or not this script is running in a parallel -# (e.g., poe/mpi or background threads) or serial environment -# "YES" - running in a parallel environment; "NO" running in a -# serial environment) -# NSPLIT number of parts into which the input BUFR data dump files are to -# be evenly divided (applicable only when PARALLEL is "YES") -# BUFRLIST - list of BUFR data dump files to process -# MPCOPYX - path to PREPOBS_MPCOPYBUFR program executable -# PRPT - path to PREPOBS_PREPDATA bufrtable file -# LANDC - path to land/sea mask file -# SGES - path to COPY OF global sigio-based or nemsio-based first guess -# file valid at either center PREPBUFR processing date/time or, -# for global sigio-based guess only, nearest 3-hrly cycle time -# prior to center PREPBUFR processing date/time -# SGESA - path to COPY OF global sigio-based guess file valid at nearest -# 3-hrly cycle AFTER center PREPBUFR processing date/time (if -# needed, otherwise /dev/null). Only used if SGES is valid at -# 3-hrly cycle time PRIOR to center PREPBUFR processing date/time -# (and thus not used if NEMSIO_IN=.true.) -# PRVT - path to observation error table file -# PRPX - path to PREPOBS_PREPDATA program executable -# LISTHDX - path to PREPOBS_LISTHEADERS program executable - -set -aux -multi=$1 - -data=$DATA/multi$multi - -if [ ! -d $DATA/multi$multi ] ; then - mkdir -p $DATA/multi$multi -fi - -status=$data/mstatus ; > $status -mp_pgmout=$data/mp_pgmout ; > $mp_pgmout - - -{ echo -set +x -echo -echo "********************************************************************" -echo "This is stream (task/thread) $multi executing on node `hostname -s`" -echo "Starting time: `date`" -echo "********************************************************************" -echo -set -x -} >> $mp_pgmout - -cd $data - -if [ "$PARALLEL" = 'YES' ]; then - - n=0 - - pgm=`basename $MPCOPYX` -#-----mimics prep_step----- - set +x - echo $pgm > pgmname - set +u - [ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" - set -u - [ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout - rm pgmname - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` - [ -s $DATA/tracer ] && cat $DATA/tracer > errfile - set -x -#-------------------------- - - for name in ${BUFRLIST[*]} ;do - > $name - if [ -s $DATA/$name ] ; then - ((n+=1)) - export FORT$((10+n))=$DATA/$name - export FORT$((50+n))=$name - fi - done - - cat<> $mp_pgmout 2>&1 - &namin nfiles=$n / - &mp nprocs=$NSPLIT,mp_process=$multi / -EOF - err=$? - set +x - echo - echo "The foreground exit status for PREPOBS_MPCOPYBUFR is " $err - echo - set -x - - [ "$err" -gt '0' ] && exit - - dump_dir=$data - -else - - dump_dir=$DATA - -# fi for $PARALLEL = YES -fi - - -pgm=`basename $PRPX` -#-----mimics prep_step----- -set +x -echo $pgm > pgmname -set +u -[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" -set -u -[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout -rm pgmname -[ -f errfile ] && rm errfile -unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -[ -s $DATA/tracer ] && cat $DATA/tracer > errfile -set -x -#-------------------------- - -set +u -[ -n "$PREPBUFR_APP" -a "$PARALLEL" = 'NO' ] && \ - cp $PREPBUFR_APP prepda -set -u - -# Namelist "TASK" with mp_process set to the value of $multi - either the poe/ -# mpi task number (for POE not equal to "NO") or to the background thread -# number (for BACK equal to "YES") in the parallel environment, or hardwired -# to zero in the serial environment, is cat'ed to the beginning of the -# PREPOBS_PREPDATA program data cards (parm) file - this will allow -# PREPOBS_PREPDATA to identify this stream - -> prepdata.stdin -echo " &task mp_process=$multi /" >>prepdata.stdin -cat $DATA/prepdata.stdin >> prepdata.stdin - -BUFRLIST_all="adpupa aircar aircft satwnd proflr vadwnd rassda adpsfc sfcshp \ - sfcbog msonet spssmi erscat qkswnd wdsatr ascatw rtovs atovs goesnd gpsipw" -###BUFRLIST_all_array=($BUFRLIST_all) # this does not work on all platforms -set -A BUFRLIST_all_array `echo $BUFRLIST_all` # this works on all platforms - - -# Any dump file not included in BUFRLIST is "touched" so that it will not -# cause a read error in the event that PREPOBS_PREPDATA still tries to read it - -for name in $BUFRLIST_all;do -[ ! -f $dump_dir/$name ] && > $dump_dir/$name -done - -export FORT11=$DATA/cdate10.dat -export FORT12=$PRPT -export FORT15=$LANDC -## export FORT18=$SGES -## export FORT19=$SGESA - -# The PREPOBS_PREPDATA code opens GFS spectral coefficient guess files using -# sigio routines or GFS gaussian grid guess files using nemsio routines (via -# W3EMC routine GBLEVENTS) in a manner that may not recognize the FORTxx -# variables above. So, the above statements setting FORTxx vars for $SGES and -# $SGESA are replaced by the soft links below. - -ln -sf $SGES fort.18 -ln -sf $SGESA fort.19 -export FORT20=$PRVT -export FORT21=$dump_dir/${BUFRLIST_all_array[0]} -export FORT22=$dump_dir/${BUFRLIST_all_array[1]} -export FORT23=$dump_dir/${BUFRLIST_all_array[2]} -export FORT24=$dump_dir/${BUFRLIST_all_array[3]} -export FORT25=$dump_dir/${BUFRLIST_all_array[4]} -export FORT26=$dump_dir/${BUFRLIST_all_array[5]} -export FORT27=$dump_dir/${BUFRLIST_all_array[6]} -export FORT31=$dump_dir/${BUFRLIST_all_array[7]} -export FORT32=$dump_dir/${BUFRLIST_all_array[8]} -export FORT33=$dump_dir/${BUFRLIST_all_array[9]} -export FORT34=$dump_dir/${BUFRLIST_all_array[10]} -export FORT35=$dump_dir/${BUFRLIST_all_array[11]} -export FORT36=$dump_dir/${BUFRLIST_all_array[12]} -export FORT37=$dump_dir/${BUFRLIST_all_array[13]} -export FORT38=$dump_dir/${BUFRLIST_all_array[14]} -export FORT39=$dump_dir/${BUFRLIST_all_array[15]} -export FORT41=$dump_dir/${BUFRLIST_all_array[16]} -export FORT42=$dump_dir/${BUFRLIST_all_array[17]} -export FORT46=$dump_dir/${BUFRLIST_all_array[18]} -export FORT48=$dump_dir/${BUFRLIST_all_array[19]} -export FORT51=prepda -export FORT52=prevents.filtering.prepdata - -#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) -#If program ever fails, try changing 64000000 to 20000000 -#set +u -#[ -n "$LOADL_PROCESSOR_LIST" ] && XLSMPOPTS=parthds=2:stack=64000000 -#set -u - -# The following improves performance on Cray-XC40 if $PRPX was -# linked to the IOBUF i/o buffering library -export IOBUF_PARAMS='*prevents.filtering.prepdata:verbose' - -$TIMEIT $PRPX >$mp_pgmout 2>&1 -errPREPDATA=$? -unset IOBUF_PARAMS -cat prevents.filtering.prepdata >> $mp_pgmout -set +x -echo -echo "The foreground exit status for PREPOBS_PREPDATA is " $errPREPDATA -echo -set -x - -[ "$errPREPDATA" -gt '4' -o "$errPREPDATA" -eq '1' ] && exit - -# Will execute PREPOBS_LISTHEADERS even if PARALLEL is "NO", because it will -# reorder the monolithic PREPBUFR file to ensure that all messages of the same -# subtype will always be grouped together in sequential messages, arranged in -# the order found in $PRPT (Note: This is a necessity when PARALLEL is "YES" -# because the later program PREPOBS_MONOPREPBUFR must merge the $NSPLIT -# individual (partial) PREPBUFR files together in the proper order) - - -# Build listhdx.stdin from bufrtable entries of possible message headers first -# line is count, followed by list - -grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|wc -l|tee listhdx.stdin -grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|tee -a listhdx.stdin - -pgm=`basename $LISTHDX` -#-----mimics prep_step----- -set +x -echo $pgm > pgmname -set +u -[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" -set -u -[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout -rm pgmname -[ -f errfile ] && rm errfile -unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -[ -s $DATA/tracer ] && cat $DATA/tracer > errfile -set -x -#-------------------------- - -export FORT11=prepda -export FORT51=prepda.reorder -export FORT52=prepda.hdrs - -$TIMEIT $LISTHDX < listhdx.stdin >>$mp_pgmout 2>&1 -err=$? -cat prepda.hdrs -set +x -echo -echo "The foreground exit status for PREPOBS_LISTHEADERS is " $err -echo -set -x - -[ "$err" -gt '0' ] && exit - -mv prepda.reorder prepda -rm listhdx.stdin - -echo "$multi finished -- errPREPDATA = $errPREPDATA" > $status - -{ echo -set +x -echo -echo "********************************************************************" -echo "Finished executing on node `hostname -s`" -echo "Ending time : `date`" -echo "********************************************************************" -echo -set -x -} >> $mp_pgmout - -} 1> $DATA/mp_stream${1}.stdout 2> $DATA/mp_stream${1}.errfile - -exit 0 -EOFmpp -set -x - -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## -## end of HEREFILE MP_PREPDATA ## -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## - - chmod 775 MP_PREPDATA - - if [ "$PARALLEL" = 'YES' ]; then - -# In the parallel environment, either cat the multiple MP_PREPDATA tasks -# into a poe command file (for poe/mpi/cfp) - or - set up a script that will -# fire off each MP_PREPDATA thread as a background process -# ----------------------------------------------------------------------- - if [ "$POE" != 'NO' ]; then - multi=-1 - while [ $((multi+=1)) -lt $NSPLIT ] ; do - echo "ksh $DATA/MP_PREPDATA $multi "|tee -a $DATA/prep_exec.cmd - done - if [ "$launcher_PREP" != cfp -a "$launcher_PREP" != aprun ]; then - # fill in empty tasks - multi=$((multi-=1)) #need to go back one - while [ $((multi+=1)) -lt $NPROCS ] ; do - echo "echo do-nothing" >> $DATA/prep_exec.cmd - done - fi - elif [ $BACK = 'YES' ] ; then - multi=-1 - echo "#!/bin/ksh" > $DATA/prepthrds.sh - while [ $((multi+=1)) -lt $NSPLIT ] ; do - echo "$DATA/MP_PREPDATA $multi &" >> $DATA/prepthrds.sh - echo "echo $DATA/MP_PREPDATA $multi submitted in background" \ - >> $DATA/prepthrds.sh - done - echo "wait" >> $DATA/prepthrds.sh - chmod 775 $DATA/prepthrds.sh - fi - -# In the parallel environment, next either execute the poe wrapper (for poe/ -# mpi/cfp) (do not execute a time command with poe!) - or - run prepthrds.sh -# to kick off background processes and wait for them to complete -# -------------------------------------------------------------------------- - if [ "$POE" != 'NO' ]; then - if [ "$launcher_PREP" = mpirun.lsf ]; then - export MP_CMDFILE=$DATA/prep_exec.cmd - export MP_PGMMODEL=mpmd - export MP_PULSE=0 - export MP_DEBUG_NOTIMEOUT=yes - export MP_LABELIO=yes - export MP_STDOUTMODE=ordered - mpirun.lsf - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - elif [ "$launcher_PREP" = cfp ]; then - export MP_CSS_INTERRUPT=yes - export MP_LABELIO=yes - export MP_STDOUTMODE=ordered - mpirun.lsf cfp $DATA/prep_exec.cmd - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - elif [ "$launcher_PREP" = aprun ]; then - ## Determine tasks per node (PREPDATAtpn) and - ## max number of concurrent procs (PREPDATAprocs) for cfp - typeset -i nodesall=$(echo -e "${LSB_HOSTS// /\\n}"|sort -u|wc -w) - typeset -i ncnodes=$(($nodesall-1)) # we want compute nodes only - if [ $ncnodes -lt 1 ]; then - set +x - echo - echo " ** Could not get positive compute node count for aprun **" - echo " ** Are we using LSF queue with compute node access? **" - echo - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - if [[ -z ${PREPDATAtpn:-""} ]]; then - PREPDATAtpn=$((($NSPLIT+$ncnodes-1)/$ncnodes)) - # cfp is faster with extra thread so add one if there is room. - # (this logic needs an update to avoid hardwired 24) - [ $PREPDATAtpn -lt 24 ] && PREPDATAtpn=$(($PREPDATAtpn+1)) - fi - if [[ -z ${PREPDATAprocs:-""} ]]; then - PREPDATAprocs=$(($ncnodes*$PREPDATAtpn)) # max concurrent processes - fi - aprun -j 1 -n${PREPDATAprocs} -N${PREPDATAtpn} -d1 cfp $DATA/prep_exec.cmd - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - else # unknown launcher and options (eg, for use on R&D system) - $launcher_PREP - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - fi - elif [ $BACK = 'YES' ] ; then - if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - aprun -n 1 -d $NSPLIT $DATA/prepthrds.sh - else - $DATA/prepthrds.sh - fi - fi - totalt=$NSPLIT - else - -# In the serial environment, just fire off a single thread of MP_PREPDATA -# ----------------------------------------------------------------------- - multi=0 - if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - aprun -n 1 -N 1 ksh $DATA/MP_PREPDATA $multi - else - $DATA/MP_PREPDATA $multi - fi - totalt=1 - - # fi for $PARALLEL = YES - fi - - set +x - multi=0 - while [ $multi -lt $totalt ]; do -echo -echo "********************************************************************" -echo " ++ Script STDOUT from MP_PREPDATA for stream (task/thread) $multi ++" -echo "********************************************************************" -echo - cat $DATA/mp_stream${multi}.stdout -echo "********************************************************************" -echo " ++ End of Script STDOUT from MP_PREPDATA for stream $multi ++ " -echo "********************************************************************" - multi=`expr $multi + 1` - done - -echo -echo "********************************************************************" -echo " ++ Script trace from MP_PREPDATA for stream (task/thread) 0 ++ " - if [ "$PARALLEL" = 'YES' ]; then -echo -echo " In order to conserve space, the script trace from other " -echo " streams is not invoked unless the stream failed. " - fi -echo "********************************************************************" -echo - - cat mp_stream0.errfile - -echo -echo "********************************************************************" -echo " ++ End of Script trace from MP_PREPDATA for stream 0 ++ " -echo "********************************************************************" -echo - set -x - -# check status files -# ------------------ - - errSTATUS=0 - errPREPDATA=0 - four_check=yes - multi=0 - while [ $multi -lt $totalt ]; do - cat $DATA/multi$multi/mp_pgmout >> prepdata.out - cat $DATA/multi$multi/mp_pgmout >> $pgmout - status=$DATA/multi$multi/mstatus - if [ ! -s $status ]; then - set +x -echo -echo "********************************************************************" -echo " P R O B L E M ! ! ! " -echo "********************************************************************" -echo " ###> MP_PREPDATA stream (task/thread) $multi FAILED - Cycle date: \ -$CDATE10" -echo " Current working directory: $DATA " -echo -echo " Script trace from MP_PREPDATA for stream $multi follows ... " -echo "********************************************************************" -echo - cat $DATA/mp_stream${multi}.errfile -echo -echo "********************************************************************" -echo " ++ End of Script trace from MP_PREPDATA for stream $multi ++ " -echo "********************************************************************" -echo - set -x - errSTATUS=99 - else - err_this=`cut -f 2 -d = $status` - [ "$err_this" -gt "$errPREPDATA" ] && errPREPDATA=$err_this - [ "$err_this" -eq '0' ] && four_check=no - fi - multi=`expr $multi + 1` - done - - if [ "$errSTATUS" -gt '0' ]; then - $DATA/err_exit - exit 55 # for extra measure - fi - - [ "$errPREPDATA" -eq '4' -a "$four_check" = 'no' ] && errPREPDATA=0 - - set +x - echo - echo "For all MP_PREPDATA Streams, the largest foreground exit status \ - amongst all PREPOBS_PREPDATA runs is " $errPREPDATA - echo - set -x - - if [ "$errPREPDATA" -le "$errPREPDATA_limit" -a $errPREPDATA -ne 1 ]; then - err=0 - if [ "$errPREPDATA" -eq '4' ]; then - set +x - echo - echo "WARNING: PREPOBS_PREPDATA FOUND EITHER NO ADPUPA OR NO ADPSFC DATA" - echo "-------- THESE DATA WILL NOT BE AVAILABLE TO ANALYSES" - echo - set -x - fi - else - err=$errPREPDATA - fi - - pgm=`basename $PRPX` - touch errfile - $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - - if [ "$PARALLEL" = 'YES' ]; then - -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## -## HEREFILE MERGE_MSGS ## -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## - -set +x -cat <<\EOFmrg > MERGE_MSGS - -# This herefile script merges the individual partial PREPBUFR files present at -# this point into a complete, monolithic PREPBUFR file in the proper message -# type order. It is the last step in the PREPDATA processing. It runs only -# in the parallel environment. -# ---------------------------------------------------------------------------- - -# Positional parameters passed in: -# 1 - Number of input partial PREPBUFR files that are going to be merged -# ($nfiles) -# 2 - Working directory path ($DATA) (contains separate partial PREPBUFR -# files and text files containing headers for each, one directory down) -# 3 - Beginning string of sub-directories in $DATA ($subdir) (each sub- -# directory contains an input partial PREPBUFR file and a text file -# containing headers for all messages in that PREPBUFR file) -# 4 - File in each sub-directory containing headers for all messages in -# partial PREPBUFR file in same sub-directory (file name only - same name -# in all sub-directories) ($header_file_name) -# 5 - Partial PREPBUFR file in each sub-directory (file name only - same name -# in all sub-directories) ($prep_in) -# 6 - Output monolithic PREPBUFR file name (file name only) ($prep_out) -# -# Imported variables that must be passed in: -# MONOBFRX - path to PREPOBS_MONOPREPBUFR program executable -# -# Imported variables that can be passed in: -# pgmout - string indicating path to for standard output file (skipped over -# by this script if not passed in) - - -if [ $# -ne 6 ] ; then - echo "Usage: $0 nfiles DATA subdir header_file_name prep_in prep_out" - exit 1 -fi - -set -aux - -qid=$$ - -nfiles=$1;DATA=$2;subdir=$3;header_file_name=$4;prep_in=$5;prep_out=$6 - - -# From all the header files, extract the header counts and names build -# namelist input to drive $MONOBFRX program -# --------------------------------------------------------------------- - -nheaders=`cat $DATA/${subdir}*/$header_file_name|awk '{print $1}'|sort -u|wc -l` -((nheaders+=0)) - ->$DATA/input echo -echo " &namin nfiles=$nfiles, nheaders=$nheaders," >>$DATA/input - -cd $DATA - - -# Assign the fort units to the files -# ----------------------------------- - -pgm=`basename $MONOBFRX` -if [ -s $DATA/prep_step ]; then - . $DATA/prep_step -else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -fi - - -n=-1 -while [ $((n+=1)) -lt $nfiles ] ;do - [ ! -s $DATA/${subdir}$n/$prep_in ] && exit 1 - export FORT$((11+n))=$DATA/${subdir}$n/$prep_in -done -export FORT51=$prep_out -set +x - - -# Extract the total span of headers by searching through all the header files -# --------------------------------------------------------------------------- - -n=-1 -while [ $((n+=1)) -lt $nfiles ]; do - file=$DATA/${subdir}$n/$header_file_name - [ ! -s $file ] && exit 1 - if [ `cat $file|awk '{print $1}'| \ - sort -u|wc -l` -eq $nheaders ] ; then - headers="" - nlines=`cat $file|wc -l` - i=0 - while [ $((i+=1)) -le $nlines ]; do - line=`sed -n $i,${i}p $file` - header=`echo $line|awk '{print $1}'` - echo " cheaders($i)='$header',">>$DATA/input - headers="$headers $header" - done - break - fi -done - - -# Tranlate the hdrs file contents into namelist array -# --------------------------------------------------- - -n=-1 -while [ $((n+=1)) -lt $nfiles ]; do - file=$DATA/${subdir}$n/$header_file_name - line= - i=0 - for hdr in $headers; do - ((i+=1)) - count=`grep $hdr $file|awk '{print $2}'` - set +u - [ -z "$count" ] && count=0 - set -u - line="${line}msgs($i,$((n+1)))=$count," - done - echo " $line " >>$DATA/input -done - -echo " &end" >>$DATA/input -set -x -cat $DATA/input - -$TIMEIT $MONOBFRX <$DATA/input > outout 2> errfile -export err=$? -###cat errfile -cat errfile >> outout -cat outout >> monoprepbufr.out -set +u -[ -n "$pgmout" ] && cat outout >> $pgmout -set -u -rm outout -set +x -echo -echo "The foreground exit status for PREPOBS_MONOPREPBUFR is " $err -echo -set -x -$DATA/err_chk -[ $err != 0 ] && exit 55 # for extra measure - -exit 0 -EOFmrg -set -x - -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## -## end of HEREFILE MERGE_MSGS ## -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## - - chmod 775 MERGE_MSGS - -# In parallel environment, make monolithic PREPBUFR file by meriging the -# partial PREPBUFR files -# ---------------------------------------------------------------------- - $TIMEIT $DATA/MERGE_MSGS $NSPLIT $DATA multi prepda.hdrs prepda \ - prepda.${cycle} - errsc=$? - if test $errsc -ne 0 - then -# problem with merge script - $DATA/err_exit - exit 55 # for extra measure - fi - else - -# In serial environment, already have a monolithic PREPBUFR file - just -# copy it to expected local monolithic PREPBUFR file location -# --------------------------------------------------------------------- - cp $DATA/multi0/prepda prepda.${cycle} - - # fi for $PARALLEL = YES - fi - -# fi for $PREPDATA = YES -fi - -set +u -[ -n "$PREPBUFR_IN" ] && cp $PREPBUFR_IN $DATA/prepda.${cycle} -set -u - - -############################################ -# EXECUTE SYNTHETIC CYCLONE DATA PROCESSING -############################################ - -if [ "$SYNDATA" = 'YES' ]; then - -# Check condition code - SDM can shut-off synthetic cyclone bogusing -# ------------------------------------------------------------------ -# ==> this switch is NOT YET in place, so it will be hardwired to "YES" - -###cp ???????????? syndata_cond - echo "YES" > syndata_cond - SYN=`cat tcvitals_orig_sort - sort tcvitals > tcvitals_sort - comm -23 tcvitals_orig_sort tcvitals_sort > tcvitals_removed - [ -s tcvitals_removed ] && run_syndat_twice=yes - fi - fi - - $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ - $DATA/tcvitals $CDATE10 - - if [ $run_syndat_twice = yes ]; then - -# Run SYNDATA a second time when switch "run_syndat_twice" was set to "yes" in -# above logic (see %% above) - - DO_BOGUS=NO - $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ - $DATA/tcvitals_removed $CDATE10 - fi - fi -fi - -[ "$PREPDATA" = 'YES' ] && cp prepda.${cycle} prepda.prepdata - - -########################################### -# EXECUTE GSI QUALITY-CONTROL PROCESSING -########################################### - -if [ "$DO_QC" = 'YES' ]; then - if [ "$PREVENTS" = 'YES' ];then - $TIMEIT $USHPREV/prepobs_prevents.sh $DATA/prepda.${cycle} $CDATE10 - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$CQCBUFR" = 'YES' ];then - $TIMEIT $USHCQC/prepobs_cqcbufr.sh $DATA/prepda.${cycle} - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$PROFCQC" = 'YES' ];then - $TIMEIT $USHPQC/prepobs_profcqc.sh $DATA/prepda.${cycle} - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$CQCVAD" = 'YES' ];then - $TIMEIT $USHVQC/prepobs_cqcvad.sh $DATA/prepda.${cycle} $CDATE10 - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$PREPACQC" = 'YES' ];then - $TIMEIT $USHAQC/prepobs_prepacqc.sh $DATA/prepda.${cycle} $DATA/adpsfc - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$OIQCBUFR" = 'YES' ];then - $TIMEIT $USHOIQC/prepobs_oiqcbufr.sh $DATA/prepda.${cycle} $CDATE10 - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi -fi - - -# Look for "OVERLARGE" subsets in stdout (print out of bufrlib when subset -# discarded because it is too big to fit in a BUFR message) -- post to -# jlogfile if appropriate - -msg=`grep "OVERLARGE SUBSET DISCARDED" $pgmout` -err=$? -if [ "$err" -eq '0' ]; then - set +x - echo - echo "$msg" - echo - set -x - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" -fi - -exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_oiqcbufr.sh b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_oiqcbufr.sh deleted file mode 100755 index dbb6630..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_oiqcbufr.sh +++ /dev/null @@ -1,152 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - -# This script performs an oi-based quality control on all data -# -# It is normally executed by the script prepobs_makeprepbufr.sh -# but can also be executed from a checkout parent script -# -------------------------------------------------------------- - -set -aux - -qid=$$ - -# Positional parameters passed in: -# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr -# file upon successful completion of this script (note that input -# prepbufr file is NOT saved by this script) -# 2 - NCEP production date (YYYYMMDDHH) - -# Imported variables that must be passed in: -# DATA - path to working directory -# OIQCT - path to observation error table file -# OIQCX - path to PREPOBS_OIQCBUFR program executable - -# Imported variables that can be passed in: -# jlogfile - string indicating path to joblog file (skipped over by this -# script if not passed in) -# pgmout - string indicating path to for standard output file (skipped -# over by this script if not passed in) -# sys_tp - system type and phase. (if not passed in, an attempt is made to -# set this string using getsystem.pl, an NCO script in prod_util) -# SITE - site name (may have been set by local shell startup script) -# launcher_OIQCX - launcher for OIQCX executable (on Cray-XC40, defaults to -# aprun using 16 tasks) - -cd $DATA -PRPI=$1 -if [ ! -s $PRPI ] ; then exit 1;fi -CDATE10=$2 - -jlogfile=${jlogfile:=""} - -rm $PRPI.oiqcbufr -rm tosslist - -pgm=`basename $OIQCX` -if [ -s $DATA/prep_step ]; then - set +u - . $DATA/prep_step - set -u -else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -fi - -#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) -#set +u -#[ -n "$LOADL_PROCESSOR_LIST" ] && export XLSMPOPTS=parthds=2:usrthds=2:stack=64000000 -#set -u - -echo " $CDATE10" > cdate.dat -export FORT11=cdate.dat -export FORT14=$PRPI -export FORT17=$OIQCT -export FORT18=obprt_ipoint.wrk -export FORT20=tolls.wrk -export FORT61=toss.sfc_z -export FORT62=toss.temp_wind -export FORT63=toss.sat_temp -export FORT64=toss.ssmi_wind -export FORT65=tosslist -export FORT70=$PRPI.oiqcbufr -export FORT81=obogram.out -export FORT82=obogram.bin -TIMEIT=${TIMEIT:-""} -[ -s $DATA/time ] && TIMEIT="$DATA/time -p" -# $TIMEIT mpirun $OIQCX > outout 2> errfile -#$TIMEIT mpirun -genvall -n $LSB_DJOB_NUMPROC -machinefile $LSB_DJOB_HOSTFILE $OIQCX > outout 2> errfile - -SITE=${SITE:-""} -sys_tp=${sys_tp:-$(getsystem.pl -tp)} -getsystp_err=$? -if [ $getsystp_err -ne 0 ]; then - msg="***WARNING: error using getsystem.pl to determine system type and phase" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" -fi -echo sys_tp is set to: $sys_tp -if [ "$sys_tp" = "Cray-XC40" -o "$SITE" = "SURGE" -o "$SITE" = "LUNA" ]; then - launcher_OIQCX=${launcher_OIQCX:-"aprun -n 16 -N 16 -j 1"} # consistent with tide/gyre -# launcher_OIQCX=${launcher_OIQCX:-"aprun -n 24 -N 24 -j 1"} # slightly faster -else - launcher_OIQCX=${launcher_OIQCX:-"mpirun.lsf"} -#########################module load ibmpe ics lsf uncomment if not in profile -# seems to run ok w next 10 lines commented out (even though Jack had them in -# his version of this script) -###export LANG=en_US -###export MP_EAGER_LIMIT=65536 -###export MP_EUIDEVELOP=min -###export MP_EUIDEVICE=sn_all -###export MP_EUILIB=us -###export MP_MPILIB=mpich2 -###export MP_USE_BULK_XFER=yes -###export MPICH_ALLTOALL_THROTTLE=0 -###export MP_COLLECTIVE_OFFLOAD=yes -###export KMP_STACKSIZE=1024m -fi - -$TIMEIT $launcher_OIQCX $OIQCX > outout 2> errfile - -err=$? -###cat errfile -cat errfile >> outout -cat outout >> oiqcbufr.out -cp outout obcnt.out -set +u -[ -n "$pgmout" ] && cat outout >> $pgmout -set -u -rm outout -set +x -echo -echo 'The foreground exit status for PREPOBS_OIQCBUFR is ' $err -echo -set -x -if [ "$err" -eq '4' ]; then -msg="WRNG: SOME OBS NOT QC'd BY PGM PREPOBS_OIQCBUFR - # OF OBS > LIMIT \ ---> non-fatal" - set +x - echo - echo "$msg" - echo - set -x - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - err=0 -fi -if [ -s $DATA/err_chk ]; then - $DATA/err_chk -else - if test "$err" -gt '0' - then -######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out - # in ops - exit 55 - fi -fi - -if [ "$err" -gt '0' ]; then - exit 9 -else - mv $PRPI.oiqcbufr $PRPI -fi - -exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prepacqc.sh b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prepacqc.sh deleted file mode 100755 index 0fc1c6e..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prepacqc.sh +++ /dev/null @@ -1,211 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - - -# This script performs two tasks: -# 1) Execute program PREPOBS_PREPACQC to perform aircraft quality control -# checking -# 2) Execute program PREPOBS_PREPACPF to append a surface level to profile -# reports in the PREPBUFR-format aircraft profiles file which is output -# from PREPOBS_PREPACQC -# Both tasks are optional in case the executing job wants to perform only one -# of these two tasks. The default to to perform both tasks. -# -# This script is normally executed by the script prepobs_makeprepbufr.sh -# but can also be executed from a checkout parent script -# -------------------------------------------------------------------------- - -set -aux - -qid=$$ - -# Positional parameters that must always be passed in: -# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr -# file upon successful completion of this script -# (note that input prepbufr file is NOT saved by this script) -# {this can be set to "null" if PROCESS_ACQC != YES (see below), since in -# this case it is not considered} - -# Positional parameters that must be passed in if PROCESS_ACPF = YES (see -# below): -# 2 - path to adpsfc dump file input to PREPOBS_PREPACPF {normally the same -# one that was read in to generate the prepbufr file in positional -# parameter 1 or, if PREPOBS_PREPACQC != YES (see below), the prepbufr -# file processed by program PREPOBS_PREPACQC which presumably ran some -# place outside of, and prior to, this script} - -# Imported variables that must always be passed in: -# DATA - path to working directory -# PROCESS_ACQC - switch controlling whether or not to execute -# PREPOBS_PREPACQC -# PROCESS_ACPF - switch controlling whether or not to execute -# PREPOBS_PREPACPF - -# Imported variables that must be passed in if PROCESS_ACQC = YES: -# AQCX - path to PREPOBS_PREPACQC program executable -# AQCC - path to PREPOBS_PREPACQC program parm cards - -# Imported variables that must be passed in if PROCESS_ACQC != YES: -# acft_profiles - path to prepbufr.acft_profiles file output by program -# PREPOBS_PREPACQC (which presumably ran some place outside -# of, and prior to, this script) - -# Imported variables that must be passed in if PROCESS_ACPF = YES: -# DICT - path to unsorted METAR station dictionary file -# APFX - path to PREPOBS_PREPACPF program executable - -# Imported variables that can be passed in: -# jlogfile - string indicating path to joblog file -# (skipped over by this script if not passed in) -# (only examined if PROCESS_ACPF = YES) -# pgmout - string indicating path to for standard output file -# (skipped over by this script if not passed in) - - -cd $DATA - -jlogfile=${jlogfile:=""} - -if [ $PROCESS_ACQC = YES ]; then - PRPI=$1 - if [ ! -s $PRPI ] ; then exit 1;fi - - rm $PRPI.prepacqc - rm prepbufr.acft_profiles - - pgm=`basename $AQCX` - if [ -s $DATA/prep_step ]; then - set +u - . $DATA/prep_step - set -u - else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` - fi - - export FORT11=$PRPI - export FORT41=vvel_info.acft_profiles.txt - export FORT61=$PRPI.prepacqc - export FORT62=prepbufr.acft_profiles - TIMEIT=${TIMEIT:-""} - [ -s $DATA/time ] && TIMEIT="$DATA/time -p" - # The following improves performance on Cray-XC40 if $AQCX was - # linked to the IOBUF i/o buffering library - export IOBUF_PARAMS='*.log:verbose,*.txt:verbose,*.sorted:verbose' - $TIMEIT $AQCX< $AQCC > outout 2> errfile - err=$? - err_actual=$err - unset IOBUF_PARAMS -######cat errfile - cat errfile >> outout - cat outout >> prepacqc.out - set +u - [ -n "$pgmout" ] && cat outout >> $pgmout - set -u - rm outout - set +x - echo - echo 'The foreground exit status for PREPOBS_PREPACQC is ' $err - echo - set -x - if [ $err -eq 4 ]; then - msg="PREPBUFR DATA SET CONTAINS NO "AIRCAR" OR "AIRCFT" TABLE A MESSAGES --> non-fatal" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - err=0 - fi - if [ -s $DATA/err_chk ]; then - $DATA/err_chk - else - if test "$err" -gt '0' - then -#########kill -9 ${qid} # need a WCOSS alternative to this even tho commented - # out in ops - exit 55 - fi - fi - - if [ "$err" -gt '0' ]; then - exit 9 - elif [ "$err_actual" -gt '0' ]; then - PROCESS_ACPF=NO - else - [ ! -f $PRPI.prepacqc ] && touch $PRPI.prepacqc - mv $PRPI.prepacqc $PRPI - fi - -else - cp -p $acft_profiles prepbufr.acft_profiles -fi - - -if [ $PROCESS_ACPF = YES ]; then - ADPSFC=$2 - - sort -n +0.61 -0.67 $DICT > metar.tbl.lon_sorted - - msg=good - if [ ! -s $ADPSFC ]; then - msg="WARNING: PREPOBS_PREPACPF COULD NOT RUN, adpsfc FILE NOT FOUND \ ---> non-fatal" - elif [ ! -s prepbufr.acft_profiles ]; then - msg="WARNING: PREPOBS_PREPACPF COULD NOT RUN, prepbufr.acft_profiles \ -FILE NOT FOUND --> non-fatal" - elif [ ! -s metar.tbl.lon_sorted ]; then - msg="WARNING: PREPOBS_PREPACPF COULD NOT RUN, metar.tbl FILE NOT FOUND \ ---> non-fatal" - fi - if [ "$msg" != 'good' ]; then - set +x - echo - echo "$msg" - echo - set -x - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - exit 0 - fi - - pgm=`basename $APFX` - if [ -s $DATA/prep_step ]; then - set +u - . $DATA/prep_step - set -u - else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` - fi - - export FORT11=metar.tbl.lon_sorted - export FORT12=$ADPSFC - export FORT13=prepbufr.acft_profiles - export FORT51=prepbufr.acft_profiles_sfc - TIMEIT=${TIMEIT:-""} - [ -s $DATA/time ] && TIMEIT="$DATA/time -p" - $TIMEIT $APFX > outout 2> errfile - err=$? -######cat errfile - cat errfile >> outout - cat outout >> prepacpf.out - set +u - [ -n "$pgmout" ] && cat outout >> $pgmout - set -u - rm outout - set +x - echo - echo 'The foreground exit status for PREPOBS_PREPACPF is ' $err - echo - set -x - if [ $err -gt 0 ]; then - msg="WARNING: PREPOBS_PREPACPF DID NOT COMPLETE NORMALLY --> non-fatal" - set +x - echo - echo "$msg" - echo - set -x - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - else - err=0 - [ -s $DATA/err_chk ] && $DATA/err_chk - fi -fi - -exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prevents.sh b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prevents.sh deleted file mode 100755 index 3d2b609..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_prevents.sh +++ /dev/null @@ -1,118 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - - -# This script encodes the background (first guess) and observational -# errors into the PREPBUFR reports (interpolated to obs. locations) -# -# It is normally executed by the script prepobs_makeprepbufr.sh -# but can also be executed from a checkout parent script -# ------------------------------------------------------------- - -set -aux - -qid=$$ - -# Positional parameters passed in: -# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr -# file upon successful completion of this script (note that input -# prepbufr file is NOT saved by this script) -# 2 - expected center date in PREPBUFR file (YYYYMMDDHH) - -# Imported variables that must be passed in: -# DATA - path to working directory -# NET - string indicating system network (either "gfs", "gdas", "cdas", -# "nam", "rap", "rtma" or "urma") -# NOTE1: NET is changed to gdas in the parent Job script for the -# RUN=gdas1 (was gfs - NET remains gfs for RUN=gfs). -# NOTE2: This is read from the program PREPOBS_PREVENTS via a call -# to system routine "GETENV". -# SGES - path to COPY OF global simga first guess file 1 (valid at -# either center date of PREPBUFR file or nearest cycle time prior -# to center date of PREPBUFR file which is a multiple of 3) -# SGESA - path to COPY OF global simga first guess file 2 (either -# null if SGES is valid at center date of PREPBUFR file or valid -# at nearest cycle time after center date of PREPBUFR file which -# is a multiple of 3 if SGES is valid at nearest cycle time -# prior to center date of PREPBUFR file which is a multiple of 3) -# PRVT - path to observation error table file -# PREX - path to PREPOBS_PREVENTS program executable -# PREC - path to PREPOBS_PREVENTS program parm cards - -# Imported variables that can be passed in: -# pgmout - string indicating path to for standard output file (skipped -# over by this script if not passed in) - -cd $DATA -PRPI=$1 -if [ ! -s $PRPI ] ; then exit 1 ;fi -CDATE10=$2 - -rm $PRPI.prevents -rm prevents.filtering - -pgm=`basename $PREX` -if [ -s $DATA/prep_step ]; then - set +u - . $DATA/prep_step - set -u -else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -fi - -echo " $CDATE10" > cdate10.dat -export FORT11=$PRPI -#####export FORT12=$SGES -#####export FORT13=$SGESA - -# The PREPOBS_PREVENTS code will soon, or may now, open GFS spectral -# coefficient guess files using sigio routines (via W3EMC routine GBLEVENTS) -# via explicit open(unit=number,file=filename) statements. This conflicts with -# the FORTxx statements above. One can either remove the explicit open -# statements in the code or replace the above FORTxx lines with soft links. -# The soft link approach is taken below. - -ln -sf $SGES fort.12 -ln -sf $SGESA fort.13 - -export FORT14=$PRVT -export FORT15=cdate10.dat -export FORT51=$PRPI.prevents -export FORT52=prevents.filtering - -TIMEIT=${TIMEIT:-""} -[ -s $DATA/time ] && TIMEIT="$DATA/time -p" -$TIMEIT $PREX < $PREC > outout 2> errfile -err=$? -###cat errfile -cat errfile >> outout -cat prevents.filtering >> outout -cat outout >> prevents.out -set +u -[ -n "$pgmout" ] && cat outout >> $pgmout -set -u -rm outout -set +x -echo -echo 'The foreground exit status for PREPOBS_PREVENTS is ' $err -echo -set -x -if [ -s $DATA/err_chk ]; then - $DATA/err_chk -else - if test "$err" -gt '0' - then -######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out - # in ops - exit 55 - fi -fi - -if [ "$err" -gt '0' ]; then - exit 9 -else - mv $PRPI.prevents $PRPI -fi - -exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_profcqc.sh b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_profcqc.sh deleted file mode 100755 index 1c1745b..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_profcqc.sh +++ /dev/null @@ -1,97 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - - -# This script performs wind profiler quality control checking -# -# It is normally executed by the script prepobs_makeprepbufr.sh -# but can also be executed from a checkout parent script -# -------------------------------------------------------------------------- - -set -aux - -qid=$$ - -# Positional parameters passed in: -# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr -# file upon successful completion of this script (note that input -# prepbufr file is NOT saved by this script) - -# Imported variables that must be passed in: -# DATA - path to working directory -# PQCX - path to PREPOBS_PROFCQC program executable -# PQCC - path to PREPOBS_PROFCQC program parm cards - -# Imported variables that can be passed in: -# jlogfile - string indicating path to joblog file (skipped over by this -# script if not passed in) -# pgmout - string indicating path to standard output file (skipped -# over by this script if not passed in) - -cd $DATA -PRPI=$1 -if [ ! -s $PRPI ] ; then exit 1;fi - -jlogfile=${jlogfile:=""} - -rm $PRPI.profcqc -rm profcqc.monitor profcqc.events - -pgm=`basename $PQCX` -if [ -s $DATA/prep_step ]; then - set +u - . $DATA/prep_step - set -u -else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -fi - -export FORT14=$PRPI -export FORT51=$PRPI.profcqc -export FORT52=profcqc.monitor1 -export FORT53=profcqc.monitor2 -export FORT54=profcqc.events1 -export FORT55=profcqc.events2 -export FORT61=profcqc.stats1 -export FORT62=profcqc.stats2 -TIMEIT=${TIMEIT:-""} -[ -s $DATA/time ] && TIMEIT="$DATA/time -p" -$TIMEIT $PQCX< $PQCC > outout 2> errfile -err=$? -###cat errfile -cat errfile >> outout -cat profcqc.events2 >> outout -cat outout >> profcqc.out -set +u -[ -n "$pgmout" ] && cat outout >> $pgmout -set -u -rm outout -set +x -echo -echo 'The foreground exit status for PREPOBS_PROFCQC is ' $err -echo -set -x -if [ $err -eq 4 ]; then - msg="PREPBUFR DATA SET CONTAINS NO "PROFLR" TABLE A MESSAGES --> non-fatal" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - err=0 -fi -if [ -s $DATA/err_chk ]; then - $DATA/err_chk -else - if test "$err" -gt '0' - then -######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out - # in ops - exit 55 - fi -fi - -if [ "$err" -gt '0' ]; then - exit 9 -else - mv $PRPI.profcqc $PRPI -fi - -exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_syndata.sh b/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_syndata.sh deleted file mode 100755 index 5fe1fab..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/theia/obsproc/prepobs_syndata.sh +++ /dev/null @@ -1,209 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - - -# This script has two functions: -# 1) Generates synthetic cyclone bogus near tropical storms and appends them -# to a PREPBUFR file (based on script variable DO_BOGUS). If may also, -# based on user-requested switch, flag mass pressure reports "near" -# tropical storms. -# 2) Flag dropwinsonde wind reports "near" tropical storms (based on user- -# requested switch). -# -# Note: It can do both 1 and 2 above or just one of them without the other. -# -# (NOTE: SYNDATA is currently restricted to run with T126 gaussian -# land-sea mask) -# -# It is normally executed by the script prepobs_makeprepbufr.sh -# but can also be executed from a checkout parent script -# ------------------------------------------------------------- - -set -aux - -# Positional parameters passed in: -# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr -# file upon successful completion of this script (note that input -# prepbufr file is NOT saved by this script) -# 2 - path to COPY OF input tcvitals file -# 3 - expected center date in PREPBUFR file (YYYYMMDDHH) - - -# Imported variables that must be passed in: -# DATA - path to working directory -# SGES - path to COPY OF global simga first guess file 1 (valid at -# either center date of PREPBUFR file or nearest cycle time prior -# to center date of PREPBUFR file which is a multiple of 3) -# SGESA - path to COPY OF global simga first guess file 2 (either -# null if SGES is valid at center date of PREPBUFR file or valid -# at nearest cycle time after center date of PREPBUFR file which -# is a multiple of 3 if SGES is valid at nearest cycle time -# prior to center date of PREPBUFR file which is a multiple of 3) -# PRVT - path to observation error table file -# FIXSYND - path to synthethic data fixed field files -# SYNDX - path to SYNDAT_SYNDATA program executable -# SYNDC - path to SYNDAT_SYNDATA program parm cards - -# Imported variables that can be passed in: -# DO_BOGUS - Generate synthetic cyclone bogus near tropical storms and -# append them to a PREPBUFR file (and also, based on user- -# requested switch, flag mass pressure reports "near" tropical -# storms)? (choices are "YES" or "NO", anything else defaults to -# "YES", including if this is not passed in) -# jlogfile - string indicating path to joblog file (skipped over by this -# script if not passed in) -# pgmout - string indicating path to for standard output file (skipped -# over by this script if not passed in) -# sys_tp - system type and phase. (if not passed in, an attempt is made to -# set this string using getsystem.pl, an NCO script in prod_util) -# SITE - site name (may have been set by local shell startup script) -# launcher_SYNDX - launcher for SYNDX executable (on Cray-XC40, defaults to -# aprun using single task) - - -cd $DATA -PRPI=$1 -if [ ! -s $PRPI ] ; then exit 1 ;fi -VITL=$2 -CDATE10=$3 - -jlogfile=${jlogfile:=""} - -if [ ! -s $VITL ] ; then - msg="TCVITALS EMPTY - NO PROCESSING PERFORMED BY SYNDAT_SYNDATA for \ -$CDATE10 --> non-fatal" - set +x - echo - echo "$msg" - echo - set -x - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - - exit -fi - -if [ $DO_BOGUS = 'YES' ]; then - suffix_char="" -else - suffix_char="_nobog" -fi - -rm -f $PRPI.syndata bogdomn.wrk${suffix_char} alldat${suffix_char} -rm -f stmtrk.wrk${suffix_char} rawdat.wrk${suffix_char} dumcoef${suffix_char} -rm -f matcoef${suffix_char} dthistry${suffix_char} bogrept${suffix_char} -rm -f bogdata${suffix_char} fenvdta.wrk${suffix_char} stkdatb.wrk${suffix_char} -rm -f gesvit${suffix_char} bghistry.diag${suffix_char} -rm -f prevents.filtering.syndata${suffix_char} - -pgm=`basename $SYNDX` -if [ -s $DATA/prep_step ]; then - set +u - . $DATA/prep_step - set -u -else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -fi - -export FORT11=$VITL -echo " $CDATE10" > cdate10.dat -export FORT13=cdate10.dat -export FORT14=$FIXSYND/syndat_syndata.slmask.t126.gaussian -export FORT15=bogdomn.wrk${suffix_char} -export FORT16=stmtrk.wrk${suffix_char} -export FORT17=rawdat.wrk${suffix_char} -export FORT19=bghistry.diag${suffix_char} -export FORT21=gesvit${suffix_char} -export FORT22=stkdatb.wrk${suffix_char} -export FORT23=fenvdta.wrk${suffix_char} -export FORT24=bogdata${suffix_char} -export FORT25=$PRPI -#####export FORT30=$SGES -#####export FORT31=$SGESA - -# The SYNDAT_SYNDATA code will soon, or may now, open GFS spectral coefficient -# guess files using sigio routines (via W3EMC routine GBLEVENTS) via explicit -# open(unit=number,file=filename) statements. This conflicts with the FORTxx -# statements above. One can either remove the explicit open statements in the -# code or replace the above FORTxx lines with soft links. The soft link -# approach is taken below. - -ln -sf $SGES fort.30 -ln -sf $SGESA fort.31 -export FORT32=$PRVT -export FORT40=$FIXSYND/syndat_weight -export FORT58=bogrept${suffix_char} -export FORT59=dthistry${suffix_char} -export FORT61=$PRPI.syndata -export FORT70=matcoef${suffix_char} -export FORT71=dumcoef${suffix_char} -export FORT72=rawdat.wrk${suffix_char} -export FORT73=stmtrk.wrk${suffix_char} -export FORT74=alldat${suffix_char} -export FORT80=prevents.filtering.syndata${suffix_char} -export FORT89=bogdomn.wrk${suffix_char} - -#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) -##The choice in the first line below MAY cause a failure -##The choice in the second line below works! -#set +u -#####[ -n "$LOADL_PROCESSOR_LIST" ] && export XLSMPOPTS=parthds=2:stack=64000000 -#[ -n "$LOADL_PROCESSOR_LIST" ] && export XLSMPOPTS=parthds=2:stack=20000000 -#set -u - -TIMEIT=${TIMEIT:-""} -[ -s $DATA/time ] && TIMEIT="$DATA/time -p" - -SITE=${SITE:-""} -sys_tp=${sys_tp:-$(getsystem.pl -tp)} -getsystp_err=$? -if [ $getsystp_err -ne 0 ]; then - msg="***WARNING: error using getsystem.pl to determine system type and phase" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" -fi -echo sys_tp is set to: $sys_tp -if [ "$sys_tp" = "Cray-XC40" -o "$SITE" = "SURGE" -o "$SITE" = "LUNA" ]; then - launcher_SYNDX=${launcher_SYNDX:-"aprun -n 1 -N 1 -d 1"} -else - launcher_SYNDX=${launcher_SYNDX:-""} -fi -$TIMEIT $launcher_SYNDX $SYNDX < $SYNDC > outout 2> errfile -err=$? -###cat errfile -cat errfile >> outout -[ $DO_BOGUS = 'YES' ] && cat prevents.filtering.syndata >> outout -cat outout >> syndata.out -set +u -[ -n "$pgmout" ] && cat outout >> $pgmout -set -u -rm outout -set +x -echo -echo 'The foreground exit status for SYNDAT_SYNDATA is ' $err -echo -set -x -if [ $err -eq 0 ]; then - - set +x - echo " --------------------------------------------- " - echo " ********** COMPLETED PROGRAM $pgm **********" - echo " --------------------------------------------- " - set -x - msg="$pgm completed normally for $CDATE10 - DO_BOGUS= $DO_BOGUS" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - mv $PRPI.syndata $PRPI - -else - -msg="SYNDAT_SYNDATA TERMINATED ABNORMALLY WITH CONDITION CODE $err \ ---> non-fatal" - set +x - echo - echo "$msg" - echo - set -x - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - -fi - -exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.sh b/systems/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.sh deleted file mode 100755 index 7d131ab..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.sh +++ /dev/null @@ -1,501 +0,0 @@ - -################################################################################ -#### UNIX Script Documentation Block -# . . -# Script name: global_nceppost.sh -# Script description: Posts the global pressure GRIB file -# -# Author: Mark Iredell Org: NP23 Date: 1999-05-01 -# -# Abstract: This script reads a single global GFS IO file and (optionally) -# a global flux file and creates a global pressure GRIB file. -# The resolution and generating code of the output GRIB file can also -# be set in the argument list. -# -# Script history log: -# 1999-05-01 Mark Iredell -# 2007-04-04 Huiya Chuang: Modify the script to run unified post -# 2012-06-04 Jun Wang: add grib2 option -# 2015-03-20 Lin Gan: add Perl for Post XML performance upgrade -# 2016-02-08 Lin Gan: Modify to use Vertical Structure -# -# Usage: global_postgp.sh SIGINP FLXINP FLXIOUT PGBOUT PGIOUT IGEN -# -# Input script positional parameters: -# 1 Input sigma file -# defaults to $SIGINP -# 2 Input flux file -# defaults to $FLXINP -# 3 Output flux index file -# defaults to $FLXIOUT -# 4 Output pressure GRIB file -# defaults to $PGBOUT -# 5 Output pressure GRIB index file -# defaults to $PGIOUT, then to none -# 8 Model generating code, -# defaults to $IGEN, then to input sigma generating code -# -# Imported Shell Variables: -# SIGINP Input sigma file -# overridden by $1 -# FLXINP Input flux file -# overridden by $2 -# FLXIOUT Output flux index file -# overridden by $3 -# PGBOUT Output pressure GRIB file -# overridden by $4. If not defined, -# post will use the filename specified in -# the control file -# PGIOUT Output pressure GRIB index file -# overridden by $5; defaults to none -# IGEN Model generating code -# overridden by $8; defaults to input sigma generating code -##### Moorthi: Add new imported shell variable for running chgres -# CHGRESSH optional: the script to run chgres -# default to to ${USHglobal}/global_chgres.sh -# SIGLEVEL optional: the coordinate text file -# default to to /nwprod/fix/global_hyblev.l${LEVS}.txt -##### Chuang: Add new imported Shell Variable for ncep post -# OUTTYP Output file type read in by post -# 1: if user has a sigma file and needs post to run chgres to convert to gfs io file -# 2: if user already has a gfs io file -# 3: if user uses post to read sigma file directly -# 0: if user wishes to generate both gfsio and sigma files -# 4: if user uses post to read nemsio file directly -# VDATE Verifying date 10 digits yyyymmddhh -# GFSOUT Optional, output file name from chgres which is input file name to nceppost -# if model already runs gfs io, make sure GFSOUT is linked to the gfsio file -# CTLFILE Optional, Your version of control file if not using operational one -# OVERPARMEXEC Optional, the executable for changing Grib KPDS ID -# default to to ${EXECglobal}/overparm_grib -# CHGRESTHREAD Optional, speed up chgres by using multiple threads -# default to 1 -# FILTER Optional, set to 1 to filter SLP and 500 mb height using copygb -# D3DINP Optional, Inout D3D file, if not defined, post will run -# without processing D3D file -# D3DOUT Optional, output D3D file, if not defined, post will -# use the file name specified in the control file -# IPVOUT Optional, output IPV file, if not defined, post will -# use the file name specified in the control file -# GENPSICHI Optional, set to YES will generate psi and chi and -# append it to the end of PGBOUT. Default to NO -# GENPSICHIEXE Optional, specify where executable is for generating -# psi and chi. -######################################################################## -# EXECUTIL Directory for utility executables -# defaults to /nwprod/util/exec -# USHUTIL Directory for utility scripts -# defaults to /nwprod/util/ush -# EXECglobal Directory for global executables -# defaults to /nwprod/exec -# USHglobal Directory for global scripts -# defaults to /nwprod/ush -# DATA working directory -# (if nonexistent will be made, used and deleted) -# defaults to current working directory -# MP Multi-processing type ("p" or "s") -# defaults to "p", or "s" if LOADL_STEP_TYPE is not PARALLEL -# XC Suffix to add to executables -# defaults to none -# POSTGPEXEC Global post executable -# defaults to ${EXECglobal}/ncep_post -# GRBINDEX GRIB index maker -# defaults to ${EXECUTIL}/grbindex$XC -# ANOMCATSH Global anomaly GRIB script -# defaults to ${USHglobal/global_anomcat.sh -# POSTGPLIST File containing further namelist inputs -# defaults to /dev/null -# INISCRIPT Preprocessing script -# defaults to none -# LOGSCRIPT Log posting script -# defaults to none -# ERRSCRIPT Error processing script -# defaults to 'eval [[ $err = 0 ]]' -# ENDSCRIPT Postprocessing script -# defaults to none -# POSTGPVARS Other namelist inputs to the global post executable -# such as IDRT,KO,PO,KTT,KT,PT,KZZ,ZZ, -# NCPUS,MXBIT,IDS,POB,POT,MOO,MOOA,MOW,MOWA, -# ICEN,ICEN2,IENST,IENSI -# defaults to none set -# NTHREADS Number of threads -# defaults to 1 -# NTHSTACK Size of stack per thread -# defaults to 64000000 -# VERBOSE Verbose flag (YES or NO) -# defaults to NO -# PGMOUT Executable standard output -# defaults to $pgmout, then to '&1' -# PGMERR Executable standard error -# defaults to $pgmerr, then to '&1' -# pgmout Executable standard output default -# pgmerr Executable standard error default -# REDOUT standard output redirect ('1>' or '1>>') -# defaults to '1>', or to '1>>' to append if $PGMOUT is a file -# REDERR standard error redirect ('2>' or '2>>') -# defaults to '2>', or to '2>>' to append if $PGMERR is a file -# -# Exported Shell Variables: -# PGM Current program name -# pgm -# ERR Last return code -# err -# -# Modules and files referenced: -# scripts : $INISCRIPT -# $LOGSCRIPT -# $ERRSCRIPT -# $ENDSCRIPT -# $ANOMCATSH -# -# programs : $POSTGPEXEC -# $GRBINDEX -# -# input data : $1 or $SIGINP -# $2 or $SFCINP -# $POSTGPLIST -# -# output data: $3 or $FLXIOUT -# $4 or $PGBOUT -# $5 or $PGIOUT -# $PGMOUT -# $PGMERR -# -# scratch : ${DATA}/postgp.inp.sig -# ${DATA}/postgp.inp.flx -# ${DATA}/postgp.out.pgb -# -# Remarks: -# -# Condition codes -# 0 - no problem encountered -# >0 - some problem encountered -# -# Control variable resolution priority -# 1 Command line argument. -# 2 Environment variable. -# 3 Inline default. -# -# Attributes: -# Language: POSIX shell -# Machine: IBM SP -# -#### -################################################################################ -# Set environment. -export VERBOSE=${VERBOSE:-"NO"} -if [[ "$VERBOSE" = "YES" ]] -then - echo $(date) EXECUTING $0 $* >&2 - set -x -fi -# Command line arguments. -export SIGINP=${1:-${SIGINP}} -export FLXINP=${2:-${FLXINP}} -export FLXIOUT=${3:-${FLXIOUT}} -export PGBOUT=${4:-${PGBOUT}} -#export PGIOUT=${5:-${PGIOUT}} -export PGIOUT=${PGIOUT:-pgb.idx} -export IO=${6:-${IO:-0}} -export JO=${7:-${JO:-0}} -export IGEN=${8:-${IGEN:-0}} -# Directories. -export NWPROD=${NWPROD:-/nwprod} -export EXECUTIL=${EXECUTIL:-$NWPROD/util/exec} -export USHUTIL=${USHUTIL:-$NWPROD/util/ush} -export EXECglobal=${EXECglobal:-$NWPROD/exec} -export USHglobal=${USHglobal:-$NWPROD/ush} -export DATA=${DATA:-$(pwd)} -# Filenames. -export MP=${MP:-$([[ $LOADL_STEP_TYPE = PARALLEL ]]&&echo "p"||echo "s")} -export XC=${XC} -export POSTGPEXEC=${POSTGPEXEC:-${EXECglobal}/ncep_post} -export OVERPARMEXEC=${OVERPARMEXEC:-${EXECglobal}/overparm_grib} -export ANOMCATSH=${ANOMCATSH:-${USHglobal}/global_anomcat.sh} -export CHGRESSH=${CHGRESSH:-${USHglobal}/global_chgres.sh} -export POSTGPLIST=${POSTGPLIST:-/dev/null} -export INISCRIPT=${INISCRIPT} -export ERRSCRIPT=${ERRSCRIPT:-'eval [[ $err = 0 ]]'} -export LOGSCRIPT=${LOGSCRIPT} -export ENDSCRIPT=${ENDSCRIPT} -export GFSOUT=${GFSOUT:-gfsout} -export CTLFILE=${CTLFILE:-$NWPROD/parm/gfs_cntrl.parm} -export MODEL_OUT_FORM=${MODEL_OUT_FORM:-binarynemsiompiio} -export GRIBVERSION=${GRIBVERSION:-'grib1'} -# Other variables. -export POSTGPVARS=${POSTGPVARS} -export NTHREADS=${NTHREADS:-1} -export NTHSTACK=${NTHSTACK:-64000000} -export PGMOUT=${PGMOUT:-${pgmout:-'&1'}} -export PGMERR=${PGMERR:-${pgmerr:-'&2'}} -export CHGRESTHREAD=${CHGRESTHREAD:-1} -export FILTER=${FILTER:-1} -export GENPSICHI=${GENPSICHI:-NO} -export GENPSICHIEXE=${GENPSICHIEXE:-${EXECglobal}/genpsiandchi} -export ens=${ens:-NO} -#export D3DINP=${D3DINP:-/dev/null} -typeset -L1 l=$PGMOUT -[[ $l = '&' ]]&&a=''||a='>' -export REDOUT=${REDOUT:-'1>'$a} -typeset -L1 l=$PGMERR -[[ $l = '&' ]]&&a=''||a='>' -export REDERR=${REDERR:-'2>'$a} -################################################################################ -# Preprocessing -$INISCRIPT - -# Chuang: Run chgres if OUTTYP=1 or 0 - -export APRUN=${APRUNP:-${APRUN:-""}} - -# exit if SIGINP does not exist -if [ ${OUTTYP} -le 3 ] ; then - if [ ! -s $SIGINP ] ; then - echo "sigma file not found, exitting" - exit 111 - fi -fi - -export SIGHDR=${SIGHDR:-$NWPROD/exec/global_sighdr} -export IDRT=${IDRT:-4} - -if [ ${OUTTYP} -le 1 ] ; then - export JCAP=${JCAP:-`echo jcap|$SIGHDR ${SIGINP}`} - export LEVS=${LEVS:-`echo levs|$SIGHDR ${SIGINP}`} - export IDVC=${IDVC:-$(echo idvc|$SIGHDR ${SIGINP})} - export IDVM=${IDVM:-$(echo idvm|$SIGHDR ${SIGINP})} - export NVCOORD=${NVCOORD:-$(echo nvcoord|$SIGHDR ${SIGINP})} - export IVSSIG=${IVSSIG:-$(echo ivs|$SIGHDR ${SIGINP})} - export LATCH=${LATCH:-8} - if [ ${OUTTYP} -eq 1 ] ; then - export CHGRESVARS="IDVC=$IDVC,IDVM=$IDVM,NVCOORD=$NVCOORD,IVSSIG=$IVSSIG,LATCH=$LATCH," - elif [ ${OUTTYP} -eq 0 ] ; then - export CHGRESVARS="LATCH=$LATCH,$CHGRESVARS" - fi - #export SIGLEVEL=${SIGLEVEL:-""} - export SIGLEVEL=${SIGLEVEL:-"$NWPROD/fix/global_hyblev.l${LEVS}.txt"} - # specify threads for running chgres - export OMP_NUM_THREADS=$CHGRESTHREAD - export NTHREADS=$OMP_NUM_THREADS - if [ ${JCAP} -eq 574 -a ${IDRT} -eq 4 ] - then - export NTHSTACK=1024000000 - fi - export XLSMPOPTS="parthds=$NTHREADS:stack=$NTHSTACK" - - $CHGRESSH - - export ERR=$? - export err=$ERR - $ERRSCRIPT||exit 1 - -# run post to read sigma file directly if OUTTYP=3 -elif [ ${OUTTYP} -eq 3 ] ; then - export LONB=${LONB:-`echo lonb|$SIGHDR ${SIGINP}`} - export LATB=${LATB:-`echo latb|$SIGHDR ${SIGINP}`} - export MODEL_OUT_FORM=sigio - export GFSOUT=${SIGINP} - -# run post to read nemsio file if OUTTYP=4 -elif [ ${OUTTYP} -eq 4 ] ; then - export nemsioget=${nemsioget:-$EXECglobal/nemsio_get} - export LONB=${LONB:-$($nemsioget $NEMSINP lonf |grep -i "lonf" |awk -F"= " '{print $2}' |awk -F" " '{print $1}')} - export LATB=${LATB:-$($nemsioget $NEMSINP latg |grep -i "latg" |awk -F"= " '{print $2}' |awk -F" " '{print $1}')} - export JCAP=${JCAP:-$($nemsioget $NEMSINP jcap |grep -i "jcap" |awk -F"= " '{print $2}' |awk -F" " '{print $1}')} - - export MODEL_OUT_FORM=${MODEL_OUT_FORM:-binarynemsiompiio} - export GFSOUT=${NEMSINP} - ln -sf $FIXglobal/fix_am/global_lonsperlat.t${JCAP}.${LONB}.${LATB}.txt ./lonsperlat.dat - ln -sf $FIXglobal/fix_am/global_hyblev.l${LEVS}.txt ./global_hyblev.txt -fi - -# allow threads to use threading in Jim's sp lib -# but set default to 1 -export OMP_NUM_THREADS=${OMP_NUM_THREADS:-1} - -pwd=$(pwd) -if [[ -d $DATA ]] -then - mkdata=NO -else - mkdir -p $DATA - mkdata=YES -fi -cd $DATA||exit 99 -################################################################################ -# Post GRIB -export PGM=$POSTGPEXEC -export pgm=$PGM -$LOGSCRIPT -cat <postgp.inp.nml$$ - &NAMPGB - $POSTGPVARS -EOF - -cat <>postgp.inp.nml$$ - / -EOF -if [[ "$VERBOSE" = "YES" ]] -then - cat postgp.inp.nml$$ -fi - -# making the time stamp format for ncep post -export YY=`echo $VDATE | cut -c1-4` -export MM=`echo $VDATE | cut -c5-6` -export DD=`echo $VDATE | cut -c7-8` -export HH=`echo $VDATE | cut -c9-10` - -cat > itag <> itag - -cat itag - -rm -f fort.* - -#ln -sf $SIGINP postgp.inp.sig$$ -#ln -sf $FLXINP postgp.inp.flx$$ -#ln -sf $PGBOUT postgp.out.pgb$$ - -# change model generating Grib number -if [ ${GRIBVERSION} = grib1 ]; then - - if [ ${IGEN} -le 9 ] ; then - cat ${CTLFILE}|sed s:00082:0000${IGEN}:>./gfs_cntrl.parm - elif [ ${IGEN} -le 99 ] ; then - cat ${CTLFILE}|sed s:00082:000${IGEN}:>./gfs_cntrl.parm - elif [ ${IGEN} -le 999 ] ; then - cat ${CTLFILE}|sed s:00082:00${IGEN}:>./gfs_cntrl.parm - else - ln -sf ${CTLFILE} ./gfs_cntrl.parm - fi - ln -sf ./gfs_cntrl.parm fort.14 - -elif [ ${GRIBVERSION} = grib2 ]; then - cp ${POSTGRB2TBL} . - cp ${PostFlatFile} ./postxconfig-NT.txt - if [ ${ens} = "YES" ] ; then - sed < ${PostFlatFile} -e "s#negatively_pert_fcst#${ens_pert_type}#" > ./postxconfig-NT.txt - fi -# cp ${CTLFILE} postcntrl.xml - -fi -export CTL=`basename $CTLFILE` - -ln -sf griddef.out fort.110 -cp ${PARMglobal}/nam_micro_lookup.dat ./eta_micro_lookup.dat - -${APRUN:-mpirun.lsf} $POSTGPEXEC < itag > outpost_gfs_${VDATE}_${CTL} - -export ERR=$? -export err=$ERR -$ERRSCRIPT||exit 2 - -if [ $FILTER = "1" ] ; then - -# Filter SLP and 500 mb height using copygb, change GRIB ID, and then -# cat the filtered fields to the pressure GRIB file, from Iredell - -if [ $GRIBVERSION = grib1 ]; then - $COPYGB -x -i'4,0,80' -k'4*-1,1,102' $PGBOUT tfile - ln -s -f tfile fort.11 - ln -s -f prmsl fort.51 - echo 0 2|$OVERPARMEXEC - $COPYGB -x -i'4,1,5' -k'4*-1,7,100,500' $PGBOUT tfile - ln -s -f tfile fort.11 - ln -s -f h5wav fort.51 - echo 0 222|$OVERPARMEXEC - -#cat $PGBOUT prmsl h5wav >> $PGBOUT - cat prmsl h5wav >> $PGBOUT - -elif [ $GRIBVERSION = grib2 ]; then - if [ ${ens} = YES ] ; then - $COPYGB2 -x -i'4,0,80' -k'1 3 0 7*-9999 101 0 0' $PGBOUT tfile - else - $COPYGB2 -x -i'4,0,80' -k'0 3 0 7*-9999 101 0 0' $PGBOUT tfile - fi - $WGRIB2 tfile -set_byte 4 11 1 -grib prmsl - if [ ${ens} = YES ] ; then - $COPYGB2 -x -i'4,1,5' -k'1 3 5 7*-9999 100 0 50000' $PGBOUT tfile - else - $COPYGB2 -x -i'4,1,5' -k'0 3 5 7*-9999 100 0 50000' $PGBOUT tfile - fi - $WGRIB2 tfile -set_byte 4 11 193 -grib h5wav - -#cat $PGBOUT prmsl h5wav >> $PGBOUT - cat prmsl h5wav >> $PGBOUT - -fi - -fi - -################################################################################ -# Anomaly concatenation -# for now just do anomaly concentration for grib1 -if [ $GRIBVERSION = grib1 ]; then - - if [[ -x $ANOMCATSH ]] - then - if [[ -n $PGIOUT ]] - then - $GRBINDEX $PGBOUT $PGIOUT - fi - export PGM=$ANOMCATSH - export pgm=$PGM - $LOGSCRIPT - - eval $ANOMCATSH $PGBOUT $PGIOUT - - export ERR=$? - export err=$ERR - $ERRSCRIPT||exit 3 - fi -fi -################################################################################ -# Make GRIB index file -if [[ -n $PGIOUT ]] -then - if [ $GRIBVERSION = grib2 ]; then - # JY $GRBINDEX2 $PGBOUT $PGIOUT - $GRB2INDEX $PGBOUT $PGIOUT - else - $GRBINDEX $PGBOUT $PGIOUT - fi -fi -if [[ -r $FLXINP && -n $FLXIOUT && $OUTTYP -le 3 ]] -then - $GRBINDEX $FLXINP $FLXIOUT -fi -################################################################################ -# generate psi and chi -echo "GENPSICHI= " $GENPSICHI -if [ $GENPSICHI = YES ] ; then -#echo "PGBOUT PGIOUT=" $PGBOUT $PGIOUT -#echo "YY MM=" $YY $MM - export psichifile=./psichi.grb - $GENPSICHIEXE < postgp.inp.nml$$ - rc=$? - if [[ $rc -ne 0 ]] ; then echo 'Nonzero return code rc= '$rc ; exit 3 ; fi - cat ./psichi.grb >> $PGBOUT -fi -################################################################################ -# Postprocessing -cd $pwd -[[ $mkdata = YES ]]&&rmdir $DATA -$ENDSCRIPT -set +x -if [[ "$VERBOSE" = "YES" ]] -then - echo $(date) EXITING $0 with return code $err >&2 -fi -exit $err diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.txt b/systems/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.txt deleted file mode 100644 index b5e8c34..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/theia/post/global_nceppost.txt +++ /dev/null @@ -1,2 +0,0 @@ -STGPSH=/scratch4/NCEPDEV/global/save/glopara/svn/post/tags/ncep_post.v7.7.2/ush/global_nceppost.sh - diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/prep/getges.sh b/systems/fv3gfs/outofcontrol_scripts/theia/prep/getges.sh deleted file mode 100755 index 1672800..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/theia/prep/getges.sh +++ /dev/null @@ -1,1385 +0,0 @@ -#!/bin/ksh -################################################################################ -# -# Name: getges.sh Author: Mark Iredell -# -# Abstract: -# This script copies the valid global guess file to a given file. -# Alternatively, it writes the name of the guess file to standard output. -# Specify option "-n network" for the job network (default global). -# Other options are gdas, gfs, cdas, mrf, prx, etc. -# Specify option "-e environment" for the job environment (default prod). -# Another option is test. -# Specify option "-f fhour" for the specific forecast hour wanted (default any). -# Specify option "-q" for quiet mode to turn off script messages. -# Specify option "-r resolution" for the resolution wanted (default high). -# Other options are 25464 17042, 12628, low, 6228, namopl, any. -# Specify option "-t filetype" for the filetype wanted from among these choices: -# sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3, -# sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3, -# biascr, satang, satcnt, gesfil -# pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3, -# sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl, -# enggrb, enggri, icegrb, icegri, snogrb, snogrb_high, snogri, sstgrb, sstgri. -# natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur, -# nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur, -# nstcur, nflges, nflgp3 -# Specify option "-v valid" for the valid date wanted (default $CDATE). -# Currently, the valid hours specified must be a multiple of 3. -# Either 2-digit or 4-digit years are currently allowed. -# Specify positional argument to be the file to which to copy the guess. -# If missing, the NAME of the guess file is written to standard output. -# A nonzero return code from this script means either the arguments are invalid -# or the guess could not be found; a message is written to standard error in -# this case, but neither a file copy nor a standard output write will be done. -# The file returned is guaranteed to exist and be readable. -# The script uses the utility commands NDATE and NHOUR. -# -# Example 1. Copy the production sigma guess for 1998100100 to the file sges. -# getges.sh -e prod -t sigges -v 1998100100 sges -# -# Example 2. Assign the pressure grib guess for the date 1998100121. -# export CDATE=1998100121 -# export XLFUNIT_12="$(getges.sh -qt pgbges||echo /dev/null)" -# -# Example 3. Get the PRX pgb analysis or the best valid guess at 1998100112. -# getges -e prx -t pgbcur -v 1998100112 pgbfile -# -# Example 5. Get the 24-hour GFS forecast sigma file valid at 1998100112. -# getges -t sigcur -v 1998100112 -f 24 -e gfs sigfile -# -# History: 1996 December Iredell Initial implementation -# 1997 March Iredell Nine new filetypes -# 1997 April Iredell Two new filetypes and -f option -# 1997 December Iredell Four new filetypes -# 1998 April Iredell 4-digit year allowed; -# sigges internal date no longer checked -# 1998 May Iredell T170L42 defaulted; four new filetypes -# and two filetypes deleted -# 1998 June Rogers Nam types added -# 1998 September Iredell high is default resolution -# 2000 March Iredell Cdas and -n option -# 2000 June Iredell Eight new filetypes -# 2002 April Treadon T254L64 defaulted; add angle dependent -# bias correction file -# 2003 March Iredell GFS network out to 384 hours -# 2003 August Iredell Hourly global guesses -# 2005 September Treadon Add satellite data count file (satcnt) -# 2006 September Gayno Add high-res snow analysis -# 2009 January Rogers Added sfluxgrb file -# 2011 April Rogers Added GFS pg2ges file -# 2016 May Menlove Changed GETGES_COM variable to $COMINmodel -# 2016 November Iredell Adapted getges for NEMS GSM -# Also removed a lot of dead wood -# -################################################################################ -#------------------------------------------------------------------------------- -# Set some default parameters. -fhbeg=03 # hour to begin searching backward for guess -fhinc=03 # hour to increment backward in search -fhend=384 # hour to end searching backward for guess - -#------------------------------------------------------------------------------- -# Get options and arguments. -netwk=global # default network -envir=prod # default environment -fhour=any # default forecast hour -quiet=NO # default quiet mode -trace=NO # default execution trace mode -resol=high # default resolution -typef=sigges # default filetype -valid=${CDATE:-'?'} # default valid date -err=0 - -while getopts n:e:f:qxr:t:v: opt;do - case $opt in - n) netwk="$OPTARG";; - e) envir="$OPTARG";; - f) fhour="$OPTARG";; - q) quiet=YES;; - x) trace=YES;; - r) resol="$OPTARG";; - t) typef="$OPTARG";; - v) valid="$OPTARG";; - \?) err=1;; - esac -done -shift $(($OPTIND-1)) -gfile=$1 -if [[ -z $valid ]];then - echo "$0: either -v option or environment variable CDATE must be set" >&2 -elif [[ $# -gt 1 ]];then - echo "$0: too many positional arguments" >&2 -elif [[ $err -ne 0 ]];then - echo "$0: invalid option" >&2 -fi -if [[ $gfile = '?' || $# -gt 1 || $err -ne 0 || -z $valid ||\ - $netwk = '?' || $envir = '?' || $fhour = '?' || $resol = '?' ||\ - $typef = '?' || $valid = '?' ]];then - echo "Usage: getges.sh [-n network] [-e environment] [-f fhour] [-q] [-r resolution]" >&2 - echo " [-t filetype] [-v valid] [gfile]" >&2 - if [[ $netwk = '?' ]];then - echo " network choices:" >&2 - echo " global (default), namopl, gdas, gfs, cdas, etc." >&2 - elif [[ $envir = '?' ]];then - echo " environment choices:" >&2 - echo " prod (default), test, para, dump, prx" >&2 - echo " (some network values allowed for compatibility)" >&2 - elif [[ $fhour = '?' ]];then - echo " fhour is optional specific forecast hour" >&2 - elif [[ $resol = '?' ]];then - echo " resolution choices:" >&2 - echo " high (default), 25464, 17042, 12628, low, 6228, namopl, any" >&2 - elif [[ $typef = '?' ]];then - echo " filetype choices:" >&2 - echo " sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3," >&2 - echo " sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3," >&2 - echo " sfgges, sfggp3, biascr, satang, satcnt, gesfil" >&2 - echo " pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3," >&2 - echo " sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl," >&2 - echo " enggrb, enggri, icegrb, icegri, snogrb, snogri, sstgrb, sstgri," >&2 - echo " pg2cur, pg2ges, restrt," >&2 - echo " natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur," >&2 - echo " nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur," >&2 - echo " nstcur, nflges, nflgp3," >&2 - elif [[ $valid = '?' ]];then - echo " valid is the valid date in yyyymmddhh or yymmddhh form" >&2 - echo " (default is environmental variable CDATE)" >&2 - elif [[ $gfile = '?' ]];then - echo " gfile is the guess file to write" >&2 - echo " (default is to write the guess file name to stdout)" >&2 - else - echo " (Note: set a given option to '?' for more details)" >&2 - fi - exit 1 -fi -[[ $trace == YES ]]&&set -x -if [[ $envir != prod && $envir != test && $envir != para && $envir != dump && $envir != pr? && $envir != dev ]];then - netwk=$envir - envir=prod - echo '************************************************************' >&2 - echo '* WARNING: Using "-e" is deprecated in this case. *' >&2 - echo '* Please use "-n" instead. *' >&2 - echo '************************************************************' >&2 -fi -if [[ "$netwk" = "namopl" || "$resol" = "namopl" ]];then - netwk=namopl - typef=restrt - resol=namopl -fi -[[ $resol = 57464 || $resol = 38264 || $resol = 19064 || $resol = 25464 || $resol = 17042 || $resol = 12628 ]]&&resol=high -[[ $resol = 6228 ]]&&resol=low -resolsuf="" -[[ $resol == *deg ]]&&resolsuf=.$resol -fhbeg=$(${NHOUR:?} $valid) -[[ $fhbeg -le 0 ]]&&fhbeg=03 -((fhbeg=(10#$fhbeg-1)/3*3+3)) -[[ $fhbeg -lt 10 ]]&&fhbeg=0$fhbeg -if [[ $typef = enggrb ]];then - typef=icegrb - echo '************************************************************' >&2 - echo '* WARNING: Using "-t enggrb" is now deprecated. *' >&2 - echo '* Please use "-t icegrb". *' >&2 - echo '************************************************************' >&2 -elif [[ $typef = enggri ]];then - typef=icegri - echo '************************************************************' >&2 - echo '* WARNING: Using "-t enggri" is now deprecated. *' >&2 - echo '* Please use "-t icegri". *' >&2 - echo '************************************************************' >&2 -fi - -#------------------------------------------------------------------------------- -# Assemble guess list in descending order from the best guess. -geslist="" -getlist00="" - -# GDAS -if [[ "$netwk" = "gdas" ]];then - if [ -z "$COMINgdas" ]; then - echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 - exit 1 - fi - fhend=12 - case $typef in - biascr) geslist=' - $COMINgdas/gdas.t${cyc}z.abias' - ;; - biascr_pc) geslist=' - $COMINgdas/gdas.t${cyc}z.abias_pc' - ;; - biascr_air) geslist=' - $COMINgdas/gdas.t${cyc}z.abias_air' - ;; - radstat) geslist=' - $COMINgdas/gdas.t${cyc}z.radstat' - ;; - pgbges) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh' - ;; - pg2ges) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' - ;; - pgbgm6) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6' - ;; - pgbgm3) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3' - ;; - pgbgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3' - ;; - pgbcur) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINgdas/gdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINgdas/gdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINgdas/gdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576' - fhbeg=00 - fhinc=06 - ;; - snogrb_1534) geslist=' - $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINgdas/gdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - natges) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' - ;; - natgm3) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio' - ;; - natgm2) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio' - ;; - natgm1) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio' - ;; - natgp1) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio' - ;; - natgp2) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio' - ;; - natgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio' - ;; - natcur) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' - getlist00=' - $COMINgdas/gdas.t${cyc}z.atmanl.nemsio' - fhbeg=00 - ;; - nsfges) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' - ;; - nsfgm3) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio' - ;; - nsfgm2) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio' - ;; - nsfgm1) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio' - ;; - nsfgp1) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio' - ;; - nsfgp2) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio' - ;; - nsfgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio' - ;; - nsfcur) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' - getlist00=' - $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio' - fhbeg=00 - ;; - nstcur) geslist=' - $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio' - getlist00=' - $COMINgdas/gdas.t${cyc}z.nstanl.nemsio' - fhbeg=00 - ;; - nflges) geslist=' - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' - ;; - nflgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio' - ;; - nflcur) geslist=' - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' - fhbeg=00 - ;; - esac - -# CFS-CDAS -elif [[ "$netwk" = "cfs-cdas" ]];then - if [ -z "$COMINcfs_cdas" ]; then - echo "getges.sh ERROR: The \$COMINcfs_cdas variable must be defined." >&2 - exit 1 - fi - fhend=12 - case $typef in - sigges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fh}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm3}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm2}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm1}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp1}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp2}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp3}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.abias' - ;; - satang) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.satang' - ;; - satcnt) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fh' - ;; - sfggp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fhp3' - ;; - pgbges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm6 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm6 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhp3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhp3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' - getlist00=' - $COMINcfs_cdas/cdas1.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' - getlist00=' - $COMINcfs_cdas/cdas1.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574 - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# GFS -elif [[ "$netwk" = "gfs" ]];then - if [ -z "$COMINgfs" ]; then - echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 - exit 1 - fi - fhend=384 - case $typef in - natges) geslist=' - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - ;; - pgbcur) geslist=' - $COMINgfs/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINgfs/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvitl) geslist=' - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINgfs/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINgfs/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_1534) geslist=' - $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINgfs/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - natcur) geslist=' - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - getlist00=' - $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' - fhbeg=00 - ;; - nsfcur) geslist=' - $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' - getlist00=' - $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' - fhbeg=00 - ;; - nstcur) geslist=' - $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' - getlist00=' - $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' - fhbeg=00 - ;; - nflcur) geslist=' - $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' - fhbeg=00 - ;; - esac - -# CDAS -elif [[ "$netwk" = "cdas" ]];then - if [ -z "$COMINcdas" ]; then - echo "getges.sh ERROR: The \$COMINcdas variable must be defined." >&2 - exit 1 - fi - fhbeg=06 - fhend=06 - case $typef in - sigges) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $COMINcdas/cdas.t${cyc}z.abias' - ;; - satang) geslist=' - $COMINcdas/cdas.t${cyc}z.satang' - ;; - satcnt) geslist=' - $COMINcdas/cdas.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $COMINcdas/cdas.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fh' - getlist00=' - $COMINcdas/cdas.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fh' - getlist00=' - $COMINcdas/cdas.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINcdas/cdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINcdas/cdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $COMINcdas/cdas.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINcdas/cdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $COMINcdas/cdas.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINcdas/cdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $COMINcdas/cdas.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# CDC CDAS -elif [[ "$netwk" = "cdc" ]];then - if [ -z "$COMINcdc" ]; then - echo "getges.sh ERROR: The \$COMINcdc variable must be defined." >&2 - exit 1 - fi - fhbeg=06 - fhend=06 - case $typef in - sigges) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $COMINcdc/cdas.t${cyc}z.abias' - ;; - satang) geslist=' - $COMINcdc/cdas.t${cyc}z.satang' - ;; - satcnt) geslist=' - $COMINcdc/cdas.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $COMINcdc/cdas.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fh' - getlist00=' - $COMINcdc/cdas.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fh' - getlist00=' - $COMINcdc/cdas.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINcdc/cdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINcdc/cdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $COMINcdc/cdas.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINcdc/cdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $COMINcdc/cdas.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINcdc/cdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $COMINcdc/cdas.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# Any resolution production -elif [[ "$netwk" = "global" ]];then - if [ -z "$COMINgdas" ]; then - echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 - exit 1 - fi - if [ -z "$COMINgfs" ]; then - echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 - exit 1 - fi - GETGES_NWG=${GETGES_NWG:-${GESROOT:?}} - case $typef in - biascr) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.abias - $COMINgdas/gdas.t${cyc}z.abias - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias - $COMINgfs/gfs.t${cyc}z.abias' - fhbeg=06 - fhinc=06 - ;; - pgbges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $COMINgfs/gfs.t${cyc}z.pgrbf$fh' - ;; - pgbgm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm6 - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm6 - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 - $COMINgfs/gfs.t${cyc}z.pgrbf$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm3 - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm3 - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 - $COMINgfs/gfs.t${cyc}z.pgrbf$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhp3 - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhp3 - $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 - $COMINgfs/gfs.t${cyc}z.pgrbf$fhp3' - ;; - pg2ges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$gh - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$gh - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' - ;; - pg2gm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm6 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm6' - ;; - pg2gm5) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm5 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm5' - ;; - pg2gm4) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm4 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm4' - ;; - pg2gm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm3 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm3' - ;; - pg2gm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm2 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm2' - ;; - pg2gm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm1 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm1' - ;; - pg2gp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp1 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp1' - ;; - pg2gp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp2 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp2' - ;; - pg2gp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp3 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp3' - ;; - pgbcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $COMINgfs/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p50.f$gh - $COMINgdas/gdas.t${cyc}z.pgrb2.0p50.f$gh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f$gh - $COMINgfs/gfs.t${cyc}z.pgrb2.0p50.f$gh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.prepbufr - $COMINgdas/gdas.t${cyc}z.prepbufr - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr - $COMINgfs/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.engicegrb - $COMINgdas/gdas.t${cyc}z.engicegrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb - $COMINgfs/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb - $COMINgdas/gdas.t${cyc}z.snogrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb - $COMINgfs/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t574.1152.576 - $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574.1152.576 - $COMINgfs/gfs.t${cyc}z.snogrb_t574.1152.576' - fhbeg=00 - fhinc=06 - ;; - snogrb_1534) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t1534.3072.1536 - $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t1534.3072.1536 - $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sstgrb - $COMINgdas/gdas.t${cyc}z.sstgrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb - $COMINgfs/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - natges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - ((vhr=$valid%100)) - if [[ $(($vhr % 3)) -ne 0 ]]; then - fhinc=01 - fi - ;; - natgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm3.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm3.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghm3.nemsio' - ;; - natgm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm2.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm2.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghm2.nemsio' - ;; - natgm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm1.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm1.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghm1.nemsio' - ;; - natgp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp1.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp1.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghp1.nemsio' - ;; - natgp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp2.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp2.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghp2.nemsio' - ;; - natgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp3.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp3.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghp3.nemsio' - ;; - natcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmanl.nemsio - $COMINgdas/gdas.t${cyc}z.atmanl.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmanl.nemsio - $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' - fhbeg=00 - ;; - nsfges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' - ;; - nsfgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm3.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm3.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghm3.nemsio' - ;; - nsfgm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm2.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm2.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghm2.nemsio' - ;; - nsfgm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm1.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm1.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghm1.nemsio' - ;; - nsfgp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp1.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp1.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghp1.nemsio' - ;; - nsfgp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp2.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp2.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghp2.nemsio' - ;; - nsfgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp3.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp3.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghp3.nemsio' - ;; - nsfcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcanl.nemsio - $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl.nemsio - $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' - fhbeg=00 - ;; - nstcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstanl.nemsio - $COMINgdas/gdas.t${cyc}z.nstanl.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstanl.nemsio - $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' - fhbeg=00 - ;; - nflges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' - ;; - nflgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$ghp3.nemsio - $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$ghp3.nemsio - $COMINgfs/gfs.t${cyc}z.flxf$ghp3.nemsio' - ;; - nflcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' - fhbeg=00 - ;; - esac -fi - -# Check validity of options. -if [[ $fhour != any ]];then - fhbeg=$fhour - fhend=$fhour -fi -if [[ $valid -lt 20000000 ]];then - valid=20$valid - echo '************************************************************' >&2 - echo '* WARNING: A 2-digit year was converted to a 4-digit year. *' >&2 - echo '* Please use full a 4-digit year in this utility. *' >&2 - echo '************************************************************' >&2 -fi -if [[ $($NDATE 0 $valid 2>/dev/null) != $valid ]];then - echo getges.sh: invalid date $valid >&2 - exit 2 -fi -if [[ -z "$geslist" ]];then - echo getges.sh: filetype $typef or resolution $resol not recognized >&2 - exit 2 -fi - -#------------------------------------------------------------------------------- -# Loop until guess is found. -fh=$fhbeg -if [ -z "$PDY" ];then echo "getges.sh WARNING: \$PDY variable not set" >&2; fi -while [[ $fh -le $fhend ]];do - ((fhm6=10#$fh-6)) - [[ $fhm6 -lt 10 && $fhm6 -ge 0 ]]&&fhm6=0$fhm6 - ((fhm5=10#$fh-5)) - [[ $fhm5 -lt 10 && $fhm5 -ge 0 ]]&&fhm5=0$fhm5 - ((fhm4=10#$fh-4)) - [[ $fhm4 -lt 10 && $fhm4 -ge 0 ]]&&fhm4=0$fhm4 - ((fhm3=10#$fh-3)) - [[ $fhm3 -lt 10 && $fhm3 -ge 0 ]]&&fhm3=0$fhm3 - ((fhm2=10#$fh-2)) - [[ $fhm2 -lt 10 && $fhm2 -ge 0 ]]&&fhm2=0$fhm2 - ((fhm1=10#$fh-1)) - [[ $fhm1 -lt 10 && $fhm1 -ge 0 ]]&&fhm1=0$fhm1 - ((fhp1=10#$fh+1)) - [[ $fhp1 -lt 10 ]]&&fhp1=0$fhp1 - ((fhp2=10#$fh+2)) - [[ $fhp2 -lt 10 ]]&&fhp2=0$fhp2 - ((fhp3=10#$fh+3)) - [[ $fhp3 -lt 10 ]]&&fhp3=0$fhp3 - gh=$fh;[[ $gh -lt 100 ]]&&gh=0$gh - ghm6=$fhm6;[[ $ghm6 -lt 100 ]]&&ghm6=0$ghm6 - ghm5=$fhm5;[[ $ghm5 -lt 100 ]]&&ghm5=0$ghm5 - ghm4=$fhm4;[[ $ghm4 -lt 100 ]]&&ghm4=0$ghm4 - ghm3=$fhm3;[[ $ghm3 -lt 100 ]]&&ghm3=0$ghm3 - ghm2=$fhm2;[[ $ghm2 -lt 100 ]]&&ghm2=0$ghm2 - ghm1=$fhm1;[[ $ghm1 -lt 100 ]]&&ghm1=0$ghm1 - ghp1=$fhp1;[[ $ghp1 -lt 100 ]]&&ghp1=0$ghp1 - ghp2=$fhp2;[[ $ghp2 -lt 100 ]]&&ghp2=0$ghp2 - ghp3=$fhp3;[[ $ghp3 -lt 100 ]]&&ghp3=0$ghp3 - id=$($NDATE -$fh $valid) - typeset -L8 day=$id - typeset -R2 cyc=$id - eval list=\$getlist$fh - [[ -z "$list" ]]&&list=${geslist} - for ges_var in $list;do - # Replace variables in guess with their values - eval ges_val=$ges_var - # Replace the current PDY with the valid date - ges=${ges_val/$PDY\//$day/} - [[ $quiet = NO ]]&&echo Checking: $ges >&2 - [[ -r $ges ]]&&break 2 - done - fh=$((10#$fh+10#$fhinc)) - [[ $fh -lt 10 ]]&&fh=0$fh -done -if [[ $fh -gt $fhend ]];then - echo getges.sh: unable to find $netwk.$envir.$typef.$resol.$valid >&2 - exit 8 -fi - -#------------------------------------------------------------------------------- -# Either copy guess to a file or write guess name to standard output. -if [[ -z "$gfile" ]];then - echo $ges - exit $? -else - cp $ges $gfile - exit $? -fi diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/prep/getges.txt b/systems/fv3gfs/outofcontrol_scripts/theia/prep/getges.txt deleted file mode 100644 index 92e2025..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/theia/prep/getges.txt +++ /dev/null @@ -1 +0,0 @@ -prep=/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/getges.sh diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.sh b/systems/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.sh deleted file mode 100755 index ff85639..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.sh +++ /dev/null @@ -1,2466 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - -#### UNIX Script Documentation Block -# -# Script name: prepobs_makeprepbufr.sh -# Script description: Prepares & quality controls PREPBUFR file -# -# Author: Keyser Org: EMC Date: 2017-04-20 -# -# Abstract: This script creates the PREPBUFR file containing observational data -# assimilated by all versions of NCEP atmospheric analyses. It points to BUFR -# observational data dumps as input files. PREPOBS_PREPDATA combines them to -# generate an initial form of the PREPBUFR file which also contains the -# background guess as well as the observational error field. If tropical -# cyclone relocation processing has previously occurred, the background global -# guess read in by PREPOBS_PREPDATA (and later by SYNDAT_SYNDATA if it runs) -# is the relocated guess valid at the center date/time for the PREPBUFR -# processing. Otherwise it is the regular (unrelocated) global atmosperic -# guess obtained via the getges utility script. After PREPOBS_PREPDATA runs, -# this script can execute SYNDAT_SYNDATA to generate synthetic wind bogus -# data, as well as their background guess and observational error fields, -# which are appended to the PREPBUFR file. -# -# In the global networks the decision to append synthetic wind bogus data in -# the SYNDATA processing is determined by the outcome of the previous -# tropical cyclone relocation processing (if it was run). There are three -# possible outcomes: -# 1) If all storms in the original tcvitals file have vorticies of at -# least medium intensity such that a relocation was previously -# performed for each, then SYNDAT_SYNDATA will still run but will not -# append synthetic wind bogus data to the PREPBUFR file for any storm. -# It will input the original tcvitals file (output from qctropcy -# processing) and (if the option is set) it will flag dropwinsonde -# winds in the vicinity of each tropical storm in the file. -# 2) If all storms in the original tcvitals file (output from qctropcy -# processing) have weak vorticies such that a relocation was not -# previously performed for any, then SYNDAT_SYNDATA will run, inputting -# the original tcvitals file, and it will append synthetic wind bogus -# data to the PREPBUFR file for each storm in it. It will also -# possibly flag mass pressure and/or dropwinsonde wind reports in the -# vicinity of each storm (if requested). -# 3) If some storms in the original tcvitals file (output from qctropcy -# processing) have weak vorticies, such that a relocation was not -# previously performed for them, and others have vorticies of at least -# medium intensity, such that a relocation was previously performed for -# these, then SYNDAT_SYNDATA will run twice. The first time, it will -# input the relocation-generated tcvitals file, which contains all of -# the weak storms, and it will append synthetic wind bogus data to the -# PREPBUFR file for each storm in it. It will also possibly flag mass -# pressure and/or dropwinsonde wind reports in the vicinity of each of -# these storms (if requested). The second time SYNDAT_SYNDATA runs, it -# will input any storm records that were in the original tcvitals file -# but not in the relocation-generated tcvitals file (i.e., storms with -# vorticies of at least medium intensity). It will not append -# synthetic wind bogus data to the PREPBUFR file for any of these -# storms, but it will flag dropwinsonde winds in the vicinity of each -# storm in the original tcvitals file but not in the relocation- -# generated tcvitals file (if requested). -# If this is the nam network, the only reason relocation processing would -# have been previously run would be to update the first guess read in here by -# PREPOBS_PREPDATA and SYNDAT_SYNDATA. In this case, SYNDAT_SYNDATA inputs -# the original tcvitals file (output from qctropcy processing), appends -# synthetic wind bogus data to the PREPBUFR file for each storm in it, and -# possibly also flags mass pressure and/or dropwinsonde wind reports in the -# vicinity of each storm in the file (if requested). -# -# After all of this, the script then executes a series of quality control -# programs which can change the observation value and/or its quality marker. -# The PREPBUFR file is set up such that all changes to data are stacked on -# top of previous values. Such changes are considered to be "events", with -# the event containing an associated program code and reason code to describe -# it. This allows the PREPBUFR file to internally contain a record of all -# events preformed on the observations. This script has been designed to be -# executed by either an "operational J-job" script, a "test J-job" script, a -# "parallel J-job" script, or a stand-alone batch run initiated by a user. -# -# Script history log: -# 1999-07-20 Dennis A. Keyser -- Original version for implementation -# 2000-06-14 Dennis A. Keyser -- Added the tropical cyclone relocation -# processing -# 2001-03-20 Dennis A. Keyser -- Now gets tcvitals file for t-12 as well as -# t-06 in tropical cyclone relocation processing and passes both to ush -# relocate_relocate_ts.sh as new pos. parameters 3 and 4 -# 2004-01-15 Dennis A. Keyser -- Reads file *aircar_status_flag* in $COMSP -# path to see whether ARINC (primary) or AFWA (backup) reports in AIRCAR -# dump should be read and processed as ACARS data in PREPBUFR (flag file -# generated in upstream dump process and is based on a comparison of report -# counts), inserts proper switch in parm cards read by PREPOBS_PREPDATA -# program -# 2004-08-30 Dennis A. Keyser -- Connects new data dumps to PREPDATA -# processing, copies t-3 and t+3 sigma guess files for GFS and GDAS even if -# DO_RELOCATE = NO (unless GETGUESS = NO); Copies the "PRE-QC" snapshot of -# the PREPBUFR file AFTER SYNDAT_SYNDATA runs (if it does) rather than -# before it runs (ensures that the PRE-QC PREPBUFR file contains ALL of the -# observations); Variable PRVT (observational error table file path) is now -# read by NAM network and defaults to $FIXPREP/prepobs_errtable.nam if not -# imported (obs. errors are now read into PREPBUFR file in NAM network in -# preparation for the switch to the GSI analysis, the operational 3DVAR -# analysis ignores the obs errors in PREPBUFR and still reads them in from -# $PARMPREP/nam_errtable.r3dv) -# 2005-07-01 Dennis A. Keyser -- Logic changes to run SYNDAT_SYNDATA in all -# networks where requested regardless of outcome of relocation processing, -# but sets new script variable DO_BOGUS to NO if SYNDAT_SYNDATA is to NOT -# generate synthetic wind bogus reports and append them to PREPBUFR file -# (SYNDAT_SYNDATA program also modified to read in this variable) - change -# needed because (if requested) SYNDAT_SYNDATA will now flag all -# dropwinsonde wind reports in vicinity of each storm in original tcvitals -# file, regardless of whether or not bogus winds are generated -# 2006-03-22 Dennis A. Keyser -- Accounts for possibility of split dump status -# files (status1 and status2) at the time this runs {in the test for the -# presence of the dump status file(s)} -# 2006-06-13 Dennis A. Keyser -- Adds dump file "wdsatr" to default BUFRLIST -# value and assigns it to unit 38 read in to PREPOBS_PREPDATA; Removed -# tropical cyclone relocation processing, this is now done (if requested) -# in a new script called tropcy_relocate.sh which runs in the new -# TROPCY_QC_RELOC job prior to the PREP job that executes this script -# (TROPCY_QC_RELOC first performs qctropcy processing, this was moved from -# the DUMP job) - this was done to allow the TROPCY_QC_RELOC job to run at -# the same time as the DUMP job in order to speed up overall obs -# processing and remove variability in the PREP job executing this script -# (i.e., this job had run faster when no tropical storms were present) -# 2007-09-14 Dennis A. Keyser -- Replaced "export XLFUNIT_xx=" with -# "ln .sf fort.xx" in preparation for PREPOBS_PREPDATA -# interfacing with global spectral guess files using sigio routines (via -# W3LIB routine GBLEVENTS - sigio requires explicit open statements in the -# code and this conflicts with XLFUNIT statements; Removed test on -# existence of status2 file from NAM_DUMP2 and NDAS_DUMP2 jobs since dump -# files here (currently only "nexrad") are not processed into the PREPBUFR -# file - PREP job initiation may soon no longer be dependent upon -# completion of DUMP2 job in NAM and NDAS networks; in the case where an -# input (normally, pre-QC) PREPBUFR file is passed into the script via the -# variable PREPBUFR_IN, it had been assumed that this file had already -# been run through SYNDATA processing (but that was not the case prior to -# 12Z 25 Jan 2005) - this script changed to use the value of variable -# SYNDATA to determine if the file in PREPBUFR_IN should be run through -# SYNDATA processing (i.e., if SYNDATA=YES, run it through SYNDATA -# processing) (this change will allow reanalysis runs prior to 12Z 25 Jan -# 2005 to work properly) -# 2008-09-25 Dennis A. Keyser -- Added dump file "ascatw" to default BUFRLIST -# value and assigns it to unit 39 read in to PREPOBS_PREPDATA; in -# preparation for future NRL aircraft QC code NRLACQC, added new script -# variables NRLACQC (def=NO), USHNQC (def=${HOMEALL}/ush), NQCX -# (def=$EXECPREP/prepobs_nrlacqc) and NQCC -# (def=$PARMPREP/prepobs_nrlacqc.${NET}.parm"), if NRLACQC=YES will -# execute script USHNQC to perform NRL aircraft QC (not yet ready) -# 2011-10-14 D.A. Keyser -- Updated to handle new "rap" (Rapid Refresh) -# network and its model runs "rap", "rap_p" and "rap_e" -# 2012-05-09 S. Bender/D. Keyser -- Removed all prior references to "NRL" -# aircraft QC script variables (never actually used) since the NRL -# aircraft QC nomenclature is being dropped in place of the existing -# PREPACQC nomenclature and will use its existing script variables; -# removed all references to the ACARSQC processing since it is no longer -# executed (ACARS QC is now performed within the revamped PREPACQC -# processing); removed script variables no longer used by the new version -# of the PREPACQC processing; added new script variables which are -# associated with the new program PREPOBS_PREPACPF which now runs as a -# second program within the PREPACQC processing (after program -# PREPOBS_PREPACQC) in the ush script prepobs_prepacqc.sh {PROCESS_ACQC -# (def=YES), PROCESS_ACPF (def=YES), DICTPREP (def=$HOMEALL/dictionaries), -# DICT (def=$DICTPREP/metar.tbl), APFX (def=$EXECPREP/prepobs_prepacpf), -# and the new second argument "$DATA/adpsfc" passed to -# prepobs_prepacqc.sh} -# 2013-03-05 D.A. Keyser -- Modified to properly run on WCOSS system: replaced -# all usage of "timex" with "time -p."; replaced script variables -# XLFUNIT_n with FORTn (where n is the unit number connected to the -# filename defined by the variable FORTn) - needed because ifort uses -# FORTn; script is now set to run under ksh shell as the default; added -# script variable "BACK" which, when YES, threads the mp_prepdata herefile -# into background shells that run simultaneously (an alternative option -# to poe which is not ready on WCOSS); touches all dump files not included -# in BUFRLIST so that they will not cause a read error if PREPOBS_PREPDATA -# tries to read them -# 2014-01-15 S. Melchior -- Placed into new OBSPROC_PREP vertical directory -# structure/environmental equivalence paradigm. As a result: imports new -# environment variable $HOMEobsproc_prep which points to directory path for -# generic prep subdirectories under version control (in production this is -# normally /nwprod/obsproc_prep.vX.Y.Z where X.Y.Z is version number being -# used, usually the latest); and imports new environment variable -# $HOMEobsproc_network which points to directory path for network-specific -# prep subdirectories under version control (in production this is normally -# /nwprod//obsproc_NETWORK.vX.Y.Z where NETWORK is, e.g., global, namp, rap, -# rtma, urma, and X.Y.Z is version number being used, usually the latest) - -# these replace /nw${envir} in order to point to files moved from -# horizontal to vertical directory structure. -# 2014-02-25 D.A. Keyser -- Removed all references to RUC. Removed option -# to run on MACHINE=sgi - this is obsolete (as a result variables $MACHINE -# and $HOMEALL are no longer used in this script). Replaced variable -# $EXECUTIL with $utilexec for directory path to utility program ndate -# (both were exported from job scripts with same value, $EXECUTIL has now -# been removed from all job scripts). Removed all references to "cdc" -# network (this is obsolete). -# 2014-07-23 D.A. Keyser -- Imported script environment variable DICTPREP now -# defaults to new vertical structure directory path location for metar.tbl -# dictionary, /nw${envir}/decoders/decod_shared/dictionaries, rather than -# old horizontal structure location, /nw${envir}/dictionaries (the latter -# will be removed in September 2014). -# 2016-02-05 JWhiting -- Use NCO-established variables to point to root -# directories for main software components and input/output directories in -# order to run on WCOSS Phase 1 or Phase 2 (here, $COMROOT which replaces -# hardwire to "/com", $NWROOT which replaces hardwire to "/nwprod" in -# comments only). Use NCO-established variables (presumably obtained from -# modules) to point to prod utilities [here, $NDATE from module prod_util -# (default or specified version, loaded in each network which executes this -# script) which replaces executable ndate in non-versioned, horizontal -# structure utility directory path defined by imported variable $utilexec]. -# 2016-04-29 D.A. Keyser -- Updated logic such that when tropical cyclone -# relocation has not run, a first guess is required, the network is gfs or -# gdas, but the cycle time is not 00, 06, 12 or 18z, no attempt will be -# made to obtain a guess 3-hrs before and after cycle time (since it can -# fail). Instead this is treated the same as any 3- or 1-hrly cycle run -# (like rap, e.g.) meaning two guess files will be obtained at the -# spanning 3 hour interval around any cycle time not a multiple of 3 hrs. -# BENEFIT: Allows future hourly WAM model to run properly. -# 2016-07-12 D.C. Stokes -- Reinstated poe option to run multiple instances -# of the PREPDATA processing script in parallel. New variable $launcher -# defines the parallel scripting launch mechanism (description below). -# Added logic to create scaled down versions of err_chk and err_exit -# scripts if they don't exist in the working directory and eliminated -# similar blocks of logic that had been repeated throughout the script. -# Updated USHGETGES default to pick up more recent versions of getges.sh. -# 2017-02-07 D.C. Stokes -- Updated to run on Cray-XC40 as well as iDataPlex. -# If on Cray-XC40, default parallel scripting launching mechanism is cfp -# inovked by aprun. Variable name used for launching mechanism changed from -# "launcher" to "launcher_PREP". Variable COMDATEROOT is now the primary -# default for the root of the directory containing NCEP date files. The -# variable NWROOTp1 is now the default root for directory DICTPREP. Logic -# used to determine if $COMSP points to production "com" directory was -# updated to recognize full path name (as needed on luna/surge). -# 2017-03-19 D.C. Stokes/D.A. Keyser -- Updated to input nemsio atmopheric -# guess files -or- the older sigio atmospheric files. The nemsio option -# is triggered by flag NEMSIO_IN=.true. For nemsio runs, a single guess -# file valid at the prepbufr center time is picked up, even for runs with -# center time that is not a multiple of 3. Also the dbn_alert subtype is -# now dependent upon $RUN (for transition from "gdas1" to "gdas"). -# 2017-04-20 D.C. Stokes -- Relocated assignments of variable stype to ensure -# it always passes the proper value to the getges utility script. -# -# -# Usage: prepobs_makeprepbufr.sh yyyymmddhh -# -# Input script positional parameters: -# 1 String indicating the center date/time for the PREPBUFR -# processing - if missing, then this time -# is obtained from the ${COMDATEROOT}/date/$cycle file -# -# Imported Shell Variables: -# -# These must ALWAYS be exported to this script by the parent script -- -# -# COMROOT Root to input/output "com" directory (in production, -# normally "/com", "/com2", or "/gpfs/hps/nco/ops/com") -# NSPLIT Number of parts into which the PREPDATA processing shell -# script (herefile MP_PREPDATA) will be split in order to -# run in parallel for computational efficiency (either using -# multiple tasks when POE is not "NO" or in background threads -# when BACK is "YES") -# NOTE : This is required ONLY if the imported shell variable -# POE is not "NO" (see below) or the imported shell -# variable BACK is "YES" (see below) (i.e., a parallel -# environment), and the imported shell variable -# PREPDATA=YES (see below) -# NET String indicating system network {either "gfs", "gdas", -# "cdas", "nam", "rap", "rtma" or "urma"} -# NOTE : NET is changed to gdas in the parent Job script for -# RUN=gdas or RUN=gdas1 (was gfs) -# RUN String indicating model run {either "gfs", "gdas", "gdas1", -# "cdas", "nam", "ndas", "rap", "rap_p", "rap_e", -# "rtma", or "urma"} -# cycle String indicating the center cycle hour for PREPBUFR -# processing {"txxz", where xx is two-digit hour of the day -# (UTC)} -# NOTE : This is required ONLY if input script positional -# parameter 1 is missing (see above) -# DATA String indicating the working directory path (usually a -# temporary location) -# COMSP String indicating the directory/filename path to input BUFR -# observational data dumps, tropical cyclone location -# (tcvitals) files, global atmos guess files, and status -# files (e.g., "$COMROOT/gfs/prod/gfs.20060612/gfs.t12z.") -# DBNROOT String indicating directory path to bin/dbn_alert file -# location -# NOTE : This is required ONLY if the imported shell variable -# SENDDBN is "YES" (see below) -# job - String indicating job name (e.g., 'gdas_prep_12') -# NOTE : This is required ONLY if the imported shell variable -# SENDDBN is "YES" (see below) -# $HOMEobsproc_prep - string indicating directory path to generic prep -# subdirectories under version control -# (in production this is normally -# ${NWROOT}/obsproc_prep.vX.Y.Z where X.Y.Z is -# version number being used, usually the latest) -# $HOMEobsproc_network - string indicating directory path to network- -# specific prep subdirectories under version control -# (in production this is normally -# ${NWROOT}/obsproc_NETWORK.vX.Y.Z where NETWORK is, -# e.g., global, nam, rap, rtma, urma, and X.Y.Z is -# version number being used, usually the latest) -# -# These will be set to their default value in this script if not exported -# to this script by the parent script -- -# -# SITE Site name (may have been set by local shell startup script) -# Default is "" -# sys_tp System type and phase. If not imported, an attempt is made -# to set it using getsystem.pl (an NCO prod_util script). -# A failed attempt results in an empty string. -# NEMSIO_IN Flag that if ".true." indicates that nemsio atmospheric -# background fields will be input rather than sigio. -# Default is "" -# SENDDBN String indicating whether or not to alert an output file to -# the NWS/TOC (= "YES" - invoke alert; anything else - do not -# invoke alert) -# Default is "NO" -# NPROCS Number of "poe" tasks to use for mpmd (must be .GE. $NSPLIT) -# NOTE : This is applicable ONLY if the imported shell -# variable POE is not "NO" (see below) and variable -# launcher_PREP is not "cfp" or "aprun" (see below) and -# the imported shell variable PREPDATA=YES (see below) -# For LSF jobs, the count of hosts listed in string $LSB_HOSTS -# will be used to set NPROCS (overriding any imported value). -# Default is "$NSPLIT" -# envir String indicating environment under which job runs ('prod' -# or 'test') -# Default is "prod" -# envir_getges String indicating environment under which GETGES utility -# ush runs (see getges.sh docblock for more information) -# Default is "$envir" -# network_getges -# String indicating job network under which GETGES utility -# ush runs (see getges.sh docblock for more information) -# Default is "global" unless the center PREPBUFR processing -# date/time is not a multiple of 3-hrs and the global guess is -# sigio-based, then the default is "gfs" -# pgmout String indicating file containing standard output (output -# always contatenated onto this file) -# Default is "/dev/null" -# tstsp String indicating the directory/filename path to one or -# more BUFR observational data dumps and/or tropical cyclone -# location (tcvitals) files and/or global atmos guess files -# and/or status files that are to override the corresponding -# file in $COMSP (this should be imported with the same -# naming convention as $COMSP; e.g., -# "/gpfstmp/wx22dk/test_dump/ndas.20060612/ndas.t12z." - -# (if tstsp is not imported, the default is used and no -# overriding file would exist; if tstsp is imported then any -# file found would override the correspoding file in $COMSP) -# Default is "/tmp/null/" -# tmmark - string indicating hour for center PREPBUFR processing date/ -# time relative to the analysis time embedded in $tstsp or -# $COMSP (e.g., "tm12", "tm09", "tm06", "tm03", "tm00") -# Default is "tm00" -# BUFRLIST String indicating list of BUFR data dump file names to -# process -# Default is "adpupa proflr aircar aircft satwnd adpsfc \ -# sfcshp sfcbog vadwnd goesnd spssmi erscat qkswnd msonet \ -# gpsipw rassda wdsatr ascatw" -# POE String indicating whether or not to use a poe-like launcher -# to spread instances of the PREPBUFR processing herefile -# MP_PREPDATA over multiple pes in parallel. (= "NO" - -# do not invoke invoke "poe"; anything else - invoke "poe") -# Default is "YES" -# launcher_PREP Parallel scripting launch tool. Settings are in place for -# aprun, mpirun.lsf, and cfp but a different tool can be -# specified. -# NOTE : This is applicable ONLY if the imported shell -# variable POE is not "NO" and the imported shell -# variable PREPDATA=YES (see below) -# Default on Cray-XC40 is "aprun". Otherwise: "mpirun.lsf" -# BACK String indicating whether or not to run background shells -# (on the same task) for the PREPBUFR processing (= "YES" - -# run background shells; anything else - do not run -# background shells). IF BACK=YES on Cray-XC40, the shells -# are invoked by aprun. -# USHSYND String indicating directory path for SYNDATA ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHPREV String indicating directory path for PREVENTS ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHCQC String indicating directory path for CQCBUFR ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHPQC String indicating directory path for PROFCQC ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHVQC String indicating directory path for CQCVAD ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHAQC String indicating directory path for PREPACQC ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHOIQC String indicating directory path for OIQCBUFR ush file -# Default is "${HOMEobsproc_prep}/ush" -# EXECPREP String indicating directory path for PREPOBS executables -# Default is "${HOMEobsproc_prep}/exec" -# PARMPREP String indicating directory path for PREPOBS parm files -# Default is "${HOMEobsproc_network}/parm" -# FIXPREP String indicating directory path for PREPOBS fix-field -# files -# Default is "${HOMEobsproc_prep}/fix" -# DICTPREP String indicating directory path for PREPOBS dictionary -# files -# Default is "${NWROOTp1}/decoders/decod_shared/dictionaries" -# EXECSYND String indicating directory path for SYNTHETIC data -# executables -# Default is "${HOMEobsproc_prep}/exec" -# PARMSYND String indicating directory path for SYNTHETIC parm files -# Default is "${HOMEobsproc_network}/parm" -# FIXSYND String indicating directory path for SYNTHETIC data fix- -# field files -# Default is "${HOMEobsproc_prep}/fix" -# GETGUESS String: if = "YES" will encode first guess (background) -# values interpolated by the program PREPOBS_PREPDATA to -# observation locations in the PREPBUFR file for use by the -# q.c. programs. This guess is always from a global atmos -# guess file valid at the center PREPBUFR processing date/ -# time or from an interpolated guess obtained from global -# atmos guess files valid at times 3-hours apart which span -# the PREPBUFR processing date/time (the latter is performed -# by the program PREPOBS_PREPDATA and occurs when the guess -# files are sigio-based and the PREPBUFR date/time hour is not -# a multiple of 3, e.g. 02Z rap or tm04 nam catchup runs). The -# guess file (or files) may be obtained in one of two ways: -# 1) From pre-existing files in the working directory -# $DATA called sgesprep and sgesprepA (either copied -# there prior to the execution of this script, or -# copied there earlier in this script from either -# $tstsp, or if not found there, $COMSP which was -# populated by the previous running of tropical -# cyclone relocation processing -# NOTE 1: sgesprepA is needed only when the guess is -# sigio-based and the PREPBUFR processing -# date/time is not a multiple of 3-hrs. -# NOTE 2: if previous tropical cyclone relocation -# processing was run, then an sgesprepA file -# is NEVER generated, not a problem since -# previous tropical cyclone relocation -# processing is not run in rap, rap_p or -# rap_e runs -# 2) Via the execution of the GETGES utility ush to -# obtain sgesprep (if pre-existing file $DATA/sgesprep -# does not exist), and possibly via the execution of -# the GETGES utility ush to obtain sgesprepA (if -# PREPBUFR processing date/time is not a multiple of -# 3-hrs and the global guess is sigio-based, and the -# pre-existing file $DATA/sgesprepA does not exist) -# Default is "YES" -# NOTE: If GETGUESS=NO, then the program PREPOBS_PREPDATA -# will NOT call w3emc routine GBLEVENTS to perform -# "prevents" processing -# PREPDATA String: if = "YES" will perform PREPDATA processing -# (in either a parallel or serial environment depending upon -# the values for POE and BACK) -# Default is "YES" -# SYNDATA String: if = "YES" will attempt to perform synthetic bogus -# processing (generation of synthetic bogus winds to be -# appended to PREPBUFR file and, possibly, flagging of mass -# pressure data "near" storms; and, possibly, flagging of -# dropwinsonde wind data "near" storms) -# Default is "YES" -# DO_QC String: if = "YES" will perform quality control -# Default is "YES" -# PREVENTS String: if = "YES" will encode background and obs. errors -# into PREPBUFR file (usually this should be "NO" since the -# programs PREPOBS_PREPDATA and SYNDAT_SYNDATA normally are -# set to perform this function) -# NOTE: Only invoked if DO_QC=YES -# Default is "NO" -# CQCBUFR String: if = "YES" will complex quality control radiosonde -# data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# PROFCQC String: if = "YES" will quality control wind profiler data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# CQCVAD String: if = "YES" will quality control VAD wind data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# PREPACQC String: if = "YES" will quality control aircraft data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# PROCESS_ACQC String: if = "YES" will execute PREPOBS_PREPACQC program as -# part of PREPACQC processing -# NOTE: Only invoked if PREPACQC=YES -# Default is "YES" -# PROCESS_ACPF String: if = "YES" will execute PREPOBS_PREPACPF program as -# part of PREPACQC processing -# NOTE: Only invoked if PREPACQC=YES -# Default is "YES" -# OIQCBUFR String: if = "YES" will perform final oi-based quality -# control on all data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# MPCOPYX String indicating executable path for PREPOBS_MPCOPYBUFR -# program -# Default is "$EXECPREP/prepobs_mpcopybufr" -# PRPX String indicating executable path for PREPOBS_PREPDATA -# program -# Default is "$EXECPREP/prepobs_prepdata" -# errPREPDATA_limit -# String indicating the highest allowed foreground exit -# status for program PREPOBS_PREPDATA (any exit status higher -# than this is considered a failure) -# (Note: errPREPDATA_limit=1 is ALWAYS considered a FAILURE) -# Default is "0" -# PRPC String indicating data card path for PREPOBS_PREPDATA -# program -# Default is "$PARMPREP/prepobs_prepdata.${NET}.parm" -# PRPT String indicating bufrtable file path for PREPOBS_PREPDATA -# program -# Default is "$FIXPREP/prepobs_prep.bufrtable" -# LANDC String indicating land/sea mask file path for -# PREPOBS_PREPDATA program -# Default is "$FIXPREP/prepobs_landc" -# PRVT String indicating observational error table file path for -# PREPOBS_PREPDATA, SYNDAT_SYNDATA and PREPOBS_PREVENTS -# programs (used by GBLEVENTS subroutine) -# NOTE: Only read by gdas, gfs, cdas and nam networks -# If imported "NET=gdas" or "NET=gfs", default is -# "$HOMEobproc_network/fix/prepobs_errtable.global"; -# if imported "NET=cdas", default is -# "$HOMEobsproc_network/fix/prepobs_errtable.cdas"; -# if imported "NET=nam", default is -# "$HOMEobsproc_network/fix/prepobs_errtable.nam" -# otherwise, default is "$DATA/scratch.PRVT" a null file -# LISTHDX String indicating executable path for PREPOBS_LISTHEADERS -# program -# Default is "$EXECPREP/prepobs_listheaders" -# MONOBFRX String indicating executable path for PREPOBS_MONOPREPBUFR -# program -# Default is "$EXECPREP/prepobs_monoprepbufr" -# SYNDX String indicating executable path for SYNDAT_SYNDATA -# program -# Default is "$EXECSYND/syndat_syndata" -# SYNDC String indicating data card path for SYNDAT_SYNDATA program -# Default is "$PARMSYND/syndat_syndata.${NET}.parm" -# PREX String indicating executable path for PREPOBS_PREVENTS -# program -# Default is "$EXECPREP/prepobs_prevents" -# PREC String indicating data card path for PREPOBS_PREVENTS -# program -# Default is "$PARMPREP/prepobs_prevents.${NET}.parm" -# AQCX String indicating executable path for PREPOBS_PREPACQC -# program -# Default is "$EXECPREP/prepobs_prepacqc" -# AQCC String indicating data card path for PREPOBS_PREPACQC -# program -# Default is "$PARMPREP/prepobs_prepacqc.${NET}.parm" -# APFX String indicating executable path for PREPOBS_PREPACPF -# program -# Default is "$EXECPREP/prepobs_prepacpf" -# DICT String indicating METAR station dictionary path for -# PREPOBS_PREPACPF program -# Default is "$DICTPREP/metar.tbl" -# PQCX String indicating executable path for PREPOBS_PROFCQC -# program -# Default is "$EXECPREP/prepobs_profcqc" -# PQCC String indicating data card path for PREPOBS_PROFCQC -# program -# Default is "$PARMPREP/prepobs_profcqc.${NET}.parm" -# VQCX String indicating executable path for PREPOBS_CQCVAD -# program -# Default is "$EXECPREP/prepobs_cqcvad" -# CQCX String indicating executable path for PREPOBS_CQCBUFR -# program -# Default is "$EXECPREP/prepobs_cqcbufr" -# CQCC String indicating data card path for PREPOBS_CQCBUFR -# program -# Default is "$PARMPREP/prepobs_cqcbufr.${NET}.parm" -# CQCS String indicating statbge path for PREPOBS_CQCBUFR program -# Default is "$FIXPREP/prepobs_cqc_statbge" -# OIQCX String indicating executable path for PREPOBS_OIQCBUFR -# program -# Default is "$EXECPREP/prepobs_oiqcbufr" -# OIQCT String indicating observational error table file path for -# PREPOBS_OIQCBUFR program -# NOTE: If imported "NET=cdas", default is -# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas"; -# otherwise default is -# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs" -# -# These do not have to be exported to this script. If they are, they will -# be used by the script. If they are not, they will be skipped -# over by the script. -# -# PREPBUFR_APP String indicating path to output PREPBUFR file for -# PREPOBS_PREPDATA program. -# If present and POE is "NO" and BACK is not "YES" (i.e., a -# serial environment), PREPOBS_PREPDATA will append all -# output BUFR messages to a copy of this file (prepda) in -# the current working directory, using the internal BUFR -# mnemonic table in the first several BUFR messages at the -# top of the file -# NOTE 1: In this case, it is assumed the the switch APPEND -# is set to TRUE in the parm cards $PRPC (careful, -# if APPEND is FALSE, the original copy of -# $PREPBUFR_APP will be wiped out and the case below -# will occur) -# NOTE 2: When POE is not "NO" or BACK is "YES" (i.e., a -# parallel environment), appending makes no sense -# because the original output PREPBUFR file is -# monolithic -# If not present or POE is not "NO" or BACK is "YES" (i.e., a -# parallel environment), PREPOBS_PREPDATA will write all -# output BUFR messages to a new file (prepda) in the current -# working directory using the external BUFR mnemonic table -# in the file $PRPT -# NOTE 3: In this case, it is assumed the the switch APPEND -# is set to FALSE in the parm cards $PRPC (careful, -# if APPEND is TRUE, PREPOBS_PREPDATA will abort -# because the original empty PREPBUFR file has no -# internal BUFR mnemonic table) -# PREPBUFR_IN String indicating path to input PREPBUFR file -# If present, this file will be used by SYNDAT_SYNDATA (if -# SYNDATA=YES - see @ below) and by all applicable Q.C. -# programs (set to to be invoked here) rather than the -# PREPBUFR file generated in this script by PREPOBS_PREPDATA -# (normally this would be used when PREPDATA=NO) -# @ - if the PREPBUFR_IN target file is obtained from -# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, -# then for all runs on and after 12Z 25 Jan 2005, -# SYNDATA should be NO because the target files -# will already contain synthetic bogus data; -# if the PREPBUFR_IN target file is obtained from -# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, -# then for all runs prior to 12Z 25 Jan 2005, -# SYNDATA should be YES because the target files -# will not have contain synthetic bogus data. -# If not present, then the PREPBUFR file generated in this -# script by PREPOBS_PREPDATA and possibly appended to by -# SYNDAT_SYNDATA is passed on as input to all applicable -# Q.C. programs -# jlogfile String indicating path to joblog file -# -# These do not have be exported to this script. -# -# COMDATEROOT Primary default for the root of the directory containing -# produciton date files. -# -# NWROOTp1 Root directory for production software on WCOSS Phase 1. -# -# USHGETGES String indicating directory path for GETGES utility script. -# Default is $HOMEobsproc_prep/ush. -# -# GETGESprep GETGES utility script. If NEMSIO_IN=.true., defaults to: -# $USHGETGES/getges.sh -# otherwise, defaults to: -# $USHGETGES/getges_sig.sh -# -# PREPDATAtpn Tasks per node when invoking cfp on Cray-XC40. Will be -# computed if needed but was not imported. -# -# These do not have to be exported to this script. If they are, they will -# be passed on to the script $USHCQC/prepobs_cqcbufr.sh. They are not used -# by this script. -# -# PRPI_m24 See documentation in $USHCQC/prepobs_cqcbufr.sh -# PRPI_m12 See documentation in $USHCQC/prepobs_cqcbufr.sh -# PRPI_p12 See documentation in $USHCQC/prepobs_cqcbufr.sh -# PRPI_p24 See documentation in $USHCQC/prepobs_cqcbufr.sh -# -# Exported Shell Variables: -# CDATE10 String indicating the center date/time for the PREPBUFR -# processing -# SGES Either ... -# 1) String indicating the full path name for global -# sigio-based or nemsio-based guess file valid at the -# center PREPBUFR processing date/time (in which case the -# center PREPBUFR processing date/time is a multiple of -# 3-hrs, or for any PREPBUFR center hour if global guess -# is nemsio-based) - This guess file will be encoded -# into the PREPBUFR file for use by the q.c. programs. -# -- or -- -# 2) String indicating the full path name for the global -# atmosperic guess file valid at the nearest cycle time -# prior to the center PREPBUFR processing date/time which -# is a multiple of 3 (in which case the center PREPBUFR -# processing date/time is not a multiple of 3-hrs and the -# global guess is sigio-based) - A linear interpolation -# (of the spectal coefficients) between this file and the -# guess file indicated by SGESA case 2 below will be -# performed by program PREPOBS_PREPDATA and encoded into -# the PREPBUFR file for use by the q.c. programs. The -# SGES file is always from the GFS in this case. -# NOTE 1: Only case 1 above is valid when tropical cyclone -# relocation processing previously occurred. -# NOTE 2: Case 2 above is necessary because the w3emc lib -# routine gblevents called by PREPOBS_PREPDATA -# expects that sigio-based guess files will only -# have valid hours which are a multiple of 3 -# NOTE 3: Only case 1 above is valid when global guess is -# nemsio-based. -# SGESA Either ... -# 1) String set to "/dev/null" for case 1 of SGES above -# (default) -# -- or -- -# 2) String indicating the full path name for the global -# sigma guess file valid at the nearest cycle time after -# the center PREPBUFR processing/date time which is a -# multiple of 3 for case 2 of SGES above - A linear -# interpolation (of the spectal coefficients) between -# this guess file and the guess file indicated by SGES -# above (see case 2 for SGES) will be performed by the -# program PREPOBS_PREPDATA and encoded into the PREPBUFR -# file for use by the q.c. programs. The SGESA file is -# always from the GFS in this case and its forecast hour -# is 3-hrs later than the SGES file (thus both initiate -# at the same time). -# NOTE 1: Only case 1 above is valid when tropical cyclone -# relocation processing previously occurred. -# NOTE 2: Case 2 above is necessary because the w3emc lib -# routine gblevents called by PREPOBS_PREPDATA -# expects that sigio-based guess files will only -# have valid hours which are a multiple of 3 -# NOTE 3: Only case 1 above is valid when global guess is -# nemsio-based. -# -# -# Modules and files referenced: -# herefiles : $DATA/MP_PREPDATA -# $DATA/MERGE_MSGS -# scripts : $USHGETGES/getges.sh -# $USHGETGES/getges_sig.sh -# $USHSYND/prepobs_syndata.sh -# $USHPREV/prepobs_prevents.sh -# $USHCQC/prepobs_cqcbufr.sh -# $USHPQC/prepobs_profcqc.sh -# $USHVQC/prepobs_cqcvad.sh -# $USHAQC/prepobs_prepacqc.sh -# $USHOIQC/prepobs_oiqcbufr.sh -# $DATA/postmsg (required ONLY if "$jlogfile" is present) -# $DATA/prep_step {here and by referenced script(s)} -# $DATA/err_exit -# $DATA/err_chk {here and by referenced script(s)} -# (NOTE: The last three scripts above are NOT REQUIRED -# utilities. If $DATA/prep_step not found, a scaled down -# version of it is executed in-line. If $DATA/err_exit -# or $DATA/err_chk are not found, scaled down versions, -# created in-line, are executed. -# executables: $NDATE (from prod_util module) -# programs : -# PREPOBS_MPCOPYBUFR - executable: $MPCOPYX -# PREPOBS_PREPDATA - executable: $PRPX -# land/sea mask: $LANDC -# bufr mnemonic user table: $PRPT -# obs. error table: $PRVT -# data cards: $PRPC -# PREPOBS_LISTHEADERS - executable: $LISTHDX -# PREPOBS_MONOPREPBUFR - executable: $MONOBFRX -# SYNDAT_SYNDATA - executable: $SYNDX -# T126 gaussian land/sea mask: -# $FIXSYND/syndat_syndata.slmask.t126.gaussian -# weights: $FIXSYND/syndat_weight -# obs. error table: $PRVT -# data cards: $SYNDC -# PREPOBS_PREVENTS - executable: $PREX -# obs. error table: $PRVT -# data cards: $PREC -# PREPOBS_PREPACQC - executable: $AQCX -# data cards: $AQCC -# PREPOBS_PREPACPF - executable: $APFX -# dictionary: $DICT -# PREPOBS_PROFCQC - executable: $PQCX -# data cards: $PQCC -# PREPOBS_CQCVAD - executable: $VQCX -# PREPOBS_CQCBUFR - executable: $CQCX -# data cards: $CQCC -# PREPOBS_OIQCBUFR - executable: $OIQCX -# obs. error table: $OIQCT -# -# Remarks: -# -# Condition codes -# 0 - no problem encountered -# >0 - some problem encountered -# -# Attributes: -# Language: Korn shell under linux -# Machine: NCEP WCOSS -# -#### - -set -aux - -NEMSIO_IN=${NEMSIO_IN:=""} -jlogfile=${jlogfile:=""} -SENDDBN=${SENDDBN:-NO} - -if [ ! -d $DATA ] ; then mkdir -p $DATA ;fi - -cd $DATA - -qid=$$ - -##################################################### -##################################################### -# create error check and exit utilities if necessary. -# (as may be the case for some developer runs) -##################################################### - -if [ ! -x $DATA/err_exit ]; then -cat <<\EOFerrexit > $DATA/err_exit - set -x - if [ -n "$LSB_JOBID" ]; then - bkill $LSB_JOBID - sleep 60 - date - else - set -e - kill -n 9 $qid - fi - exit 7 # for extra measure -EOFerrexit -chmod 775 $DATA/err_exit -fi - -if [ ! -x $DATA/err_chk ]; then -cat <<\EOFerrchk > $DATA/err_chk - set -x - if [ "$err" != '0' ]; then - $DATA/err_exit - fi -EOFerrchk -chmod 775 $DATA/err_chk -fi - -##################################################### -##################################################### - - -# determine local system name and type if available -# ------------------------------------------------- -SITE=${SITE:-""} -sys_tp=${sys_tp:-$(getsystem.pl -tp)} -getsystp_err=$? -if [ $getsystp_err -ne 0 ]; then - msg="***WARNING: error using getsystem.pl to determine system type and phase" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" -fi -echo sys_tp is set to: $sys_tp - -#------------------------------------------------------------------------------- - -# obtain the center date/time for PREPBUFR processing -# --------------------------------------------------- - -if [ $# -ne 1 ] ; then - cp ${COMDATEROOT:-$COMROOT}/date/$cycle ncepdate - err0=$? - CDATE10=`cut -c7-16 ncepdate` -else - CDATE10=$1 - if [ "${#CDATE10}" -ne '10' ]; then - err0=1 - else - cycle=t`echo $CDATE10|cut -c9-10`z - err0=0 - fi -fi - -if test $err0 -ne 0 -then -# problem with obtaining date record so exit - set +x - echo - echo "problem with obtaining date record;" - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure -fi - -cyc=`echo $CDATE10|cut -c9-10` -modhr=`expr $cyc % 3` - -set +x -echo -echo "CENTER DATE/TIME FOR PREPBUFR PROCESSING IS $CDATE10" -echo -set -x - -#---------------------------------------------------------------------------- - -# Create variables needed for this script and its children -# -------------------------------------------------------- - -envir=${envir:-prod} - -envir_getges=${envir_getges:-$envir} -if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then - network_getges=${network_getges:-global} -else - network_getges=${network_getges:-gfs} -fi - -pgmout=${pgmout:-/dev/null} - -tstsp=${tstsp:-/tmp/null/} -tmmark=${tmmark:-tm00} - -BUFRLIST=${BUFRLIST:-"adpupa proflr aircar aircft satwnd adpsfc sfcshp \ - sfcbog vadwnd goesnd spssmi erscat qkswnd msonet gpsipw rassda wdsatr \ - ascatw"} - -PREPDATA=${PREPDATA:-YES} - -if [ "$PREPDATA" != 'YES' ] ; then - POE=NO - BACK=NO -else - set +u - [ -z "$POE" -a "$BACK" = 'YES' ] && POE=NO - POE=${POE:-YES} - if [ "$POE" != 'NO' -a "$BACK" = 'YES' ]; then - set -u - set +x -echo -echo "YOU have set both POE and BACK to YES - choose one or the other!!" -echo "Defaults are POE=YES and BACK=NO, as is preferable for WCOSS." -echo - set -x - exit 99 - fi - BACK=${BACK:-NO} - PARALLEL=NO - [ "$POE" != 'NO' -o "$BACK" = 'YES' ] && PARALLEL=YES - if [ "$POE" != 'NO' ] ; then - if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - launcher_PREP=${launcher_PREP:-aprun} - else - launcher_PREP=${launcher_PREP:-mpirun.lsf} - fi - if [ "$launcher_PREP" != 'cfp' -a "$launcher_PREP" != aprun ]; then - if [ -n ${LSB_HOSTS:-""} ]; then - NPROCS=$(echo $LSB_HOSTS|wc -w) - set +x; echo "Setting NPROCS based on LSB_HOSTS count"; set -x - else - NPROCS=${NPROCS:-$NSPLIT} - fi - if [ $NPROCS -lt $NSPLIT ]; then - set +x -echo "********************************************************************" -echo " P R O B L E M ! ! ! " -echo "********************************************************************" -echo " NPROCS=$NPROCS IS NOT SUFFICIENT FOR NSPLIT=$NSPLIT " -echo " NPROCS must be greater than NSPLIT when using a " -echo " parallel processing launcher other than cfp " -echo "********************************************************************" - set -x - msg="***FATAL ERROR: Insufficient NPROCS for NSPLIT=$NSPLIT" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - $DATA/err_exit - exit 55 # for extra measure - fi - fi - elif [ "$BACK" = 'YES' ] ; then - NPROCS=$NSPLIT - fi -# fi for PREPDATA != YES -fi - -USHSYND=${USHSYND:-${HOMEobsproc_prep}/ush} -USHPREV=${USHPREV:-${HOMEobsproc_prep}/ush} -USHCQC=${USHCQC:-${HOMEobsproc_prep}/ush} -USHPQC=${USHPQC:-${HOMEobsproc_prep}/ush} -USHVQC=${USHVQC:-${HOMEobsproc_prep}/ush} -USHAQC=${USHAQC:-${HOMEobsproc_prep}/ush} -USHOIQC=${USHOIQC:-${HOMEobsproc_prep}/ush} - -EXECPREP=${EXECPREP:-${HOMEobsproc_prep}/exec} -PARMPREP=${PARMPREP:-${HOMEobsproc_network}/parm} -FIXPREP=${FIXPREP:-${HOMEobsproc_prep}/fix} -DICTPREP=${DICTPREP:-${NWROOTp1}/decoders/decod_shared/dictionaries} - -EXECSYND=${EXECSYND:-${HOMEobsproc_prep}/exec} -PARMSYND=${PARMSYND:-${HOMEobsproc_network}/parm} -FIXSYND=${FIXSYND:-${HOMEobsproc_prep}/fix} - -GETGUESS=${GETGUESS:-YES} -if [ "$GETGUESS" = 'YES' ]; then - USHGETGES=${USHGETGES:-${HOMEobsproc_prep}/ush} - if [ "$NEMSIO_IN" = .true. ]; then - GETGESprep=${GETGESprep:-$USHGETGES/getges.sh} - else - GETGESprep=${GETGESprep:-$USHGETGES/getges_sig.sh} - fi -fi - -PREPDATA=${PREPDATA:-YES} - -SYNDATA=${SYNDATA:-YES} - -DO_QC=${DO_QC:-YES} - -PREVENTS=${PREVENTS:-NO} -CQCBUFR=${CQCBUFR:-YES} -PROFCQC=${PROFCQC:-YES} -CQCVAD=${CQCVAD:-YES} -PREPACQC=${PREPACQC:-YES} -PROCESS_ACQC=${PROCESS_ACQC:-YES} -PROCESS_ACPF=${PROCESS_ACPF:-YES} -OIQCBUFR=${OIQCBUFR:-YES} - -MPCOPYX=${MPCOPYX:-$EXECPREP/prepobs_mpcopybufr} -PRPX=${PRPX:-$EXECPREP/prepobs_prepdata} -errPREPDATA_limit=${errPREPDATA_limit:-0} -PRPC=${PRPC:-$PARMPREP/prepobs_prepdata.${NET}.parm} -PRPT=${PRPT:-$FIXPREP/prepobs_prep.bufrtable} -cp $PRPT prep.bufrtable -LANDC=${LANDC:-$FIXPREP/prepobs_landc} -if [ "$NET" = 'gdas' -o "$NET" = 'gfs' ]; then - PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.global} -elif [ "$NET" = 'cdas' ]; then - PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.cdas} -elif [ "$NET" = 'nam' ]; then - PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.nam} -else - cp /dev/null $DATA/scratch.PRVT - PRVT=${PRVT:-$DATA/scratch.PRVT} -fi -LISTHDX=${LISTHDX:-$EXECPREP/prepobs_listheaders} -MONOBFRX=${MONOBFRX:-$EXECPREP/prepobs_monoprepbufr} -SYNDX=${SYNDX:-$EXECSYND/syndat_syndata} -SYNDC=${SYNDC:-$PARMSYND/syndat_syndata.${NET}.parm} -PREX=${PREX:-$EXECPREP/prepobs_prevents} -PREC=${PREC:-$PARMPREP/prepobs_prevents.${NET}.parm} -AQCX=${AQCX:-$EXECPREP/prepobs_prepacqc} -AQCC=${AQCC:-$PARMPREP/prepobs_prepacqc.${NET}.parm} -APFX=${APFX:-$EXECPREP/prepobs_prepacpf} -DICT=${DICT:-$DICTPREP/metar.tbl} -PQCX=${PQCX:-$EXECPREP/prepobs_profcqc} -PQCC=${PQCC:-$PARMPREP/prepobs_profcqc.${NET}.parm} -VQCX=${VQCX:-$EXECPREP/prepobs_cqcvad} -CQCX=${CQCX:-$EXECPREP/prepobs_cqcbufr} -CQCC=${CQCC:-$PARMPREP/prepobs_cqcbufr.${NET}.parm} -CQCS=${CQCS:-$FIXPREP/prepobs_cqc_statbge} -OIQCX=${OIQCX:-$EXECPREP/prepobs_oiqcbufr} -if [ "$NET" = 'cdas' ]; then - OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas} -else - OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs} -fi -TIMEIT=${TIMEIT:-""} -[ -s $DATA/time ] && TIMEIT="$DATA/time -p" - - -# See if tropical cyclone relocation previously ran for this network and cycle -# by checking for status file in first in $tstsp, and if not found there, -# then in $COMSP -# ---------------------------------------------------------------------------- - -relo_rec=no # this will remain no even if relocation run, in the event it did - # not process an tropical cyclone records -if [ -s ${tstsp}tropcy_relocation_status.$tmmark ]; then - RELOCATION_HAS_RUN=YES - msg="Tropical cyclone RELOCATION RAN prior to this job - \ -`cat ${tstsp}tropcy_relocation_status.$tmmark`" - [ "`cat ${tstsp}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ - && relo_rec=yes -elif [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then - RELOCATION_HAS_RUN=YES - msg="Tropical cyclone RELOCATION RAN prior to this job - \ -`cat ${COMSP}tropcy_relocation_status.$tmmark`" - [ "`cat ${COMSP}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ - && relo_rec=yes -else - RELOCATION_HAS_RUN=NO - msg="Tropical cyclone RELOCATION did NOT run prior to this job" -fi -[ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - -if [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then - if [ "$SENDDBN" = "YES" ]; then - if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then - RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) - $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job \ - ${COMSP}tropcy_relocation_status.$tmmark - fi - fi -fi - -if [ "$RELOCATION_HAS_RUN" != 'YES' -a "$GETGUESS" != 'NO' ]; then - - if [ $cyc = 00 -o $cyc = 06 -o $cyc = 12 -o $cyc = 18 ]; then - -# The GFS and GDAS networks at 00, 06, 12 and 18z will get the t-3 and t+3 -# atmos guess files here since they are needed by the GSI even if tropical -# cyclone relocation was not previously performed (RELOCATION_HAS_RUN=NO) -# (NOTE 1: Normally RELOCATION_HAS_RUN=YES for these networks) -# (NOTE 2: If RELOCATION_HAS_RUN=YES, the t-3 and t+3 atmos guess files have -# already been obtained for all networks including the GFS and GDAS) -# (NOTE 3: This is not done if GETGUESS is NO) -# - - if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then - for fhr in -3 +3 ;do - if [ "$NEMSIO_IN" = .true. ]; then - if [ $fhr = "-3" ] ; then - sges=sgm3prep - stype=natgm3 - echo $sges - else - sges=sgp3prep - stype=natgp3 - echo $sges - fi - else - if [ $fhr = "-3" ] ; then - sges=sgm3prep - stype=siggm3 - echo $sges - else - sges=sgp3prep - stype=siggp3 - echo $sges - fi - fi - if [ ! -s $sges ]; then - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Tropical cylone relocation HAS NOT previously run" -echo " Get global atmospheric GUESS valid for $fhr hrs relative to center" -echo " PREPBUFR processing date/time" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - $GETGESprep -e $envir_getges -n $network_getges \ - -v $CDATE10 -t $stype $sges - errges=$? - if test $errges -ne 0; then -# problem obtaining global atmospheric first guess so exit - set +x - echo - echo "problem obtaining global atmos guess valid $fhr hrs \ -relative to center PREPBUFR date/time;" - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - set +x - echo -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - fi - done - fi - fi - -elif [ "$RELOCATION_HAS_RUN" = 'YES' ]; then - -# If Tropical cyclone relocation previously ran for this network and cycle -# copy the t-3, t+0 and t+3 atmos guess files and the tcvitals_relocate file -# from either $tstsp or, if not found there, $COMSP to working directory -# (Note: tcvitals_relocate file can be empty, but it must exist) -# -------------------------------------------------------------------------- - - qual_last=".$tmmark" # need this because gfs and gdas don't add $tmmark - # qualifier to end of output atmos guess files - [ $NET = gfs -o $NET = gdas ] && qual_last="" - for file in sgm3prep sgesprep sgp3prep tcvitals.relocate.$tmmark; do - case $file in - tcvitals.relocate.$tmmark) infile=$file; qual_last="";; # already has $tmmark at end - sgm3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgm3.nemsio;else infile=$file;fi;; - sgesprep) if [ "$NEMSIO_IN" = .true. ];then infile=atmges.nemsio;else infile=$file;fi;; - sgp3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgp3.nemsio;else infile=$file;fi;; - esac - if [ -s ${tstsp}${infile}${qual_last} ]; then - cp ${tstsp}${infile}${qual_last} $file - continue - elif [ -s ${COMSP}${infile}${qual_last} ]; then - cp ${COMSP}${infile}${qual_last} $file - continue - else - if [ $file = tcvitals.relocate.$tmmark ]; then - if [ -f ${tstsp}$file ]; then - > $file - continue - elif [ -f ${COMSP}$file ]; then - > $file - continue - fi - fi - fi -# either t-3,t+0 or t+3 atmos guess file or the tcvitals_relocate file not -# found in expected location so exit - set +x - echo - echo "$file file not found in expected location where it should have \ -populated by earlier tropical cyclone relocation processing" - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - done - cp tcvitals.relocate.$tmmark tcvitals - if [ $relo_rec = yes ]; then # come here if relocation ran and processed - # 1 or more records, means it updated - # sgesprep - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" -echo " Global atmospheric GUESS valid for 0 hrs relative to center" -echo " PREPBUFR processing date/time was generated by" -echo " previous tropical cyclone relocation processing" -echo " It will be encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - else # come here if relocation ran but did not - # process any records, means it did not update - # sgesprep (sgesprep obtained via getges used) - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" -echo " Global atmospheric GUESS valid for 0 hrs relative to center" -echo " PREPBUFR processing date/time was obtained via GETGES" -echo " It will be encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - fi - -fi - -############################################################################### -# POSSIBLY OBTAIN GBL ATMOS GUESS FILE(S) FOR LATER ENCODING INTO PREPBUFR FILE -############################################################################### - -if [ "$PREPDATA" = 'YES' -o "$SYNDATA" = 'YES' -o "$PREVENTS" = 'YES' ]; then - - SGES=/dev/null - SGESA=/dev/null - > sgesprep_pathname - > sgesprepA_pathname - - if [ "$GETGUESS" != 'NO' ]; then - -# Either ... -# If the global background guess will be nemsio-based -OR- if the global -# background guess will be sigio-based and the center PREPBUFR processing -# date/time is a multiple of 3-hrs, then get a global atmospheric guess valid -# at the center PREPBUFR processing date/time - this will be interpolated to -# observation locations by PREPDATA and encoded into the PREPBUFR file for -# use by the q.c. programs; if a non-zero length file sgesprep exists in the -# working directory, then this guess is used - otherwise: the GETGES utility -# is executed to obtain the global atmospheric guess file here -# -# (NOTE 1: a pre-existing sgesprep file in the working directory at this -# point was either: -# copied there prior to the execution of this script -# or -# copied there earlier in this script from either $tstsp, or if -# not found there, $COMSP which was populated by the previous -# running of tropical cyclone relocation processing -# (NOTE 2: If imported variable GETGUESS=NO, then bypass this step - a -# global atmos guess valid at center PREPBUFR time is not obtained) -# -# -- or -- -# -# (AND THIS APPLIES ONLY TO A GLOBAL SIGIO-BASED GUESS!!) -# -# If center PREPBUFR processing date/time is not a multiple of 3-hrs -AND- -# global guess is sigio-based, then get a global sigma guess valid at the -# nearest cycle time prior to the center PREPBUFR processing date/time which -# is a multiple of 3, then get a global sigma guess valid at the nearest -# cycle time after the center PREPBUFR processing date/time which is a -# multiple of 3 - the spectral coefficients will be linearly interpolated to -# the center PREPBUFR processing date/time by the program PREPOBS_PREPDATA -# and this guess will then be interpolated to observation locations (again by -# the program PREPOBS_PREPDATA) and encoded into the PREPBUFR file for use by -# the q.c. programs; if a non-zero length file sgesprep exists in the working -# directory, then this guess is used for time prior to the center PREPBUFR -# processing date/time - otherwise: the utility ush GETGES is executed to -# obtain the global atmos guess file here (will always be from GFS network); -# -# likewise if a non-zero length file sgesprepA exists in the working -# directory, then this guess is used for time after the center PREPBUFR -# processing date/time - otherwise: the utility ush GETGES is executed to -# obtain the global atmos guess file here (will always be from the GFS -# network and initiate at the same time as the guess file valid prior to the -# PREPBUFR processing date/time) -# -# (NOTE 1: a pre-existing sgesprep file in the working directory at this -# point was either: -# copied there prior to the execution of this script -# or -# copied there earlier in this script from either $tstsp, or if -# not found there, $COMSP which was populated by the previous -# running of tropical cyclone relocation processing -# (NOTE 2: a pre-existing sgesprepA file in the working directory at this -# point was copied there prior to the execution of this script - -# it could not have been copied from either $tstsp or $COMSP -# because previous tropical cyclone relocation processing can run -# only when the center tropical cyclone relocation (or PREPBUFR) -# processing date/time is a multiple of 3) -# (NOTE 3: this case is necessary because the gblevents subroutine used to -# add background forecast values to the prepbufr file expects sigio- -# based files to be valid only at hours that are a multiple of 3) -# (NOTE 4: if imported variable GETGUESS=NO, then bypass this step - a -# global atmos guess valid at center PREPBUFR time is not obtained) -# ---------------------------------------------------------------------- - - for sfx in "" A; do - if [ ! -s sgesprep${sfx} ]; then - fhr=any - if [ "$NEMSIO_IN" = .true. ]; then - dhr=0 - stype=natges - else - dhr=`expr 0 - $modhr` - stype=sigges - fi - if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then - [ "$sfx" = 'A' ] && break - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Either center PREPBUFR processing date/time is a multiple of 3-hrs" -echo " -OR-" -echo " global guess is nemsio-based" -echo " Use GETGES to get global sigio-based or nemsio-based GUESS valid for" -echo " 0 hrs relative to center PREPBUFR processing date/time" -echo " Will be encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - else - if [ "$sfx" = 'A' ]; then - typeset -Z2 fhr - fhr=`awk -F"sf" '{print$2}' sgesprep_pathname | cut -c1-2` - fhr=`expr $fhr + 03` - dhr=`expr 3 - $modhr` - fi - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Center PREPBUFR processing date/time is not a multiple of 3-hrs" - if [ "$sfx" != 'A' ]; then -echo " Get global atmos GUESS valid at the nearest cycle time prior to" - else -echo " Get global atmos GUESS valid at the nearest cycle time after" - fi -echo " center PREPBUFR processing date/time which is a multiple of 3" -echo " Will be used to generate an interpolated guess which will be" -echo " encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - fi - $GETGESprep -e $envir_getges -n $network_getges -t $stype\ - -f $fhr -v `${NDATE} $dhr $CDATE10` > sgesprep${sfx}_pathname - errges=$? - if test $errges -ne 0 - then -# problem obtaining global sigio-based or nemsio-based guess - exit if center -# PREPBUFR processing date/time is a multiple of 3-hrs or if global guess is -# nemsio-based, otherwise continue running but set GETGUESS=NO meaning a -# first guess will NOT be encoded in PREPBUFR file - if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then - if [ "$NEMSIO_IN" = .true. ]; then - set +x - echo -echo "problem obtaining global nemsio-based guess;" - else - set +x - echo -echo "problem obtaining global sigio-based guess valid 0 hrs relative to \ -center PREPBUFR date/time;" - fi -echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - else - set +x - echo -echo "problem obtaining global atmos guess valid at the nearest cycle time " - if [ "$sfx" != 'A' ]; then -echo "prior to center PREPBUFR processing date/time which is a multiple of 3" - else -echo "after center PREPBUFR processing date/time which is a multiple of 3" - fi -echo "will continue running but a GUESS will NOT be encoded in PREPBUFR file!!" - echo - set -x - msg="PROBLEM OBTAINING ONE OR BOTH SPANNING ATMOS GUESS \ -FILES, GUESS NOT ENCODED IN PREPBUFR FILE --> non-fatal" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - GETGUESS=NO - SGES=/dev/null - SGESA=/dev/null - > sgesprep - > sgesprepA - > sgesprep_pathname - > sgesprepA_pathname - break - fi - fi - cp `cat sgesprep${sfx}_pathname | awk '{ print $1 }'` sgesprep${sfx} - set +x - echo -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - else - if [ $RELOCATION_HAS_RUN = YES ]; then - -# If relocation ran, then ${sfx} is always "" (null) because relocation will -# only run on center times that are a multiple of 3-hrs - come here to -# store the pathname for the sgesprep file in sgesprep${sfx}_pathname - -# note that it will not be stored here if relocation did not process any -# records, i.e., it did not update the guess, because it was already stored -# in tropcy_relocate.sh (with the getges path) -# -------------------------------------------------------------------------- - - qual_last=".$tmmark" # need this because gfs and gdas don't add - # $tmmark qualifer to end of output atmos - # guess files - [ $NET = gfs -o $NET = gdas ] && qual_last="" - if [ "$NEMSIO_IN" = .true. ]; then - gesbase="atmges.nemsio" - else - gesbase="sgesprep" - fi - if [ -s ${tstsp}${gesbase}${qual_last} ]; then - echo "${tstsp}${gesbase}${qual_last}" > sgesprep${sfx}_pathname - elif [ -s ${COMSP}${gesbase}${qual_last} ]; then - echo "${COMSP}${gesbase}${qual_last}" > sgesprep${sfx}_pathname - fi - else - -# If relocation did not run, then the guess files in $DATA were copied there -# prior to the execution of this script by the user - just echo the path -# to this guess file in $DATA into sgesprep${sfx}_pathname -# -------------------------------------------------------------------------- - - echo "$DATA/sgesprep${sfx}" > sgesprep${sfx}_pathname - fi - fi - eval SGES${sfx}=$DATA/sgesprep${sfx} - done - fi -fi - -################################ -# EXECUTE PREPDATA PROCESSING -################################ - -if [ "$PREPDATA" = 'YES' ]; then - - cd $DATA - -set +u - if [ -z "$PREPBUFR_APP" -o "$PARALLEL" = 'YES' ]; then -set -u - if [ ! -s ${tstsp}status.${tmmark}.bufr_d -a \ - ! -s ${COMSP}status.${tmmark}.bufr_d ]; then - -#########if [ \( ! -s ${tstsp}status1.${tmmark}.bufr_d -o \ -######### ! -s ${tstsp}status2.${tmmark}.bufr_d \) -a \ -######### \( ! -s ${COMSP}status1.${tmmark}.bufr_d -o \ -######### ! -s ${COMSP}status2.${tmmark}.bufr_d \) ]; then - if [ ! -s ${tstsp}status1.${tmmark}.bufr_d -a \ - ! -s ${COMSP}status1.${tmmark}.bufr_d ]; then - -# problem: status file not found - indicates some or all data dumps were not -# found (produced) for requested time ... -# If highest level directory pointing to input BUFR observational -# data dumps is /com or /com2 then EXIT (assumes all data dumps are -# required) -# Otherwise, just echo a diagnostic (assumes only some data dumps are -# required) -# ---------------------------------------------------------------------------- - -echo -echo "Some or all BUFR data dumps were not found for requested time ... " -echo - set -x - - if [[ "$COMSP" =~ (^/com/|^/com2/|^/gpfs/.../nco/ops/com/) && \ - "$tstsp" =~ (^/tmp/null) ]]; then - set +x -echo -echo "ABNORMAL EXIT!!!!!!!!!!!" -echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - fi - fi - - echo $BUFRLIST | grep adpsfc - grp_adpsfc=$? - echo $BUFRLIST | grep adpupa - grp_adpupa=$? - if [ \( ! -f ${COMSP}adpsfc.${tmmark}.bufr_d -a \ - ! -f ${tstsp}adpsfc.${tmmark}.bufr_d -a $grp_adpsfc -eq 0 \) -o \ - \( ! -f ${COMSP}adpupa.${tmmark}.bufr_d -a \ - ! -f ${tstsp}adpupa.${tmmark}.bufr_d -a $grp_adpupa -eq 0 \) ] - then - -# problem: either adpsfc (surface land) or adpupa (raob/pibal/recco) file, or -# both, not found for requested time - this is unacceptable; EXIT -# (unless the culprit file was not included in the $BUFRLIST) -# --------------------------------------------------------------------------- - - set +x -echo -echo "ADPSFC and/or ADPUPA BUFR data dump was not produced for requested" -echo " time (but is in BUFRLIST); ABNORMAL EXIT!!!!!!!!!!!" -echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - - fi - - for name in ${BUFRLIST} ;do - > $name - if [ -f ${tstsp}${name}.${tmmark}.bufr_d ]; then - cp ${tstsp}${name}.${tmmark}.bufr_d $name - elif [ -s ${COMSP}${name}.${tmmark}.bufr_d ]; then - cp ${COMSP}${name}.${tmmark}.bufr_d $name - fi - done - - > prep_exec.cmd - - > prepda.${cycle} - - echo " $CDATE10" > cdate10.dat - -# If GETGUESS=YES, then either ... -# a global sigio-based guess file valid at the center PREPBUFR processing -# date/time which is a multiple of 3-hrs is valid at this point -# -- or -- -# global sigio-based guess files valid at times which are multiples of 3-hrs -# and span the center PREPBUFR processing date/time which is NOT a multiple of -# 3-hrs are available and valid at this point -# -- or -- -# a global nemsio-based guess file valid at the center PREPBUFR processing -# date/time for any hour is valid at this point - -# In any case, namelist "GBLEVN" with PREVEN=T is cat'ed to the beginning -# of the PREPOBS_PREPDATA program data cards file - this means -# PREPOBS_PREPDATA will call w3emc routine GBLEVENTS to do the "prevents" -# processing (otherwise PREVEN=F by default) - - > prepdata.stdin - [ "$GETGUESS" != 'NO' ] && echo " &gblevn preven=true /" >>prepdata.stdin - cat $PRPC >> prepdata.stdin - -# Check contents of *aircar_status_flag* file in $tstsp, or if not found there, -# $COMSP path - this was generated by previous bufr_dump_obs.sh script: if it -# exists and indicates that there were more AFWA (backup) ACARS reports than -# ARINC (primary) ACARS reports in the AIRCAR dump, then skip processing of -# ARINC ACARS messages in PREPOBS_PREPDATA (meaning process ONLY AFWA ACARS -# messages); otherwise, as is usually the case, skip processing of AFWA ACARS -# messages (meaning process only ARINC ACARS messages in PREPOBS_PREPDATA) - - echo " SUBSKP(004,007) = TRUE," > insert - if [ -s ${tstsp}aircar_status_flag.${tmmark}.bufr_d ]; then - grep -q -Fe "004.007" ${tstsp}aircar_status_flag.${tmmark}.bufr_d - err_grep=$? - if [ $err_grep -eq 0 ]; then - echo " SUBSKP(004,004) = TRUE," > insert - msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ -backup AFWA ACARS into PREPBUFR" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - fi - elif [ -s ${COMSP}aircar_status_flag.${tmmark}.bufr_d ]; then - grep -q -Fe "004.007" ${COMSP}aircar_status_flag.${tmmark}.bufr_d - err_grep=$? - if [ $err_grep -eq 0 ]; then - echo " SUBSKP(004,004) = TRUE," > insert - msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ -backup AFWA ACARS into PREPBUFR" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - fi - fi - - grep -q -Fe "`cat insert`" prepdata.stdin - err_grep=$? - if [ $err_grep -ne 0 ]; then - nlines=`cat < prepdata.stdin | wc -l` - line=`grep -n -Fe "&LDTA" prepdata.stdin | cut -f1 -d:` - head -n $line prepdata.stdin > top_part - mlines=`expr $nlines - $line` - tail -n $mlines prepdata.stdin > bottom_part - [ $mlines -gt 2 ] && cat top_part insert bottom_part > prepdata.stdin - rm top_part bottom_part - fi - rm insert - - -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## -## HEREFILE MP_PREPDATA ## -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## - -set +x -cat <<\EOFmpp > MP_PREPDATA - -{ echo - -# This herefile script performs the "prepdata" processing. It is designed to -# run in either a parallel (e.g., poe/mpi or background threads) or serial -# environment. In the parallel environment, it first splits the input BUFR -# data dump files into $NSPLIT equally-sized parts (analogous to dealing -# multiple sets of cards to $NSPLIT players, where each set of cards is a -# different BUFR data dump file). Next, in either the parallel or serial -# environment, it runs PREPOBS_PREPDATA to write out prepbufr files (either -# a single complete file in the serial environment or $NSPLIT partial -# PREPBUFR files in the parallel environment). Finally, it generates a list of -# PREPBUFR message headers which, in the parallel environment, is needed to -# later merge the partial PREPBUFR files together in the proper order. -# -# IMPORTANT: This script assumes that the BUFR data dump files it is to -# process have been copied into the $DATA directory and that each -# file name is the same as in $BUFRLIST. It also assumes that the -# NCEP production date file is present in the $DATA directory and -# that it is called cdate10.dat. Finally, it assumes that the -# PREPOBS_PREPDATA program data cards (parm) file is present in the -# $DATA directory and it is called prepdata.stdin -# ----------------------------------------------------------------------------- -# -# Positional parameters passed in: -# 1 - Stream index ($multi) (0 to $NSPLIT-1) -# -# Imported variables that must be passed in: -# DATA - path to working directory -# PARALLEL - indicates whether or not this script is running in a parallel -# (e.g., poe/mpi or background threads) or serial environment -# "YES" - running in a parallel environment; "NO" running in a -# serial environment) -# NSPLIT number of parts into which the input BUFR data dump files are to -# be evenly divided (applicable only when PARALLEL is "YES") -# BUFRLIST - list of BUFR data dump files to process -# MPCOPYX - path to PREPOBS_MPCOPYBUFR program executable -# PRPT - path to PREPOBS_PREPDATA bufrtable file -# LANDC - path to land/sea mask file -# SGES - path to COPY OF global sigio-based or nemsio-based first guess -# file valid at either center PREPBUFR processing date/time or, -# for global sigio-based guess only, nearest 3-hrly cycle time -# prior to center PREPBUFR processing date/time -# SGESA - path to COPY OF global sigio-based guess file valid at nearest -# 3-hrly cycle AFTER center PREPBUFR processing date/time (if -# needed, otherwise /dev/null). Only used if SGES is valid at -# 3-hrly cycle time PRIOR to center PREPBUFR processing date/time -# (and thus not used if NEMSIO_IN=.true.) -# PRVT - path to observation error table file -# PRPX - path to PREPOBS_PREPDATA program executable -# LISTHDX - path to PREPOBS_LISTHEADERS program executable - -set -aux -multi=$1 - -data=$DATA/multi$multi - -if [ ! -d $DATA/multi$multi ] ; then - mkdir -p $DATA/multi$multi -fi - -status=$data/mstatus ; > $status -mp_pgmout=$data/mp_pgmout ; > $mp_pgmout - - -{ echo -set +x -echo -echo "********************************************************************" -echo "This is stream (task/thread) $multi executing on node `hostname -s`" -echo "Starting time: `date`" -echo "********************************************************************" -echo -set -x -} >> $mp_pgmout - -cd $data - -if [ "$PARALLEL" = 'YES' ]; then - - n=0 - - pgm=`basename $MPCOPYX` -#-----mimics prep_step----- - set +x - echo $pgm > pgmname - set +u - [ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" - set -u - [ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout - rm pgmname - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` - [ -s $DATA/tracer ] && cat $DATA/tracer > errfile - set -x -#-------------------------- - - for name in ${BUFRLIST[*]} ;do - > $name - if [ -s $DATA/$name ] ; then - ((n+=1)) - export FORT$((10+n))=$DATA/$name - export FORT$((50+n))=$name - fi - done - - cat<> $mp_pgmout 2>&1 - &namin nfiles=$n / - &mp nprocs=$NSPLIT,mp_process=$multi / -EOF - err=$? - set +x - echo - echo "The foreground exit status for PREPOBS_MPCOPYBUFR is " $err - echo - set -x - - [ "$err" -gt '0' ] && exit - - dump_dir=$data - -else - - dump_dir=$DATA - -# fi for $PARALLEL = YES -fi - - -pgm=`basename $PRPX` -#-----mimics prep_step----- -set +x -echo $pgm > pgmname -set +u -[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" -set -u -[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout -rm pgmname -[ -f errfile ] && rm errfile -unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -[ -s $DATA/tracer ] && cat $DATA/tracer > errfile -set -x -#-------------------------- - -set +u -[ -n "$PREPBUFR_APP" -a "$PARALLEL" = 'NO' ] && \ - cp $PREPBUFR_APP prepda -set -u - -# Namelist "TASK" with mp_process set to the value of $multi - either the poe/ -# mpi task number (for POE not equal to "NO") or to the background thread -# number (for BACK equal to "YES") in the parallel environment, or hardwired -# to zero in the serial environment, is cat'ed to the beginning of the -# PREPOBS_PREPDATA program data cards (parm) file - this will allow -# PREPOBS_PREPDATA to identify this stream - -> prepdata.stdin -echo " &task mp_process=$multi /" >>prepdata.stdin -cat $DATA/prepdata.stdin >> prepdata.stdin - -BUFRLIST_all="adpupa aircar aircft satwnd proflr vadwnd rassda adpsfc sfcshp \ - sfcbog msonet spssmi erscat qkswnd wdsatr ascatw rtovs atovs goesnd gpsipw" -###BUFRLIST_all_array=($BUFRLIST_all) # this does not work on all platforms -set -A BUFRLIST_all_array `echo $BUFRLIST_all` # this works on all platforms - - -# Any dump file not included in BUFRLIST is "touched" so that it will not -# cause a read error in the event that PREPOBS_PREPDATA still tries to read it - -for name in $BUFRLIST_all;do -[ ! -f $dump_dir/$name ] && > $dump_dir/$name -done - -export FORT11=$DATA/cdate10.dat -export FORT12=$PRPT -export FORT15=$LANDC -## export FORT18=$SGES -## export FORT19=$SGESA - -# The PREPOBS_PREPDATA code opens GFS spectral coefficient guess files using -# sigio routines or GFS gaussian grid guess files using nemsio routines (via -# W3EMC routine GBLEVENTS) in a manner that may not recognize the FORTxx -# variables above. So, the above statements setting FORTxx vars for $SGES and -# $SGESA are replaced by the soft links below. - -ln -sf $SGES fort.18 -ln -sf $SGESA fort.19 -export FORT20=$PRVT -export FORT21=$dump_dir/${BUFRLIST_all_array[0]} -export FORT22=$dump_dir/${BUFRLIST_all_array[1]} -export FORT23=$dump_dir/${BUFRLIST_all_array[2]} -export FORT24=$dump_dir/${BUFRLIST_all_array[3]} -export FORT25=$dump_dir/${BUFRLIST_all_array[4]} -export FORT26=$dump_dir/${BUFRLIST_all_array[5]} -export FORT27=$dump_dir/${BUFRLIST_all_array[6]} -export FORT31=$dump_dir/${BUFRLIST_all_array[7]} -export FORT32=$dump_dir/${BUFRLIST_all_array[8]} -export FORT33=$dump_dir/${BUFRLIST_all_array[9]} -export FORT34=$dump_dir/${BUFRLIST_all_array[10]} -export FORT35=$dump_dir/${BUFRLIST_all_array[11]} -export FORT36=$dump_dir/${BUFRLIST_all_array[12]} -export FORT37=$dump_dir/${BUFRLIST_all_array[13]} -export FORT38=$dump_dir/${BUFRLIST_all_array[14]} -export FORT39=$dump_dir/${BUFRLIST_all_array[15]} -export FORT41=$dump_dir/${BUFRLIST_all_array[16]} -export FORT42=$dump_dir/${BUFRLIST_all_array[17]} -export FORT46=$dump_dir/${BUFRLIST_all_array[18]} -export FORT48=$dump_dir/${BUFRLIST_all_array[19]} -export FORT51=prepda -export FORT52=prevents.filtering.prepdata - -#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) -#If program ever fails, try changing 64000000 to 20000000 -#set +u -#[ -n "$LOADL_PROCESSOR_LIST" ] && XLSMPOPTS=parthds=2:stack=64000000 -#set -u - -# The following improves performance on Cray-XC40 if $PRPX was -# linked to the IOBUF i/o buffering library -export IOBUF_PARAMS='*prevents.filtering.prepdata:verbose' - -$TIMEIT $PRPX >$mp_pgmout 2>&1 -errPREPDATA=$? -unset IOBUF_PARAMS -cat prevents.filtering.prepdata >> $mp_pgmout -set +x -echo -echo "The foreground exit status for PREPOBS_PREPDATA is " $errPREPDATA -echo -set -x - -[ "$errPREPDATA" -gt '4' -o "$errPREPDATA" -eq '1' ] && exit - -# Will execute PREPOBS_LISTHEADERS even if PARALLEL is "NO", because it will -# reorder the monolithic PREPBUFR file to ensure that all messages of the same -# subtype will always be grouped together in sequential messages, arranged in -# the order found in $PRPT (Note: This is a necessity when PARALLEL is "YES" -# because the later program PREPOBS_MONOPREPBUFR must merge the $NSPLIT -# individual (partial) PREPBUFR files together in the proper order) - - -# Build listhdx.stdin from bufrtable entries of possible message headers first -# line is count, followed by list - -grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|wc -l|tee listhdx.stdin -grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|tee -a listhdx.stdin - -pgm=`basename $LISTHDX` -#-----mimics prep_step----- -set +x -echo $pgm > pgmname -set +u -[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" -set -u -[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout -rm pgmname -[ -f errfile ] && rm errfile -unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -[ -s $DATA/tracer ] && cat $DATA/tracer > errfile -set -x -#-------------------------- - -export FORT11=prepda -export FORT51=prepda.reorder -export FORT52=prepda.hdrs - -$TIMEIT $LISTHDX < listhdx.stdin >>$mp_pgmout 2>&1 -err=$? -cat prepda.hdrs -set +x -echo -echo "The foreground exit status for PREPOBS_LISTHEADERS is " $err -echo -set -x - -[ "$err" -gt '0' ] && exit - -mv prepda.reorder prepda -rm listhdx.stdin - -echo "$multi finished -- errPREPDATA = $errPREPDATA" > $status - -{ echo -set +x -echo -echo "********************************************************************" -echo "Finished executing on node `hostname -s`" -echo "Ending time : `date`" -echo "********************************************************************" -echo -set -x -} >> $mp_pgmout - -} 1> $DATA/mp_stream${1}.stdout 2> $DATA/mp_stream${1}.errfile - -exit 0 -EOFmpp -set -x - -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## -## end of HEREFILE MP_PREPDATA ## -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## - - chmod 775 MP_PREPDATA - - if [ "$PARALLEL" = 'YES' ]; then - -# In the parallel environment, either cat the multiple MP_PREPDATA tasks -# into a poe command file (for poe/mpi/cfp) - or - set up a script that will -# fire off each MP_PREPDATA thread as a background process -# ----------------------------------------------------------------------- - if [ "$POE" != 'NO' ]; then - multi=-1 - while [ $((multi+=1)) -lt $NSPLIT ] ; do - echo "ksh $DATA/MP_PREPDATA $multi "|tee -a $DATA/prep_exec.cmd - done - if [ "$launcher_PREP" != cfp -a "$launcher_PREP" != aprun ]; then - # fill in empty tasks - multi=$((multi-=1)) #need to go back one - while [ $((multi+=1)) -lt $NPROCS ] ; do - echo "echo do-nothing" >> $DATA/prep_exec.cmd - done - fi - elif [ $BACK = 'YES' ] ; then - multi=-1 - echo "#!/bin/ksh" > $DATA/prepthrds.sh - while [ $((multi+=1)) -lt $NSPLIT ] ; do - echo "$DATA/MP_PREPDATA $multi &" >> $DATA/prepthrds.sh - echo "echo $DATA/MP_PREPDATA $multi submitted in background" \ - >> $DATA/prepthrds.sh - done - echo "wait" >> $DATA/prepthrds.sh - chmod 775 $DATA/prepthrds.sh - fi - -# In the parallel environment, next either execute the poe wrapper (for poe/ -# mpi/cfp) (do not execute a time command with poe!) - or - run prepthrds.sh -# to kick off background processes and wait for them to complete -# -------------------------------------------------------------------------- - if [ "$POE" != 'NO' ]; then - if [ "$launcher_PREP" = mpirun.lsf ]; then - export MP_CMDFILE=$DATA/prep_exec.cmd - export MP_PGMMODEL=mpmd - export MP_PULSE=0 - export MP_DEBUG_NOTIMEOUT=yes - export MP_LABELIO=yes - export MP_STDOUTMODE=ordered - mpirun.lsf - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - elif [ "$launcher_PREP" = cfp ]; then - export MP_CSS_INTERRUPT=yes - export MP_LABELIO=yes - export MP_STDOUTMODE=ordered - mpirun.lsf cfp $DATA/prep_exec.cmd - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - elif [ "$launcher_PREP" = aprun ]; then - ## Determine tasks per node (PREPDATAtpn) and - ## max number of concurrent procs (PREPDATAprocs) for cfp - typeset -i nodesall=$(echo -e "${LSB_HOSTS// /\\n}"|sort -u|wc -w) - typeset -i ncnodes=$(($nodesall-1)) # we want compute nodes only - if [ $ncnodes -lt 1 ]; then - set +x - echo - echo " ** Could not get positive compute node count for aprun **" - echo " ** Are we using LSF queue with compute node access? **" - echo - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - if [[ -z ${PREPDATAtpn:-""} ]]; then - PREPDATAtpn=$((($NSPLIT+$ncnodes-1)/$ncnodes)) - # cfp is faster with extra thread so add one if there is room. - # (this logic needs an update to avoid hardwired 24) - [ $PREPDATAtpn -lt 24 ] && PREPDATAtpn=$(($PREPDATAtpn+1)) - fi - if [[ -z ${PREPDATAprocs:-""} ]]; then - PREPDATAprocs=$(($ncnodes*$PREPDATAtpn)) # max concurrent processes - fi - aprun -j 1 -n${PREPDATAprocs} -N${PREPDATAtpn} -d1 cfp $DATA/prep_exec.cmd - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - else # unknown launcher and options (eg, for use on R&D system) - $launcher_PREP - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - fi - elif [ $BACK = 'YES' ] ; then - if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - aprun -n 1 -d $NSPLIT $DATA/prepthrds.sh - else - $DATA/prepthrds.sh - fi - fi - totalt=$NSPLIT - else - -# In the serial environment, just fire off a single thread of MP_PREPDATA -# ----------------------------------------------------------------------- - multi=0 - if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - aprun -n 1 -N 1 ksh $DATA/MP_PREPDATA $multi - else - $DATA/MP_PREPDATA $multi - fi - totalt=1 - - # fi for $PARALLEL = YES - fi - - set +x - multi=0 - while [ $multi -lt $totalt ]; do -echo -echo "********************************************************************" -echo " ++ Script STDOUT from MP_PREPDATA for stream (task/thread) $multi ++" -echo "********************************************************************" -echo - cat $DATA/mp_stream${multi}.stdout -echo "********************************************************************" -echo " ++ End of Script STDOUT from MP_PREPDATA for stream $multi ++ " -echo "********************************************************************" - multi=`expr $multi + 1` - done - -echo -echo "********************************************************************" -echo " ++ Script trace from MP_PREPDATA for stream (task/thread) 0 ++ " - if [ "$PARALLEL" = 'YES' ]; then -echo -echo " In order to conserve space, the script trace from other " -echo " streams is not invoked unless the stream failed. " - fi -echo "********************************************************************" -echo - - cat mp_stream0.errfile - -echo -echo "********************************************************************" -echo " ++ End of Script trace from MP_PREPDATA for stream 0 ++ " -echo "********************************************************************" -echo - set -x - -# check status files -# ------------------ - - errSTATUS=0 - errPREPDATA=0 - four_check=yes - multi=0 - while [ $multi -lt $totalt ]; do - cat $DATA/multi$multi/mp_pgmout >> prepdata.out - cat $DATA/multi$multi/mp_pgmout >> $pgmout - status=$DATA/multi$multi/mstatus - if [ ! -s $status ]; then - set +x -echo -echo "********************************************************************" -echo " P R O B L E M ! ! ! " -echo "********************************************************************" -echo " ###> MP_PREPDATA stream (task/thread) $multi FAILED - Cycle date: \ -$CDATE10" -echo " Current working directory: $DATA " -echo -echo " Script trace from MP_PREPDATA for stream $multi follows ... " -echo "********************************************************************" -echo - cat $DATA/mp_stream${multi}.errfile -echo -echo "********************************************************************" -echo " ++ End of Script trace from MP_PREPDATA for stream $multi ++ " -echo "********************************************************************" -echo - set -x - errSTATUS=99 - else - err_this=`cut -f 2 -d = $status` - [ "$err_this" -gt "$errPREPDATA" ] && errPREPDATA=$err_this - [ "$err_this" -eq '0' ] && four_check=no - fi - multi=`expr $multi + 1` - done - - if [ "$errSTATUS" -gt '0' ]; then - $DATA/err_exit - exit 55 # for extra measure - fi - - [ "$errPREPDATA" -eq '4' -a "$four_check" = 'no' ] && errPREPDATA=0 - - set +x - echo - echo "For all MP_PREPDATA Streams, the largest foreground exit status \ - amongst all PREPOBS_PREPDATA runs is " $errPREPDATA - echo - set -x - - if [ "$errPREPDATA" -le "$errPREPDATA_limit" -a $errPREPDATA -ne 1 ]; then - err=0 - if [ "$errPREPDATA" -eq '4' ]; then - set +x - echo - echo "WARNING: PREPOBS_PREPDATA FOUND EITHER NO ADPUPA OR NO ADPSFC DATA" - echo "-------- THESE DATA WILL NOT BE AVAILABLE TO ANALYSES" - echo - set -x - fi - else - err=$errPREPDATA - fi - - pgm=`basename $PRPX` - touch errfile - $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - - if [ "$PARALLEL" = 'YES' ]; then - -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## -## HEREFILE MERGE_MSGS ## -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## - -set +x -cat <<\EOFmrg > MERGE_MSGS - -# This herefile script merges the individual partial PREPBUFR files present at -# this point into a complete, monolithic PREPBUFR file in the proper message -# type order. It is the last step in the PREPDATA processing. It runs only -# in the parallel environment. -# ---------------------------------------------------------------------------- - -# Positional parameters passed in: -# 1 - Number of input partial PREPBUFR files that are going to be merged -# ($nfiles) -# 2 - Working directory path ($DATA) (contains separate partial PREPBUFR -# files and text files containing headers for each, one directory down) -# 3 - Beginning string of sub-directories in $DATA ($subdir) (each sub- -# directory contains an input partial PREPBUFR file and a text file -# containing headers for all messages in that PREPBUFR file) -# 4 - File in each sub-directory containing headers for all messages in -# partial PREPBUFR file in same sub-directory (file name only - same name -# in all sub-directories) ($header_file_name) -# 5 - Partial PREPBUFR file in each sub-directory (file name only - same name -# in all sub-directories) ($prep_in) -# 6 - Output monolithic PREPBUFR file name (file name only) ($prep_out) -# -# Imported variables that must be passed in: -# MONOBFRX - path to PREPOBS_MONOPREPBUFR program executable -# -# Imported variables that can be passed in: -# pgmout - string indicating path to for standard output file (skipped over -# by this script if not passed in) - - -if [ $# -ne 6 ] ; then - echo "Usage: $0 nfiles DATA subdir header_file_name prep_in prep_out" - exit 1 -fi - -set -aux - -qid=$$ - -nfiles=$1;DATA=$2;subdir=$3;header_file_name=$4;prep_in=$5;prep_out=$6 - - -# From all the header files, extract the header counts and names build -# namelist input to drive $MONOBFRX program -# --------------------------------------------------------------------- - -nheaders=`cat $DATA/${subdir}*/$header_file_name|awk '{print $1}'|sort -u|wc -l` -((nheaders+=0)) - ->$DATA/input echo -echo " &namin nfiles=$nfiles, nheaders=$nheaders," >>$DATA/input - -cd $DATA - - -# Assign the fort units to the files -# ----------------------------------- - -pgm=`basename $MONOBFRX` -if [ -s $DATA/prep_step ]; then - . $DATA/prep_step -else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -fi - - -n=-1 -while [ $((n+=1)) -lt $nfiles ] ;do - [ ! -s $DATA/${subdir}$n/$prep_in ] && exit 1 - export FORT$((11+n))=$DATA/${subdir}$n/$prep_in -done -export FORT51=$prep_out -set +x - - -# Extract the total span of headers by searching through all the header files -# --------------------------------------------------------------------------- - -n=-1 -while [ $((n+=1)) -lt $nfiles ]; do - file=$DATA/${subdir}$n/$header_file_name - [ ! -s $file ] && exit 1 - if [ `cat $file|awk '{print $1}'| \ - sort -u|wc -l` -eq $nheaders ] ; then - headers="" - nlines=`cat $file|wc -l` - i=0 - while [ $((i+=1)) -le $nlines ]; do - line=`sed -n $i,${i}p $file` - header=`echo $line|awk '{print $1}'` - echo " cheaders($i)='$header',">>$DATA/input - headers="$headers $header" - done - break - fi -done - - -# Tranlate the hdrs file contents into namelist array -# --------------------------------------------------- - -n=-1 -while [ $((n+=1)) -lt $nfiles ]; do - file=$DATA/${subdir}$n/$header_file_name - line= - i=0 - for hdr in $headers; do - ((i+=1)) - count=`grep $hdr $file|awk '{print $2}'` - set +u - [ -z "$count" ] && count=0 - set -u - line="${line}msgs($i,$((n+1)))=$count," - done - echo " $line " >>$DATA/input -done - -echo " &end" >>$DATA/input -set -x -cat $DATA/input - -$TIMEIT $MONOBFRX <$DATA/input > outout 2> errfile -export err=$? -###cat errfile -cat errfile >> outout -cat outout >> monoprepbufr.out -set +u -[ -n "$pgmout" ] && cat outout >> $pgmout -set -u -rm outout -set +x -echo -echo "The foreground exit status for PREPOBS_MONOPREPBUFR is " $err -echo -set -x -$DATA/err_chk -[ $err != 0 ] && exit 55 # for extra measure - -exit 0 -EOFmrg -set -x - -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## -## end of HEREFILE MERGE_MSGS ## -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## - - chmod 775 MERGE_MSGS - -# In parallel environment, make monolithic PREPBUFR file by meriging the -# partial PREPBUFR files -# ---------------------------------------------------------------------- - $TIMEIT $DATA/MERGE_MSGS $NSPLIT $DATA multi prepda.hdrs prepda \ - prepda.${cycle} - errsc=$? - if test $errsc -ne 0 - then -# problem with merge script - $DATA/err_exit - exit 55 # for extra measure - fi - else - -# In serial environment, already have a monolithic PREPBUFR file - just -# copy it to expected local monolithic PREPBUFR file location -# --------------------------------------------------------------------- - cp $DATA/multi0/prepda prepda.${cycle} - - # fi for $PARALLEL = YES - fi - -# fi for $PREPDATA = YES -fi - -set +u -[ -n "$PREPBUFR_IN" ] && cp $PREPBUFR_IN $DATA/prepda.${cycle} -set -u - - -############################################ -# EXECUTE SYNTHETIC CYCLONE DATA PROCESSING -############################################ - -if [ "$SYNDATA" = 'YES' ]; then - -# Check condition code - SDM can shut-off synthetic cyclone bogusing -# ------------------------------------------------------------------ -# ==> this switch is NOT YET in place, so it will be hardwired to "YES" - -###cp ???????????? syndata_cond - echo "YES" > syndata_cond - SYN=`cat tcvitals_orig_sort - sort tcvitals > tcvitals_sort - comm -23 tcvitals_orig_sort tcvitals_sort > tcvitals_removed - [ -s tcvitals_removed ] && run_syndat_twice=yes - fi - fi - - $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ - $DATA/tcvitals $CDATE10 - - if [ $run_syndat_twice = yes ]; then - -# Run SYNDATA a second time when switch "run_syndat_twice" was set to "yes" in -# above logic (see %% above) - - DO_BOGUS=NO - $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ - $DATA/tcvitals_removed $CDATE10 - fi - fi -fi - -[ "$PREPDATA" = 'YES' ] && cp prepda.${cycle} prepda.prepdata - - -########################################### -# EXECUTE GSI QUALITY-CONTROL PROCESSING -########################################### - -if [ "$DO_QC" = 'YES' ]; then - if [ "$PREVENTS" = 'YES' ];then - $TIMEIT $USHPREV/prepobs_prevents.sh $DATA/prepda.${cycle} $CDATE10 - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$CQCBUFR" = 'YES' ];then - $TIMEIT $USHCQC/prepobs_cqcbufr.sh $DATA/prepda.${cycle} - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$PROFCQC" = 'YES' ];then - $TIMEIT $USHPQC/prepobs_profcqc.sh $DATA/prepda.${cycle} - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$CQCVAD" = 'YES' ];then - $TIMEIT $USHVQC/prepobs_cqcvad.sh $DATA/prepda.${cycle} $CDATE10 - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$PREPACQC" = 'YES' ];then - $TIMEIT $USHAQC/prepobs_prepacqc.sh $DATA/prepda.${cycle} $DATA/adpsfc - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$OIQCBUFR" = 'YES' ];then - $TIMEIT $USHOIQC/prepobs_oiqcbufr.sh $DATA/prepda.${cycle} $CDATE10 - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi -fi - - -# Look for "OVERLARGE" subsets in stdout (print out of bufrlib when subset -# discarded because it is too big to fit in a BUFR message) -- post to -# jlogfile if appropriate - -msg=`grep "OVERLARGE SUBSET DISCARDED" $pgmout` -err=$? -if [ "$err" -eq '0' ]; then - set +x - echo - echo "$msg" - echo - set -x - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" -fi - -exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.txt b/systems/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.txt deleted file mode 100644 index 546ed9e..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/theia/prep/prepobs_makeprepbufr.txt +++ /dev/null @@ -1 +0,0 @@ -MAKEPREPBUFRSH=/scratch4/NCEPDEV/global/save/glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/prepobs_makeprepbufr.sh diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf deleted file mode 100755 index 085b631..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf +++ /dev/null @@ -1,1732 +0,0 @@ -#!/bin/ksh -############################################################################# -echo "----------------------------------------------------------------------" -echo "exglobal_dump.sh.ecf - Global (GDAS, GFS) network data dump processing" -echo "----------------------------------------------------------------------" -echo "History: Jan 18 2000 - Original script. " -echo " May 16 2007 - Added DBNet alerts for GFS products. " -echo " Apr 2014 - Pick up grib files for planned GFS upgrade. " -echo " Oct 2014 - Remove attempts to dump obsolete sources. " -echo " Nov 2014 - Use parallel scripting to process dump groups. " -echo " Widen hourly satwnd dump window for GFS/GDAS. " -echo " Add new satwnd subtypes for GFS & GDAS. " -echo " GFS/GDAS continue if surface file unavailable. " -echo " Remove DBNet alerts for old surface files. " -echo " Dec 3 2014 - CDAS network, split off into its own script " -echo " excdas_dump.sh.ecf. This script now tailored " -echo " exclusively to GDAS and GFS. " -echo " Feb 2 2015 - Dump window for new satwnd type NC005090 set " -echo " to 3.00 to +2.99 hours about center dump time. " -echo " Removed ADD_satwnd=\"005019 005080\" since " -echo " types are now part of "satwnd" dump group " -echo " mnemonic in bufr_dumplist. " -echo " Aug 22 2016 - GSPIPW dump window reset for new data stream " -echo " (moved to dump group #4 where TIME_TRIM=on) " -echo " Jan 5 2017 - Dump new satellite data types. Reordered to " -echo " improve run time with all the new data. " -echo " Feb 8 2017 - Update to run on Cray-XC40 or IBM iDataPlex " -############################################################################# - -# NOTE: NET is changed to gdas in the parent Job script for the gdas RUN -# (was gfs - NET remains gfs for gfs RUN) -# ----------------------------------------------------------------------- - -set -xau - -# function to highlight an echoed msg with surrounding hashed separator lines. - echo_hashed_msg () { - set +x - msg=$* - echo -e "\n ${msg//?/#}" - echo " ${msg}" - echo -e " ${msg//?/#}\n" - set -x - } -# end of function setup -# -# set some variables if they have not already been set - -set +u - -# JOB_NUMBER = 1 indicates the prepbufr dump job. -# JOB_NUMBER = 2 indicates the non-prepbufr dump job. -# JOB_NUMBER not present indicates dump BOTH prepbufr and non-prepbufr data. -# ----------------------------------------------------------------------------- -# Dump group #1 (non-pb, TIME_TRIM defaults to OFF) = -# avcsam eshrs3 ssmisu cris saphir atms 1bhrs4 sevcsr tesac mls -# esatms -# -# Dump group #2 (pb, TIME_TRIM defaults to OFF) = -# sfcshp atovs* adpsfc ascatt -# * - for GDAS only -# -# Dump group #3 (pb, TIME_TRIM defaults to OFF) = -# adpupa -# -# Dump group #4 (pb, TIME_TRIM defaults to ON) = -# aircar aircft proflr vadwnd rassda gpsipw -# -# Dump group #5 (pb, TIME_TRIM defaults to OFF) = -# msonet -# -# Dump group #6 (non-pb, TIME_TRIM defaults to OFF) = -# nexrad -# -# Dump group #7 (non-pb, TIME_TRIM defaults to OFF) = -# avcspm esmhs goesfv 1bmhs airsev atmsdb gome omi trkob gpsro -# escris -# -# Dump group #8 (pb, TIME_TRIM defaults to ON) = -# satwnd -# -# Dump group #9 (non-pb, TIME_TRIM defaults to ON) = -# geoimr -# -# Dump group #10 (non-pb, TIME_TRIM defaults to OFF) = -# esiasi mtiasi esamua crisdb iasidb sevasr 1bamua bathy osbuv8 -# -# Dump group #11 (non-pb, TIME_TRIM defaults to OFF) = -# amsr2 -# -# Dump group #12 STATUS FILE -# ----------------------------------------------------------------------------- - -#VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV -# The settings below are based on a future change when the DUMP job will dump -# only types that go into PREPBUFR and the DUMP2 job will dump only types that -# do not go into PREPBUFR. This will speed up the DUMP + PREP processing. -# Although the logic is in place to now do this (see below), for now we will -# continue to run only a DUMP job which will dump ALL types (no DUMP2 job) - -# since JOB_NUMBER is not imported to this script, the logic below will dump -# all types ... -# ----------------------------------------------------------------------------- -#^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ - -if [ -n "$JOB_NUMBER" ]; then -set -u - if [ $JOB_NUMBER = 2 ]; then - dump_ind=DUMP2 - DUMP_group1=${DUMP_group1:-"YES"} - DUMP_group2=${DUMP_group2:-"NO"} - DUMP_group3=${DUMP_group3:-"NO"} - DUMP_group4=${DUMP_group4:-"NO"} - DUMP_group5=${DUMP_group5:-"NO"} - DUMP_group6=${DUMP_group6:-"NO"} - DUMP_group7=${DUMP_group7:-"YES"} - DUMP_group8=${DUMP_group8:-"NO"} - DUMP_group9=${DUMP_group9:-"YES"} - DUMP_group10=${DUMP_group10:-"YES"} - DUMP_group11=${DUMP_group11:-"YES"} - else - dump_ind=DUMP - DUMP_group1=${DUMP_group1:-"NO"} - DUMP_group2=${DUMP_group2:-"YES"} - DUMP_group3=${DUMP_group3:-"YES"} - DUMP_group4=${DUMP_group4:-"YES"} - DUMP_group5=${DUMP_group5:-"NO"} - DUMP_group6=${DUMP_group6:-"NO"} - DUMP_group7=${DUMP_group7:-"NO"} - DUMP_group8=${DUMP_group8:-"YES"} - DUMP_group9=${DUMP_group9:-"NO"} - DUMP_group10=${DUMP_group10:-"NO"} - DUMP_group11=${DUMP_group11:-"NO"} - fi -else - dump_ind=DUMP - DUMP_group1=${DUMP_group1:-"YES"} - DUMP_group2=${DUMP_group2:-"YES"} - DUMP_group3=${DUMP_group3:-"YES"} - DUMP_group4=${DUMP_group4:-"YES"} - DUMP_group5=${DUMP_group5:-"NO"} - DUMP_group6=${DUMP_group6:-"NO"} - DUMP_group7=${DUMP_group7:-"YES"} - DUMP_group8=${DUMP_group8:-"YES"} - DUMP_group9=${DUMP_group9:-"YES"} - DUMP_group10=${DUMP_group10:-"YES"} - DUMP_group11=${DUMP_group11:-"YES"} -fi - -if [ "$NET" = 'gfs' ]; then - ADPUPA_wait=${ADPUPA_wait:-"YES"} -########ADPUPA_wait=${ADPUPA_wait:-"NO"} # saves time if ADPUPA_wait=NO -else - ADPUPA_wait=${ADPUPA_wait:-"NO"} -fi - - -# send extra output of DUMP2 for monitoring purposes. -set +u -if [ -n "$JOB_NUMBER" ]; then - [ $JOB_NUMBER = 2 ] && export PS4='$SECONDS + ' -fi -set -u - -# Make sure we are in the $DATA directory -cd $DATA - -msg="HAS BEGUN on `hostname`" -$DATA/postmsg "$jlogfile" "$msg" - -cat break > $pgmout - -export dumptime=`cut -c7-16 ncepdate` -export cycp=`echo $dumptime|cut -c9-10` - -export NET_uc=$(echo $NET | tr [a-z] [A-Z]) -export tmmark_uc=$(echo $tmmark | tr [a-z] [A-Z]) - -msg="$NET_uc ANALYSIS TIME IS $PDY$cyc" -$DATA/postmsg "$jlogfile" "$msg" - -set +x -echo -echo "CENTER DATA DUMP DATE-TIME FOR $tmmark_uc $NET_uc IS $dumptime" -echo -set -x - -export COMSP=$COMOUT/$RUN.${cycle}. - -if [ "$PROCESS_GRIBFLDS" = 'YES' ]; then - -######################################################## -######################################################## -## The following files are not *required* but will still -# be processed here for the near term (missing files -# will not cause job to fail) -# -# copy snogrb (0.5 deg) from $TANK_GRIBFLDS -# copy snogrb_t574 from $TANK_GRIBFLDS -# copy engicegrb from $COM_ENGICE -# copy sstgrb from $COM_SSTOI -# generate sstgrb index file -######################################################## -######################################################## - - snogrb=$TANK_GRIBFLDS/$PDY/wgrbbul/snowdepth.global.grb - snoold=$TANK_GRIBFLDS/$PDYm1/wgrbbul/snowdepth.global.grb - - if [ -s $snogrb ]; then - cp $snogrb ${COMSP}snogrb - msg="todays 0.5 degree snow grib file located and copied to ${COMSP}snogrb" - $DATA/postmsg "$jlogfile" "$msg" - elif [ -s $snoold ]; then - cp $snoold ${COMSP}snogrb - msg="**todays 0.5 degree snow grib file not located - copy 1-day old file" - $DATA/postmsg "$jlogfile" "$msg" - else - set +x - echo " " - echo " #####################################################" - echo " cannot locate 0.5 degree snow grib file" - echo " #####################################################" - echo " " - set -x - msg="***WARNING: CANNOT LOCATE 0.5 DEGREE SNOW GRIB FILE. Not critical." - $DATA/postmsg "$jlogfile" "$msg" - fi - - snogrb_t574=$TANK_GRIBFLDS/$PDY/wgrbbul/snowdepth.t574.grb - snoold_t574=$TANK_GRIBFLDS/$PDYm1/wgrbbul/snowdepth.t574.grb - - if [ -s $snogrb_t574 ]; then - cp $snogrb_t574 ${COMSP}snogrb_t574 - msg="todays T574 snow grib file located and copied to ${COMSP}snogrb_t574" - $DATA/postmsg "$jlogfile" "$msg" - elif [ -s $snoold_t574 ]; then - cp $snoold_t574 ${COMSP}snogrb_t574 - msg="**todays T574 snow grib file not located - copy 1-day old file" - $DATA/postmsg "$jlogfile" "$msg" - else - set +x - echo " " - echo " ###############################################" - echo " cannot locate T574 snow grib file" - echo " ###############################################" - echo " " - set -x - msg="***WARNING: CANNOT LOCATE T574 SNOW GRIB FILE. Not critical." - $DATA/postmsg "$jlogfile" "$msg" - fi - - engicegrb=${COM_ENGICE}.$PDY/engice.t00z.grb - engiceold=${COM_ENGICE}.$PDYm1/engice.t00z.grb - - if [ -s $engicegrb ]; then - cp $engicegrb ${COMSP}engicegrb - msg="todays engice grib file located and copied to ${COMSP}engicegrb" - $DATA/postmsg "$jlogfile" "$msg" - elif [ -s $engiceold ]; then - cp $engiceold ${COMSP}engicegrb - msg="**todays engice grib file not located - copy 1-day old file" - $DATA/postmsg "$jlogfile" "$msg" - else - set +x - echo " " - echo " ############################################" - echo " cannot locate engice grib file" - echo " ############################################" - echo " " - set -x - msg="***WARNING: CANNOT LOCATE LOW RES ENGICE GRIB FILE. Not critical." - $DATA/postmsg "$jlogfile" "$msg" - fi - - sstgrb=${COM_SSTOI}.$PDY/sstoi_grb - sstold=${COM_SSTOI}.$PDYm1/sstoi_grb - - if [ -s $sstgrb ]; then - cp $sstgrb ${COMSP}sstgrb - msg="todays lowres sst grib file located and copied to ${COMSP}sstgrb" - $DATA/postmsg "$jlogfile" "$msg" - elif [ -s $sstold ]; then - cp $sstold ${COMSP}sstgrb - msg="**todays lowres sst grib file not located - copy 1-day old file" - $DATA/postmsg "$jlogfile" "$msg" - else - set +x - echo " " - echo " #########################################" - echo " cannot locate lowres sst grib file" - echo " #########################################" - echo " " - set -x - msg="***WARNING: CANNOT LOCATE LOW RES SST GRIB FILE. Not critical." - $DATA/postmsg "$jlogfile" "$msg" - fi - - if [ -s ${COMSP}sstgrb ]; then - rm errfile - $GRBINDEX ${COMSP}sstgrb ${COMSP}sstgrb.index 2> errfile - errindx=$? - [ "$errindx" -ne '0' ] && cat errfile - rm errfile - else - echo_hashed_msg "cannot create grib index since sst file does not exist" - fi - -# The following may no longer be needed, but leave them in place for now. -# Print msg in the rare case the grib2 files cannot be created. - if [ "$NET" = 'gdas' ]; then - if [ -s ${COMSP}engicegrb ]; then - $CNVGRIB -g12 -p40 ${COMSP}engicegrb ${COMSP}engicegrb.grib2 - else - echo_hashed_msg "Skip engicegrb.grib2 since grib1 file does not exist" - fi - if [ -s ${COMSP}sstgrb ]; then - $CNVGRIB -g12 -p40 ${COMSP}sstgrb ${COMSP}sstgrb.grib2 - else - echo_hashed_msg "Skip sstgrb.grib2 since grib1 file does not exist" - fi - if [ -s ${COMSP}snogrb ]; then - $CNVGRIB -g12 -p40 ${COMSP}snogrb ${COMSP}snogrb.grib2 - else - echo_hashed_msg "Skip snogrb.grib2 since grib1 file does not exist" - fi - fi - - -###################################################################### -###################################################################### -# For the following, try as far as $ndaysback to find recent file. # -# Post warning if no file found for $ndaysback_warn or beyond. # -# The job will continue if no suitable file is available. # -# ---------------------------------------------------------------- # -# copy NPR.SNWN.SP.S1200.MESH16 from $TANK_GRIBFLDS # -# copy NPR.SNWS.SP.S1200.MESH16 from $TANK_GRIBFLDS # -# copy imssnow96.grb.grib2 from $TANK_GRIBFLDS # -# copy seaice.t00z.5min.grb from $COM_ICE5MIN # -# copy seaice.t00z.5min.grb.grib2 from $COM_ICE5MIN # -# copy rtgssthr_grb_0.083 from $COM_SSTRTG # -# copy rtgssthr_grb_0.083.grib2 from $COM_SSTRTG # -###################################################################### -###################################################################### - for gribfile in \ - NPR.SNWN.SP.S1200.MESH16 \ - NPR.SNWS.SP.S1200.MESH16 \ - imssnow96.grb.grib2 \ - seaice.t00z.5min.grb \ - seaice.t00z.5min.grb.grib2 \ - rtgssthr_grb_0.083 \ - rtgssthr_grb_0.083.grib2 - do -# set the values specific to each file - case $gribfile in - NPR.SNWN.SP.S1200.MESH16 | NPR.SNWS.SP.S1200.MESH16 ) # AFWA snow - grib_source='$TANK_GRIBFLDS/$DDATE/wgrbbul'; - target_filename=$gribfile.grb - ndaysback=1; - ndaysback_warn=1;; - imssnow96.grb.grib2 ) # IMS snow - grib_source='$TANK_GRIBFLDS/$DDATE/wgrbbul'; - target_filename=imssnow96.grib2 - ndaysback=1; - ndaysback_warn=1;; - seaice.t00z.5min.grb ) - grib_source='${COM_ICE5MIN}.$DDATE'; - target_filename=seaice.5min.grb - ndaysback=7; - ndaysback_warn=1;; - seaice.t00z.5min.grb.grib2 ) - grib_source='${COM_ICE5MIN}.$DDATE'; - target_filename=seaice.5min.grib2 - ndaysback=7; - ndaysback_warn=1;; - rtgssthr_grb_0.083 ) - grib_source='${COM_SSTRTG}.$DDATE'; - target_filename=rtgssthr.grb - ndaysback=10; - ndaysback_warn=1;; - rtgssthr_grb_0.083.grib2 ) - grib_source='${COM_SSTRTG}.$DDATE'; - target_filename=rtgssthr.grib2 - ndaysback=10; - ndaysback_warn=1;; - *) - msg="***FATAL ERROR: unexpected grib field file $gribfile"; - echo_hashed_msg "$msg" - $DATA/postmsg "$jlogfile" "$msg" - $DATA/err_exit;; - esac -# set up string of dates to check - if [ $ndaysback -gt 0 ];then -set +x; echo -e "\n---> path to finddate.sh below is: `which finddate.sh`"; set -x - CHECK_DATES="$PDY $(finddate.sh $PDY s-$ndaysback)" - else - CHECK_DATES=$PDY - fi - set +x; - echo -e "\nWill check as far back as ${CHECK_DATES##* } for $gribfile" - set -x - ndtry=0 - found=false -# loop through dates to check for this file type - for DDATE in $CHECK_DATES;do - ndtry=`expr $ndtry + 1` - eval tryfile=$grib_source/$gribfile - if [ -s $tryfile ];then - set +x; echo -e "\nPicking up file $tryfile\n"; set -x - cp $tryfile ${COMSP}$target_filename - found=true - break - fi - if [ $DDATE -ne ${CHECK_DATES##* } ]; then - set +x;echo -e "\n$tryfile not available. Try previous day.\n" - set -x - else - set +x;echo -e "\n$tryfile not available.\n";set -x - fi - if [ $ndtry -gt $ndaysback_warn ];then - msg="***WARNING: INVESTIGATE UNEXPECTED ABSENCE OF $tryfile" - echo_hashed_msg "$msg" - $DATA/postmsg "$jlogfile" "$msg" - fi - done - if [ $found != true ]; then - msg="***WARNING: NO USEFUL RECENT FILES FOUND FOR $gribfile!!!" - echo_hashed_msg "$msg" - $DATA/postmsg "$jlogfile" "$msg" - fi - done - if [ "$SENDECF" = "YES" ]; then - ecflow_client --event=release_sfcprep - fi - -# endif loop $PROCESS_GRIBFLDS -fi - - -echo "=======> Dump group 1 (thread_1) not executed." > $DATA/1.out -echo "=======> Dump group 2 (thread_2) not executed." > $DATA/2.out -echo "=======> Dump group 3 (thread_3) not executed." > $DATA/3.out -echo "=======> Dump group 4 (thread_4) not executed." > $DATA/4.out -echo "=======> Dump group 5 (thread_5) not executed." > $DATA/5.out -echo "=======> Dump group 6 (thread_6) not executed." > $DATA/6.out -echo "=======> Dump group 7 (thread_7) not executed." > $DATA/7.out -echo "=======> Dump group 8 (thread_8) not executed." > $DATA/8.out -echo "=======> Dump group 9 (thread_9) not executed." > $DATA/9.out -echo "=======> Dump group 10 (thread_10) not executed." > $DATA/10.out -echo "=======> Dump group 11 (thread_11) not executed." > $DATA/11.out - -err1=0 -err2=0 -err3=0 -err4=0 -err5=0 -err6=0 -err7=0 -err8=0 -err9=0 -err10=0 -err11=0 -if [ "$PROCESS_DUMP" = 'YES' ]; then - -#################################### -#################################### -# The data "dump" script for tm00 -#################################### -#################################### - -msg="START THE $tmmark_uc $NET_uc DATA $dump_ind CENTERED ON $dumptime" -$DATA/postmsg "$jlogfile" "$msg" - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_1; chmod +x thread_1 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_1 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=1 - -#========================================================================= -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump # 1 : AVCSAM: 1 subtype(s) -# ESHRS3: 1 subtype(s) -# SSMISU: 1 subtype(s) -# CRIS: 1 subtype(s) (if present in past 10 days of tanks) -# SAPHIR: 1 subtype(s) -# ATMS: 1 subtype(s) (if present in past 10 days of tanks) -# 1BHRS4: 1 subtype(s) -# SEVCSR: 1 subtype(s) -# TESAC: 1 subtype(s) -# MLS: 1 subtype(s) (if present in past 10 days of tanks) -# ESATMS: 1 subtype(s) (if present in past 10 days of tanks) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 11 -# -#========================================================================= - -DTIM_latest_avcsam=${DTIM_latest_avcsam:-"+2.99"} -DTIM_latest_eshrs3=${DTIM_latest_eshrs3:-"+2.99"} -DTIM_latest_ssmisu=${DTIM_latest_ssmisu:-"+2.99"} -#----------------------------------------------- -# check for cris tank presence in past 10 days -cris="" -err_check_tanks=0 -sh $USHobsproc_dump/check_tanks.sh cris -err_check_tanks=$? -if [ $err_check_tanks -eq 0 ];then - cris=cris - DTIM_latest_cris=${DTIM_latest_cris:-"+2.99"} -fi -#----------------------------------------------- -DTIM_latest_saphir=${DTIM_latest_saphir:-"+2.99"} -#----------------------------------------------- -# check for atms tank presence in past 10 days -atms="" -err_check_tanks=0 -sh $USHobsproc_dump/check_tanks.sh atms -err_check_tanks=$? -if [ $err_check_tanks -eq 0 ];then - atms=atms - DTIM_latest_atms=${DTIM_latest_atms:-"+2.99"} -fi -#----------------------------------------------- -DTIM_latest_1bhrs4=${DTIM_latest_1bhrs4:-"+2.99"} -DTIM_latest_sevcsr=${DTIM_latest_sevcsr:-"+2.99"} -DTIM_latest_tesac=${DTIM_latest_tesac:-"+2.99"} -#----------------------------------------------- -# check for mls tank presence in past 10 days -mls="" -err_check_tanks=0 -sh $USHobsproc_dump/check_tanks.sh mls -err_check_tanks=$? -if [ $err_check_tanks -eq 0 ];then - mls=mls - DTIM_latest_mls=${DTIM_latest_mls:-"+2.99"} -fi -#----------------------------------------------- -#----------------------------------------------- -# check for esatms tank presence in past 10 days -esatms="" -err_check_tanks=0 -sh $USHobsproc_dump/check_tanks.sh esatms -err_check_tanks=$? -if [ $err_check_tanks -eq 0 ];then - esatms=esatms - DTIM_latest_esatms=${DTIM_latest_esatms:-"+2.99"} -fi -#----------------------------------------------- - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM1:-off}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 avcsam eshrs3 ssmisu $cris \ - saphir $atms 1bhrs4 sevcsr tesac $mls $esatms -error1=$? -echo "$error1" > $DATA/error1 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_avcsam $job \ - ${COMSP}avcsam.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_eshrs3 $job \ - ${COMSP}eshrs3.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_ssmisu $job \ - ${COMSP}ssmisu.tm00.bufr_d - if [ "$cris" = cris ];then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_cris $job \ - ${COMSP}cris.tm00.bufr_d - fi -### restricted $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_saphir $job \ -### restricted ${COMSP}saphir.tm00.bufr_d - if [ "$atms" = atms ];then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_atms $job \ - ${COMSP}atms.tm00.bufr_d - fi - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_1bhrs4 $job \ - ${COMSP}1bhrs4.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_sevcsr $job \ - ${COMSP}sevcsr.tm00.bufr_d -####### ALERTS TURNED OFF UNTIL REQUESTED BY USER ######################### -# $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_tesac $job \ -# ${COMSP}tesac.tm00.bufr_d -########################################################################### - if [ "$mls" = mls ];then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_mls $job \ - ${COMSP}mls.tm00.bufr_d - fi - if [ "$esatms" = esatms ];then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esatms $job \ - ${COMSP}esatms.tm00.bufr_d - fi -fi - -set +x -echo "********************************************************************" -echo Script thread_1 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/1.out 2>&1 -EOF -set -x - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_2; chmod +x thread_2 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_2 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=2 - -#========================================================================== -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# (3) GDAS GSI doesn't use ATOVS, but NASA/GSFC is pulling them off our -# server, also CDAS may be running special tests using data from GDAS -# cutoff time (ATOVS is not dumped in GFS) -# -#-------------------------------------------------------------------------- -# GDAS: -# Dump # 2 : SFCSHP: 5 subtype(s) -# ATOVS: 1 subtype(s) -# ADPSFC: 4 subtype(s) -# ASCATT: 1 subtype(s) -# xxxxxxxxx WNDSAT: 1 subtype(s) (if present in past 10 days of tanks) -# ===> Dumping of WNDSAT removed from here until new ingest feed is established -# (had been dumped with a time window radius of -3.00 to +2.99 hours) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 11 -# -#-------------------------------------------------------------------------- -# GFS: -# Dump # 2 : SFCSHP: 5 subtype(s) -# ADPSFC: 4 subtype(s) -# ASCATT: 1 subtype(s) -# xxxxxxxxx WNDSAT: 1 subtype(s) (if present in past 10 days of tanks) -# ===> Dumping of WNDSAT removed from here until new ingest feed is established -# (had been dumped with a time window radius of -3.00 to +2.99 hours) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 10 -# -#========================================================================== - -DTIM_latest_sfcshp=${DTIM_latest_sfcshp:-"+2.99"} - -atovs="" -if [ "$NET" = 'gdas' ]; then - atovs=atovs - DTIM_latest_atovs=${DTIM_latest_atovs:-"+2.99"} -fi - -DTIM_latest_adpsfc=${DTIM_latest_adpsfc:-"+2.99"} -DTIM_latest_ascatt=${DTIM_latest_ascatt:-"+2.99"} -#----------------------------------------------- -# check for wndsat tank presence in past 10 days -wndsat="" -err_check_tanks=0 -##########sh $USHobsproc_dump/check_tanks.sh wndsat -##########err_check_tanks=$? -err_check_tanks=99 # comment out 2 lines above & add this line to ensure wndsat - # is not ever dumped -if [ $err_check_tanks -eq 0 ];then - wndsat=wndsat - DTIM_latest_wndsat=${DTIM_latest_wndsat:-"+2.99"} -fi -#----------------------------------------------- - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM2:-off}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 sfcshp $atovs adpsfc ascatt $wndsat -error2=$? -echo "$error2" > $DATA/error2 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_sfcshp $job \ - ${COMSP}sfcshp.tm00.bufr_d - [ -f ${COMSP}atovs.tm00.bufr_d ] && \ - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_atovs $job \ - ${COMSP}atovs.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_adpsfc $job \ - ${COMSP}adpsfc.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_ascatt $job \ - ${COMSP}ascatt.tm00.bufr_d - if [ "$NET" = 'gdas' ]; then - ####### ALERT TURNED ON for GDAS only ######################## - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_ascatw $job \ - ${COMSP}ascatw.tm00.bufr_d - fi - if [ "$wndsat" = wndsat ];then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_wndsat $job \ - ${COMSP}wndsat.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_wdsatr $job \ - ${COMSP}wdsatr.tm00.bufr_d - fi -fi - -set +x -echo "********************************************************************" -echo Script thread_2 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/2.out 2>&1 -EOF -set -x - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_3; chmod +x thread_3 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_3 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=3 - -#==================================================================== -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump #3: ADPUPA: 6 subtype(s) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 6 -# -#==================================================================== - -DTIM_latest_adpupa=${DTIM_latest_adpupa:-"+2.99"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM3:-off}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 adpupa -error3=$? -echo "$error3" > $DATA/error3 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_adpupa $job \ - ${COMSP}adpupa.tm00.bufr_d -fi - -set +x -echo "********************************************************************" -echo Script thread_3 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/3.out 2>&1 -EOF -set -x - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_4; chmod +x thread_4 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_4 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=4 - -#======================================================================= -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# EXCEPT: AIRCFT where it is +/- 3.25 hours -# AIRCAR where it is +/- 3.25 hours -# PROFLR where it is -4.00 to +3.99 hours -# GSPIPW where it is +/- 0.05 hours (+/- 3min) -# (2) TIME TRIMMING IS DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump # 4 : AIRCAR: 2 subtype(s) -# AIRCFT: 6 subtype(s) -# PROFLR: 4 subtype(s) -# VADWND: 1 subtype(s) -# RASSDA: 1 subtype(s) -# GPSIPW: 1 subtype(s) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 15 -# -#======================================================================= - -# Skip NeXRaD VAD WINDS FROM LEVEL 2 DECODER (not ready to be handled in GSI) - -export SKIP_002017=YES - -# Dump AIRCFT and AIRCAR with wide time window to improve PREPOBS_PREPACQC -# track-check performance -# (time window will be winnowed down to +/- 3.00 hours in output from -# PREPOBS_PREPACQC) - -# Dump PROFLR with wide time window to improve PREPOBS_PROFCQC performance -# (time window will be winnowed down in output from PREPOBS_PROFCQC, see -# parm cards for output time window) - -# Dump GPSIPW with narrow (+/- 3-min) time window since new Ground Based -# GPS-IPW/ZTD (from U.S.-ENI and foreign GNSS providers) is currently limited -# to obs only at cycle-time - -DTIM_earliest_aircft=${DTIM_earliest_aircft:-"-3.25"} -DTIM_latest_aircft=${DTIM_latest_aircft:-"+3.25"} - -DTIM_earliest_aircar=${DTIM_earliest_aircar:-"-3.25"} -DTIM_latest_aircar=${DTIM_latest_aircar:-"+3.25"} - -DTIM_earliest_proflr=${DTIM_earliest_proflr:-"-4.00"} -DTIM_latest_proflr=${DTIM_latest_proflr:-"+3.99"} - -DTIM_latest_vadwnd=${DTIM_latest_vadwnd:-"+2.99"} -DTIM_latest_rassda=${DTIM_latest_rassda:-"+2.99"} - -DTIM_earliest_gpsipw=${DTIM_latest_gpsipw:-"-0.05"} -DTIM_latest_gpsipw=${DTIM_latest_gpsipw:-"+0.05"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM4:-on}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 aircar aircft proflr vadwnd \ - rassda gpsipw -error4=$? -echo "$error4" > $DATA/error4 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_aircar $job \ - ${COMSP}aircar.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_aircft $job \ - ${COMSP}aircft.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_proflr $job \ - ${COMSP}proflr.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_vadwnd $job \ - ${COMSP}vadwnd.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_rassda $job \ - ${COMSP}rassda.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_gpsipw $job \ - ${COMSP}gpsipw.tm00.bufr_d -fi - -set +x -echo "********************************************************************" -echo Script thread_4 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/4.out 2>&1 -EOF -set -x - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_5; chmod +x thread_5 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_5 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=5 - -#=================================================================== -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Currently not executed in GDAS or GFS: -# Dump # 5 : MSONET: 30 subtype(s) -# --------------------- -# TOTAL NUMBER OF SUBTYPES = 30 -# -#=================================================================== - -DTIM_latest_msonet=${DTIM_latest_msonet:-"+2.99"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM5:-off}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 msonet -error5=$? -echo "$error5" > $DATA/error5 - -set +x -echo "********************************************************************" -echo Script thread_5 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/5.out 2>&1 -EOF -set -x - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_6; chmod +x thread_6 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_6 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=6 - -#=================================================================== -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Currently not executed in GDAS or GFS: -# Dump # 6 : NEXRAD: 8 subtype(s) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 8 -# -#=================================================================== - -DTIM_latest_nexrad=${DTIM_latest_nexrad:-"+2.99"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM6:-off}} - -# NEXRAD tanks are hourly -# Process only those hourly tanks w/i requested dump center cycle time window - -SKIP_006010=YES # radial wind 00Z -SKIP_006011=YES # radial wind 01Z -SKIP_006012=YES # radial wind 02Z -SKIP_006013=YES # radial wind 03Z -SKIP_006014=YES # radial wind 04Z -SKIP_006015=YES # radial wind 05Z -SKIP_006016=YES # radial wind 06Z -SKIP_006017=YES # radial wind 07Z -SKIP_006018=YES # radial wind 08Z -SKIP_006019=YES # radial wind 09Z -SKIP_006020=YES # radial wind 10Z -SKIP_006021=YES # radial wind 11Z -SKIP_006022=YES # radial wind 12Z -SKIP_006023=YES # radial wind 13Z -SKIP_006024=YES # radial wind 14Z -SKIP_006025=YES # radial wind 15Z -SKIP_006026=YES # radial wind 16Z -SKIP_006027=YES # radial wind 17Z -SKIP_006028=YES # radial wind 18Z -SKIP_006029=YES # radial wind 19Z -SKIP_006030=YES # radial wind 20Z -SKIP_006031=YES # radial wind 21Z -SKIP_006032=YES # radial wind 22Z -SKIP_006033=YES # radial wind 23Z - -SKIP_006040=YES # reflectivity 00Z -SKIP_006041=YES # reflectivity 01Z -SKIP_006042=YES # reflectivity 02Z -SKIP_006043=YES # reflectivity 03Z -SKIP_006044=YES # reflectivity 04Z -SKIP_006045=YES # reflectivity 05Z -SKIP_006046=YES # reflectivity 06Z -SKIP_006047=YES # reflectivity 07Z -SKIP_006048=YES # reflectivity 08Z -SKIP_006049=YES # reflectivity 09Z -SKIP_006050=YES # reflectivity 10Z -SKIP_006051=YES # reflectivity 11Z -SKIP_006052=YES # reflectivity 12Z -SKIP_006053=YES # reflectivity 13Z -SKIP_006054=YES # reflectivity 14Z -SKIP_006055=YES # reflectivity 15Z -SKIP_006056=YES # reflectivity 16Z -SKIP_006057=YES # reflectivity 17Z -SKIP_006058=YES # reflectivity 18Z -SKIP_006059=YES # reflectivity 19Z -SKIP_006060=YES # reflectivity 20Z -SKIP_006061=YES # reflectivity 21Z -SKIP_006062=YES # reflectivity 22Z -SKIP_006063=YES # reflectivity 23Z - -if [ $cycp -eq 00 ]; then # (22.5 - 01.5 Z) - unset SKIP_006032 # radial wind 22Z - unset SKIP_006033 # radial wind 23Z - unset SKIP_006010 # radial wind 00Z - unset SKIP_006011 # radial wind 01Z - unset SKIP_006062 # reflectivity 22Z - unset SKIP_006063 # reflectivity 23Z - unset SKIP_006040 # reflectivity 00Z - unset SKIP_006041 # reflectivity 01Z -elif [ $cycp -eq 06 ]; then # (04.5 - 07.5 Z) - unset SKIP_006014 # radial wind 04Z - unset SKIP_006015 # radial wind 05Z - unset SKIP_006016 # radial wind 06Z - unset SKIP_006017 # radial wind 07Z - unset SKIP_006044 # reflectivity 04Z - unset SKIP_006045 # reflectivity 05Z - unset SKIP_006046 # reflectivity 06Z - unset SKIP_006047 # reflectivity 07Z -elif [ $cycp -eq 12 ]; then # (10.5 - 13.5 Z) - unset SKIP_006020 # radial wind 10Z - unset SKIP_006021 # radial wind 11Z - unset SKIP_006022 # radial wind 12Z - unset SKIP_006023 # radial wind 13Z - unset SKIP_006050 # reflectivity 10Z - unset SKIP_006051 # reflectivity 11Z - unset SKIP_006052 # reflectivity 12Z - unset SKIP_006053 # reflectivity 13Z -elif [ $cycp -eq 18 ]; then # (16.5 - 19.5 Z) - unset SKIP_006026 # radial wind 16Z - unset SKIP_006027 # radial wind 17Z - unset SKIP_006028 # radial wind 18Z - unset SKIP_006029 # radial wind 19Z - unset SKIP_006056 # reflectivity 16Z - unset SKIP_006057 # reflectivity 17Z - unset SKIP_006058 # reflectivity 18Z - unset SKIP_006059 # reflectivity 19Z -fi - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 nexrad -error6=$? -echo "$error6" > $DATA/error6 - -set +x -echo "********************************************************************" -echo Script thread_6 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/6.out 2>&1 -EOF -set -x - -set +x -#------------------------------------------------------------------------------ -cat<<\EOF>thread_7; chmod +x thread_7 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_7 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=7 - -#========================================================================= -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump # 7 : AVCSPM: 1 subtype(s) -# ESMHS: 1 subtype(s) -# GOESFV: 1 subtype(s) -# 1BMHS: 1 subtype(s) -# AIRSEV: 1 subtype(s) -# ATMSDB: 1 subtype(s) -# GOME: 1 subtype(s) -# OMI: 1 subtype(s) -# TRKOB: 1 subtype(s) -# GPSRO: 1 subtype(s) -# ESCRIS: 1 subtype(s) (if present in past 10 days of tanks) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 11 -# -#========================================================================= - -DTIM_latest_avcspm=${DTIM_latest_avcspm:-"+2.99"} -DTIM_latest_esmhs=${DTIM_latest_esmhs:-"+2.99"} -DTIM_latest_goesfv=${DTIM_latest_goesfv:-"+2.99"} -DTIM_latest_1bmhs=${DTIM_latest_1bmhs:-"+2.99"} -DTIM_latest_airsev=${DTIM_latest_airsev:-"+2.99"} -DTIM_latest_atmsdb=${DTIM_latest_atmsdb:-"+2.99"} -DTIM_latest_gome=${DTIM_latest_gome:-"+2.99"} -DTIM_latest_omi=${DTIM_latest_omi:-"+2.99"} -DTIM_latest_trkob=${DTIM_latest_trkob:-"+2.99"} -DTIM_latest_gpsro=${DTIM_latest_gpsro:-"+2.99"} -#----------------------------------------------- -# check for escris tank presence in past 10 days -escris="" -err_check_tanks=0 -sh $USHobsproc_dump/check_tanks.sh escris -err_check_tanks=$? -if [ $err_check_tanks -eq 0 ];then - escris=escris - DTIM_latest_escris=${DTIM_latest_escris:-"+2.99"} -fi -#----------------------------------------------- - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM7:-off}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 avcspm esmhs goesfv 1bmhs \ - airsev atmsdb gome omi trkob gpsro $escris -error7=$? -echo "$error7" > $DATA/error7 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_avcspm $job \ - ${COMSP}avcspm.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esmhs $job \ - ${COMSP}esmhs.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_goesfv $job \ - ${COMSP}goesfv.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_1bmhs $job \ - ${COMSP}1bmhs.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_airsev $job \ - ${COMSP}airsev.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_atmsdb $job \ - ${COMSP}atmsdb.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_gome $job \ - ${COMSP}gome.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_omi $job \ - ${COMSP}omi.tm00.bufr_d -####### ALERTS TURNED OFF UNTIL REQUESTED BY USER ######################### -# $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_trkob $job \ -# ${COMSP}trkob.tm00.bufr_d -########################################################################### - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_gpsro $job \ - ${COMSP}gpsro.tm00.bufr_d - if [ "$escris" = escris ];then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_escris $job \ - ${COMSP}escris.tm00.bufr_d - fi -fi - -set +x -echo "********************************************************************" -echo Script thread_7 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/7.out 2>&1 -EOF -set -x - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_8; chmod +x thread_8 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_8 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=8 - -#======================================================================= -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is +/- 1.5 hrs for all SATWND types -# EXCEPT: SATWND subtypes 005/010, 005/011, 005/12, 005/019, 005/064, -# 005/065, 005/066, 005/070, 005/071, 005/080 and 005/090 where -# it is -3.00 to +2.99 hours. -# (2) TIME TRIMMING IS DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump # 8 : SATWND: 17 subtype(s) -# --------------------- -# TOTAL NUMBER OF SUBTYPES = 17 -# -#======================================================================= - -# satwnd types -# ------------ -DTIM_earliest_005010=${DTIM_earliest_005010:-"-3.00"} -DTIM_latest_005010=${DTIM_latest_005010:-"+2.99"} -DTIM_earliest_005011=${DTIM_earliest_005011:-"-3.00"} -DTIM_latest_005011=${DTIM_latest_005011:-"+2.99"} -DTIM_earliest_005012=${DTIM_earliest_005012:-"-3.00"} -DTIM_latest_005012=${DTIM_latest_005012:-"+2.99"} -DTIM_earliest_005019=${DTIM_earliest_005019:-"-3.00"} -DTIM_latest_005019=${DTIM_latest_005019:-"+2.99"} -DTIM_earliest_005064=${DTIM_earliest_005064:-"-3.00"} -DTIM_latest_005064=${DTIM_latest_005064:-"+2.99"} -DTIM_earliest_005065=${DTIM_earliest_005065:-"-3.00"} -DTIM_latest_005065=${DTIM_latest_005065:-"+2.99"} -DTIM_earliest_005066=${DTIM_earliest_005066:-"-3.00"} -DTIM_latest_005066=${DTIM_latest_005066:-"+2.99"} -DTIM_earliest_005070=${DTIM_earliest_005070:-"-3.00"} -DTIM_latest_005070=${DTIM_latest_005070:-"+2.99"} -DTIM_earliest_005071=${DTIM_earliest_005071:-"-3.00"} -DTIM_latest_005071=${DTIM_latest_005071:-"+2.99"} -DTIM_earliest_005080=${DTIM_earliest_005080:-"-3.00"} -DTIM_latest_005080=${DTIM_latest_005080:-"+2.99"} -DTIM_earliest_005090=${DTIM_earliest_005090:-"-3.00"} -DTIM_latest_005090=${DTIM_latest_005090:-"+2.99"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM8:-on}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 1.5 1 satwnd -error8=$? -echo "$error8" > $DATA/error8 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_satwnd $job \ - ${COMSP}satwnd.tm00.bufr_d -fi - -set +x -echo "********************************************************************" -echo Script thread_8 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/8.out 2>&1 -EOF -set -x - -set +x -#---------------------------------------------------------------- -cat<<\EOF>thread_9; chmod +x thread_9 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_9 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=9 - -#======================================================================= -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# EXCEPT: GEOIMR where it is -0.50 to +0.50 hour -# (2) TIME TRIMMING IS DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump # 9 : GEOIMR: 1 subtype(s) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 1 -# -#======================================================================= - -DTIM_earliest_geoimr=${DTIM_earliest_geoimr:-"-0.50"} -DTIM_latest_geoimr=${DTIM_latest_geoimr:-"+0.50"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM9:-on}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 geoimr -error9=$? -echo "$error9" > $DATA/error9 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_geoimr $job \ - ${COMSP}geoimr.tm00.bufr_d -fi - -set +x -echo "********************************************************************" -echo Script thread_9 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/9.out 2>&1 -EOF -set -x - -set +x -#------------------------------------------------------------------------------ -cat<<\EOF>thread_10; chmod +x thread_10 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_10 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=10 - -#========================================================================= -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump #10 : ESIASI: 1 subtype(s) -# MTIASI: 1 subtype(s) -# ESAMUA: 1 subtype(s) -# CRISDB: 1 subtype(s) -# IASIDB: 1 subtype(s) -# SEVASR: 1 subtype(s) -# 1BAMUA: 1 subtype(s) -# BATHY: 1 subtype(s) -# OSBUV8: 1 subtype(s) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 9 -# -#========================================================================= - -DTIM_latest_esiasi=${DTIM_latest_esiasi:-"+2.99"} -DTIM_latest_mtiasi=${DTIM_latest_mtiasi:-"+2.99"} -DTIM_latest_esamua=${DTIM_latest_esamua:-"+2.99"} -DTIM_latest_crisdb=${DTIM_latest_crisdb:-"+2.99"} -DTIM_latest_iasidb=${DTIM_latest_iasidb:-"+2.99"} -DTIM_latest_sevasr=${DTIM_latest_sevasr:-"+2.99"} -DTIM_latest_1bamua=${DTIM_latest_1bamua:-"+2.99"} -DTIM_latest_bathy=${DTIM_latest_bathy:-"+2.99"} -DTIM_latest_osbuv8=${DTIM_latest_osbuv8:-"+2.99"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM10:-off}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 esiasi mtiasi esamua \ - crisdb iasidb sevasr 1bamua bathy osbuv8 -error10=$? -echo "$error10" > $DATA/error10 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esiasi $job \ - ${COMSP}esiasi.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_mtiasi $job \ - ${COMSP}mtiasi.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_esamua $job \ - ${COMSP}esamua.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_crisdb $job \ - ${COMSP}crisdb.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_iasidb $job \ - ${COMSP}iasidb.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_sevasr $job \ - ${COMSP}sevasr.tm00.bufr_d - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_1bamua $job \ - ${COMSP}1bamua.tm00.bufr_d -####### ALERTS TURNED OFF UNTIL REQUESTED BY USER ######################### -# $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_bathy $job \ -# ${COMSP}bathy.tm00.bufr_d -########################################################################### - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_osbuv8 $job \ - ${COMSP}osbuv8.tm00.bufr_d -fi - -set +x -echo "********************************************************************" -echo Script thread_10 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/10.out 2>&1 -EOF -set -x - -set +x -#------------------------------------------------------------------------------ -cat<<\EOF>thread_11; chmod +x thread_11 -set -uax - -cd $DATA - -{ echo -set +x -echo "********************************************************************" -echo Script thread_11 -echo Executing on node `hostname` -echo Starting time: `date -u` -echo "********************************************************************" -echo -set -x - -export STATUS=NO -export DUMP_NUMBER=11 - -#========================================================================= -# NOTES ABOUT THIS DUMP GROUP: -# (1) time window radius is -3.00 to +2.99 hours on all types -# (2) TIME TRIMMING IS NOT DONE IN THIS DUMP (default, unless overridden) -# -#-------------------------------------------------------------------------- -# Dump #11 : AMSR2: 1 subtype(s) -# -------------------- -# TOTAL NUMBER OF SUBTYPES = 1 -# -#========================================================================= - -DTIM_latest_amsr2=${DTIM_latest_amsr2:-"+2.99"} - -TIME_TRIM=${TIME_TRIM:-${TIME_TRIM11:-off}} - -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.0 1 amsr2 -error11=$? -echo "$error11" > $DATA/error11 - -if [ "$SENDDBN" = "YES" ]; then - $DBNROOT/bin/dbn_alert MODEL ${NET_uc}_BUFR_amsr2 $job \ - ${COMSP}amsr2.tm00.bufr_d -fi - -set +x -echo "********************************************************************" -echo Script thread_11 -echo Finished executing on node `hostname` -echo Ending time : `date -u` -echo "********************************************************************" -set -x -} > $DATA/11.out 2>&1 -EOF -set -x - - -#---------------------------------------------------------------- -# Now launch the threads - -# determine local system name and type if available -# ------------------------------------------------- -SITE=${SITE:-""} -sys_tp=${sys_tp:-$(getsystem.pl -tp)} -getsystp_err=$? -if [ $getsystp_err -ne 0 ]; then - msg="***WARNING: error using getsystem.pl to determine system type and phase" - set +u - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - set -u -fi -echo sys_tp is set to: $sys_tp - -if [ "$sys_tp" = 'Cray-XC40' -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - launcher=${launcher:-"aprun_cfp"} -else - launcher=${launcher:-"cfp"} -fi -if [ "$launcher" = aprun_cfp ]; then - # Get compute node count: Subtract one from the total number of unique - # hosts to account for the MAMU node that runs serial portion of job - typeset -i nodesall=$(echo -e "${LSB_HOSTS// /\\n}"|sort -u|wc -w) - typeset -i ncnodes=$(($nodesall-1)) # we want compute nodes only - if [ $ncnodes -lt 1 ]; then - set +x - echo - echo " ######################################################## " - echo " --> Could not get positive compute node count for aprun! " - echo " --> Check that BSUB directives included a reservation " - echo " request for one or more compute nodes. " - echo " --> @@ F A T A L E R R O R @@ -- ABNORMAL EXIT " - echo " ######################################################## " - echo - set -x - $DATA/err_exit "***FATAL: Check if compute nodes were allocated" - fi -elif [[ "$launcher" = cfp && -z "$LSB_HOSTS" ]]; then - set +x - echo - echo "You requested the cfp poe launcher but are not running under LSF!!" - echo "You must run under LSF to use cfp option on IBM. Exiting..." - echo - set -x - $DATA/err_exit -fi -if [ "$launcher" = cfp -o "$launcher" = aprun_cfp ]; then - > $DATA/poe.cmdfile - -# To better take advantage of cfp, execute the longer running commands first. -# Some reordering was done here based on recent sample runtimes. - [ $DUMP_group7 = YES ] && echo thread_7 >> $DATA/poe.cmdfile # moved up - [ $DUMP_group1 = YES ] && echo thread_1 >> $DATA/poe.cmdfile - [ $DUMP_group5 = YES ] && echo thread_5 >> $DATA/poe.cmdfile # moved up - [ $DUMP_group6 = YES ] && echo thread_6 >> $DATA/poe.cmdfile # moved up - [ $DUMP_group8 = YES ] && echo thread_8 >> $DATA/poe.cmdfile # moved up - [ $DUMP_group11 = YES ] && echo thread_11 >> $DATA/poe.cmdfile # moved up - [ $DUMP_group10 = YES ] && echo thread_10 >> $DATA/poe.cmdfile # moved up - [ $DUMP_group2 = YES ] && echo thread_2 >> $DATA/poe.cmdfile - [ $DUMP_group3 = YES -a $ADPUPA_wait != YES ] && echo thread_3 >> $DATA/poe.cmdfile - [ $DUMP_group4 = YES ] && echo thread_4 >> $DATA/poe.cmdfile - [ $DUMP_group9 = YES ] && echo thread_9 >> $DATA/poe.cmdfile - - if [ -s $DATA/poe.cmdfile ]; then - nthreads=$(cat $DATA/poe.cmdfile | wc -l) - if [ $nthreads -eq 1 ]; then # don't expect to need this, but just in case - echo "do not need cfp for 1 thread" - if [ "$launcher" = aprun_cfp ]; then - aprun -n 1 -N 1 -d 1 sh $DATA/poe.cmdfile - else - sh $DATA/poe.cmdfile - fi - elif [ "$launcher" = cfp ]; then # iDataPlex - module load cfp - export MP_CSS_INTERRUPT=yes - mpirun.lsf cfp $DATA/poe.cmdfile 2>&1 - elif [ "$launcher" = aprun_cfp ]; then - if [[ -z ${DUMPStpn:-""} ]]; then # pes per node - # cfp is faster with extra thread so add one if there is room. - # For now, going with 20 as default max rather than 24. - if [ $nthreads -lt 20 ]; then - DUMPStpn=$(($nthreads+1)) - else - DUMPStpn=20 - fi - fi - NPROCS=$(($ncnodes*$DUMPStpn)) # concurrent processes - aprun -j 1 -n${NPROCS} -N${DUMPStpn} -d 1 --cc depth cfp $DATA/poe.cmdfile - fi - errpoe=$? - if [ $errpoe -ne 0 ]; then - $DATA/err_exit "***FATAL: EXIT STATUS $errpoe RUNNING POE COMMAND FILE" - fi - else - echo - echo "==> There are no tasks in POE Command File - POE not run" - echo - fi -else - if [ "$sys_tp" = 'Cray-XC40' -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - set +x - echo - echo " ############################################################# " - echo " --> Option to use background threads is disabled on Cray-XC40." - echo " --> @@ F A T A L E R R O R @@ -- ABNORMAL EXIT " - echo " ############################################################# " - echo - set -x - $DATA/err_exit "***FATAL: Check if compute nodes were allocated" - else - echo "Spawning background threads" - [ $DUMP_group1 = YES ] && thread_1 & - [ $DUMP_group2 = YES ] && thread_2 & - [ $DUMP_group3 = YES -a $ADPUPA_wait != YES ] && thread_3 & - [ $DUMP_group4 = YES ] && thread_4 & - [ $DUMP_group5 = YES ] && thread_5 & - [ $DUMP_group6 = YES ] && thread_6 & - [ $DUMP_group7 = YES ] && thread_7 & - [ $DUMP_group8 = YES ] && thread_8 & - [ $DUMP_group9 = YES ] && thread_9 & - [ $DUMP_group10 = YES ] && thread_10 & - [ $DUMP_group11 = YES ] && thread_11 & - wait - fi -fi - -# if ADPUPA_wait is YES, adpupa is dumped AFTER all other dump threads have -# run (normally done in real-time GFS runs to dump as late as possible in -# order to maximize data availability in GFS network, particularly DROPs) -# -------------------------------------------------------------------------- - -[ $DUMP_group3 = YES -a $ADPUPA_wait = YES ] && thread_3 - -cat $DATA/1.out $DATA/2.out $DATA/3.out $DATA/4.out $DATA/5.out $DATA/6.out $DATA/7.out $DATA/8.out $DATA/9.out $DATA/10.out $DATA/11.out - -set +x -echo " " -echo " " -set -x - -[ -s $DATA/error1 ] && err1=`cat $DATA/error1` -[ -s $DATA/error2 ] && err2=`cat $DATA/error2` -[ -s $DATA/error3 ] && err3=`cat $DATA/error3` -[ -s $DATA/error4 ] && err4=`cat $DATA/error4` -[ -s $DATA/error5 ] && err5=`cat $DATA/error5` -[ -s $DATA/error6 ] && err6=`cat $DATA/error6` -[ -s $DATA/error7 ] && err7=`cat $DATA/error7` -[ -s $DATA/error8 ] && err8=`cat $DATA/error8` -[ -s $DATA/error9 ] && err9=`cat $DATA/error9` -[ -s $DATA/error10 ] && err10=`cat $DATA/error10` -[ -s $DATA/error11 ] && err11=`cat $DATA/error11` - - -#=============================================================================== - -export STATUS=YES -export DUMP_NUMBER=12 -$ushscript_dump/bufr_dump_obs.sh $dumptime 3.00 1 null - -# endif loop $PROCESS_DUMP -fi - -echo " " >> $pgmout -echo "##################################################################\ -####################" >> $pgmout -echo " " >> $pgmout - -#================================================================ -#================================================================ - - -if [ "$PROCESS_DUMP" = 'YES' ]; then - - if [ "$err1" -gt '5' -o "$err2" -gt '5' -o "$err3" -gt '5' -o \ - "$err4" -gt '5' -o "$err5" -gt '5' -o "$err6" -gt '5' -o \ - "$err7" -gt '5' -o "$err8" -gt '5' -o "$err9" -gt '5' -o \ - "$err10" -gt '5' -o "$err11" -gt '5' ]; then - for n in $err1 $err2 $err3 $err4 $err5 $err6 $err7 $err8 $err9 $err10 $err11 - do - if [ "$n" -gt '5' ]; then - if [ "$n" -ne '11' -a "$n" -ne '22' ]; then - -## fatal error in dumping of BUFR obs. files - - set +x -echo -echo " ###################################################### " -echo " --> > 22 RETURN CODE FROM DATA DUMP, $err1, $err2, $err3, $err4, \ -$err5, $err6, $err7, $err8, $err9, $err10, $err11 " -echo " --> @@ F A T A L E R R O R @@ -- ABNORMAL EXIT " -echo " ###################################################### " -echo - set -x - $DATA/err_exit - exit 9 - fi - fi - done - -## a status code of 11 or 22 from dumping of BUFR obs. files -## is non-fatal but still worth noting - - set +x - echo - echo " ###################################################### " - echo " --> > 5 RETURN CODE FROM DATA DUMP, $err1, $err2, $err3, $err4, \ -$err5, $err6, $err7, $err8, $err9, $err10, $err11 " - echo " --> NOT ALL DATA DUMP FILES ARE COMPLETE - CONTINUE " - echo " ###################################################### " - echo - set -x - fi - -# endif loop $PROCESS_DUMP -fi - - -# GOOD RUN -set +x -echo " " -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " " -set -x - - -# save standard output -cat break $pgmout break > allout -cat allout -# rm allout - -sleep 10 - -msg='ENDED NORMALLY.' -$DATA/postmsg "$jlogfile" "$msg" - -################## END OF SCRIPT ####################### diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf.txt deleted file mode 100644 index 211909b..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/dump/exglobal_dump.sh.ecf.txt +++ /dev/null @@ -1 +0,0 @@ -NO_SCUCH_VARIABLE_NAME=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0/scripts/exglobal_dump.sh.ecf diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf deleted file mode 100755 index 074c71b..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf +++ /dev/null @@ -1,578 +0,0 @@ -#!/bin/ksh -# Run under ksh - -############################################################################# -echo "----------------------------------------------------------------------" -echo "exglobal_makeprepbufr.sh.ecf - Global (GDAS, GFS) model prepbufr " -echo " processing " -echo "----------------------------------------------------------------------" -echo "History: Mar 1 2013 - Original script. " -echo " Dec 3 2014 - CDAS network, split off into its own script " -echo " excdas_makeprepbufr.sh.ecf. This script now " -echo " tailored exclusively to GDAS and GFS. " -echo " Mar 11 2017 - Update to handle nemsio filename patterns " -############################################################################# - -set -x - -# Make sure we are in the $DATA directory -cd $DATA - -msg="HAS BEGUN on `hostname`" -$DATA/postmsg "$jlogfile" "$msg" - -cat break > $pgmout - -CHGRP_RSTPROD=${CHGRP_RSTPROD:-YES} -MAKE_NSSTBUFR=${MAKE_NSSTBUFR:-YES} - -export COMSP=${COMSP:-$COMIN/${RUN}.${cycle}.} - - -if [ "$DO_QC" = 'YES' -a "$CQCBUFR" = 'YES' -a -n "$COM1" -a -n "$CQCC" ]; then - -# NOTE: The following logic currently does not apply to the GFS or GDAS -# network. (It applies only to the CDAS network.) It is maintained here -# in case it ever does. -# ----------------------------------------------------------------------------- -# If running PREPOBS_CQCBUFR, must check its data cards to see if -# namelist switch DOTMP is TRUE - if so, must get prepbufr_pre-qc files -# from t-24, t-12, t+12, t+24 to feed into PREPOBS_CQCBUFR - - DOTMP=`grep DOTMP $CQCC | awk -F, \ - '{print $1; print $2; print $3; print $4; print$5}' | grep DOTMP | \ - awk -F= '{print $2}'` - - if [[ $DOTMP = *T* ]]; then - [ -s ${COM1}${PDYm1}/${RUN}.${cycle}.prepbufr_pre-qc ] && \ - export PRPI_m24=${COM1}${PDYm1}/${RUN}.${cycle}.prepbufr_pre-qc - [ -s ${COM1}${PDYp1}/${RUN}.${cycle}.prepbufr_pre-qc ] && \ - export PRPI_p24=${COM1}${PDYp1}/${RUN}.${cycle}.prepbufr_pre-qc - tdate10=`$NDATE -12 $PDY$cyc` - cyc_m12=`echo $tdate10|cut -c9-10` - pdy_m12=`echo $tdate10|cut -c1-8` - [ -s ${COM1}${pdy_m12}/${RUN}.t${cyc_m12}z.prepbufr_pre-qc ] && \ - export PRPI_m12=${COM1}${pdy_m12}/${RUN}.t${cyc_m12}z.prepbufr_pre-qc - tdate10=`$NDATE +12 $PDY$cyc` - cyc_p12=`echo $tdate10|cut -c9-10` - pdy_p12=`echo $tdate10|cut -c1-8` - [ -s ${COM1}${pdy_p12}/${RUN}.t${cyc_p12}z.prepbufr_pre-qc ] && \ - export PRPI_p12=${COM1}${pdy_p12}/${RUN}.t${cyc_p12}z.prepbufr_pre-qc - fi -fi - -cdate10=`cut -c7-16 ncepdate` - -msg="CENTER TIME FOR PREPBUFR PROCESSING IS $cdate10" -$DATA/postmsg "$jlogfile" "$msg" - -ksh $ushscript_prep/prepobs_makeprepbufr.sh $cdate10 -errsc=$? - -[ "$errsc" -ne '0' ] && exit $errsc - -if [ "$CHGRP_RSTPROD" = 'YES' ]; then - msg="NOTE: These files (if present) are RESTRICTED to rstprod group: \ -prepbufr_pre-qc, prepbufr, prepbufr.acft_profiles*, acqc_???*, \ -acqc_merged*_sorted, tosslist, prepbufr.unblok" - $DATA/postmsg "$jlogfile" "$msg" -set +x - echo " " - echo "$msg" - echo " " -set -x -fi -warning=no - -if [ "$PREPDATA" = 'YES' ]; then - -# save snapshot of prepbufr file after PREPOBS_PREPDATA in COMOUT - cp prepda.prepdata $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc - chmod 664 $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc - - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc - else - cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr_pre-qc - warning=yes - fi - fi - -# save current prepbufr mnemonic table in COMOUT if either it isn't already -# there for a previous cycle or if it has changed from a previous cycle - if [ ! -s $COMOUT/*prep.bufrtable ]; then - cp prep.bufrtable $COMOUT/${RUN}.${cycle}.prep.bufrtable - else - diff `ls -t $COMOUT/*prep.bufrtable | head -n1` prep.bufrtable \ - > /dev/null 2>&1 - errdiff=$? - [ "$errdiff" -ne '0' ] && \ - cp prep.bufrtable $COMOUT/${RUN}.${cycle}.prep.bufrtable - fi -fi - -# save global guess file(s) in COMOUT if they haven't already been saved -# here by previous tropical cyclone relocation processing -# first block is for nemsio input, second is for sigio input -if [[ "$NEMSIO_IN" == .true. ]]; then - [ -s sgm3prep -a ! -s $COMOUT/${RUN}.${cycle}.atmgm3.nemsio ] && \ - cp sgm3prep $COMOUT/${RUN}.${cycle}.atmgm3.nemsio - [ -s sgp3prep -a ! -s $COMOUT/${RUN}.${cycle}.atmgp3.nemsio ] && \ - cp sgp3prep $COMOUT/${RUN}.${cycle}.atmgp3.nemsio - if [ -s sgesprep ]; then - if [ -s sgesprepA ]; then - cp sgesprep $COMOUT/${RUN}.${cycle}.atmges.nemsio_before - cp sgesprepA $COMOUT/${RUN}.${cycle}.atmges.nemsio_after - else - [ ! -s $COMOUT/${RUN}.${cycle}.atmges.nemsio ] && \ - cp sgesprep $COMOUT/${RUN}.${cycle}.atmges.nemsio - fi - fi -else - [ -s sgm3prep -a ! -s $COMOUT/${RUN}.${cycle}.sgm3prep ] && \ - cp sgm3prep $COMOUT/${RUN}.${cycle}.sgm3prep - [ -s sgp3prep -a ! -s $COMOUT/${RUN}.${cycle}.sgp3prep ] && \ - cp sgp3prep $COMOUT/${RUN}.${cycle}.sgp3prep - if [ -s sgesprep ]; then - if [ -s sgesprepA ]; then - cp sgesprep $COMOUT/${RUN}.${cycle}.sgesprep_before - cp sgesprepA $COMOUT/${RUN}.${cycle}.sgesprep_after - else - [ ! -s $COMOUT/${RUN}.${cycle}.sgesprep ] && \ - cp sgesprep $COMOUT/${RUN}.${cycle}.sgesprep - fi - fi -fi -# end nemsio vs sigio logic to copy guess files to COMOUT - -# save path name of global guess file valid at center PREPBUFR -# date/time (encoded into PREPBUFR file and used by q.c. programs) in COMOUT -# FOR NOW, staying with term "sgesprep" for these "pathname" filenames even for -# nemsio (as done in tropical cyclone relocation processing) - 03/2017 -if [ "$GETGUESS" = 'YES' ]; then - if [[ "$NEMSIO_IN" == .true. ]]; then - set +x; echo -e "\n\"sges_pathname\" files point to nemsio files\n";set -x - fi - if [ -s sgesprepA_pathname ]; then - cp sgesprep_pathname \ - $COMOUT/${RUN}.${cycle}.sgesprep_pathname_before.$tmmark - cp sgesprepA_pathname \ - $COMOUT/${RUN}.${cycle}.sgesprep_pathname_after.$tmmark - else - -# if the target file already exists, it was created in previous -# tropcy_relocate.sh script because either there was an error or no -# tcvitals were present - in this case the target file points to the orig. -# getges global sigma guess (since the guess was not modified by relocation) -# - otherwise sgesprep_pathname will either contain either the path to the -# getges guess (if tropical cyclone relocation did not run previously) or -# it will contain the path to the modified sgesprep guess (if tropical -# cyclone relocation did run previously and did modify the guess) -# --------------------------------------------------------------------------- - - [ ! -s $COMOUT/${RUN}.${cycle}.sgesprep_pathname.$tmmark ] && \ - cp sgesprep_pathname $COMOUT/${RUN}.${cycle}.sgesprep_pathname.$tmmark - fi -fi - -# save synthetic bogus files in COMOUT -[ -s bogrept ] && cp bogrept $COMOUT/${RUN}.${cycle}.syndata.bogrept -[ -s bogdata ] && cp bogdata $COMOUT/${RUN}.${cycle}.syndata.bogdata -[ -s dthistry ] && cp dthistry $COMOUT/${RUN}.${cycle}.syndata.dthistry - -if [[ "$SENDDBN" == "YES" ]]; then - if [[ "$RUN" == "gfs" || "$RUN" == "gdas" || "$RUN" == "gdas1" ]]; then - RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) - if [[ -s bogrept ]]; then - $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job $COMOUT/${RUN}.${cycle}.syndata.bogrept - fi - if [[ -s bogdata ]]; then - $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job $COMOUT/${RUN}.${cycle}.syndata.bogdata - fi - fi -fi - -if [ "$DO_QC" = 'YES' ]; then - -# save final form of prepbufr file in COMOUT - cp prepda.${cycle} $COMOUT/${RUN}.${cycle}.prepbufr - chmod 664 $COMOUT/${RUN}.${cycle}.prepbufr - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr - else - cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr - warning=yes - fi - fi - -# save prepacqc prepbufr.acft_profiles file in COMOUT - if [ -s prepbufr.acft_profiles ]; then - cp prepbufr.acft_profiles $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles - else - cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles - warning=yes - fi - fi - fi - -# save prepacqc prepbufr.acft_profiles_sfc file in COMOUT - if [ -s prepbufr.acft_profiles_sfc ]; then - cp prepbufr.acft_profiles_sfc \ - $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc - else - cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr.acft_profiles_sfc - warning=yes - fi - fi - fi - -# save prepacqc output files in COMOUT - if [ -s acftqc_*.sus ]; then - mv acftqc_*.sus acftqc_sus - cp acftqc_sus $COMOUT/${RUN}.${cycle}.acqc_sus - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_sus - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_sus - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_sus - warning=yes - fi - fi - fi - - if [ -s acftqc_*.stk ]; then - mv acftqc_*.stk acftqc_stk - cp acftqc_stk $COMOUT/${RUN}.${cycle}.acqc_stk - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_stk - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_stk - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_stk - warning=yes - fi - fi - fi - - if [ -s acftqc_*.spk ]; then - mv acftqc_*.spk acftqc_spk - cp acftqc_spk $COMOUT/${RUN}.${cycle}.acqc_spk - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_spk - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_spk - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_spk - warning=yes - fi - fi - fi - - if [ -s acftqc_*.ord ]; then - mv acftqc_*.ord acftqc_ord - cp acftqc_ord $COMOUT/${RUN}.${cycle}.acqc_ord - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_ord - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_ord - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_ord - warning=yes - fi - fi - fi - - if [ -s acftqc_*.lst ]; then - mv acftqc_*.lst acftqc_lst - cp acftqc_lst $COMOUT/${RUN}.${cycle}.acqc_lst - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_lst - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_lst - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_lst - warning=yes - fi - fi - fi - - if [ -s acftqc_*.inv ]; then - mv acftqc_*.inv acftqc_inv - cp acftqc_inv $COMOUT/${RUN}.${cycle}.acqc_inv - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_inv - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_inv - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_inv - warning=yes - fi - fi - fi - - if [ -s acftqc_*.inc ]; then - mv acftqc_*.inc acftqc_inc - cp acftqc_inc $COMOUT/${RUN}.${cycle}.acqc_inc - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_inc - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_inc - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_inc - warning=yes - fi - fi - fi - - if [ -s acftqc_*.grc ]; then - mv acftqc_*.grc acftqc_grc - cp acftqc_grc $COMOUT/${RUN}.${cycle}.acqc_grc - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_grc - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_grc - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_grc - warning=yes - fi - fi - fi - - if [ -s acftqc_*.dup ]; then - mv acftqc_*.dup acftqc_dup - cp acftqc_dup $COMOUT/${RUN}.${cycle}.acqc_dup - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_dup - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_dup - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_dup - warning=yes - fi - fi - fi - - if [ -s acftqc_*.log ]; then - mv acftqc_*.log acftqc_log - cp acftqc_log $COMOUT/${RUN}.${cycle}.acqc_log - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_log - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_log - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_log - warning=yes - fi - fi - fi - - if [ -s merged.reports.post_acftobs_qc.sorted ]; then - cp merged.reports.post_acftobs_qc.sorted \ - $COMOUT/${RUN}.${cycle}.acqc_merged_sorted - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_merged_sorted - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_merged_sorted - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_merged_sorted - warning=yes - fi - fi - fi - - if [ -s merged.profile_reports.post_acftobs_qc.sorted ]; then - cp merged.profile_reports.post_acftobs_qc.sorted \ - $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted - else - cp /dev/null $COMOUT/${RUN}.${cycle}.acqc_merged.prof_sorted - warning=yes - fi - fi - fi - -# save cqcbufr output files in COMOUT - touch cqc_events - cp cqc_events $COMOUT/${RUN}.${cycle}.cqc_events - touch cqc_stncnt - cp cqc_stncnt $COMOUT/${RUN}.${cycle}.cqc_stncnt - touch cqc_stnlst - cp cqc_stnlst $COMOUT/${RUN}.${cycle}.cqc_stnlst - touch cqc_sdm - cp cqc_sdm $COMOUT/${RUN}.${cycle}.cqc_sdm - touch cqc_radcor - cp cqc_radcor $COMOUT/${RUN}.${cycle}.cqc_radcor - -# save oiqc tosslist in COMOUT (if it runs) - if [ -s tosslist ]; then - cp tosslist $COMOUT/${RUN}.${cycle}.tosslist - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.tosslist - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.tosslist - else - cp /dev/null $COMOUT/${RUN}.${cycle}.tosslist - warning=yes - fi - fi - fi - - # Remove the following logic to create unblocked prepbufr files once we know - # it is definitely no longer needed. - if [ "${PROCESS_UNBLKBUFR:-NO}" = 'YES' ]; then -# -# make unblocked prepbufr file -# ---> ON WCOSS prepbufr is already unblocked, so for now just copy it to the -# unblok file location used before on CCS - hopefully this can be removed -# someday! - cp -p prepda.${cycle} prepda.${cycle}.unblok - err_cp=$? - if [ $err_cp -eq 0 ]; then - cp prepda.${cycle}.unblok $COMOUT/${RUN}.${cycle}.prepbufr.unblok - chmod 664 $COMOUT/${RUN}.${cycle}.prepbufr.unblok - if [ "$CHGRP_RSTPROD" = 'YES' ]; then - chgrp rstprod $COMOUT/${RUN}.${cycle}.prepbufr.unblok - errch=$? - if [ $errch -eq 0 ]; then - chmod 640 $COMOUT/${RUN}.${cycle}.prepbufr.unblok - else - cp /dev/null $COMOUT/${RUN}.${cycle}.prepbufr.unblok - warning=yes - fi - fi - fi - fi ## end logic to potentially create unblok version of prepbufr file - - if [[ "$SENDDBN" == "YES" ]]; then - if [[ "$RUN" == "gdas" || "$RUN" == "gdas1" ]]; then - RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) - $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_BUFR_PREPda $job \ - $COMOUT/${RUN}.${cycle}.prepbufr - if [ "${PROCESS_UNBLKBUFR:-NO}" = 'YES' ]; then - $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_BUFR_PREPda_unblok $job \ - $COMOUT/${RUN}.${cycle}.prepbufr.unblok - fi - elif [[ "$RUN" == "gfs" ]]; then - $DBNROOT/bin/dbn_alert MODEL GFS_BUFR_PREPda $job \ - $COMOUT/${RUN}.${cycle}.prepbufr - if [ "${PROCESS_UNBLKBUFR:-NO}" = 'YES' ]; then - $DBNROOT/bin/dbn_alert MODEL GFS_BUFR_PREPda_unblok $job \ - $COMOUT/${RUN}.${cycle}.prepbufr.unblok - fi - if [[ "$NEMSIO_IN" == .true. ]]; then - $DBNROOT/bin/dbn_alert MODEL GFS_atmges_NEMSIO $job \ - $COMOUT/${RUN}.${cycle}.atmges.nemsio - $DBNROOT/bin/dbn_alert MODEL GFS_atmgm3_NEMSIO $job \ - $COMOUT/${RUN}.${cycle}.atmgm3.nemsio - $DBNROOT/bin/dbn_alert MODEL GFS_atmgp3_NEMSIO $job \ - $COMOUT/${RUN}.${cycle}.atmgp3.nemsio - else - $DBNROOT/bin/dbn_alert MODEL GFS_sges_PREP $job \ - $COMOUT/${RUN}.${cycle}.sgesprep - $DBNROOT/bin/dbn_alert MODEL GFS_sgm3_PREP $job \ - $COMOUT/${RUN}.${cycle}.sgm3prep - $DBNROOT/bin/dbn_alert MODEL GFS_sgp3_PREP $job \ - $COMOUT/${RUN}.${cycle}.sgp3prep - fi - fi - fi -fi -## create combined ocean data dump file expected by NSST -if [[ "$MAKE_NSSTBUFR" == 'YES' ]]; then - > nsstbufr - chgrp rstprod nsstbufr - errch=$? - if [ $errch -eq 0 ]; then - for type in sfcshp tesac bathy trkob; do - file=${COMSP}$type.$tmmark.bufr_d - if [ -s $file ]; then - cat $file >> nsstbufr - err=$? - if [ $err -ne 0 ]; then - msg="**WARNING: exit status $err from cat of $file to nsstbufr" - $DATA/postmsg "$jlogfile" "$msg" - fi - else - echo $file is empty or does not exist - fi - done - cp nsstbufr $COMOUT/${RUN}.${cycle}.nsstbufr - chgrp rstprod $COMOUT/${RUN}.${cycle}.nsstbufr - chmod 640 $COMOUT/${RUN}.${cycle}.nsstbufr - msg="NOTE: nsstbufr file contains RESTRICTED data, only users in \ -rstprod group have read permission" - $DATA/postmsg "$jlogfile" "$msg" - else - cp /dev/null $COMOUT/${RUN}.${cycle}.nsstbufr - warning=yes - fi -fi - -if [ "$warning" = 'yes' ]; then - msg="**WARNING: Since user $USER is not in rstprod group all RESTRICTED \ -files are replaced with a null file" - $DATA/postmsg "$jlogfile" "$msg" -set +x - echo " " - echo "$msg" - echo " " -set -x -fi - -######################################################## - -# GOOD RUN -set +x -echo " " -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " ****** PROCESSING COMPLETED NORMALLY" -echo " " -set -x - - -# save standard output -cat break $pgmout break > allout -cat allout -# rm allout - -sleep 10 - -msg='ENDED NORMALLY.' -$DATA/postmsg "$jlogfile" "$msg" - -################## END OF SCRIPT ####################### diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf.txt deleted file mode 100644 index 5452628..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/exglobal_makeprepbufr.sh.ecf.txt +++ /dev/null @@ -1 +0,0 @@ -NO_SUCH_VARIABLe_NAME=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_global_RB-3.0.0/scripts/exglobal_makeprepbufr.sh.ecf diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.sh deleted file mode 100755 index 1672800..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.sh +++ /dev/null @@ -1,1385 +0,0 @@ -#!/bin/ksh -################################################################################ -# -# Name: getges.sh Author: Mark Iredell -# -# Abstract: -# This script copies the valid global guess file to a given file. -# Alternatively, it writes the name of the guess file to standard output. -# Specify option "-n network" for the job network (default global). -# Other options are gdas, gfs, cdas, mrf, prx, etc. -# Specify option "-e environment" for the job environment (default prod). -# Another option is test. -# Specify option "-f fhour" for the specific forecast hour wanted (default any). -# Specify option "-q" for quiet mode to turn off script messages. -# Specify option "-r resolution" for the resolution wanted (default high). -# Other options are 25464 17042, 12628, low, 6228, namopl, any. -# Specify option "-t filetype" for the filetype wanted from among these choices: -# sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3, -# sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3, -# biascr, satang, satcnt, gesfil -# pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3, -# sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl, -# enggrb, enggri, icegrb, icegri, snogrb, snogrb_high, snogri, sstgrb, sstgri. -# natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur, -# nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur, -# nstcur, nflges, nflgp3 -# Specify option "-v valid" for the valid date wanted (default $CDATE). -# Currently, the valid hours specified must be a multiple of 3. -# Either 2-digit or 4-digit years are currently allowed. -# Specify positional argument to be the file to which to copy the guess. -# If missing, the NAME of the guess file is written to standard output. -# A nonzero return code from this script means either the arguments are invalid -# or the guess could not be found; a message is written to standard error in -# this case, but neither a file copy nor a standard output write will be done. -# The file returned is guaranteed to exist and be readable. -# The script uses the utility commands NDATE and NHOUR. -# -# Example 1. Copy the production sigma guess for 1998100100 to the file sges. -# getges.sh -e prod -t sigges -v 1998100100 sges -# -# Example 2. Assign the pressure grib guess for the date 1998100121. -# export CDATE=1998100121 -# export XLFUNIT_12="$(getges.sh -qt pgbges||echo /dev/null)" -# -# Example 3. Get the PRX pgb analysis or the best valid guess at 1998100112. -# getges -e prx -t pgbcur -v 1998100112 pgbfile -# -# Example 5. Get the 24-hour GFS forecast sigma file valid at 1998100112. -# getges -t sigcur -v 1998100112 -f 24 -e gfs sigfile -# -# History: 1996 December Iredell Initial implementation -# 1997 March Iredell Nine new filetypes -# 1997 April Iredell Two new filetypes and -f option -# 1997 December Iredell Four new filetypes -# 1998 April Iredell 4-digit year allowed; -# sigges internal date no longer checked -# 1998 May Iredell T170L42 defaulted; four new filetypes -# and two filetypes deleted -# 1998 June Rogers Nam types added -# 1998 September Iredell high is default resolution -# 2000 March Iredell Cdas and -n option -# 2000 June Iredell Eight new filetypes -# 2002 April Treadon T254L64 defaulted; add angle dependent -# bias correction file -# 2003 March Iredell GFS network out to 384 hours -# 2003 August Iredell Hourly global guesses -# 2005 September Treadon Add satellite data count file (satcnt) -# 2006 September Gayno Add high-res snow analysis -# 2009 January Rogers Added sfluxgrb file -# 2011 April Rogers Added GFS pg2ges file -# 2016 May Menlove Changed GETGES_COM variable to $COMINmodel -# 2016 November Iredell Adapted getges for NEMS GSM -# Also removed a lot of dead wood -# -################################################################################ -#------------------------------------------------------------------------------- -# Set some default parameters. -fhbeg=03 # hour to begin searching backward for guess -fhinc=03 # hour to increment backward in search -fhend=384 # hour to end searching backward for guess - -#------------------------------------------------------------------------------- -# Get options and arguments. -netwk=global # default network -envir=prod # default environment -fhour=any # default forecast hour -quiet=NO # default quiet mode -trace=NO # default execution trace mode -resol=high # default resolution -typef=sigges # default filetype -valid=${CDATE:-'?'} # default valid date -err=0 - -while getopts n:e:f:qxr:t:v: opt;do - case $opt in - n) netwk="$OPTARG";; - e) envir="$OPTARG";; - f) fhour="$OPTARG";; - q) quiet=YES;; - x) trace=YES;; - r) resol="$OPTARG";; - t) typef="$OPTARG";; - v) valid="$OPTARG";; - \?) err=1;; - esac -done -shift $(($OPTIND-1)) -gfile=$1 -if [[ -z $valid ]];then - echo "$0: either -v option or environment variable CDATE must be set" >&2 -elif [[ $# -gt 1 ]];then - echo "$0: too many positional arguments" >&2 -elif [[ $err -ne 0 ]];then - echo "$0: invalid option" >&2 -fi -if [[ $gfile = '?' || $# -gt 1 || $err -ne 0 || -z $valid ||\ - $netwk = '?' || $envir = '?' || $fhour = '?' || $resol = '?' ||\ - $typef = '?' || $valid = '?' ]];then - echo "Usage: getges.sh [-n network] [-e environment] [-f fhour] [-q] [-r resolution]" >&2 - echo " [-t filetype] [-v valid] [gfile]" >&2 - if [[ $netwk = '?' ]];then - echo " network choices:" >&2 - echo " global (default), namopl, gdas, gfs, cdas, etc." >&2 - elif [[ $envir = '?' ]];then - echo " environment choices:" >&2 - echo " prod (default), test, para, dump, prx" >&2 - echo " (some network values allowed for compatibility)" >&2 - elif [[ $fhour = '?' ]];then - echo " fhour is optional specific forecast hour" >&2 - elif [[ $resol = '?' ]];then - echo " resolution choices:" >&2 - echo " high (default), 25464, 17042, 12628, low, 6228, namopl, any" >&2 - elif [[ $typef = '?' ]];then - echo " filetype choices:" >&2 - echo " sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3," >&2 - echo " sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3," >&2 - echo " sfgges, sfggp3, biascr, satang, satcnt, gesfil" >&2 - echo " pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3," >&2 - echo " sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl," >&2 - echo " enggrb, enggri, icegrb, icegri, snogrb, snogri, sstgrb, sstgri," >&2 - echo " pg2cur, pg2ges, restrt," >&2 - echo " natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur," >&2 - echo " nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur," >&2 - echo " nstcur, nflges, nflgp3," >&2 - elif [[ $valid = '?' ]];then - echo " valid is the valid date in yyyymmddhh or yymmddhh form" >&2 - echo " (default is environmental variable CDATE)" >&2 - elif [[ $gfile = '?' ]];then - echo " gfile is the guess file to write" >&2 - echo " (default is to write the guess file name to stdout)" >&2 - else - echo " (Note: set a given option to '?' for more details)" >&2 - fi - exit 1 -fi -[[ $trace == YES ]]&&set -x -if [[ $envir != prod && $envir != test && $envir != para && $envir != dump && $envir != pr? && $envir != dev ]];then - netwk=$envir - envir=prod - echo '************************************************************' >&2 - echo '* WARNING: Using "-e" is deprecated in this case. *' >&2 - echo '* Please use "-n" instead. *' >&2 - echo '************************************************************' >&2 -fi -if [[ "$netwk" = "namopl" || "$resol" = "namopl" ]];then - netwk=namopl - typef=restrt - resol=namopl -fi -[[ $resol = 57464 || $resol = 38264 || $resol = 19064 || $resol = 25464 || $resol = 17042 || $resol = 12628 ]]&&resol=high -[[ $resol = 6228 ]]&&resol=low -resolsuf="" -[[ $resol == *deg ]]&&resolsuf=.$resol -fhbeg=$(${NHOUR:?} $valid) -[[ $fhbeg -le 0 ]]&&fhbeg=03 -((fhbeg=(10#$fhbeg-1)/3*3+3)) -[[ $fhbeg -lt 10 ]]&&fhbeg=0$fhbeg -if [[ $typef = enggrb ]];then - typef=icegrb - echo '************************************************************' >&2 - echo '* WARNING: Using "-t enggrb" is now deprecated. *' >&2 - echo '* Please use "-t icegrb". *' >&2 - echo '************************************************************' >&2 -elif [[ $typef = enggri ]];then - typef=icegri - echo '************************************************************' >&2 - echo '* WARNING: Using "-t enggri" is now deprecated. *' >&2 - echo '* Please use "-t icegri". *' >&2 - echo '************************************************************' >&2 -fi - -#------------------------------------------------------------------------------- -# Assemble guess list in descending order from the best guess. -geslist="" -getlist00="" - -# GDAS -if [[ "$netwk" = "gdas" ]];then - if [ -z "$COMINgdas" ]; then - echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 - exit 1 - fi - fhend=12 - case $typef in - biascr) geslist=' - $COMINgdas/gdas.t${cyc}z.abias' - ;; - biascr_pc) geslist=' - $COMINgdas/gdas.t${cyc}z.abias_pc' - ;; - biascr_air) geslist=' - $COMINgdas/gdas.t${cyc}z.abias_air' - ;; - radstat) geslist=' - $COMINgdas/gdas.t${cyc}z.radstat' - ;; - pgbges) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh' - ;; - pg2ges) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' - ;; - pgbgm6) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6' - ;; - pgbgm3) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3' - ;; - pgbgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3' - ;; - pgbcur) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINgdas/gdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINgdas/gdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINgdas/gdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576' - fhbeg=00 - fhinc=06 - ;; - snogrb_1534) geslist=' - $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINgdas/gdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - natges) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' - ;; - natgm3) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio' - ;; - natgm2) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio' - ;; - natgm1) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio' - ;; - natgp1) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio' - ;; - natgp2) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio' - ;; - natgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio' - ;; - natcur) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' - getlist00=' - $COMINgdas/gdas.t${cyc}z.atmanl.nemsio' - fhbeg=00 - ;; - nsfges) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' - ;; - nsfgm3) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio' - ;; - nsfgm2) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio' - ;; - nsfgm1) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio' - ;; - nsfgp1) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio' - ;; - nsfgp2) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio' - ;; - nsfgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio' - ;; - nsfcur) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' - getlist00=' - $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio' - fhbeg=00 - ;; - nstcur) geslist=' - $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio' - getlist00=' - $COMINgdas/gdas.t${cyc}z.nstanl.nemsio' - fhbeg=00 - ;; - nflges) geslist=' - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' - ;; - nflgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio' - ;; - nflcur) geslist=' - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' - fhbeg=00 - ;; - esac - -# CFS-CDAS -elif [[ "$netwk" = "cfs-cdas" ]];then - if [ -z "$COMINcfs_cdas" ]; then - echo "getges.sh ERROR: The \$COMINcfs_cdas variable must be defined." >&2 - exit 1 - fi - fhend=12 - case $typef in - sigges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fh}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm3}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm2}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm1}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp1}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp2}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp3}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.abias' - ;; - satang) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.satang' - ;; - satcnt) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fh' - ;; - sfggp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fhp3' - ;; - pgbges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm6 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm6 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhp3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhp3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' - getlist00=' - $COMINcfs_cdas/cdas1.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' - getlist00=' - $COMINcfs_cdas/cdas1.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574 - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# GFS -elif [[ "$netwk" = "gfs" ]];then - if [ -z "$COMINgfs" ]; then - echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 - exit 1 - fi - fhend=384 - case $typef in - natges) geslist=' - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - ;; - pgbcur) geslist=' - $COMINgfs/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINgfs/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvitl) geslist=' - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINgfs/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINgfs/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_1534) geslist=' - $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINgfs/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - natcur) geslist=' - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - getlist00=' - $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' - fhbeg=00 - ;; - nsfcur) geslist=' - $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' - getlist00=' - $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' - fhbeg=00 - ;; - nstcur) geslist=' - $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' - getlist00=' - $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' - fhbeg=00 - ;; - nflcur) geslist=' - $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' - fhbeg=00 - ;; - esac - -# CDAS -elif [[ "$netwk" = "cdas" ]];then - if [ -z "$COMINcdas" ]; then - echo "getges.sh ERROR: The \$COMINcdas variable must be defined." >&2 - exit 1 - fi - fhbeg=06 - fhend=06 - case $typef in - sigges) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $COMINcdas/cdas.t${cyc}z.abias' - ;; - satang) geslist=' - $COMINcdas/cdas.t${cyc}z.satang' - ;; - satcnt) geslist=' - $COMINcdas/cdas.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $COMINcdas/cdas.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fh' - getlist00=' - $COMINcdas/cdas.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fh' - getlist00=' - $COMINcdas/cdas.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINcdas/cdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINcdas/cdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $COMINcdas/cdas.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINcdas/cdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $COMINcdas/cdas.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINcdas/cdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $COMINcdas/cdas.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# CDC CDAS -elif [[ "$netwk" = "cdc" ]];then - if [ -z "$COMINcdc" ]; then - echo "getges.sh ERROR: The \$COMINcdc variable must be defined." >&2 - exit 1 - fi - fhbeg=06 - fhend=06 - case $typef in - sigges) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $COMINcdc/cdas.t${cyc}z.abias' - ;; - satang) geslist=' - $COMINcdc/cdas.t${cyc}z.satang' - ;; - satcnt) geslist=' - $COMINcdc/cdas.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $COMINcdc/cdas.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fh' - getlist00=' - $COMINcdc/cdas.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fh' - getlist00=' - $COMINcdc/cdas.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINcdc/cdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINcdc/cdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $COMINcdc/cdas.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINcdc/cdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $COMINcdc/cdas.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINcdc/cdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $COMINcdc/cdas.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# Any resolution production -elif [[ "$netwk" = "global" ]];then - if [ -z "$COMINgdas" ]; then - echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 - exit 1 - fi - if [ -z "$COMINgfs" ]; then - echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 - exit 1 - fi - GETGES_NWG=${GETGES_NWG:-${GESROOT:?}} - case $typef in - biascr) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.abias - $COMINgdas/gdas.t${cyc}z.abias - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias - $COMINgfs/gfs.t${cyc}z.abias' - fhbeg=06 - fhinc=06 - ;; - pgbges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $COMINgfs/gfs.t${cyc}z.pgrbf$fh' - ;; - pgbgm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm6 - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm6 - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 - $COMINgfs/gfs.t${cyc}z.pgrbf$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm3 - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm3 - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 - $COMINgfs/gfs.t${cyc}z.pgrbf$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhp3 - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhp3 - $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 - $COMINgfs/gfs.t${cyc}z.pgrbf$fhp3' - ;; - pg2ges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$gh - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$gh - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' - ;; - pg2gm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm6 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm6' - ;; - pg2gm5) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm5 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm5' - ;; - pg2gm4) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm4 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm4' - ;; - pg2gm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm3 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm3' - ;; - pg2gm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm2 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm2' - ;; - pg2gm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm1 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm1' - ;; - pg2gp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp1 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp1' - ;; - pg2gp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp2 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp2' - ;; - pg2gp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp3 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp3' - ;; - pgbcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $COMINgfs/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p50.f$gh - $COMINgdas/gdas.t${cyc}z.pgrb2.0p50.f$gh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f$gh - $COMINgfs/gfs.t${cyc}z.pgrb2.0p50.f$gh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.prepbufr - $COMINgdas/gdas.t${cyc}z.prepbufr - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr - $COMINgfs/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.engicegrb - $COMINgdas/gdas.t${cyc}z.engicegrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb - $COMINgfs/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb - $COMINgdas/gdas.t${cyc}z.snogrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb - $COMINgfs/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t574.1152.576 - $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574.1152.576 - $COMINgfs/gfs.t${cyc}z.snogrb_t574.1152.576' - fhbeg=00 - fhinc=06 - ;; - snogrb_1534) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t1534.3072.1536 - $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t1534.3072.1536 - $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sstgrb - $COMINgdas/gdas.t${cyc}z.sstgrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb - $COMINgfs/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - natges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - ((vhr=$valid%100)) - if [[ $(($vhr % 3)) -ne 0 ]]; then - fhinc=01 - fi - ;; - natgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm3.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm3.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghm3.nemsio' - ;; - natgm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm2.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm2.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghm2.nemsio' - ;; - natgm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm1.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm1.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghm1.nemsio' - ;; - natgp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp1.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp1.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghp1.nemsio' - ;; - natgp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp2.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp2.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghp2.nemsio' - ;; - natgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp3.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp3.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghp3.nemsio' - ;; - natcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmanl.nemsio - $COMINgdas/gdas.t${cyc}z.atmanl.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmanl.nemsio - $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' - fhbeg=00 - ;; - nsfges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' - ;; - nsfgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm3.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm3.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghm3.nemsio' - ;; - nsfgm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm2.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm2.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghm2.nemsio' - ;; - nsfgm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm1.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm1.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghm1.nemsio' - ;; - nsfgp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp1.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp1.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghp1.nemsio' - ;; - nsfgp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp2.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp2.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghp2.nemsio' - ;; - nsfgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp3.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp3.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghp3.nemsio' - ;; - nsfcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcanl.nemsio - $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl.nemsio - $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' - fhbeg=00 - ;; - nstcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstanl.nemsio - $COMINgdas/gdas.t${cyc}z.nstanl.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstanl.nemsio - $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' - fhbeg=00 - ;; - nflges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' - ;; - nflgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$ghp3.nemsio - $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$ghp3.nemsio - $COMINgfs/gfs.t${cyc}z.flxf$ghp3.nemsio' - ;; - nflcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' - fhbeg=00 - ;; - esac -fi - -# Check validity of options. -if [[ $fhour != any ]];then - fhbeg=$fhour - fhend=$fhour -fi -if [[ $valid -lt 20000000 ]];then - valid=20$valid - echo '************************************************************' >&2 - echo '* WARNING: A 2-digit year was converted to a 4-digit year. *' >&2 - echo '* Please use full a 4-digit year in this utility. *' >&2 - echo '************************************************************' >&2 -fi -if [[ $($NDATE 0 $valid 2>/dev/null) != $valid ]];then - echo getges.sh: invalid date $valid >&2 - exit 2 -fi -if [[ -z "$geslist" ]];then - echo getges.sh: filetype $typef or resolution $resol not recognized >&2 - exit 2 -fi - -#------------------------------------------------------------------------------- -# Loop until guess is found. -fh=$fhbeg -if [ -z "$PDY" ];then echo "getges.sh WARNING: \$PDY variable not set" >&2; fi -while [[ $fh -le $fhend ]];do - ((fhm6=10#$fh-6)) - [[ $fhm6 -lt 10 && $fhm6 -ge 0 ]]&&fhm6=0$fhm6 - ((fhm5=10#$fh-5)) - [[ $fhm5 -lt 10 && $fhm5 -ge 0 ]]&&fhm5=0$fhm5 - ((fhm4=10#$fh-4)) - [[ $fhm4 -lt 10 && $fhm4 -ge 0 ]]&&fhm4=0$fhm4 - ((fhm3=10#$fh-3)) - [[ $fhm3 -lt 10 && $fhm3 -ge 0 ]]&&fhm3=0$fhm3 - ((fhm2=10#$fh-2)) - [[ $fhm2 -lt 10 && $fhm2 -ge 0 ]]&&fhm2=0$fhm2 - ((fhm1=10#$fh-1)) - [[ $fhm1 -lt 10 && $fhm1 -ge 0 ]]&&fhm1=0$fhm1 - ((fhp1=10#$fh+1)) - [[ $fhp1 -lt 10 ]]&&fhp1=0$fhp1 - ((fhp2=10#$fh+2)) - [[ $fhp2 -lt 10 ]]&&fhp2=0$fhp2 - ((fhp3=10#$fh+3)) - [[ $fhp3 -lt 10 ]]&&fhp3=0$fhp3 - gh=$fh;[[ $gh -lt 100 ]]&&gh=0$gh - ghm6=$fhm6;[[ $ghm6 -lt 100 ]]&&ghm6=0$ghm6 - ghm5=$fhm5;[[ $ghm5 -lt 100 ]]&&ghm5=0$ghm5 - ghm4=$fhm4;[[ $ghm4 -lt 100 ]]&&ghm4=0$ghm4 - ghm3=$fhm3;[[ $ghm3 -lt 100 ]]&&ghm3=0$ghm3 - ghm2=$fhm2;[[ $ghm2 -lt 100 ]]&&ghm2=0$ghm2 - ghm1=$fhm1;[[ $ghm1 -lt 100 ]]&&ghm1=0$ghm1 - ghp1=$fhp1;[[ $ghp1 -lt 100 ]]&&ghp1=0$ghp1 - ghp2=$fhp2;[[ $ghp2 -lt 100 ]]&&ghp2=0$ghp2 - ghp3=$fhp3;[[ $ghp3 -lt 100 ]]&&ghp3=0$ghp3 - id=$($NDATE -$fh $valid) - typeset -L8 day=$id - typeset -R2 cyc=$id - eval list=\$getlist$fh - [[ -z "$list" ]]&&list=${geslist} - for ges_var in $list;do - # Replace variables in guess with their values - eval ges_val=$ges_var - # Replace the current PDY with the valid date - ges=${ges_val/$PDY\//$day/} - [[ $quiet = NO ]]&&echo Checking: $ges >&2 - [[ -r $ges ]]&&break 2 - done - fh=$((10#$fh+10#$fhinc)) - [[ $fh -lt 10 ]]&&fh=0$fh -done -if [[ $fh -gt $fhend ]];then - echo getges.sh: unable to find $netwk.$envir.$typef.$resol.$valid >&2 - exit 8 -fi - -#------------------------------------------------------------------------------- -# Either copy guess to a file or write guess name to standard output. -if [[ -z "$gfile" ]];then - echo $ges - exit $? -else - cp $ges $gfile - exit $? -fi diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.txt deleted file mode 100644 index c31dc35..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges.txt +++ /dev/null @@ -1 +0,0 @@ -GETGESprep=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/getges.sh diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges_sig.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges_sig.sh deleted file mode 100755 index ee050d4..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/getges_sig.sh +++ /dev/null @@ -1,3000 +0,0 @@ -#!/bin/ksh -################################################################################ -# -# Name: getges.sh Author: Mark Iredell -# -# Abstract: -# This script copies the valid global guess file to a given file. -# Alternatively, it writes the name of the guess file to standard output. -# Specify option "-n network" for the job network (default global). -# Other options are gdas, gfs, cdas, mrf, prx, etc. -# Specify option "-e environment" for the job environment (default prod). -# Another option is test. -# Specify option "-f fhour" for the specific forecast hour wanted (default any). -# Specify option "-q" for quiet mode to turn off script messages. -# Specify option "-r resolution" for the resolution wanted (default high). -# Other options are 25464 17042, 12628, low, 6228, namopl, any. -# Specify option "-t filetype" for the filetype wanted from among these choices: -# sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3, -# sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3, -# biascr, satang, satcnt, gesfil -# pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3, -# sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl, -# enggrb, enggri, icegrb, icegri, snogrb, snogrb_high, snogri, sstgrb, sstgri. -# Specify option "-v valid" for the valid date wanted (default $CDATE). -# Currently, the valid hours specified must be a multiple of 3. -# Either 2-digit or 4-digit years are currently allowed. -# Specify positional argument to be the file to which to copy the guess. -# If missing, the NAME of the guess file is written to standard output. -# A nonzero return code from this script means either the arguments are invalid -# or the guess could not be found; a message is written to standard error in -# this case, but neither a file copy nor a standard output write will be done. -# The file returned is guaranteed to exist and be readable. -# The script uses the utility commands NDATE and NHOUR. -# -# Example 1. Copy the production sigma guess for 1998100100 to the file sges. -# getges.sh -e prod -t sigges -v 1998100100 sges -# -# Example 2. Assign the pressure grib guess for the date 1998100121. -# export CDATE=1998100121 -# export XLFUNIT_12="$(getges.sh -qt pgbges||echo /dev/null)" -# -# Example 3. Get the PRX pgb analysis or the best valid guess at 1998100112. -# getges -e prx -t pgbcur -v 1998100112 pgbfile -# -# Example 5. Get the 24-hour GFS forecast sigma file valid at 1998100112. -# getges -t sigcur -v 1998100112 -f 24 -e gfs sigfile -# -# History: 1996 December Iredell Initial implementation -# 1997 March Iredell Nine new filetypes -# 1997 April Iredell Two new filetypes and -f option -# 1997 December Iredell Four new filetypes -# 1998 April Iredell 4-digit year allowed; -# sigges internal date no longer checked -# 1998 May Iredell T170L42 defaulted; four new filetypes -# and two filetypes deleted -# 1998 June Rogers Nam types added -# 1998 September Iredell high is default resolution -# 2000 March Iredell Cdas and -n option -# 2000 June Iredell Eight new filetypes -# 2002 April Treadon T254L64 defaulted; add angle dependent -# bias correction file -# 2003 March Iredell GFS network out to 384 hours -# 2003 August Iredell Hourly global guesses -# 2005 September Treadon Add satellite data count file (satcnt) -# 2006 September Gayno Add high-res snow analysis -# 2009 January Rogers Added sfluxgrb file -# 2011 April Rogers Added GFS pg2ges file -# -################################################################################ -#------------------------------------------------------------------------------- -# Set some default parameters. -fhbeg=03 # hour to begin searching backward for guess -fhinc=03 # hour to increment backward in search -fhend=384 # hour to end searching backward for guess - -#------------------------------------------------------------------------------- -# Get options and arguments. -netwk=global # default network -envir=prod # default environment -fhour=any # default forecast hour -quiet=NO # default quiet mode -resol=high # default resolution -typef=sigges # default filetype -valid=${CDATE:-'?'} # default valid date -valid=$CDATE # default valid date -err=0 -while getopts n:e:f:qr:t:v: opt;do - case $opt in - n) netwk="$OPTARG";; - e) envir="$OPTARG";; - f) fhour="$OPTARG";; - q) quiet=YES;; - r) resol="$OPTARG";; - t) typef="$OPTARG";; - v) valid="$OPTARG";; - \?) err=1;; - esac -done -shift $(($OPTIND-1)) -gfile=$1 -if [[ -z $valid ]];then - echo "$0: either -v option or environment variable CDATE must be set" >&2 -elif [[ $# -gt 1 ]];then - echo "$0: too many positional arguments" >&2 -elif [[ $err -ne 0 ]];then - echo "$0: invalid option" >&2 -fi -if [[ $gfile = '?' || $# -gt 1 || $err -ne 0 || -z $valid ||\ - $netwk = '?' || $envir = '?' || $fhour = '?' || $resol = '?' ||\ - $typef = '?' || $valid = '?' ]];then - echo "Usage: getges.sh [-n network] [-e environment] [-f fhour] [-q] [-r resolution]" >&2 - echo " [-t filetype] [-v valid] [gfile]" >&2 - if [[ $netwk = '?' ]];then - echo " network choices:" >&2 - echo " global (default), namopl, gdas, gfs, cdas, etc." >&2 - elif [[ $envir = '?' ]];then - echo " environment choices:" >&2 - echo " prod (default), test, para, dump, prx" >&2 - echo " (some network values allowed for compatibility)" >&2 - elif [[ $fhour = '?' ]];then - echo " fhour is optional specific forecast hour" >&2 - elif [[ $resol = '?' ]];then - echo " resolution choices:" >&2 - echo " high (default), 25464, 17042, 12628, low, 6228, namopl, any" >&2 - elif [[ $typef = '?' ]];then - echo " filetype choices:" >&2 - echo " sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3," >&2 - echo " sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3," >&2 - echo " sfgges, sfggp3, biascr, satang, satcnt, gesfil" >&2 - echo " pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3," >&2 - echo " sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl," >&2 - echo " enggrb, enggri, icegrb, icegri, snogrb, snogri, sstgrb, sstgri," >&2 - echo " pg2cur, pg2ges, restrt" >&2 - elif [[ $valid = '?' ]];then - echo " valid is the valid date in yyyymmddhh or yymmddhh form" >&2 - echo " (default is environmental variable CDATE)" >&2 - elif [[ $gfile = '?' ]];then - echo " gfile is the guess file to write" >&2 - echo " (default is to write the guess file name to stdout)" >&2 - else - echo " (Note: set a given option to '?' for more details)" >&2 - fi - exit 1 -fi -#[[ $quiet = NO ]]&&set -x -if [[ $envir != prod && $envir != test && $envir != para && $envir != dump && $envir != pr? && $envir != dev ]];then - netwk=$envir - envir=prod - echo '************************************************************' >&2 - echo '* CAUTION: Using "-e" is deprecated in this case. *' >&2 - echo '* Please use "-n" instead. *' >&2 - echo '************************************************************' >&2 -fi -if [[ $netwk = namopl || $resol = namopl ]];then - netwk=namopl - typef=restrt - resol=namopl -fi -[[ $resol = 57464 || $resol = 38264 || $resol = 19064 || $resol = 25464 || $resol = 17042 || $resol = 12628 ]]&&resol=high -[[ $resol = 6228 ]]&&resol=low -resolsuf="" -[[ $resol == *deg ]]&&resolsuf=.$resol -fhbeg=$($NHOUR $valid) -[[ $fhbeg -le 0 ]]&&fhbeg=03 -((fhbeg=(10#$fhbeg-1)/3*3+3)) -[[ $fhbeg -lt 10 ]]&&fhbeg=0$fhbeg -if [[ $typef = enggrb ]];then - typef=icegrb - echo '************************************************************' >&2 - echo '* CAUTION: Using "-t enggrb" is now deprecated. *' >&2 - echo '* Please use "-t icegrb". *' >&2 - echo '************************************************************' >&2 -elif [[ $typef = enggri ]];then - typef=icegri - echo '************************************************************' >&2 - echo '* CAUTION: Using "-t enggri" is now deprecated. *' >&2 - echo '* Please use "-t icegri". *' >&2 - echo '************************************************************' >&2 -fi - -#------------------------------------------------------------------------------- -# Default top level directories. -export GETGES_COM=${GETGES_COM:-${COMROOT}} -export GETGES_NWG=${GETGES_NWG:-${GESROOT}} -export GETGES_GLO=${GETGES_GLO:-/gloptmp} - -#------------------------------------------------------------------------------- -# Assemble guess list in descending order from the best guess. -geslist="" -geslist00="" - -# GDAS -if [[ $netwk = gdas ]];then - fhend=12 - case $typef in - sigges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias' - ;; - biascr_pc) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias_pc' - ;; - biascr_air) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias_air' - ;; - radstat) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.radstat' - ;; - satang) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh' - ;; - sfggp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fhp3' - ;; - pgbges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh' - ;; - pg2ges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2f$fh$resolsuf' - ;; - pgiges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2f$fh$resolsuf' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574.1152.576' - fhbeg=00 - fhinc=06 - ;; - snogrb_1534) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t1534.3072.1536' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# CFS-CDAS -elif [[ $netwk = cfs-cdas ]];then - fhend=12 - case $typef in - sigges) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fh}.LIS - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhm3}.LIS - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhm2}.LIS - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhm1}.LIS - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhp1}.LIS - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhp2}.LIS - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf${fhp3}.LIS - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.abias' - ;; - satang) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sfluxgrbf$fh' - ;; - sfggp3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sfluxgrbf$fhp3' - ;; - pgbges) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fhm6 - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fhm6 - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fhm3 - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fhm3 - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fhp3 - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fhp3 - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/cfs/$envir/cdas.$day/cdas1.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# GFS -elif [[ $netwk = gfs ]];then - fhend=384 - case $typef in - sigges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' - ;; - satang) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' - ;; - sfggp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3' - ;; - pgbges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - ;; - pg2ges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f0$fh$resolsuf' - ;; - pgiges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f0$fh$resolsuf' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# GFS -elif [[ $netwk = gfs ]];then - fhend=126 - case $typef in - sigges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' - ;; - satang) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' - ;; - sfggp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3' - ;; - pgbges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - echo '************************************************************' >&2 - echo '* CAUTION: Using "-n gfs" is now deprecated. *' >&2 - echo '* Please use "-n gfs". *' >&2 - echo '************************************************************' >&2 - -# CDAS -elif [[ $netwk = cdas ]];then - fhbeg=06 - fhend=06 - case $typef in - sigges) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.abias' - ;; - satang) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/cdas/$envir/cdas.$day/cdas.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# CDC CDAS -elif [[ $netwk = cdc ]];then - fhbeg=06 - fhend=06 - case $typef in - sigges) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.abias' - ;; - satang) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/cdc/$envir/cdas.$day/cdas.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# MRF -elif [[ $netwk = mrf ]];then - fhend=384 - case $typef in - sigges) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias' - ;; - satang) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/mrf/$envir/mrf.$day/drfmr.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - echo '************************************************************' >&2 - echo '* CAUTION: Using "-n mrf" is now deprecated. *' >&2 - echo '* Please use "-n gfs". *' >&2 - echo '************************************************************' >&2 - -# PRZ -elif [[ $netwk = prz ]];then - fhend=384 - case $typef in - sigges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.abias' - ;; - satang) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satang' - ;; - satcnt) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm6 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm6 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' - getlist00=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - echo '************************************************************' >&2 - echo '* CAUTION: Using "-n prz" is now deprecated. *' >&2 - echo '* Please use "-n gfs". *' >&2 - echo '************************************************************' >&2 - -# High resolution production -elif [[ $netwk = global && $resol = high ]];then - case $typef in - sigges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.abias - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' - fhbeg=06 - fhinc=06 - ;; - satang) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satang - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satang - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' - fhbeg=06 - fhinc=06 - ;; - satcnt) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satcnt - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satcnt - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' - fhbeg=06 - fhinc=06 - ;; - gesfil) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.gesfile - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.gesfile - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' - ;; - sfggp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fhp3' - ;; - pgbges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' - ;; - pg2ges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh' - ;; - pg2gm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6' - ;; - pg2gm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3' - ;; - pg2gp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3' - ;; - sigcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sanl - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sanl - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sanl - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# Low resolution production -elif [[ $netwk = global && $resol = low ]];then - case $typef in - sigges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm2 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhm1 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp1 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp2 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fhp3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm2 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhm1 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp1 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp1 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp2 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp2 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fhp3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.abias - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.abias - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.abias - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.abias' - fhbeg=06 - fhinc=06 - ;; - satang) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.satang - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satang - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.satang - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.satang' - fhbeg=06 - fhinc=06 - ;; - satcnt) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.satcnt - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.satcnt - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.satcnt - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.satcnt' - fhbeg=06 - fhinc=06 - ;; - gesfil) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.gesfile - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.gesfile - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.gesfile - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' - ;; - pgbges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm6 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm6 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm6 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm6 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhm3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhm3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fhp3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fhp3 - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhp3 - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fhp3' - ;; - pg2ges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh' - ;; - pg2gm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6' - ;; - pg2gm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3' - ;; - pg2gp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3' - ;; - sigcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sf$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sf$fh' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sanl - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sanl - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sanl - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.bf$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.bf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.bf$fh' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.sfcanl - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.sfcanl - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.sfcanl - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas2.t${cyc}z.pgrbif$fh - $GETGES_NWG/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh - $GETGES_COM/mrf/$envir/mrf.$day/drf01.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - esac - -# Any resolution production -elif [[ $netwk = global && $resol = any ]];then - case $typef in - sigges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhm1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhm1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.abias - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.abias - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.abias' - fhbeg=06 - fhinc=06 - ;; - satang) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satang - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satang - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satang - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satang' - fhbeg=06 - fhinc=06 - ;; - satcnt) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.satcnt - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.satcnt - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.satcnt - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.satcnt' - fhbeg=06 - fhinc=06 - ;; - gesfil) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.gesfile - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.gesfile - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.gesfile - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfluxgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfluxgrbf$fh' - ;; - pgbges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhp3 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fhp3' - ;; - pg2ges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fh' - ;; - pg2gm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm6' - ;; - pg2gm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhm3' - ;; - pg2gp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f0$fhp3' - ;; - sigcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sf$fh' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sanl - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sanl - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sanl - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.bf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.bf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.bf$fh' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sfcanl - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbh$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbih$fh - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.pgrbif$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.prepbufr - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.engicegrb.index - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t382 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb_t574 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574 - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.snogrb.index - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index - $GETGES_COM/gfs/$envir/gdas.$day/gdas1.t${cyc}z.sstgrb.index - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index - $GETGES_COM/gfs/$envir/gfs.$day/gfs.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# Early nam-32 resolution -elif [[ $netwk = namopl && $resol = namopl ]];then - fhbeg=03 - fhinc=03 - fhend=12 - case $typef in - restrt) geslist=' - $GETGES_NWG/$envir/nam.$day/nam.t${cyc}z.restrt$fh.tm00' - ;; - esac -fi - -# Global parallel -if [[ $envir = dump || $envir = pr? ]];then - fhend=384 - if [[ $netwk = global ]];then - case $typef in - sigges) geslist=' - $GETGES_GLO/$envir/sigf$fh.gdas.$id - $GETGES_GLO/$envir/sigf$fh.gfs.$id' - ;; - siggm3) geslist=' - $GETGES_GLO/$envir/sigf$fhm3.gdas.$id - $GETGES_GLO/$envir/sigf$fhm3.gfs.$id' - ;; - siggm2) geslist=' - $GETGES_GLO/$envir/sigf$fhm2.gdas.$id - $GETGES_GLO/$envir/sigf$fhm2.gfs.$id' - ;; - siggm1) geslist=' - $GETGES_GLO/$envir/sigf$fhm1.gdas.$id - $GETGES_GLO/$envir/sigf$fhm1.gfs.$id' - ;; - siggp1) geslist=' - $GETGES_GLO/$envir/sigf$fhp1.gdas.$id - $GETGES_GLO/$envir/sigf$fhp1.gfs.$id' - ;; - siggp2) geslist=' - $GETGES_GLO/$envir/sigf$fhp2.gdas.$id - $GETGES_GLO/$envir/sigf$fhp2.gfs.$id' - ;; - siggp3) geslist=' - $GETGES_GLO/$envir/sigf$fhp3.gdas.$id - $GETGES_GLO/$envir/sigf$fhp3.gfs.$id' - ;; - sfcges) geslist=' - $GETGES_GLO/$envir/sfcf$fh.gdas.$id - $GETGES_GLO/$envir/sfcf$fh.gfs.$id' - ;; - sfcgm3) geslist=' - $GETGES_GLO/$envir/sfcf$fhm3.gdas.$id - $GETGES_GLO/$envir/sfcf$fhm3.gfs.$id' - ;; - sfcgm2) geslist=' - $GETGES_GLO/$envir/sfcf$fhm2.gdas.$id - $GETGES_GLO/$envir/sfcf$fhm2.gfs.$id' - ;; - sfcgm1) geslist=' - $GETGES_GLO/$envir/sfcf$fhm1.gdas.$id - $GETGES_GLO/$envir/sfcf$fhm1.gfs.$id' - ;; - sfcgp1) geslist=' - $GETGES_GLO/$envir/sfcf$fhp1.gdas.$id - $GETGES_GLO/$envir/sfcf$fhp1.gfs.$id' - ;; - sfcgp2) geslist=' - $GETGES_GLO/$envir/sfcf$fhp2.gdas.$id - $GETGES_GLO/$envir/sfcf$fhp2.gfs.$id' - ;; - sfcgp3) geslist=' - $GETGES_GLO/$envir/sfcf$fhp3.gdas.$id - $GETGES_GLO/$envir/sfcf$fhp3.gfs.$id' - ;; - biascr) geslist=' - $GETGES_GLO/$envir/biascr.gdas.$id - $GETGES_GLO/$envir/biascr.gfs.$id' - fhbeg=06 - fhinc=06 - ;; - satang) geslist=' - $GETGES_GLO/$envir/satang.gdas.$id - $GETGES_GLO/$envir/satang.gfs.$id' - fhbeg=06 - fhinc=06 - ;; - satcnt) geslist=' - $GETGES_GLO/$envir/satcnt.gdas.$id - $GETGES_GLO/$envir/satcnt.gfs.$id' - fhbeg=06 - fhinc=06 - ;; - gesfil) geslist=' - $GETGES_GLO/$envir/gesfile.gdas.$id - $GETGES_GLO/$envir/gesfile.gfs.$id' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $GETGES_GLO/$envir/pgbf$fh.gdas.$id - $GETGES_GLO/$envir/pgbf$fh.gfs.$id' - ;; - pgbgm6) geslist=' - $GETGES_GLO/$envir/pgbf$fhm6.gdas.$id - $GETGES_GLO/$envir/pgbf$fhm6.gfs.$id' - ;; - pgbgm3) geslist=' - $GETGES_GLO/$envir/pgbf$fhm3.gdas.$id - $GETGES_GLO/$envir/pgbf$fhm3.gfs.$id' - ;; - pgbgp3) geslist=' - $GETGES_GLO/$envir/pgbf$fhp3.gdas.$id - $GETGES_GLO/$envir/pgbf$fhp3.gfs.$id' - ;; - sigcur) geslist=' - $GETGES_GLO/$envir/sigf$fh.gdas.$id - $GETGES_GLO/$envir/sigf$fh.gfs.$id' - getlist00=' - $GETGES_GLO/$envir/siganl.gdas.$id - $GETGES_GLO/$envir/siganl.gfs.$id' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_GLO/$envir/sfcf$fh.gdas.$id - $GETGES_GLO/$envir/sfcf$fh.gfs.$id' - getlist00=' - $GETGES_GLO/$envir/sfcanl.gdas.$id - $GETGES_GLO/$envir/sfcanl.gfs.$id' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_GLO/$envir/pgbf$fh.gdas.$id - $GETGES_GLO/$envir/pgbf$fh.gfs.$id' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_GLO/$envir/prepqc.gdas.$id - $GETGES_GLO/$envir/prepqc.gfs.$id' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_GLO/$envir/tcvitl.gdas.$id - $GETGES_GLO/$envir/tcvitl.gfs.$id' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_GLO/$envir/tcvitl.gdas.$id - $GETGES_GLO/$envir/tcvitl.gfs.$id' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_GLO/$envir/tcvitl.gdas.$id - $GETGES_GLO/$envir/tcvitl.gfs.$id' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_GLO/$envir/icegrb.gdas.$id - $GETGES_GLO/$envir/icegrb.gfs.$id' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_GLO/$envir/snogrb.gdas.$id - $GETGES_GLO/$envir/snogrb.gfs.$id' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_GLO/$envir/sstgrb.gdas.$id - $GETGES_GLO/$envir/sstgrb.gfs.$id' - fhbeg=00 - fhinc=06 - ;; - esac - else - case $typef in - sigges) geslist=' - $GETGES_GLO/$envir/sigf$fh.$netwk.$id' - ;; - siggm3) geslist=' - $GETGES_GLO/$envir/sigf$fhm3.$netwk.$id' - ;; - siggm2) geslist=' - $GETGES_GLO/$envir/sigf$fhm2.$netwk.$id' - ;; - siggm1) geslist=' - $GETGES_GLO/$envir/sigf$fhm1.$netwk.$id' - ;; - siggp1) geslist=' - $GETGES_GLO/$envir/sigf$fhp1.$netwk.$id' - ;; - siggp2) geslist=' - $GETGES_GLO/$envir/sigf$fhp2.$netwk.$id' - ;; - siggp3) geslist=' - $GETGES_GLO/$envir/sigf$fhp3.$netwk.$id' - ;; - sfcges) geslist=' - $GETGES_GLO/$envir/sfcf$fh.$netwk.$id' - ;; - sfcgm3) geslist=' - $GETGES_GLO/$envir/sfcf$fhm3.$netwk.$id' - ;; - sfcgm2) geslist=' - $GETGES_GLO/$envir/sfcf$fhm2.$netwk.$id' - ;; - sfcgm1) geslist=' - $GETGES_GLO/$envir/sfcf$fhm1.$netwk.$id' - ;; - sfcgp1) geslist=' - $GETGES_GLO/$envir/sfcf$fhp1.$netwk.$id' - ;; - sfcgp2) geslist=' - $GETGES_GLO/$envir/sfcf$fhp2.$netwk.$id' - ;; - sfcgp3) geslist=' - $GETGES_GLO/$envir/sfcf$fhp3.$netwk.$id' - ;; - biascr) geslist=' - $GETGES_GLO/$envir/biascr.$netwk.$id' - fhbeg=06 - fhinc=06 - ;; - satang) geslist=' - $GETGES_GLO/$envir/satang.$netwk.$id' - fhbeg=06 - fhinc=06 - ;; - satcnt) geslist=' - $GETGES_GLO/$envir/satcnt.$netwk.$id' - fhbeg=06 - fhinc=06 - ;; - gesfil) geslist=' - $GETGES_GLO/$envir/gesfile.$netwk.$id' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $GETGES_GLO/$envir/pgbf$fh.$netwk.$id' - ;; - pgbgm6) geslist=' - $GETGES_GLO/$envir/pgbf$fhm6.$netwk.$id' - ;; - pgbgm3) geslist=' - $GETGES_GLO/$envir/pgbf$fhm3.$netwk.$id' - ;; - pgbgp3) geslist=' - $GETGES_GLO/$envir/pgbf$fhp3.$netwk.$id' - ;; - sigcur) geslist=' - $GETGES_GLO/$envir/sigf$fh.$netwk.$id' - getlist00=' - $GETGES_GLO/$envir/siganl.$netwk.$id' - fhbeg=00 - ;; - sfccur) geslist=' - $GETGES_GLO/$envir/sfcf$fh.$netwk.$id' - getlist00=' - $GETGES_GLO/$envir/sfcanl.$netwk.$id' - fhbeg=00 - ;; - pgbcur) geslist=' - $GETGES_GLO/$envir/pgbf$fh.$netwk.$id' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_GLO/$envir/prepqc.$netwk.$id' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_GLO/$envir/tcvitl.$netwk.$id' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_GLO/$envir/tcvitl.$netwk.$id' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_GLO/$envir/tcvitl.$netwk.$id' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_GLO/$envir/icegrb.$netwk.$id' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_GLO/$envir/snogrb.$netwk.$id' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_GLO/$envir/sstgrb.$netwk.$id' - fhbeg=00 - fhinc=06 - ;; - esac - fi -fi - -#------------------------------------------------------------------------------- -# Check validity of options. -if [[ $fhour != any ]];then - fhbeg=$fhour - fhend=$fhour -fi -if [[ $valid -lt 20000000 ]];then - valid=20$valid - echo '************************************************************' >&2 - echo '* CAUTION: A 2-digit year was converted to a 4-digit year. *' >&2 - echo '* Please use full a 4-digit year in this utility. *' >&2 - echo '************************************************************' >&2 -elif [[ $valid -lt 100000000 ]];then - valid=19$valid - echo '************************************************************' >&2 - echo '* CAUTION: A 2-digit year was converted to a 4-digit year. *' >&2 - echo '* Please use full a 4-digit year in this utility. *' >&2 - echo '************************************************************' >&2 -fi -if [[ $($NDATE 0 $valid 2>/dev/null) != $valid ]];then - echo getges.sh: invalid date $valid >&2 - exit 2 -fi -if [[ -z $geslist ]];then - echo getges.sh: filetype $typef or resolution $resol not recognized >&2 - exit 2 -fi - -#------------------------------------------------------------------------------- -# Loop until guess is found. -fh=$fhbeg -while [[ $fh -le $fhend ]];do - ((fhm6=10#$fh-6)) - [[ $fhm6 -lt 10 && $fhm6 -ge 0 ]]&&fhm6=0$fhm6 - ((fhm3=10#$fh-3)) - [[ $fhm3 -lt 10 && $fhm3 -ge 0 ]]&&fhm3=0$fhm3 - ((fhm2=10#$fh-2)) - [[ $fhm2 -lt 10 && $fhm2 -ge 0 ]]&&fhm2=0$fhm2 - ((fhm1=10#$fh-1)) - [[ $fhm1 -lt 10 && $fhm1 -ge 0 ]]&&fhm1=0$fhm1 - ((fhp1=10#$fh+1)) - [[ $fhp1 -lt 10 ]]&&fhp1=0$fhp1 - ((fhp2=10#$fh+2)) - [[ $fhp2 -lt 10 ]]&&fhp2=0$fhp2 - ((fhp3=10#$fh+3)) - [[ $fhp3 -lt 10 ]]&&fhp3=0$fhp3 - id=$($NDATE -$fh $valid) - typeset -L8 day=$id - typeset -R2 cyc=$id - eval list=\$getlist$fh - [[ -z $list ]]&&list=${geslist} - for gestest in $list;do - eval ges=$gestest - [[ $quiet = NO ]]&&echo Checking: $ges >&2 - [[ -r $ges ]]&&break 2 - done - fh=$((10#$fh+10#$fhinc)) - [[ $fh -lt 10 ]]&&fh=0$fh -done -if [[ $fh -gt $fhend ]];then - echo getges.sh: unable to find $netwk.$envir.$typef.$resol.$valid >&2 - exit 8 -fi - -#------------------------------------------------------------------------------- -# Either copy guess to a file or write guess name to standard output. -if [[ -z "$gfile" ]];then - echo $ges - exit $? -else - cp $ges $gfile - exit $? -fi diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.sh deleted file mode 100755 index bb8f950..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.sh +++ /dev/null @@ -1,101 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - - -# This script performs VAD wind complex quality control checking -# -# It is normally executed by the script prepobs_makeprepbufr.sh -# but can also be executed from a checkout parent script -# -------------------------------------------------------------- - -set -aux - -qid=$$ - -# Positional parameters passed in: -# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr -# file upon successful completion of this script (note that input -# prepbufr file is NOT saved by this script) -# 2 - ncep production date (YYYYMMDDHH) - -# Imported variables that must be passed in: -# DATA - path to working directory -# VQCX - path to PREPOBS_CQCVAD program executable - -# Imported variables that can be passed in: -# pgmout - string indicating path to for standard output file (skipped -# over by this script if not passed in) - -cd $DATA -PRPI=$1 -if [ ! -s $PRPI ] ; then exit 1;fi -CDATE10=$2 - -set +x -cat <<\EOFc > cqcvad05 - &NAMLST - HONOR_FLAGS=TRUE, ! If TRUE then levels with bad q.m. flags are honored - PRINT_52=TRUE, ! If TRUE then writes bird quality control information - ! to unit 52 - PRINT_53=FALSE, ! If TRUE then writes a final report listing with q.c. - ! information to unit 53 - PRINT_60=FALSE, ! If TRUE then writes event information to unit 60 - TEST=FALSE ! If TRUE then writes diagnostic print to stdout (unit 06) - / -EOFc -set -x - -rm $PRPI.cqcvad - -pgm=`basename $VQCX` -if [ -s $DATA/prep_step ]; then - set +u - . $DATA/prep_step - set -u -else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -fi - -export FORT11=$PRPI -echo "$CDATE10" > cdate10.dat -export FORT14=cdate10.dat -export FORT51=$PRPI.cqcvad -export FORT52=cqcvad.birdqc -export FORT53=cqcvad.unit53.wrk -export FORT55=cqcvad.unit55.wrk -export FORT60=cqcvad.unit60.wrk -TIMEIT=${TIMEIT:-""} -[ -s $DATA/time ] && TIMEIT="$DATA/time -p" -$TIMEIT $VQCX < cqcvad05 > outout 2> errfile -err=$? -###cat errfile -cat errfile >> outout -cat outout >> cqcvad.out -set +u -[ -n "$pgmout" ] && cat outout >> $pgmout -set -u -rm outout -set +x -echo -echo 'The foreground exit status for PREPOBS_CQCVAD is ' $err -echo -set -x -if [ -s $DATA/err_chk ]; then - $DATA/err_chk -else - if test "$err" -gt '0' - then -######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out - # in ops - exit 55 - fi -fi - -if [ "$err" -gt '0' ]; then - exit 9 -else - mv $PRPI.cqcvad $PRPI -fi - -exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.txt deleted file mode 100644 index 1898aed..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_cqcvad.txt +++ /dev/null @@ -1 +0,0 @@ -VQCX=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_cqcvad diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.sh deleted file mode 100755 index ff85639..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.sh +++ /dev/null @@ -1,2466 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - -#### UNIX Script Documentation Block -# -# Script name: prepobs_makeprepbufr.sh -# Script description: Prepares & quality controls PREPBUFR file -# -# Author: Keyser Org: EMC Date: 2017-04-20 -# -# Abstract: This script creates the PREPBUFR file containing observational data -# assimilated by all versions of NCEP atmospheric analyses. It points to BUFR -# observational data dumps as input files. PREPOBS_PREPDATA combines them to -# generate an initial form of the PREPBUFR file which also contains the -# background guess as well as the observational error field. If tropical -# cyclone relocation processing has previously occurred, the background global -# guess read in by PREPOBS_PREPDATA (and later by SYNDAT_SYNDATA if it runs) -# is the relocated guess valid at the center date/time for the PREPBUFR -# processing. Otherwise it is the regular (unrelocated) global atmosperic -# guess obtained via the getges utility script. After PREPOBS_PREPDATA runs, -# this script can execute SYNDAT_SYNDATA to generate synthetic wind bogus -# data, as well as their background guess and observational error fields, -# which are appended to the PREPBUFR file. -# -# In the global networks the decision to append synthetic wind bogus data in -# the SYNDATA processing is determined by the outcome of the previous -# tropical cyclone relocation processing (if it was run). There are three -# possible outcomes: -# 1) If all storms in the original tcvitals file have vorticies of at -# least medium intensity such that a relocation was previously -# performed for each, then SYNDAT_SYNDATA will still run but will not -# append synthetic wind bogus data to the PREPBUFR file for any storm. -# It will input the original tcvitals file (output from qctropcy -# processing) and (if the option is set) it will flag dropwinsonde -# winds in the vicinity of each tropical storm in the file. -# 2) If all storms in the original tcvitals file (output from qctropcy -# processing) have weak vorticies such that a relocation was not -# previously performed for any, then SYNDAT_SYNDATA will run, inputting -# the original tcvitals file, and it will append synthetic wind bogus -# data to the PREPBUFR file for each storm in it. It will also -# possibly flag mass pressure and/or dropwinsonde wind reports in the -# vicinity of each storm (if requested). -# 3) If some storms in the original tcvitals file (output from qctropcy -# processing) have weak vorticies, such that a relocation was not -# previously performed for them, and others have vorticies of at least -# medium intensity, such that a relocation was previously performed for -# these, then SYNDAT_SYNDATA will run twice. The first time, it will -# input the relocation-generated tcvitals file, which contains all of -# the weak storms, and it will append synthetic wind bogus data to the -# PREPBUFR file for each storm in it. It will also possibly flag mass -# pressure and/or dropwinsonde wind reports in the vicinity of each of -# these storms (if requested). The second time SYNDAT_SYNDATA runs, it -# will input any storm records that were in the original tcvitals file -# but not in the relocation-generated tcvitals file (i.e., storms with -# vorticies of at least medium intensity). It will not append -# synthetic wind bogus data to the PREPBUFR file for any of these -# storms, but it will flag dropwinsonde winds in the vicinity of each -# storm in the original tcvitals file but not in the relocation- -# generated tcvitals file (if requested). -# If this is the nam network, the only reason relocation processing would -# have been previously run would be to update the first guess read in here by -# PREPOBS_PREPDATA and SYNDAT_SYNDATA. In this case, SYNDAT_SYNDATA inputs -# the original tcvitals file (output from qctropcy processing), appends -# synthetic wind bogus data to the PREPBUFR file for each storm in it, and -# possibly also flags mass pressure and/or dropwinsonde wind reports in the -# vicinity of each storm in the file (if requested). -# -# After all of this, the script then executes a series of quality control -# programs which can change the observation value and/or its quality marker. -# The PREPBUFR file is set up such that all changes to data are stacked on -# top of previous values. Such changes are considered to be "events", with -# the event containing an associated program code and reason code to describe -# it. This allows the PREPBUFR file to internally contain a record of all -# events preformed on the observations. This script has been designed to be -# executed by either an "operational J-job" script, a "test J-job" script, a -# "parallel J-job" script, or a stand-alone batch run initiated by a user. -# -# Script history log: -# 1999-07-20 Dennis A. Keyser -- Original version for implementation -# 2000-06-14 Dennis A. Keyser -- Added the tropical cyclone relocation -# processing -# 2001-03-20 Dennis A. Keyser -- Now gets tcvitals file for t-12 as well as -# t-06 in tropical cyclone relocation processing and passes both to ush -# relocate_relocate_ts.sh as new pos. parameters 3 and 4 -# 2004-01-15 Dennis A. Keyser -- Reads file *aircar_status_flag* in $COMSP -# path to see whether ARINC (primary) or AFWA (backup) reports in AIRCAR -# dump should be read and processed as ACARS data in PREPBUFR (flag file -# generated in upstream dump process and is based on a comparison of report -# counts), inserts proper switch in parm cards read by PREPOBS_PREPDATA -# program -# 2004-08-30 Dennis A. Keyser -- Connects new data dumps to PREPDATA -# processing, copies t-3 and t+3 sigma guess files for GFS and GDAS even if -# DO_RELOCATE = NO (unless GETGUESS = NO); Copies the "PRE-QC" snapshot of -# the PREPBUFR file AFTER SYNDAT_SYNDATA runs (if it does) rather than -# before it runs (ensures that the PRE-QC PREPBUFR file contains ALL of the -# observations); Variable PRVT (observational error table file path) is now -# read by NAM network and defaults to $FIXPREP/prepobs_errtable.nam if not -# imported (obs. errors are now read into PREPBUFR file in NAM network in -# preparation for the switch to the GSI analysis, the operational 3DVAR -# analysis ignores the obs errors in PREPBUFR and still reads them in from -# $PARMPREP/nam_errtable.r3dv) -# 2005-07-01 Dennis A. Keyser -- Logic changes to run SYNDAT_SYNDATA in all -# networks where requested regardless of outcome of relocation processing, -# but sets new script variable DO_BOGUS to NO if SYNDAT_SYNDATA is to NOT -# generate synthetic wind bogus reports and append them to PREPBUFR file -# (SYNDAT_SYNDATA program also modified to read in this variable) - change -# needed because (if requested) SYNDAT_SYNDATA will now flag all -# dropwinsonde wind reports in vicinity of each storm in original tcvitals -# file, regardless of whether or not bogus winds are generated -# 2006-03-22 Dennis A. Keyser -- Accounts for possibility of split dump status -# files (status1 and status2) at the time this runs {in the test for the -# presence of the dump status file(s)} -# 2006-06-13 Dennis A. Keyser -- Adds dump file "wdsatr" to default BUFRLIST -# value and assigns it to unit 38 read in to PREPOBS_PREPDATA; Removed -# tropical cyclone relocation processing, this is now done (if requested) -# in a new script called tropcy_relocate.sh which runs in the new -# TROPCY_QC_RELOC job prior to the PREP job that executes this script -# (TROPCY_QC_RELOC first performs qctropcy processing, this was moved from -# the DUMP job) - this was done to allow the TROPCY_QC_RELOC job to run at -# the same time as the DUMP job in order to speed up overall obs -# processing and remove variability in the PREP job executing this script -# (i.e., this job had run faster when no tropical storms were present) -# 2007-09-14 Dennis A. Keyser -- Replaced "export XLFUNIT_xx=" with -# "ln .sf fort.xx" in preparation for PREPOBS_PREPDATA -# interfacing with global spectral guess files using sigio routines (via -# W3LIB routine GBLEVENTS - sigio requires explicit open statements in the -# code and this conflicts with XLFUNIT statements; Removed test on -# existence of status2 file from NAM_DUMP2 and NDAS_DUMP2 jobs since dump -# files here (currently only "nexrad") are not processed into the PREPBUFR -# file - PREP job initiation may soon no longer be dependent upon -# completion of DUMP2 job in NAM and NDAS networks; in the case where an -# input (normally, pre-QC) PREPBUFR file is passed into the script via the -# variable PREPBUFR_IN, it had been assumed that this file had already -# been run through SYNDATA processing (but that was not the case prior to -# 12Z 25 Jan 2005) - this script changed to use the value of variable -# SYNDATA to determine if the file in PREPBUFR_IN should be run through -# SYNDATA processing (i.e., if SYNDATA=YES, run it through SYNDATA -# processing) (this change will allow reanalysis runs prior to 12Z 25 Jan -# 2005 to work properly) -# 2008-09-25 Dennis A. Keyser -- Added dump file "ascatw" to default BUFRLIST -# value and assigns it to unit 39 read in to PREPOBS_PREPDATA; in -# preparation for future NRL aircraft QC code NRLACQC, added new script -# variables NRLACQC (def=NO), USHNQC (def=${HOMEALL}/ush), NQCX -# (def=$EXECPREP/prepobs_nrlacqc) and NQCC -# (def=$PARMPREP/prepobs_nrlacqc.${NET}.parm"), if NRLACQC=YES will -# execute script USHNQC to perform NRL aircraft QC (not yet ready) -# 2011-10-14 D.A. Keyser -- Updated to handle new "rap" (Rapid Refresh) -# network and its model runs "rap", "rap_p" and "rap_e" -# 2012-05-09 S. Bender/D. Keyser -- Removed all prior references to "NRL" -# aircraft QC script variables (never actually used) since the NRL -# aircraft QC nomenclature is being dropped in place of the existing -# PREPACQC nomenclature and will use its existing script variables; -# removed all references to the ACARSQC processing since it is no longer -# executed (ACARS QC is now performed within the revamped PREPACQC -# processing); removed script variables no longer used by the new version -# of the PREPACQC processing; added new script variables which are -# associated with the new program PREPOBS_PREPACPF which now runs as a -# second program within the PREPACQC processing (after program -# PREPOBS_PREPACQC) in the ush script prepobs_prepacqc.sh {PROCESS_ACQC -# (def=YES), PROCESS_ACPF (def=YES), DICTPREP (def=$HOMEALL/dictionaries), -# DICT (def=$DICTPREP/metar.tbl), APFX (def=$EXECPREP/prepobs_prepacpf), -# and the new second argument "$DATA/adpsfc" passed to -# prepobs_prepacqc.sh} -# 2013-03-05 D.A. Keyser -- Modified to properly run on WCOSS system: replaced -# all usage of "timex" with "time -p."; replaced script variables -# XLFUNIT_n with FORTn (where n is the unit number connected to the -# filename defined by the variable FORTn) - needed because ifort uses -# FORTn; script is now set to run under ksh shell as the default; added -# script variable "BACK" which, when YES, threads the mp_prepdata herefile -# into background shells that run simultaneously (an alternative option -# to poe which is not ready on WCOSS); touches all dump files not included -# in BUFRLIST so that they will not cause a read error if PREPOBS_PREPDATA -# tries to read them -# 2014-01-15 S. Melchior -- Placed into new OBSPROC_PREP vertical directory -# structure/environmental equivalence paradigm. As a result: imports new -# environment variable $HOMEobsproc_prep which points to directory path for -# generic prep subdirectories under version control (in production this is -# normally /nwprod/obsproc_prep.vX.Y.Z where X.Y.Z is version number being -# used, usually the latest); and imports new environment variable -# $HOMEobsproc_network which points to directory path for network-specific -# prep subdirectories under version control (in production this is normally -# /nwprod//obsproc_NETWORK.vX.Y.Z where NETWORK is, e.g., global, namp, rap, -# rtma, urma, and X.Y.Z is version number being used, usually the latest) - -# these replace /nw${envir} in order to point to files moved from -# horizontal to vertical directory structure. -# 2014-02-25 D.A. Keyser -- Removed all references to RUC. Removed option -# to run on MACHINE=sgi - this is obsolete (as a result variables $MACHINE -# and $HOMEALL are no longer used in this script). Replaced variable -# $EXECUTIL with $utilexec for directory path to utility program ndate -# (both were exported from job scripts with same value, $EXECUTIL has now -# been removed from all job scripts). Removed all references to "cdc" -# network (this is obsolete). -# 2014-07-23 D.A. Keyser -- Imported script environment variable DICTPREP now -# defaults to new vertical structure directory path location for metar.tbl -# dictionary, /nw${envir}/decoders/decod_shared/dictionaries, rather than -# old horizontal structure location, /nw${envir}/dictionaries (the latter -# will be removed in September 2014). -# 2016-02-05 JWhiting -- Use NCO-established variables to point to root -# directories for main software components and input/output directories in -# order to run on WCOSS Phase 1 or Phase 2 (here, $COMROOT which replaces -# hardwire to "/com", $NWROOT which replaces hardwire to "/nwprod" in -# comments only). Use NCO-established variables (presumably obtained from -# modules) to point to prod utilities [here, $NDATE from module prod_util -# (default or specified version, loaded in each network which executes this -# script) which replaces executable ndate in non-versioned, horizontal -# structure utility directory path defined by imported variable $utilexec]. -# 2016-04-29 D.A. Keyser -- Updated logic such that when tropical cyclone -# relocation has not run, a first guess is required, the network is gfs or -# gdas, but the cycle time is not 00, 06, 12 or 18z, no attempt will be -# made to obtain a guess 3-hrs before and after cycle time (since it can -# fail). Instead this is treated the same as any 3- or 1-hrly cycle run -# (like rap, e.g.) meaning two guess files will be obtained at the -# spanning 3 hour interval around any cycle time not a multiple of 3 hrs. -# BENEFIT: Allows future hourly WAM model to run properly. -# 2016-07-12 D.C. Stokes -- Reinstated poe option to run multiple instances -# of the PREPDATA processing script in parallel. New variable $launcher -# defines the parallel scripting launch mechanism (description below). -# Added logic to create scaled down versions of err_chk and err_exit -# scripts if they don't exist in the working directory and eliminated -# similar blocks of logic that had been repeated throughout the script. -# Updated USHGETGES default to pick up more recent versions of getges.sh. -# 2017-02-07 D.C. Stokes -- Updated to run on Cray-XC40 as well as iDataPlex. -# If on Cray-XC40, default parallel scripting launching mechanism is cfp -# inovked by aprun. Variable name used for launching mechanism changed from -# "launcher" to "launcher_PREP". Variable COMDATEROOT is now the primary -# default for the root of the directory containing NCEP date files. The -# variable NWROOTp1 is now the default root for directory DICTPREP. Logic -# used to determine if $COMSP points to production "com" directory was -# updated to recognize full path name (as needed on luna/surge). -# 2017-03-19 D.C. Stokes/D.A. Keyser -- Updated to input nemsio atmopheric -# guess files -or- the older sigio atmospheric files. The nemsio option -# is triggered by flag NEMSIO_IN=.true. For nemsio runs, a single guess -# file valid at the prepbufr center time is picked up, even for runs with -# center time that is not a multiple of 3. Also the dbn_alert subtype is -# now dependent upon $RUN (for transition from "gdas1" to "gdas"). -# 2017-04-20 D.C. Stokes -- Relocated assignments of variable stype to ensure -# it always passes the proper value to the getges utility script. -# -# -# Usage: prepobs_makeprepbufr.sh yyyymmddhh -# -# Input script positional parameters: -# 1 String indicating the center date/time for the PREPBUFR -# processing - if missing, then this time -# is obtained from the ${COMDATEROOT}/date/$cycle file -# -# Imported Shell Variables: -# -# These must ALWAYS be exported to this script by the parent script -- -# -# COMROOT Root to input/output "com" directory (in production, -# normally "/com", "/com2", or "/gpfs/hps/nco/ops/com") -# NSPLIT Number of parts into which the PREPDATA processing shell -# script (herefile MP_PREPDATA) will be split in order to -# run in parallel for computational efficiency (either using -# multiple tasks when POE is not "NO" or in background threads -# when BACK is "YES") -# NOTE : This is required ONLY if the imported shell variable -# POE is not "NO" (see below) or the imported shell -# variable BACK is "YES" (see below) (i.e., a parallel -# environment), and the imported shell variable -# PREPDATA=YES (see below) -# NET String indicating system network {either "gfs", "gdas", -# "cdas", "nam", "rap", "rtma" or "urma"} -# NOTE : NET is changed to gdas in the parent Job script for -# RUN=gdas or RUN=gdas1 (was gfs) -# RUN String indicating model run {either "gfs", "gdas", "gdas1", -# "cdas", "nam", "ndas", "rap", "rap_p", "rap_e", -# "rtma", or "urma"} -# cycle String indicating the center cycle hour for PREPBUFR -# processing {"txxz", where xx is two-digit hour of the day -# (UTC)} -# NOTE : This is required ONLY if input script positional -# parameter 1 is missing (see above) -# DATA String indicating the working directory path (usually a -# temporary location) -# COMSP String indicating the directory/filename path to input BUFR -# observational data dumps, tropical cyclone location -# (tcvitals) files, global atmos guess files, and status -# files (e.g., "$COMROOT/gfs/prod/gfs.20060612/gfs.t12z.") -# DBNROOT String indicating directory path to bin/dbn_alert file -# location -# NOTE : This is required ONLY if the imported shell variable -# SENDDBN is "YES" (see below) -# job - String indicating job name (e.g., 'gdas_prep_12') -# NOTE : This is required ONLY if the imported shell variable -# SENDDBN is "YES" (see below) -# $HOMEobsproc_prep - string indicating directory path to generic prep -# subdirectories under version control -# (in production this is normally -# ${NWROOT}/obsproc_prep.vX.Y.Z where X.Y.Z is -# version number being used, usually the latest) -# $HOMEobsproc_network - string indicating directory path to network- -# specific prep subdirectories under version control -# (in production this is normally -# ${NWROOT}/obsproc_NETWORK.vX.Y.Z where NETWORK is, -# e.g., global, nam, rap, rtma, urma, and X.Y.Z is -# version number being used, usually the latest) -# -# These will be set to their default value in this script if not exported -# to this script by the parent script -- -# -# SITE Site name (may have been set by local shell startup script) -# Default is "" -# sys_tp System type and phase. If not imported, an attempt is made -# to set it using getsystem.pl (an NCO prod_util script). -# A failed attempt results in an empty string. -# NEMSIO_IN Flag that if ".true." indicates that nemsio atmospheric -# background fields will be input rather than sigio. -# Default is "" -# SENDDBN String indicating whether or not to alert an output file to -# the NWS/TOC (= "YES" - invoke alert; anything else - do not -# invoke alert) -# Default is "NO" -# NPROCS Number of "poe" tasks to use for mpmd (must be .GE. $NSPLIT) -# NOTE : This is applicable ONLY if the imported shell -# variable POE is not "NO" (see below) and variable -# launcher_PREP is not "cfp" or "aprun" (see below) and -# the imported shell variable PREPDATA=YES (see below) -# For LSF jobs, the count of hosts listed in string $LSB_HOSTS -# will be used to set NPROCS (overriding any imported value). -# Default is "$NSPLIT" -# envir String indicating environment under which job runs ('prod' -# or 'test') -# Default is "prod" -# envir_getges String indicating environment under which GETGES utility -# ush runs (see getges.sh docblock for more information) -# Default is "$envir" -# network_getges -# String indicating job network under which GETGES utility -# ush runs (see getges.sh docblock for more information) -# Default is "global" unless the center PREPBUFR processing -# date/time is not a multiple of 3-hrs and the global guess is -# sigio-based, then the default is "gfs" -# pgmout String indicating file containing standard output (output -# always contatenated onto this file) -# Default is "/dev/null" -# tstsp String indicating the directory/filename path to one or -# more BUFR observational data dumps and/or tropical cyclone -# location (tcvitals) files and/or global atmos guess files -# and/or status files that are to override the corresponding -# file in $COMSP (this should be imported with the same -# naming convention as $COMSP; e.g., -# "/gpfstmp/wx22dk/test_dump/ndas.20060612/ndas.t12z." - -# (if tstsp is not imported, the default is used and no -# overriding file would exist; if tstsp is imported then any -# file found would override the correspoding file in $COMSP) -# Default is "/tmp/null/" -# tmmark - string indicating hour for center PREPBUFR processing date/ -# time relative to the analysis time embedded in $tstsp or -# $COMSP (e.g., "tm12", "tm09", "tm06", "tm03", "tm00") -# Default is "tm00" -# BUFRLIST String indicating list of BUFR data dump file names to -# process -# Default is "adpupa proflr aircar aircft satwnd adpsfc \ -# sfcshp sfcbog vadwnd goesnd spssmi erscat qkswnd msonet \ -# gpsipw rassda wdsatr ascatw" -# POE String indicating whether or not to use a poe-like launcher -# to spread instances of the PREPBUFR processing herefile -# MP_PREPDATA over multiple pes in parallel. (= "NO" - -# do not invoke invoke "poe"; anything else - invoke "poe") -# Default is "YES" -# launcher_PREP Parallel scripting launch tool. Settings are in place for -# aprun, mpirun.lsf, and cfp but a different tool can be -# specified. -# NOTE : This is applicable ONLY if the imported shell -# variable POE is not "NO" and the imported shell -# variable PREPDATA=YES (see below) -# Default on Cray-XC40 is "aprun". Otherwise: "mpirun.lsf" -# BACK String indicating whether or not to run background shells -# (on the same task) for the PREPBUFR processing (= "YES" - -# run background shells; anything else - do not run -# background shells). IF BACK=YES on Cray-XC40, the shells -# are invoked by aprun. -# USHSYND String indicating directory path for SYNDATA ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHPREV String indicating directory path for PREVENTS ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHCQC String indicating directory path for CQCBUFR ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHPQC String indicating directory path for PROFCQC ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHVQC String indicating directory path for CQCVAD ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHAQC String indicating directory path for PREPACQC ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHOIQC String indicating directory path for OIQCBUFR ush file -# Default is "${HOMEobsproc_prep}/ush" -# EXECPREP String indicating directory path for PREPOBS executables -# Default is "${HOMEobsproc_prep}/exec" -# PARMPREP String indicating directory path for PREPOBS parm files -# Default is "${HOMEobsproc_network}/parm" -# FIXPREP String indicating directory path for PREPOBS fix-field -# files -# Default is "${HOMEobsproc_prep}/fix" -# DICTPREP String indicating directory path for PREPOBS dictionary -# files -# Default is "${NWROOTp1}/decoders/decod_shared/dictionaries" -# EXECSYND String indicating directory path for SYNTHETIC data -# executables -# Default is "${HOMEobsproc_prep}/exec" -# PARMSYND String indicating directory path for SYNTHETIC parm files -# Default is "${HOMEobsproc_network}/parm" -# FIXSYND String indicating directory path for SYNTHETIC data fix- -# field files -# Default is "${HOMEobsproc_prep}/fix" -# GETGUESS String: if = "YES" will encode first guess (background) -# values interpolated by the program PREPOBS_PREPDATA to -# observation locations in the PREPBUFR file for use by the -# q.c. programs. This guess is always from a global atmos -# guess file valid at the center PREPBUFR processing date/ -# time or from an interpolated guess obtained from global -# atmos guess files valid at times 3-hours apart which span -# the PREPBUFR processing date/time (the latter is performed -# by the program PREPOBS_PREPDATA and occurs when the guess -# files are sigio-based and the PREPBUFR date/time hour is not -# a multiple of 3, e.g. 02Z rap or tm04 nam catchup runs). The -# guess file (or files) may be obtained in one of two ways: -# 1) From pre-existing files in the working directory -# $DATA called sgesprep and sgesprepA (either copied -# there prior to the execution of this script, or -# copied there earlier in this script from either -# $tstsp, or if not found there, $COMSP which was -# populated by the previous running of tropical -# cyclone relocation processing -# NOTE 1: sgesprepA is needed only when the guess is -# sigio-based and the PREPBUFR processing -# date/time is not a multiple of 3-hrs. -# NOTE 2: if previous tropical cyclone relocation -# processing was run, then an sgesprepA file -# is NEVER generated, not a problem since -# previous tropical cyclone relocation -# processing is not run in rap, rap_p or -# rap_e runs -# 2) Via the execution of the GETGES utility ush to -# obtain sgesprep (if pre-existing file $DATA/sgesprep -# does not exist), and possibly via the execution of -# the GETGES utility ush to obtain sgesprepA (if -# PREPBUFR processing date/time is not a multiple of -# 3-hrs and the global guess is sigio-based, and the -# pre-existing file $DATA/sgesprepA does not exist) -# Default is "YES" -# NOTE: If GETGUESS=NO, then the program PREPOBS_PREPDATA -# will NOT call w3emc routine GBLEVENTS to perform -# "prevents" processing -# PREPDATA String: if = "YES" will perform PREPDATA processing -# (in either a parallel or serial environment depending upon -# the values for POE and BACK) -# Default is "YES" -# SYNDATA String: if = "YES" will attempt to perform synthetic bogus -# processing (generation of synthetic bogus winds to be -# appended to PREPBUFR file and, possibly, flagging of mass -# pressure data "near" storms; and, possibly, flagging of -# dropwinsonde wind data "near" storms) -# Default is "YES" -# DO_QC String: if = "YES" will perform quality control -# Default is "YES" -# PREVENTS String: if = "YES" will encode background and obs. errors -# into PREPBUFR file (usually this should be "NO" since the -# programs PREPOBS_PREPDATA and SYNDAT_SYNDATA normally are -# set to perform this function) -# NOTE: Only invoked if DO_QC=YES -# Default is "NO" -# CQCBUFR String: if = "YES" will complex quality control radiosonde -# data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# PROFCQC String: if = "YES" will quality control wind profiler data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# CQCVAD String: if = "YES" will quality control VAD wind data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# PREPACQC String: if = "YES" will quality control aircraft data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# PROCESS_ACQC String: if = "YES" will execute PREPOBS_PREPACQC program as -# part of PREPACQC processing -# NOTE: Only invoked if PREPACQC=YES -# Default is "YES" -# PROCESS_ACPF String: if = "YES" will execute PREPOBS_PREPACPF program as -# part of PREPACQC processing -# NOTE: Only invoked if PREPACQC=YES -# Default is "YES" -# OIQCBUFR String: if = "YES" will perform final oi-based quality -# control on all data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# MPCOPYX String indicating executable path for PREPOBS_MPCOPYBUFR -# program -# Default is "$EXECPREP/prepobs_mpcopybufr" -# PRPX String indicating executable path for PREPOBS_PREPDATA -# program -# Default is "$EXECPREP/prepobs_prepdata" -# errPREPDATA_limit -# String indicating the highest allowed foreground exit -# status for program PREPOBS_PREPDATA (any exit status higher -# than this is considered a failure) -# (Note: errPREPDATA_limit=1 is ALWAYS considered a FAILURE) -# Default is "0" -# PRPC String indicating data card path for PREPOBS_PREPDATA -# program -# Default is "$PARMPREP/prepobs_prepdata.${NET}.parm" -# PRPT String indicating bufrtable file path for PREPOBS_PREPDATA -# program -# Default is "$FIXPREP/prepobs_prep.bufrtable" -# LANDC String indicating land/sea mask file path for -# PREPOBS_PREPDATA program -# Default is "$FIXPREP/prepobs_landc" -# PRVT String indicating observational error table file path for -# PREPOBS_PREPDATA, SYNDAT_SYNDATA and PREPOBS_PREVENTS -# programs (used by GBLEVENTS subroutine) -# NOTE: Only read by gdas, gfs, cdas and nam networks -# If imported "NET=gdas" or "NET=gfs", default is -# "$HOMEobproc_network/fix/prepobs_errtable.global"; -# if imported "NET=cdas", default is -# "$HOMEobsproc_network/fix/prepobs_errtable.cdas"; -# if imported "NET=nam", default is -# "$HOMEobsproc_network/fix/prepobs_errtable.nam" -# otherwise, default is "$DATA/scratch.PRVT" a null file -# LISTHDX String indicating executable path for PREPOBS_LISTHEADERS -# program -# Default is "$EXECPREP/prepobs_listheaders" -# MONOBFRX String indicating executable path for PREPOBS_MONOPREPBUFR -# program -# Default is "$EXECPREP/prepobs_monoprepbufr" -# SYNDX String indicating executable path for SYNDAT_SYNDATA -# program -# Default is "$EXECSYND/syndat_syndata" -# SYNDC String indicating data card path for SYNDAT_SYNDATA program -# Default is "$PARMSYND/syndat_syndata.${NET}.parm" -# PREX String indicating executable path for PREPOBS_PREVENTS -# program -# Default is "$EXECPREP/prepobs_prevents" -# PREC String indicating data card path for PREPOBS_PREVENTS -# program -# Default is "$PARMPREP/prepobs_prevents.${NET}.parm" -# AQCX String indicating executable path for PREPOBS_PREPACQC -# program -# Default is "$EXECPREP/prepobs_prepacqc" -# AQCC String indicating data card path for PREPOBS_PREPACQC -# program -# Default is "$PARMPREP/prepobs_prepacqc.${NET}.parm" -# APFX String indicating executable path for PREPOBS_PREPACPF -# program -# Default is "$EXECPREP/prepobs_prepacpf" -# DICT String indicating METAR station dictionary path for -# PREPOBS_PREPACPF program -# Default is "$DICTPREP/metar.tbl" -# PQCX String indicating executable path for PREPOBS_PROFCQC -# program -# Default is "$EXECPREP/prepobs_profcqc" -# PQCC String indicating data card path for PREPOBS_PROFCQC -# program -# Default is "$PARMPREP/prepobs_profcqc.${NET}.parm" -# VQCX String indicating executable path for PREPOBS_CQCVAD -# program -# Default is "$EXECPREP/prepobs_cqcvad" -# CQCX String indicating executable path for PREPOBS_CQCBUFR -# program -# Default is "$EXECPREP/prepobs_cqcbufr" -# CQCC String indicating data card path for PREPOBS_CQCBUFR -# program -# Default is "$PARMPREP/prepobs_cqcbufr.${NET}.parm" -# CQCS String indicating statbge path for PREPOBS_CQCBUFR program -# Default is "$FIXPREP/prepobs_cqc_statbge" -# OIQCX String indicating executable path for PREPOBS_OIQCBUFR -# program -# Default is "$EXECPREP/prepobs_oiqcbufr" -# OIQCT String indicating observational error table file path for -# PREPOBS_OIQCBUFR program -# NOTE: If imported "NET=cdas", default is -# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas"; -# otherwise default is -# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs" -# -# These do not have to be exported to this script. If they are, they will -# be used by the script. If they are not, they will be skipped -# over by the script. -# -# PREPBUFR_APP String indicating path to output PREPBUFR file for -# PREPOBS_PREPDATA program. -# If present and POE is "NO" and BACK is not "YES" (i.e., a -# serial environment), PREPOBS_PREPDATA will append all -# output BUFR messages to a copy of this file (prepda) in -# the current working directory, using the internal BUFR -# mnemonic table in the first several BUFR messages at the -# top of the file -# NOTE 1: In this case, it is assumed the the switch APPEND -# is set to TRUE in the parm cards $PRPC (careful, -# if APPEND is FALSE, the original copy of -# $PREPBUFR_APP will be wiped out and the case below -# will occur) -# NOTE 2: When POE is not "NO" or BACK is "YES" (i.e., a -# parallel environment), appending makes no sense -# because the original output PREPBUFR file is -# monolithic -# If not present or POE is not "NO" or BACK is "YES" (i.e., a -# parallel environment), PREPOBS_PREPDATA will write all -# output BUFR messages to a new file (prepda) in the current -# working directory using the external BUFR mnemonic table -# in the file $PRPT -# NOTE 3: In this case, it is assumed the the switch APPEND -# is set to FALSE in the parm cards $PRPC (careful, -# if APPEND is TRUE, PREPOBS_PREPDATA will abort -# because the original empty PREPBUFR file has no -# internal BUFR mnemonic table) -# PREPBUFR_IN String indicating path to input PREPBUFR file -# If present, this file will be used by SYNDAT_SYNDATA (if -# SYNDATA=YES - see @ below) and by all applicable Q.C. -# programs (set to to be invoked here) rather than the -# PREPBUFR file generated in this script by PREPOBS_PREPDATA -# (normally this would be used when PREPDATA=NO) -# @ - if the PREPBUFR_IN target file is obtained from -# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, -# then for all runs on and after 12Z 25 Jan 2005, -# SYNDATA should be NO because the target files -# will already contain synthetic bogus data; -# if the PREPBUFR_IN target file is obtained from -# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, -# then for all runs prior to 12Z 25 Jan 2005, -# SYNDATA should be YES because the target files -# will not have contain synthetic bogus data. -# If not present, then the PREPBUFR file generated in this -# script by PREPOBS_PREPDATA and possibly appended to by -# SYNDAT_SYNDATA is passed on as input to all applicable -# Q.C. programs -# jlogfile String indicating path to joblog file -# -# These do not have be exported to this script. -# -# COMDATEROOT Primary default for the root of the directory containing -# produciton date files. -# -# NWROOTp1 Root directory for production software on WCOSS Phase 1. -# -# USHGETGES String indicating directory path for GETGES utility script. -# Default is $HOMEobsproc_prep/ush. -# -# GETGESprep GETGES utility script. If NEMSIO_IN=.true., defaults to: -# $USHGETGES/getges.sh -# otherwise, defaults to: -# $USHGETGES/getges_sig.sh -# -# PREPDATAtpn Tasks per node when invoking cfp on Cray-XC40. Will be -# computed if needed but was not imported. -# -# These do not have to be exported to this script. If they are, they will -# be passed on to the script $USHCQC/prepobs_cqcbufr.sh. They are not used -# by this script. -# -# PRPI_m24 See documentation in $USHCQC/prepobs_cqcbufr.sh -# PRPI_m12 See documentation in $USHCQC/prepobs_cqcbufr.sh -# PRPI_p12 See documentation in $USHCQC/prepobs_cqcbufr.sh -# PRPI_p24 See documentation in $USHCQC/prepobs_cqcbufr.sh -# -# Exported Shell Variables: -# CDATE10 String indicating the center date/time for the PREPBUFR -# processing -# SGES Either ... -# 1) String indicating the full path name for global -# sigio-based or nemsio-based guess file valid at the -# center PREPBUFR processing date/time (in which case the -# center PREPBUFR processing date/time is a multiple of -# 3-hrs, or for any PREPBUFR center hour if global guess -# is nemsio-based) - This guess file will be encoded -# into the PREPBUFR file for use by the q.c. programs. -# -- or -- -# 2) String indicating the full path name for the global -# atmosperic guess file valid at the nearest cycle time -# prior to the center PREPBUFR processing date/time which -# is a multiple of 3 (in which case the center PREPBUFR -# processing date/time is not a multiple of 3-hrs and the -# global guess is sigio-based) - A linear interpolation -# (of the spectal coefficients) between this file and the -# guess file indicated by SGESA case 2 below will be -# performed by program PREPOBS_PREPDATA and encoded into -# the PREPBUFR file for use by the q.c. programs. The -# SGES file is always from the GFS in this case. -# NOTE 1: Only case 1 above is valid when tropical cyclone -# relocation processing previously occurred. -# NOTE 2: Case 2 above is necessary because the w3emc lib -# routine gblevents called by PREPOBS_PREPDATA -# expects that sigio-based guess files will only -# have valid hours which are a multiple of 3 -# NOTE 3: Only case 1 above is valid when global guess is -# nemsio-based. -# SGESA Either ... -# 1) String set to "/dev/null" for case 1 of SGES above -# (default) -# -- or -- -# 2) String indicating the full path name for the global -# sigma guess file valid at the nearest cycle time after -# the center PREPBUFR processing/date time which is a -# multiple of 3 for case 2 of SGES above - A linear -# interpolation (of the spectal coefficients) between -# this guess file and the guess file indicated by SGES -# above (see case 2 for SGES) will be performed by the -# program PREPOBS_PREPDATA and encoded into the PREPBUFR -# file for use by the q.c. programs. The SGESA file is -# always from the GFS in this case and its forecast hour -# is 3-hrs later than the SGES file (thus both initiate -# at the same time). -# NOTE 1: Only case 1 above is valid when tropical cyclone -# relocation processing previously occurred. -# NOTE 2: Case 2 above is necessary because the w3emc lib -# routine gblevents called by PREPOBS_PREPDATA -# expects that sigio-based guess files will only -# have valid hours which are a multiple of 3 -# NOTE 3: Only case 1 above is valid when global guess is -# nemsio-based. -# -# -# Modules and files referenced: -# herefiles : $DATA/MP_PREPDATA -# $DATA/MERGE_MSGS -# scripts : $USHGETGES/getges.sh -# $USHGETGES/getges_sig.sh -# $USHSYND/prepobs_syndata.sh -# $USHPREV/prepobs_prevents.sh -# $USHCQC/prepobs_cqcbufr.sh -# $USHPQC/prepobs_profcqc.sh -# $USHVQC/prepobs_cqcvad.sh -# $USHAQC/prepobs_prepacqc.sh -# $USHOIQC/prepobs_oiqcbufr.sh -# $DATA/postmsg (required ONLY if "$jlogfile" is present) -# $DATA/prep_step {here and by referenced script(s)} -# $DATA/err_exit -# $DATA/err_chk {here and by referenced script(s)} -# (NOTE: The last three scripts above are NOT REQUIRED -# utilities. If $DATA/prep_step not found, a scaled down -# version of it is executed in-line. If $DATA/err_exit -# or $DATA/err_chk are not found, scaled down versions, -# created in-line, are executed. -# executables: $NDATE (from prod_util module) -# programs : -# PREPOBS_MPCOPYBUFR - executable: $MPCOPYX -# PREPOBS_PREPDATA - executable: $PRPX -# land/sea mask: $LANDC -# bufr mnemonic user table: $PRPT -# obs. error table: $PRVT -# data cards: $PRPC -# PREPOBS_LISTHEADERS - executable: $LISTHDX -# PREPOBS_MONOPREPBUFR - executable: $MONOBFRX -# SYNDAT_SYNDATA - executable: $SYNDX -# T126 gaussian land/sea mask: -# $FIXSYND/syndat_syndata.slmask.t126.gaussian -# weights: $FIXSYND/syndat_weight -# obs. error table: $PRVT -# data cards: $SYNDC -# PREPOBS_PREVENTS - executable: $PREX -# obs. error table: $PRVT -# data cards: $PREC -# PREPOBS_PREPACQC - executable: $AQCX -# data cards: $AQCC -# PREPOBS_PREPACPF - executable: $APFX -# dictionary: $DICT -# PREPOBS_PROFCQC - executable: $PQCX -# data cards: $PQCC -# PREPOBS_CQCVAD - executable: $VQCX -# PREPOBS_CQCBUFR - executable: $CQCX -# data cards: $CQCC -# PREPOBS_OIQCBUFR - executable: $OIQCX -# obs. error table: $OIQCT -# -# Remarks: -# -# Condition codes -# 0 - no problem encountered -# >0 - some problem encountered -# -# Attributes: -# Language: Korn shell under linux -# Machine: NCEP WCOSS -# -#### - -set -aux - -NEMSIO_IN=${NEMSIO_IN:=""} -jlogfile=${jlogfile:=""} -SENDDBN=${SENDDBN:-NO} - -if [ ! -d $DATA ] ; then mkdir -p $DATA ;fi - -cd $DATA - -qid=$$ - -##################################################### -##################################################### -# create error check and exit utilities if necessary. -# (as may be the case for some developer runs) -##################################################### - -if [ ! -x $DATA/err_exit ]; then -cat <<\EOFerrexit > $DATA/err_exit - set -x - if [ -n "$LSB_JOBID" ]; then - bkill $LSB_JOBID - sleep 60 - date - else - set -e - kill -n 9 $qid - fi - exit 7 # for extra measure -EOFerrexit -chmod 775 $DATA/err_exit -fi - -if [ ! -x $DATA/err_chk ]; then -cat <<\EOFerrchk > $DATA/err_chk - set -x - if [ "$err" != '0' ]; then - $DATA/err_exit - fi -EOFerrchk -chmod 775 $DATA/err_chk -fi - -##################################################### -##################################################### - - -# determine local system name and type if available -# ------------------------------------------------- -SITE=${SITE:-""} -sys_tp=${sys_tp:-$(getsystem.pl -tp)} -getsystp_err=$? -if [ $getsystp_err -ne 0 ]; then - msg="***WARNING: error using getsystem.pl to determine system type and phase" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" -fi -echo sys_tp is set to: $sys_tp - -#------------------------------------------------------------------------------- - -# obtain the center date/time for PREPBUFR processing -# --------------------------------------------------- - -if [ $# -ne 1 ] ; then - cp ${COMDATEROOT:-$COMROOT}/date/$cycle ncepdate - err0=$? - CDATE10=`cut -c7-16 ncepdate` -else - CDATE10=$1 - if [ "${#CDATE10}" -ne '10' ]; then - err0=1 - else - cycle=t`echo $CDATE10|cut -c9-10`z - err0=0 - fi -fi - -if test $err0 -ne 0 -then -# problem with obtaining date record so exit - set +x - echo - echo "problem with obtaining date record;" - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure -fi - -cyc=`echo $CDATE10|cut -c9-10` -modhr=`expr $cyc % 3` - -set +x -echo -echo "CENTER DATE/TIME FOR PREPBUFR PROCESSING IS $CDATE10" -echo -set -x - -#---------------------------------------------------------------------------- - -# Create variables needed for this script and its children -# -------------------------------------------------------- - -envir=${envir:-prod} - -envir_getges=${envir_getges:-$envir} -if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then - network_getges=${network_getges:-global} -else - network_getges=${network_getges:-gfs} -fi - -pgmout=${pgmout:-/dev/null} - -tstsp=${tstsp:-/tmp/null/} -tmmark=${tmmark:-tm00} - -BUFRLIST=${BUFRLIST:-"adpupa proflr aircar aircft satwnd adpsfc sfcshp \ - sfcbog vadwnd goesnd spssmi erscat qkswnd msonet gpsipw rassda wdsatr \ - ascatw"} - -PREPDATA=${PREPDATA:-YES} - -if [ "$PREPDATA" != 'YES' ] ; then - POE=NO - BACK=NO -else - set +u - [ -z "$POE" -a "$BACK" = 'YES' ] && POE=NO - POE=${POE:-YES} - if [ "$POE" != 'NO' -a "$BACK" = 'YES' ]; then - set -u - set +x -echo -echo "YOU have set both POE and BACK to YES - choose one or the other!!" -echo "Defaults are POE=YES and BACK=NO, as is preferable for WCOSS." -echo - set -x - exit 99 - fi - BACK=${BACK:-NO} - PARALLEL=NO - [ "$POE" != 'NO' -o "$BACK" = 'YES' ] && PARALLEL=YES - if [ "$POE" != 'NO' ] ; then - if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - launcher_PREP=${launcher_PREP:-aprun} - else - launcher_PREP=${launcher_PREP:-mpirun.lsf} - fi - if [ "$launcher_PREP" != 'cfp' -a "$launcher_PREP" != aprun ]; then - if [ -n ${LSB_HOSTS:-""} ]; then - NPROCS=$(echo $LSB_HOSTS|wc -w) - set +x; echo "Setting NPROCS based on LSB_HOSTS count"; set -x - else - NPROCS=${NPROCS:-$NSPLIT} - fi - if [ $NPROCS -lt $NSPLIT ]; then - set +x -echo "********************************************************************" -echo " P R O B L E M ! ! ! " -echo "********************************************************************" -echo " NPROCS=$NPROCS IS NOT SUFFICIENT FOR NSPLIT=$NSPLIT " -echo " NPROCS must be greater than NSPLIT when using a " -echo " parallel processing launcher other than cfp " -echo "********************************************************************" - set -x - msg="***FATAL ERROR: Insufficient NPROCS for NSPLIT=$NSPLIT" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - $DATA/err_exit - exit 55 # for extra measure - fi - fi - elif [ "$BACK" = 'YES' ] ; then - NPROCS=$NSPLIT - fi -# fi for PREPDATA != YES -fi - -USHSYND=${USHSYND:-${HOMEobsproc_prep}/ush} -USHPREV=${USHPREV:-${HOMEobsproc_prep}/ush} -USHCQC=${USHCQC:-${HOMEobsproc_prep}/ush} -USHPQC=${USHPQC:-${HOMEobsproc_prep}/ush} -USHVQC=${USHVQC:-${HOMEobsproc_prep}/ush} -USHAQC=${USHAQC:-${HOMEobsproc_prep}/ush} -USHOIQC=${USHOIQC:-${HOMEobsproc_prep}/ush} - -EXECPREP=${EXECPREP:-${HOMEobsproc_prep}/exec} -PARMPREP=${PARMPREP:-${HOMEobsproc_network}/parm} -FIXPREP=${FIXPREP:-${HOMEobsproc_prep}/fix} -DICTPREP=${DICTPREP:-${NWROOTp1}/decoders/decod_shared/dictionaries} - -EXECSYND=${EXECSYND:-${HOMEobsproc_prep}/exec} -PARMSYND=${PARMSYND:-${HOMEobsproc_network}/parm} -FIXSYND=${FIXSYND:-${HOMEobsproc_prep}/fix} - -GETGUESS=${GETGUESS:-YES} -if [ "$GETGUESS" = 'YES' ]; then - USHGETGES=${USHGETGES:-${HOMEobsproc_prep}/ush} - if [ "$NEMSIO_IN" = .true. ]; then - GETGESprep=${GETGESprep:-$USHGETGES/getges.sh} - else - GETGESprep=${GETGESprep:-$USHGETGES/getges_sig.sh} - fi -fi - -PREPDATA=${PREPDATA:-YES} - -SYNDATA=${SYNDATA:-YES} - -DO_QC=${DO_QC:-YES} - -PREVENTS=${PREVENTS:-NO} -CQCBUFR=${CQCBUFR:-YES} -PROFCQC=${PROFCQC:-YES} -CQCVAD=${CQCVAD:-YES} -PREPACQC=${PREPACQC:-YES} -PROCESS_ACQC=${PROCESS_ACQC:-YES} -PROCESS_ACPF=${PROCESS_ACPF:-YES} -OIQCBUFR=${OIQCBUFR:-YES} - -MPCOPYX=${MPCOPYX:-$EXECPREP/prepobs_mpcopybufr} -PRPX=${PRPX:-$EXECPREP/prepobs_prepdata} -errPREPDATA_limit=${errPREPDATA_limit:-0} -PRPC=${PRPC:-$PARMPREP/prepobs_prepdata.${NET}.parm} -PRPT=${PRPT:-$FIXPREP/prepobs_prep.bufrtable} -cp $PRPT prep.bufrtable -LANDC=${LANDC:-$FIXPREP/prepobs_landc} -if [ "$NET" = 'gdas' -o "$NET" = 'gfs' ]; then - PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.global} -elif [ "$NET" = 'cdas' ]; then - PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.cdas} -elif [ "$NET" = 'nam' ]; then - PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.nam} -else - cp /dev/null $DATA/scratch.PRVT - PRVT=${PRVT:-$DATA/scratch.PRVT} -fi -LISTHDX=${LISTHDX:-$EXECPREP/prepobs_listheaders} -MONOBFRX=${MONOBFRX:-$EXECPREP/prepobs_monoprepbufr} -SYNDX=${SYNDX:-$EXECSYND/syndat_syndata} -SYNDC=${SYNDC:-$PARMSYND/syndat_syndata.${NET}.parm} -PREX=${PREX:-$EXECPREP/prepobs_prevents} -PREC=${PREC:-$PARMPREP/prepobs_prevents.${NET}.parm} -AQCX=${AQCX:-$EXECPREP/prepobs_prepacqc} -AQCC=${AQCC:-$PARMPREP/prepobs_prepacqc.${NET}.parm} -APFX=${APFX:-$EXECPREP/prepobs_prepacpf} -DICT=${DICT:-$DICTPREP/metar.tbl} -PQCX=${PQCX:-$EXECPREP/prepobs_profcqc} -PQCC=${PQCC:-$PARMPREP/prepobs_profcqc.${NET}.parm} -VQCX=${VQCX:-$EXECPREP/prepobs_cqcvad} -CQCX=${CQCX:-$EXECPREP/prepobs_cqcbufr} -CQCC=${CQCC:-$PARMPREP/prepobs_cqcbufr.${NET}.parm} -CQCS=${CQCS:-$FIXPREP/prepobs_cqc_statbge} -OIQCX=${OIQCX:-$EXECPREP/prepobs_oiqcbufr} -if [ "$NET" = 'cdas' ]; then - OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas} -else - OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs} -fi -TIMEIT=${TIMEIT:-""} -[ -s $DATA/time ] && TIMEIT="$DATA/time -p" - - -# See if tropical cyclone relocation previously ran for this network and cycle -# by checking for status file in first in $tstsp, and if not found there, -# then in $COMSP -# ---------------------------------------------------------------------------- - -relo_rec=no # this will remain no even if relocation run, in the event it did - # not process an tropical cyclone records -if [ -s ${tstsp}tropcy_relocation_status.$tmmark ]; then - RELOCATION_HAS_RUN=YES - msg="Tropical cyclone RELOCATION RAN prior to this job - \ -`cat ${tstsp}tropcy_relocation_status.$tmmark`" - [ "`cat ${tstsp}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ - && relo_rec=yes -elif [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then - RELOCATION_HAS_RUN=YES - msg="Tropical cyclone RELOCATION RAN prior to this job - \ -`cat ${COMSP}tropcy_relocation_status.$tmmark`" - [ "`cat ${COMSP}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ - && relo_rec=yes -else - RELOCATION_HAS_RUN=NO - msg="Tropical cyclone RELOCATION did NOT run prior to this job" -fi -[ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - -if [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then - if [ "$SENDDBN" = "YES" ]; then - if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then - RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) - $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job \ - ${COMSP}tropcy_relocation_status.$tmmark - fi - fi -fi - -if [ "$RELOCATION_HAS_RUN" != 'YES' -a "$GETGUESS" != 'NO' ]; then - - if [ $cyc = 00 -o $cyc = 06 -o $cyc = 12 -o $cyc = 18 ]; then - -# The GFS and GDAS networks at 00, 06, 12 and 18z will get the t-3 and t+3 -# atmos guess files here since they are needed by the GSI even if tropical -# cyclone relocation was not previously performed (RELOCATION_HAS_RUN=NO) -# (NOTE 1: Normally RELOCATION_HAS_RUN=YES for these networks) -# (NOTE 2: If RELOCATION_HAS_RUN=YES, the t-3 and t+3 atmos guess files have -# already been obtained for all networks including the GFS and GDAS) -# (NOTE 3: This is not done if GETGUESS is NO) -# - - if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then - for fhr in -3 +3 ;do - if [ "$NEMSIO_IN" = .true. ]; then - if [ $fhr = "-3" ] ; then - sges=sgm3prep - stype=natgm3 - echo $sges - else - sges=sgp3prep - stype=natgp3 - echo $sges - fi - else - if [ $fhr = "-3" ] ; then - sges=sgm3prep - stype=siggm3 - echo $sges - else - sges=sgp3prep - stype=siggp3 - echo $sges - fi - fi - if [ ! -s $sges ]; then - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Tropical cylone relocation HAS NOT previously run" -echo " Get global atmospheric GUESS valid for $fhr hrs relative to center" -echo " PREPBUFR processing date/time" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - $GETGESprep -e $envir_getges -n $network_getges \ - -v $CDATE10 -t $stype $sges - errges=$? - if test $errges -ne 0; then -# problem obtaining global atmospheric first guess so exit - set +x - echo - echo "problem obtaining global atmos guess valid $fhr hrs \ -relative to center PREPBUFR date/time;" - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - set +x - echo -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - fi - done - fi - fi - -elif [ "$RELOCATION_HAS_RUN" = 'YES' ]; then - -# If Tropical cyclone relocation previously ran for this network and cycle -# copy the t-3, t+0 and t+3 atmos guess files and the tcvitals_relocate file -# from either $tstsp or, if not found there, $COMSP to working directory -# (Note: tcvitals_relocate file can be empty, but it must exist) -# -------------------------------------------------------------------------- - - qual_last=".$tmmark" # need this because gfs and gdas don't add $tmmark - # qualifier to end of output atmos guess files - [ $NET = gfs -o $NET = gdas ] && qual_last="" - for file in sgm3prep sgesprep sgp3prep tcvitals.relocate.$tmmark; do - case $file in - tcvitals.relocate.$tmmark) infile=$file; qual_last="";; # already has $tmmark at end - sgm3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgm3.nemsio;else infile=$file;fi;; - sgesprep) if [ "$NEMSIO_IN" = .true. ];then infile=atmges.nemsio;else infile=$file;fi;; - sgp3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgp3.nemsio;else infile=$file;fi;; - esac - if [ -s ${tstsp}${infile}${qual_last} ]; then - cp ${tstsp}${infile}${qual_last} $file - continue - elif [ -s ${COMSP}${infile}${qual_last} ]; then - cp ${COMSP}${infile}${qual_last} $file - continue - else - if [ $file = tcvitals.relocate.$tmmark ]; then - if [ -f ${tstsp}$file ]; then - > $file - continue - elif [ -f ${COMSP}$file ]; then - > $file - continue - fi - fi - fi -# either t-3,t+0 or t+3 atmos guess file or the tcvitals_relocate file not -# found in expected location so exit - set +x - echo - echo "$file file not found in expected location where it should have \ -populated by earlier tropical cyclone relocation processing" - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - done - cp tcvitals.relocate.$tmmark tcvitals - if [ $relo_rec = yes ]; then # come here if relocation ran and processed - # 1 or more records, means it updated - # sgesprep - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" -echo " Global atmospheric GUESS valid for 0 hrs relative to center" -echo " PREPBUFR processing date/time was generated by" -echo " previous tropical cyclone relocation processing" -echo " It will be encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - else # come here if relocation ran but did not - # process any records, means it did not update - # sgesprep (sgesprep obtained via getges used) - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" -echo " Global atmospheric GUESS valid for 0 hrs relative to center" -echo " PREPBUFR processing date/time was obtained via GETGES" -echo " It will be encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - fi - -fi - -############################################################################### -# POSSIBLY OBTAIN GBL ATMOS GUESS FILE(S) FOR LATER ENCODING INTO PREPBUFR FILE -############################################################################### - -if [ "$PREPDATA" = 'YES' -o "$SYNDATA" = 'YES' -o "$PREVENTS" = 'YES' ]; then - - SGES=/dev/null - SGESA=/dev/null - > sgesprep_pathname - > sgesprepA_pathname - - if [ "$GETGUESS" != 'NO' ]; then - -# Either ... -# If the global background guess will be nemsio-based -OR- if the global -# background guess will be sigio-based and the center PREPBUFR processing -# date/time is a multiple of 3-hrs, then get a global atmospheric guess valid -# at the center PREPBUFR processing date/time - this will be interpolated to -# observation locations by PREPDATA and encoded into the PREPBUFR file for -# use by the q.c. programs; if a non-zero length file sgesprep exists in the -# working directory, then this guess is used - otherwise: the GETGES utility -# is executed to obtain the global atmospheric guess file here -# -# (NOTE 1: a pre-existing sgesprep file in the working directory at this -# point was either: -# copied there prior to the execution of this script -# or -# copied there earlier in this script from either $tstsp, or if -# not found there, $COMSP which was populated by the previous -# running of tropical cyclone relocation processing -# (NOTE 2: If imported variable GETGUESS=NO, then bypass this step - a -# global atmos guess valid at center PREPBUFR time is not obtained) -# -# -- or -- -# -# (AND THIS APPLIES ONLY TO A GLOBAL SIGIO-BASED GUESS!!) -# -# If center PREPBUFR processing date/time is not a multiple of 3-hrs -AND- -# global guess is sigio-based, then get a global sigma guess valid at the -# nearest cycle time prior to the center PREPBUFR processing date/time which -# is a multiple of 3, then get a global sigma guess valid at the nearest -# cycle time after the center PREPBUFR processing date/time which is a -# multiple of 3 - the spectral coefficients will be linearly interpolated to -# the center PREPBUFR processing date/time by the program PREPOBS_PREPDATA -# and this guess will then be interpolated to observation locations (again by -# the program PREPOBS_PREPDATA) and encoded into the PREPBUFR file for use by -# the q.c. programs; if a non-zero length file sgesprep exists in the working -# directory, then this guess is used for time prior to the center PREPBUFR -# processing date/time - otherwise: the utility ush GETGES is executed to -# obtain the global atmos guess file here (will always be from GFS network); -# -# likewise if a non-zero length file sgesprepA exists in the working -# directory, then this guess is used for time after the center PREPBUFR -# processing date/time - otherwise: the utility ush GETGES is executed to -# obtain the global atmos guess file here (will always be from the GFS -# network and initiate at the same time as the guess file valid prior to the -# PREPBUFR processing date/time) -# -# (NOTE 1: a pre-existing sgesprep file in the working directory at this -# point was either: -# copied there prior to the execution of this script -# or -# copied there earlier in this script from either $tstsp, or if -# not found there, $COMSP which was populated by the previous -# running of tropical cyclone relocation processing -# (NOTE 2: a pre-existing sgesprepA file in the working directory at this -# point was copied there prior to the execution of this script - -# it could not have been copied from either $tstsp or $COMSP -# because previous tropical cyclone relocation processing can run -# only when the center tropical cyclone relocation (or PREPBUFR) -# processing date/time is a multiple of 3) -# (NOTE 3: this case is necessary because the gblevents subroutine used to -# add background forecast values to the prepbufr file expects sigio- -# based files to be valid only at hours that are a multiple of 3) -# (NOTE 4: if imported variable GETGUESS=NO, then bypass this step - a -# global atmos guess valid at center PREPBUFR time is not obtained) -# ---------------------------------------------------------------------- - - for sfx in "" A; do - if [ ! -s sgesprep${sfx} ]; then - fhr=any - if [ "$NEMSIO_IN" = .true. ]; then - dhr=0 - stype=natges - else - dhr=`expr 0 - $modhr` - stype=sigges - fi - if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then - [ "$sfx" = 'A' ] && break - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Either center PREPBUFR processing date/time is a multiple of 3-hrs" -echo " -OR-" -echo " global guess is nemsio-based" -echo " Use GETGES to get global sigio-based or nemsio-based GUESS valid for" -echo " 0 hrs relative to center PREPBUFR processing date/time" -echo " Will be encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - else - if [ "$sfx" = 'A' ]; then - typeset -Z2 fhr - fhr=`awk -F"sf" '{print$2}' sgesprep_pathname | cut -c1-2` - fhr=`expr $fhr + 03` - dhr=`expr 3 - $modhr` - fi - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Center PREPBUFR processing date/time is not a multiple of 3-hrs" - if [ "$sfx" != 'A' ]; then -echo " Get global atmos GUESS valid at the nearest cycle time prior to" - else -echo " Get global atmos GUESS valid at the nearest cycle time after" - fi -echo " center PREPBUFR processing date/time which is a multiple of 3" -echo " Will be used to generate an interpolated guess which will be" -echo " encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - fi - $GETGESprep -e $envir_getges -n $network_getges -t $stype\ - -f $fhr -v `${NDATE} $dhr $CDATE10` > sgesprep${sfx}_pathname - errges=$? - if test $errges -ne 0 - then -# problem obtaining global sigio-based or nemsio-based guess - exit if center -# PREPBUFR processing date/time is a multiple of 3-hrs or if global guess is -# nemsio-based, otherwise continue running but set GETGUESS=NO meaning a -# first guess will NOT be encoded in PREPBUFR file - if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then - if [ "$NEMSIO_IN" = .true. ]; then - set +x - echo -echo "problem obtaining global nemsio-based guess;" - else - set +x - echo -echo "problem obtaining global sigio-based guess valid 0 hrs relative to \ -center PREPBUFR date/time;" - fi -echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - else - set +x - echo -echo "problem obtaining global atmos guess valid at the nearest cycle time " - if [ "$sfx" != 'A' ]; then -echo "prior to center PREPBUFR processing date/time which is a multiple of 3" - else -echo "after center PREPBUFR processing date/time which is a multiple of 3" - fi -echo "will continue running but a GUESS will NOT be encoded in PREPBUFR file!!" - echo - set -x - msg="PROBLEM OBTAINING ONE OR BOTH SPANNING ATMOS GUESS \ -FILES, GUESS NOT ENCODED IN PREPBUFR FILE --> non-fatal" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - GETGUESS=NO - SGES=/dev/null - SGESA=/dev/null - > sgesprep - > sgesprepA - > sgesprep_pathname - > sgesprepA_pathname - break - fi - fi - cp `cat sgesprep${sfx}_pathname | awk '{ print $1 }'` sgesprep${sfx} - set +x - echo -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - else - if [ $RELOCATION_HAS_RUN = YES ]; then - -# If relocation ran, then ${sfx} is always "" (null) because relocation will -# only run on center times that are a multiple of 3-hrs - come here to -# store the pathname for the sgesprep file in sgesprep${sfx}_pathname - -# note that it will not be stored here if relocation did not process any -# records, i.e., it did not update the guess, because it was already stored -# in tropcy_relocate.sh (with the getges path) -# -------------------------------------------------------------------------- - - qual_last=".$tmmark" # need this because gfs and gdas don't add - # $tmmark qualifer to end of output atmos - # guess files - [ $NET = gfs -o $NET = gdas ] && qual_last="" - if [ "$NEMSIO_IN" = .true. ]; then - gesbase="atmges.nemsio" - else - gesbase="sgesprep" - fi - if [ -s ${tstsp}${gesbase}${qual_last} ]; then - echo "${tstsp}${gesbase}${qual_last}" > sgesprep${sfx}_pathname - elif [ -s ${COMSP}${gesbase}${qual_last} ]; then - echo "${COMSP}${gesbase}${qual_last}" > sgesprep${sfx}_pathname - fi - else - -# If relocation did not run, then the guess files in $DATA were copied there -# prior to the execution of this script by the user - just echo the path -# to this guess file in $DATA into sgesprep${sfx}_pathname -# -------------------------------------------------------------------------- - - echo "$DATA/sgesprep${sfx}" > sgesprep${sfx}_pathname - fi - fi - eval SGES${sfx}=$DATA/sgesprep${sfx} - done - fi -fi - -################################ -# EXECUTE PREPDATA PROCESSING -################################ - -if [ "$PREPDATA" = 'YES' ]; then - - cd $DATA - -set +u - if [ -z "$PREPBUFR_APP" -o "$PARALLEL" = 'YES' ]; then -set -u - if [ ! -s ${tstsp}status.${tmmark}.bufr_d -a \ - ! -s ${COMSP}status.${tmmark}.bufr_d ]; then - -#########if [ \( ! -s ${tstsp}status1.${tmmark}.bufr_d -o \ -######### ! -s ${tstsp}status2.${tmmark}.bufr_d \) -a \ -######### \( ! -s ${COMSP}status1.${tmmark}.bufr_d -o \ -######### ! -s ${COMSP}status2.${tmmark}.bufr_d \) ]; then - if [ ! -s ${tstsp}status1.${tmmark}.bufr_d -a \ - ! -s ${COMSP}status1.${tmmark}.bufr_d ]; then - -# problem: status file not found - indicates some or all data dumps were not -# found (produced) for requested time ... -# If highest level directory pointing to input BUFR observational -# data dumps is /com or /com2 then EXIT (assumes all data dumps are -# required) -# Otherwise, just echo a diagnostic (assumes only some data dumps are -# required) -# ---------------------------------------------------------------------------- - -echo -echo "Some or all BUFR data dumps were not found for requested time ... " -echo - set -x - - if [[ "$COMSP" =~ (^/com/|^/com2/|^/gpfs/.../nco/ops/com/) && \ - "$tstsp" =~ (^/tmp/null) ]]; then - set +x -echo -echo "ABNORMAL EXIT!!!!!!!!!!!" -echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - fi - fi - - echo $BUFRLIST | grep adpsfc - grp_adpsfc=$? - echo $BUFRLIST | grep adpupa - grp_adpupa=$? - if [ \( ! -f ${COMSP}adpsfc.${tmmark}.bufr_d -a \ - ! -f ${tstsp}adpsfc.${tmmark}.bufr_d -a $grp_adpsfc -eq 0 \) -o \ - \( ! -f ${COMSP}adpupa.${tmmark}.bufr_d -a \ - ! -f ${tstsp}adpupa.${tmmark}.bufr_d -a $grp_adpupa -eq 0 \) ] - then - -# problem: either adpsfc (surface land) or adpupa (raob/pibal/recco) file, or -# both, not found for requested time - this is unacceptable; EXIT -# (unless the culprit file was not included in the $BUFRLIST) -# --------------------------------------------------------------------------- - - set +x -echo -echo "ADPSFC and/or ADPUPA BUFR data dump was not produced for requested" -echo " time (but is in BUFRLIST); ABNORMAL EXIT!!!!!!!!!!!" -echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - - fi - - for name in ${BUFRLIST} ;do - > $name - if [ -f ${tstsp}${name}.${tmmark}.bufr_d ]; then - cp ${tstsp}${name}.${tmmark}.bufr_d $name - elif [ -s ${COMSP}${name}.${tmmark}.bufr_d ]; then - cp ${COMSP}${name}.${tmmark}.bufr_d $name - fi - done - - > prep_exec.cmd - - > prepda.${cycle} - - echo " $CDATE10" > cdate10.dat - -# If GETGUESS=YES, then either ... -# a global sigio-based guess file valid at the center PREPBUFR processing -# date/time which is a multiple of 3-hrs is valid at this point -# -- or -- -# global sigio-based guess files valid at times which are multiples of 3-hrs -# and span the center PREPBUFR processing date/time which is NOT a multiple of -# 3-hrs are available and valid at this point -# -- or -- -# a global nemsio-based guess file valid at the center PREPBUFR processing -# date/time for any hour is valid at this point - -# In any case, namelist "GBLEVN" with PREVEN=T is cat'ed to the beginning -# of the PREPOBS_PREPDATA program data cards file - this means -# PREPOBS_PREPDATA will call w3emc routine GBLEVENTS to do the "prevents" -# processing (otherwise PREVEN=F by default) - - > prepdata.stdin - [ "$GETGUESS" != 'NO' ] && echo " &gblevn preven=true /" >>prepdata.stdin - cat $PRPC >> prepdata.stdin - -# Check contents of *aircar_status_flag* file in $tstsp, or if not found there, -# $COMSP path - this was generated by previous bufr_dump_obs.sh script: if it -# exists and indicates that there were more AFWA (backup) ACARS reports than -# ARINC (primary) ACARS reports in the AIRCAR dump, then skip processing of -# ARINC ACARS messages in PREPOBS_PREPDATA (meaning process ONLY AFWA ACARS -# messages); otherwise, as is usually the case, skip processing of AFWA ACARS -# messages (meaning process only ARINC ACARS messages in PREPOBS_PREPDATA) - - echo " SUBSKP(004,007) = TRUE," > insert - if [ -s ${tstsp}aircar_status_flag.${tmmark}.bufr_d ]; then - grep -q -Fe "004.007" ${tstsp}aircar_status_flag.${tmmark}.bufr_d - err_grep=$? - if [ $err_grep -eq 0 ]; then - echo " SUBSKP(004,004) = TRUE," > insert - msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ -backup AFWA ACARS into PREPBUFR" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - fi - elif [ -s ${COMSP}aircar_status_flag.${tmmark}.bufr_d ]; then - grep -q -Fe "004.007" ${COMSP}aircar_status_flag.${tmmark}.bufr_d - err_grep=$? - if [ $err_grep -eq 0 ]; then - echo " SUBSKP(004,004) = TRUE," > insert - msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ -backup AFWA ACARS into PREPBUFR" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - fi - fi - - grep -q -Fe "`cat insert`" prepdata.stdin - err_grep=$? - if [ $err_grep -ne 0 ]; then - nlines=`cat < prepdata.stdin | wc -l` - line=`grep -n -Fe "&LDTA" prepdata.stdin | cut -f1 -d:` - head -n $line prepdata.stdin > top_part - mlines=`expr $nlines - $line` - tail -n $mlines prepdata.stdin > bottom_part - [ $mlines -gt 2 ] && cat top_part insert bottom_part > prepdata.stdin - rm top_part bottom_part - fi - rm insert - - -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## -## HEREFILE MP_PREPDATA ## -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## - -set +x -cat <<\EOFmpp > MP_PREPDATA - -{ echo - -# This herefile script performs the "prepdata" processing. It is designed to -# run in either a parallel (e.g., poe/mpi or background threads) or serial -# environment. In the parallel environment, it first splits the input BUFR -# data dump files into $NSPLIT equally-sized parts (analogous to dealing -# multiple sets of cards to $NSPLIT players, where each set of cards is a -# different BUFR data dump file). Next, in either the parallel or serial -# environment, it runs PREPOBS_PREPDATA to write out prepbufr files (either -# a single complete file in the serial environment or $NSPLIT partial -# PREPBUFR files in the parallel environment). Finally, it generates a list of -# PREPBUFR message headers which, in the parallel environment, is needed to -# later merge the partial PREPBUFR files together in the proper order. -# -# IMPORTANT: This script assumes that the BUFR data dump files it is to -# process have been copied into the $DATA directory and that each -# file name is the same as in $BUFRLIST. It also assumes that the -# NCEP production date file is present in the $DATA directory and -# that it is called cdate10.dat. Finally, it assumes that the -# PREPOBS_PREPDATA program data cards (parm) file is present in the -# $DATA directory and it is called prepdata.stdin -# ----------------------------------------------------------------------------- -# -# Positional parameters passed in: -# 1 - Stream index ($multi) (0 to $NSPLIT-1) -# -# Imported variables that must be passed in: -# DATA - path to working directory -# PARALLEL - indicates whether or not this script is running in a parallel -# (e.g., poe/mpi or background threads) or serial environment -# "YES" - running in a parallel environment; "NO" running in a -# serial environment) -# NSPLIT number of parts into which the input BUFR data dump files are to -# be evenly divided (applicable only when PARALLEL is "YES") -# BUFRLIST - list of BUFR data dump files to process -# MPCOPYX - path to PREPOBS_MPCOPYBUFR program executable -# PRPT - path to PREPOBS_PREPDATA bufrtable file -# LANDC - path to land/sea mask file -# SGES - path to COPY OF global sigio-based or nemsio-based first guess -# file valid at either center PREPBUFR processing date/time or, -# for global sigio-based guess only, nearest 3-hrly cycle time -# prior to center PREPBUFR processing date/time -# SGESA - path to COPY OF global sigio-based guess file valid at nearest -# 3-hrly cycle AFTER center PREPBUFR processing date/time (if -# needed, otherwise /dev/null). Only used if SGES is valid at -# 3-hrly cycle time PRIOR to center PREPBUFR processing date/time -# (and thus not used if NEMSIO_IN=.true.) -# PRVT - path to observation error table file -# PRPX - path to PREPOBS_PREPDATA program executable -# LISTHDX - path to PREPOBS_LISTHEADERS program executable - -set -aux -multi=$1 - -data=$DATA/multi$multi - -if [ ! -d $DATA/multi$multi ] ; then - mkdir -p $DATA/multi$multi -fi - -status=$data/mstatus ; > $status -mp_pgmout=$data/mp_pgmout ; > $mp_pgmout - - -{ echo -set +x -echo -echo "********************************************************************" -echo "This is stream (task/thread) $multi executing on node `hostname -s`" -echo "Starting time: `date`" -echo "********************************************************************" -echo -set -x -} >> $mp_pgmout - -cd $data - -if [ "$PARALLEL" = 'YES' ]; then - - n=0 - - pgm=`basename $MPCOPYX` -#-----mimics prep_step----- - set +x - echo $pgm > pgmname - set +u - [ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" - set -u - [ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout - rm pgmname - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` - [ -s $DATA/tracer ] && cat $DATA/tracer > errfile - set -x -#-------------------------- - - for name in ${BUFRLIST[*]} ;do - > $name - if [ -s $DATA/$name ] ; then - ((n+=1)) - export FORT$((10+n))=$DATA/$name - export FORT$((50+n))=$name - fi - done - - cat<> $mp_pgmout 2>&1 - &namin nfiles=$n / - &mp nprocs=$NSPLIT,mp_process=$multi / -EOF - err=$? - set +x - echo - echo "The foreground exit status for PREPOBS_MPCOPYBUFR is " $err - echo - set -x - - [ "$err" -gt '0' ] && exit - - dump_dir=$data - -else - - dump_dir=$DATA - -# fi for $PARALLEL = YES -fi - - -pgm=`basename $PRPX` -#-----mimics prep_step----- -set +x -echo $pgm > pgmname -set +u -[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" -set -u -[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout -rm pgmname -[ -f errfile ] && rm errfile -unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -[ -s $DATA/tracer ] && cat $DATA/tracer > errfile -set -x -#-------------------------- - -set +u -[ -n "$PREPBUFR_APP" -a "$PARALLEL" = 'NO' ] && \ - cp $PREPBUFR_APP prepda -set -u - -# Namelist "TASK" with mp_process set to the value of $multi - either the poe/ -# mpi task number (for POE not equal to "NO") or to the background thread -# number (for BACK equal to "YES") in the parallel environment, or hardwired -# to zero in the serial environment, is cat'ed to the beginning of the -# PREPOBS_PREPDATA program data cards (parm) file - this will allow -# PREPOBS_PREPDATA to identify this stream - -> prepdata.stdin -echo " &task mp_process=$multi /" >>prepdata.stdin -cat $DATA/prepdata.stdin >> prepdata.stdin - -BUFRLIST_all="adpupa aircar aircft satwnd proflr vadwnd rassda adpsfc sfcshp \ - sfcbog msonet spssmi erscat qkswnd wdsatr ascatw rtovs atovs goesnd gpsipw" -###BUFRLIST_all_array=($BUFRLIST_all) # this does not work on all platforms -set -A BUFRLIST_all_array `echo $BUFRLIST_all` # this works on all platforms - - -# Any dump file not included in BUFRLIST is "touched" so that it will not -# cause a read error in the event that PREPOBS_PREPDATA still tries to read it - -for name in $BUFRLIST_all;do -[ ! -f $dump_dir/$name ] && > $dump_dir/$name -done - -export FORT11=$DATA/cdate10.dat -export FORT12=$PRPT -export FORT15=$LANDC -## export FORT18=$SGES -## export FORT19=$SGESA - -# The PREPOBS_PREPDATA code opens GFS spectral coefficient guess files using -# sigio routines or GFS gaussian grid guess files using nemsio routines (via -# W3EMC routine GBLEVENTS) in a manner that may not recognize the FORTxx -# variables above. So, the above statements setting FORTxx vars for $SGES and -# $SGESA are replaced by the soft links below. - -ln -sf $SGES fort.18 -ln -sf $SGESA fort.19 -export FORT20=$PRVT -export FORT21=$dump_dir/${BUFRLIST_all_array[0]} -export FORT22=$dump_dir/${BUFRLIST_all_array[1]} -export FORT23=$dump_dir/${BUFRLIST_all_array[2]} -export FORT24=$dump_dir/${BUFRLIST_all_array[3]} -export FORT25=$dump_dir/${BUFRLIST_all_array[4]} -export FORT26=$dump_dir/${BUFRLIST_all_array[5]} -export FORT27=$dump_dir/${BUFRLIST_all_array[6]} -export FORT31=$dump_dir/${BUFRLIST_all_array[7]} -export FORT32=$dump_dir/${BUFRLIST_all_array[8]} -export FORT33=$dump_dir/${BUFRLIST_all_array[9]} -export FORT34=$dump_dir/${BUFRLIST_all_array[10]} -export FORT35=$dump_dir/${BUFRLIST_all_array[11]} -export FORT36=$dump_dir/${BUFRLIST_all_array[12]} -export FORT37=$dump_dir/${BUFRLIST_all_array[13]} -export FORT38=$dump_dir/${BUFRLIST_all_array[14]} -export FORT39=$dump_dir/${BUFRLIST_all_array[15]} -export FORT41=$dump_dir/${BUFRLIST_all_array[16]} -export FORT42=$dump_dir/${BUFRLIST_all_array[17]} -export FORT46=$dump_dir/${BUFRLIST_all_array[18]} -export FORT48=$dump_dir/${BUFRLIST_all_array[19]} -export FORT51=prepda -export FORT52=prevents.filtering.prepdata - -#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) -#If program ever fails, try changing 64000000 to 20000000 -#set +u -#[ -n "$LOADL_PROCESSOR_LIST" ] && XLSMPOPTS=parthds=2:stack=64000000 -#set -u - -# The following improves performance on Cray-XC40 if $PRPX was -# linked to the IOBUF i/o buffering library -export IOBUF_PARAMS='*prevents.filtering.prepdata:verbose' - -$TIMEIT $PRPX >$mp_pgmout 2>&1 -errPREPDATA=$? -unset IOBUF_PARAMS -cat prevents.filtering.prepdata >> $mp_pgmout -set +x -echo -echo "The foreground exit status for PREPOBS_PREPDATA is " $errPREPDATA -echo -set -x - -[ "$errPREPDATA" -gt '4' -o "$errPREPDATA" -eq '1' ] && exit - -# Will execute PREPOBS_LISTHEADERS even if PARALLEL is "NO", because it will -# reorder the monolithic PREPBUFR file to ensure that all messages of the same -# subtype will always be grouped together in sequential messages, arranged in -# the order found in $PRPT (Note: This is a necessity when PARALLEL is "YES" -# because the later program PREPOBS_MONOPREPBUFR must merge the $NSPLIT -# individual (partial) PREPBUFR files together in the proper order) - - -# Build listhdx.stdin from bufrtable entries of possible message headers first -# line is count, followed by list - -grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|wc -l|tee listhdx.stdin -grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|tee -a listhdx.stdin - -pgm=`basename $LISTHDX` -#-----mimics prep_step----- -set +x -echo $pgm > pgmname -set +u -[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" -set -u -[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout -rm pgmname -[ -f errfile ] && rm errfile -unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -[ -s $DATA/tracer ] && cat $DATA/tracer > errfile -set -x -#-------------------------- - -export FORT11=prepda -export FORT51=prepda.reorder -export FORT52=prepda.hdrs - -$TIMEIT $LISTHDX < listhdx.stdin >>$mp_pgmout 2>&1 -err=$? -cat prepda.hdrs -set +x -echo -echo "The foreground exit status for PREPOBS_LISTHEADERS is " $err -echo -set -x - -[ "$err" -gt '0' ] && exit - -mv prepda.reorder prepda -rm listhdx.stdin - -echo "$multi finished -- errPREPDATA = $errPREPDATA" > $status - -{ echo -set +x -echo -echo "********************************************************************" -echo "Finished executing on node `hostname -s`" -echo "Ending time : `date`" -echo "********************************************************************" -echo -set -x -} >> $mp_pgmout - -} 1> $DATA/mp_stream${1}.stdout 2> $DATA/mp_stream${1}.errfile - -exit 0 -EOFmpp -set -x - -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## -## end of HEREFILE MP_PREPDATA ## -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## - - chmod 775 MP_PREPDATA - - if [ "$PARALLEL" = 'YES' ]; then - -# In the parallel environment, either cat the multiple MP_PREPDATA tasks -# into a poe command file (for poe/mpi/cfp) - or - set up a script that will -# fire off each MP_PREPDATA thread as a background process -# ----------------------------------------------------------------------- - if [ "$POE" != 'NO' ]; then - multi=-1 - while [ $((multi+=1)) -lt $NSPLIT ] ; do - echo "ksh $DATA/MP_PREPDATA $multi "|tee -a $DATA/prep_exec.cmd - done - if [ "$launcher_PREP" != cfp -a "$launcher_PREP" != aprun ]; then - # fill in empty tasks - multi=$((multi-=1)) #need to go back one - while [ $((multi+=1)) -lt $NPROCS ] ; do - echo "echo do-nothing" >> $DATA/prep_exec.cmd - done - fi - elif [ $BACK = 'YES' ] ; then - multi=-1 - echo "#!/bin/ksh" > $DATA/prepthrds.sh - while [ $((multi+=1)) -lt $NSPLIT ] ; do - echo "$DATA/MP_PREPDATA $multi &" >> $DATA/prepthrds.sh - echo "echo $DATA/MP_PREPDATA $multi submitted in background" \ - >> $DATA/prepthrds.sh - done - echo "wait" >> $DATA/prepthrds.sh - chmod 775 $DATA/prepthrds.sh - fi - -# In the parallel environment, next either execute the poe wrapper (for poe/ -# mpi/cfp) (do not execute a time command with poe!) - or - run prepthrds.sh -# to kick off background processes and wait for them to complete -# -------------------------------------------------------------------------- - if [ "$POE" != 'NO' ]; then - if [ "$launcher_PREP" = mpirun.lsf ]; then - export MP_CMDFILE=$DATA/prep_exec.cmd - export MP_PGMMODEL=mpmd - export MP_PULSE=0 - export MP_DEBUG_NOTIMEOUT=yes - export MP_LABELIO=yes - export MP_STDOUTMODE=ordered - mpirun.lsf - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - elif [ "$launcher_PREP" = cfp ]; then - export MP_CSS_INTERRUPT=yes - export MP_LABELIO=yes - export MP_STDOUTMODE=ordered - mpirun.lsf cfp $DATA/prep_exec.cmd - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - elif [ "$launcher_PREP" = aprun ]; then - ## Determine tasks per node (PREPDATAtpn) and - ## max number of concurrent procs (PREPDATAprocs) for cfp - typeset -i nodesall=$(echo -e "${LSB_HOSTS// /\\n}"|sort -u|wc -w) - typeset -i ncnodes=$(($nodesall-1)) # we want compute nodes only - if [ $ncnodes -lt 1 ]; then - set +x - echo - echo " ** Could not get positive compute node count for aprun **" - echo " ** Are we using LSF queue with compute node access? **" - echo - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - if [[ -z ${PREPDATAtpn:-""} ]]; then - PREPDATAtpn=$((($NSPLIT+$ncnodes-1)/$ncnodes)) - # cfp is faster with extra thread so add one if there is room. - # (this logic needs an update to avoid hardwired 24) - [ $PREPDATAtpn -lt 24 ] && PREPDATAtpn=$(($PREPDATAtpn+1)) - fi - if [[ -z ${PREPDATAprocs:-""} ]]; then - PREPDATAprocs=$(($ncnodes*$PREPDATAtpn)) # max concurrent processes - fi - aprun -j 1 -n${PREPDATAprocs} -N${PREPDATAtpn} -d1 cfp $DATA/prep_exec.cmd - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - else # unknown launcher and options (eg, for use on R&D system) - $launcher_PREP - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - fi - elif [ $BACK = 'YES' ] ; then - if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - aprun -n 1 -d $NSPLIT $DATA/prepthrds.sh - else - $DATA/prepthrds.sh - fi - fi - totalt=$NSPLIT - else - -# In the serial environment, just fire off a single thread of MP_PREPDATA -# ----------------------------------------------------------------------- - multi=0 - if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - aprun -n 1 -N 1 ksh $DATA/MP_PREPDATA $multi - else - $DATA/MP_PREPDATA $multi - fi - totalt=1 - - # fi for $PARALLEL = YES - fi - - set +x - multi=0 - while [ $multi -lt $totalt ]; do -echo -echo "********************************************************************" -echo " ++ Script STDOUT from MP_PREPDATA for stream (task/thread) $multi ++" -echo "********************************************************************" -echo - cat $DATA/mp_stream${multi}.stdout -echo "********************************************************************" -echo " ++ End of Script STDOUT from MP_PREPDATA for stream $multi ++ " -echo "********************************************************************" - multi=`expr $multi + 1` - done - -echo -echo "********************************************************************" -echo " ++ Script trace from MP_PREPDATA for stream (task/thread) 0 ++ " - if [ "$PARALLEL" = 'YES' ]; then -echo -echo " In order to conserve space, the script trace from other " -echo " streams is not invoked unless the stream failed. " - fi -echo "********************************************************************" -echo - - cat mp_stream0.errfile - -echo -echo "********************************************************************" -echo " ++ End of Script trace from MP_PREPDATA for stream 0 ++ " -echo "********************************************************************" -echo - set -x - -# check status files -# ------------------ - - errSTATUS=0 - errPREPDATA=0 - four_check=yes - multi=0 - while [ $multi -lt $totalt ]; do - cat $DATA/multi$multi/mp_pgmout >> prepdata.out - cat $DATA/multi$multi/mp_pgmout >> $pgmout - status=$DATA/multi$multi/mstatus - if [ ! -s $status ]; then - set +x -echo -echo "********************************************************************" -echo " P R O B L E M ! ! ! " -echo "********************************************************************" -echo " ###> MP_PREPDATA stream (task/thread) $multi FAILED - Cycle date: \ -$CDATE10" -echo " Current working directory: $DATA " -echo -echo " Script trace from MP_PREPDATA for stream $multi follows ... " -echo "********************************************************************" -echo - cat $DATA/mp_stream${multi}.errfile -echo -echo "********************************************************************" -echo " ++ End of Script trace from MP_PREPDATA for stream $multi ++ " -echo "********************************************************************" -echo - set -x - errSTATUS=99 - else - err_this=`cut -f 2 -d = $status` - [ "$err_this" -gt "$errPREPDATA" ] && errPREPDATA=$err_this - [ "$err_this" -eq '0' ] && four_check=no - fi - multi=`expr $multi + 1` - done - - if [ "$errSTATUS" -gt '0' ]; then - $DATA/err_exit - exit 55 # for extra measure - fi - - [ "$errPREPDATA" -eq '4' -a "$four_check" = 'no' ] && errPREPDATA=0 - - set +x - echo - echo "For all MP_PREPDATA Streams, the largest foreground exit status \ - amongst all PREPOBS_PREPDATA runs is " $errPREPDATA - echo - set -x - - if [ "$errPREPDATA" -le "$errPREPDATA_limit" -a $errPREPDATA -ne 1 ]; then - err=0 - if [ "$errPREPDATA" -eq '4' ]; then - set +x - echo - echo "WARNING: PREPOBS_PREPDATA FOUND EITHER NO ADPUPA OR NO ADPSFC DATA" - echo "-------- THESE DATA WILL NOT BE AVAILABLE TO ANALYSES" - echo - set -x - fi - else - err=$errPREPDATA - fi - - pgm=`basename $PRPX` - touch errfile - $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - - if [ "$PARALLEL" = 'YES' ]; then - -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## -## HEREFILE MERGE_MSGS ## -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## - -set +x -cat <<\EOFmrg > MERGE_MSGS - -# This herefile script merges the individual partial PREPBUFR files present at -# this point into a complete, monolithic PREPBUFR file in the proper message -# type order. It is the last step in the PREPDATA processing. It runs only -# in the parallel environment. -# ---------------------------------------------------------------------------- - -# Positional parameters passed in: -# 1 - Number of input partial PREPBUFR files that are going to be merged -# ($nfiles) -# 2 - Working directory path ($DATA) (contains separate partial PREPBUFR -# files and text files containing headers for each, one directory down) -# 3 - Beginning string of sub-directories in $DATA ($subdir) (each sub- -# directory contains an input partial PREPBUFR file and a text file -# containing headers for all messages in that PREPBUFR file) -# 4 - File in each sub-directory containing headers for all messages in -# partial PREPBUFR file in same sub-directory (file name only - same name -# in all sub-directories) ($header_file_name) -# 5 - Partial PREPBUFR file in each sub-directory (file name only - same name -# in all sub-directories) ($prep_in) -# 6 - Output monolithic PREPBUFR file name (file name only) ($prep_out) -# -# Imported variables that must be passed in: -# MONOBFRX - path to PREPOBS_MONOPREPBUFR program executable -# -# Imported variables that can be passed in: -# pgmout - string indicating path to for standard output file (skipped over -# by this script if not passed in) - - -if [ $# -ne 6 ] ; then - echo "Usage: $0 nfiles DATA subdir header_file_name prep_in prep_out" - exit 1 -fi - -set -aux - -qid=$$ - -nfiles=$1;DATA=$2;subdir=$3;header_file_name=$4;prep_in=$5;prep_out=$6 - - -# From all the header files, extract the header counts and names build -# namelist input to drive $MONOBFRX program -# --------------------------------------------------------------------- - -nheaders=`cat $DATA/${subdir}*/$header_file_name|awk '{print $1}'|sort -u|wc -l` -((nheaders+=0)) - ->$DATA/input echo -echo " &namin nfiles=$nfiles, nheaders=$nheaders," >>$DATA/input - -cd $DATA - - -# Assign the fort units to the files -# ----------------------------------- - -pgm=`basename $MONOBFRX` -if [ -s $DATA/prep_step ]; then - . $DATA/prep_step -else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -fi - - -n=-1 -while [ $((n+=1)) -lt $nfiles ] ;do - [ ! -s $DATA/${subdir}$n/$prep_in ] && exit 1 - export FORT$((11+n))=$DATA/${subdir}$n/$prep_in -done -export FORT51=$prep_out -set +x - - -# Extract the total span of headers by searching through all the header files -# --------------------------------------------------------------------------- - -n=-1 -while [ $((n+=1)) -lt $nfiles ]; do - file=$DATA/${subdir}$n/$header_file_name - [ ! -s $file ] && exit 1 - if [ `cat $file|awk '{print $1}'| \ - sort -u|wc -l` -eq $nheaders ] ; then - headers="" - nlines=`cat $file|wc -l` - i=0 - while [ $((i+=1)) -le $nlines ]; do - line=`sed -n $i,${i}p $file` - header=`echo $line|awk '{print $1}'` - echo " cheaders($i)='$header',">>$DATA/input - headers="$headers $header" - done - break - fi -done - - -# Tranlate the hdrs file contents into namelist array -# --------------------------------------------------- - -n=-1 -while [ $((n+=1)) -lt $nfiles ]; do - file=$DATA/${subdir}$n/$header_file_name - line= - i=0 - for hdr in $headers; do - ((i+=1)) - count=`grep $hdr $file|awk '{print $2}'` - set +u - [ -z "$count" ] && count=0 - set -u - line="${line}msgs($i,$((n+1)))=$count," - done - echo " $line " >>$DATA/input -done - -echo " &end" >>$DATA/input -set -x -cat $DATA/input - -$TIMEIT $MONOBFRX <$DATA/input > outout 2> errfile -export err=$? -###cat errfile -cat errfile >> outout -cat outout >> monoprepbufr.out -set +u -[ -n "$pgmout" ] && cat outout >> $pgmout -set -u -rm outout -set +x -echo -echo "The foreground exit status for PREPOBS_MONOPREPBUFR is " $err -echo -set -x -$DATA/err_chk -[ $err != 0 ] && exit 55 # for extra measure - -exit 0 -EOFmrg -set -x - -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## -## end of HEREFILE MERGE_MSGS ## -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## - - chmod 775 MERGE_MSGS - -# In parallel environment, make monolithic PREPBUFR file by meriging the -# partial PREPBUFR files -# ---------------------------------------------------------------------- - $TIMEIT $DATA/MERGE_MSGS $NSPLIT $DATA multi prepda.hdrs prepda \ - prepda.${cycle} - errsc=$? - if test $errsc -ne 0 - then -# problem with merge script - $DATA/err_exit - exit 55 # for extra measure - fi - else - -# In serial environment, already have a monolithic PREPBUFR file - just -# copy it to expected local monolithic PREPBUFR file location -# --------------------------------------------------------------------- - cp $DATA/multi0/prepda prepda.${cycle} - - # fi for $PARALLEL = YES - fi - -# fi for $PREPDATA = YES -fi - -set +u -[ -n "$PREPBUFR_IN" ] && cp $PREPBUFR_IN $DATA/prepda.${cycle} -set -u - - -############################################ -# EXECUTE SYNTHETIC CYCLONE DATA PROCESSING -############################################ - -if [ "$SYNDATA" = 'YES' ]; then - -# Check condition code - SDM can shut-off synthetic cyclone bogusing -# ------------------------------------------------------------------ -# ==> this switch is NOT YET in place, so it will be hardwired to "YES" - -###cp ???????????? syndata_cond - echo "YES" > syndata_cond - SYN=`cat tcvitals_orig_sort - sort tcvitals > tcvitals_sort - comm -23 tcvitals_orig_sort tcvitals_sort > tcvitals_removed - [ -s tcvitals_removed ] && run_syndat_twice=yes - fi - fi - - $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ - $DATA/tcvitals $CDATE10 - - if [ $run_syndat_twice = yes ]; then - -# Run SYNDATA a second time when switch "run_syndat_twice" was set to "yes" in -# above logic (see %% above) - - DO_BOGUS=NO - $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ - $DATA/tcvitals_removed $CDATE10 - fi - fi -fi - -[ "$PREPDATA" = 'YES' ] && cp prepda.${cycle} prepda.prepdata - - -########################################### -# EXECUTE GSI QUALITY-CONTROL PROCESSING -########################################### - -if [ "$DO_QC" = 'YES' ]; then - if [ "$PREVENTS" = 'YES' ];then - $TIMEIT $USHPREV/prepobs_prevents.sh $DATA/prepda.${cycle} $CDATE10 - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$CQCBUFR" = 'YES' ];then - $TIMEIT $USHCQC/prepobs_cqcbufr.sh $DATA/prepda.${cycle} - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$PROFCQC" = 'YES' ];then - $TIMEIT $USHPQC/prepobs_profcqc.sh $DATA/prepda.${cycle} - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$CQCVAD" = 'YES' ];then - $TIMEIT $USHVQC/prepobs_cqcvad.sh $DATA/prepda.${cycle} $CDATE10 - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$PREPACQC" = 'YES' ];then - $TIMEIT $USHAQC/prepobs_prepacqc.sh $DATA/prepda.${cycle} $DATA/adpsfc - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$OIQCBUFR" = 'YES' ];then - $TIMEIT $USHOIQC/prepobs_oiqcbufr.sh $DATA/prepda.${cycle} $CDATE10 - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi -fi - - -# Look for "OVERLARGE" subsets in stdout (print out of bufrlib when subset -# discarded because it is too big to fit in a BUFR message) -- post to -# jlogfile if appropriate - -msg=`grep "OVERLARGE SUBSET DISCARDED" $pgmout` -err=$? -if [ "$err" -eq '0' ]; then - set +x - echo - echo "$msg" - echo - set -x - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" -fi - -exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.txt deleted file mode 100644 index 7d83a25..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/prepobs_makeprepbufr.txt +++ /dev/null @@ -1 +0,0 @@ -KEPREPBUFRSH=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/prepobs_makeprepbufr.sh diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/repobs_makeprepbufr.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/repobs_makeprepbufr.txt deleted file mode 100644 index 22e7705..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/obsproc/repobs_makeprepbufr.txt +++ /dev/null @@ -1 +0,0 @@ -MAKEPREPBUFRSH=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/prepobs_makeprepbufr.sh diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.sh deleted file mode 100755 index 7d131ab..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.sh +++ /dev/null @@ -1,501 +0,0 @@ - -################################################################################ -#### UNIX Script Documentation Block -# . . -# Script name: global_nceppost.sh -# Script description: Posts the global pressure GRIB file -# -# Author: Mark Iredell Org: NP23 Date: 1999-05-01 -# -# Abstract: This script reads a single global GFS IO file and (optionally) -# a global flux file and creates a global pressure GRIB file. -# The resolution and generating code of the output GRIB file can also -# be set in the argument list. -# -# Script history log: -# 1999-05-01 Mark Iredell -# 2007-04-04 Huiya Chuang: Modify the script to run unified post -# 2012-06-04 Jun Wang: add grib2 option -# 2015-03-20 Lin Gan: add Perl for Post XML performance upgrade -# 2016-02-08 Lin Gan: Modify to use Vertical Structure -# -# Usage: global_postgp.sh SIGINP FLXINP FLXIOUT PGBOUT PGIOUT IGEN -# -# Input script positional parameters: -# 1 Input sigma file -# defaults to $SIGINP -# 2 Input flux file -# defaults to $FLXINP -# 3 Output flux index file -# defaults to $FLXIOUT -# 4 Output pressure GRIB file -# defaults to $PGBOUT -# 5 Output pressure GRIB index file -# defaults to $PGIOUT, then to none -# 8 Model generating code, -# defaults to $IGEN, then to input sigma generating code -# -# Imported Shell Variables: -# SIGINP Input sigma file -# overridden by $1 -# FLXINP Input flux file -# overridden by $2 -# FLXIOUT Output flux index file -# overridden by $3 -# PGBOUT Output pressure GRIB file -# overridden by $4. If not defined, -# post will use the filename specified in -# the control file -# PGIOUT Output pressure GRIB index file -# overridden by $5; defaults to none -# IGEN Model generating code -# overridden by $8; defaults to input sigma generating code -##### Moorthi: Add new imported shell variable for running chgres -# CHGRESSH optional: the script to run chgres -# default to to ${USHglobal}/global_chgres.sh -# SIGLEVEL optional: the coordinate text file -# default to to /nwprod/fix/global_hyblev.l${LEVS}.txt -##### Chuang: Add new imported Shell Variable for ncep post -# OUTTYP Output file type read in by post -# 1: if user has a sigma file and needs post to run chgres to convert to gfs io file -# 2: if user already has a gfs io file -# 3: if user uses post to read sigma file directly -# 0: if user wishes to generate both gfsio and sigma files -# 4: if user uses post to read nemsio file directly -# VDATE Verifying date 10 digits yyyymmddhh -# GFSOUT Optional, output file name from chgres which is input file name to nceppost -# if model already runs gfs io, make sure GFSOUT is linked to the gfsio file -# CTLFILE Optional, Your version of control file if not using operational one -# OVERPARMEXEC Optional, the executable for changing Grib KPDS ID -# default to to ${EXECglobal}/overparm_grib -# CHGRESTHREAD Optional, speed up chgres by using multiple threads -# default to 1 -# FILTER Optional, set to 1 to filter SLP and 500 mb height using copygb -# D3DINP Optional, Inout D3D file, if not defined, post will run -# without processing D3D file -# D3DOUT Optional, output D3D file, if not defined, post will -# use the file name specified in the control file -# IPVOUT Optional, output IPV file, if not defined, post will -# use the file name specified in the control file -# GENPSICHI Optional, set to YES will generate psi and chi and -# append it to the end of PGBOUT. Default to NO -# GENPSICHIEXE Optional, specify where executable is for generating -# psi and chi. -######################################################################## -# EXECUTIL Directory for utility executables -# defaults to /nwprod/util/exec -# USHUTIL Directory for utility scripts -# defaults to /nwprod/util/ush -# EXECglobal Directory for global executables -# defaults to /nwprod/exec -# USHglobal Directory for global scripts -# defaults to /nwprod/ush -# DATA working directory -# (if nonexistent will be made, used and deleted) -# defaults to current working directory -# MP Multi-processing type ("p" or "s") -# defaults to "p", or "s" if LOADL_STEP_TYPE is not PARALLEL -# XC Suffix to add to executables -# defaults to none -# POSTGPEXEC Global post executable -# defaults to ${EXECglobal}/ncep_post -# GRBINDEX GRIB index maker -# defaults to ${EXECUTIL}/grbindex$XC -# ANOMCATSH Global anomaly GRIB script -# defaults to ${USHglobal/global_anomcat.sh -# POSTGPLIST File containing further namelist inputs -# defaults to /dev/null -# INISCRIPT Preprocessing script -# defaults to none -# LOGSCRIPT Log posting script -# defaults to none -# ERRSCRIPT Error processing script -# defaults to 'eval [[ $err = 0 ]]' -# ENDSCRIPT Postprocessing script -# defaults to none -# POSTGPVARS Other namelist inputs to the global post executable -# such as IDRT,KO,PO,KTT,KT,PT,KZZ,ZZ, -# NCPUS,MXBIT,IDS,POB,POT,MOO,MOOA,MOW,MOWA, -# ICEN,ICEN2,IENST,IENSI -# defaults to none set -# NTHREADS Number of threads -# defaults to 1 -# NTHSTACK Size of stack per thread -# defaults to 64000000 -# VERBOSE Verbose flag (YES or NO) -# defaults to NO -# PGMOUT Executable standard output -# defaults to $pgmout, then to '&1' -# PGMERR Executable standard error -# defaults to $pgmerr, then to '&1' -# pgmout Executable standard output default -# pgmerr Executable standard error default -# REDOUT standard output redirect ('1>' or '1>>') -# defaults to '1>', or to '1>>' to append if $PGMOUT is a file -# REDERR standard error redirect ('2>' or '2>>') -# defaults to '2>', or to '2>>' to append if $PGMERR is a file -# -# Exported Shell Variables: -# PGM Current program name -# pgm -# ERR Last return code -# err -# -# Modules and files referenced: -# scripts : $INISCRIPT -# $LOGSCRIPT -# $ERRSCRIPT -# $ENDSCRIPT -# $ANOMCATSH -# -# programs : $POSTGPEXEC -# $GRBINDEX -# -# input data : $1 or $SIGINP -# $2 or $SFCINP -# $POSTGPLIST -# -# output data: $3 or $FLXIOUT -# $4 or $PGBOUT -# $5 or $PGIOUT -# $PGMOUT -# $PGMERR -# -# scratch : ${DATA}/postgp.inp.sig -# ${DATA}/postgp.inp.flx -# ${DATA}/postgp.out.pgb -# -# Remarks: -# -# Condition codes -# 0 - no problem encountered -# >0 - some problem encountered -# -# Control variable resolution priority -# 1 Command line argument. -# 2 Environment variable. -# 3 Inline default. -# -# Attributes: -# Language: POSIX shell -# Machine: IBM SP -# -#### -################################################################################ -# Set environment. -export VERBOSE=${VERBOSE:-"NO"} -if [[ "$VERBOSE" = "YES" ]] -then - echo $(date) EXECUTING $0 $* >&2 - set -x -fi -# Command line arguments. -export SIGINP=${1:-${SIGINP}} -export FLXINP=${2:-${FLXINP}} -export FLXIOUT=${3:-${FLXIOUT}} -export PGBOUT=${4:-${PGBOUT}} -#export PGIOUT=${5:-${PGIOUT}} -export PGIOUT=${PGIOUT:-pgb.idx} -export IO=${6:-${IO:-0}} -export JO=${7:-${JO:-0}} -export IGEN=${8:-${IGEN:-0}} -# Directories. -export NWPROD=${NWPROD:-/nwprod} -export EXECUTIL=${EXECUTIL:-$NWPROD/util/exec} -export USHUTIL=${USHUTIL:-$NWPROD/util/ush} -export EXECglobal=${EXECglobal:-$NWPROD/exec} -export USHglobal=${USHglobal:-$NWPROD/ush} -export DATA=${DATA:-$(pwd)} -# Filenames. -export MP=${MP:-$([[ $LOADL_STEP_TYPE = PARALLEL ]]&&echo "p"||echo "s")} -export XC=${XC} -export POSTGPEXEC=${POSTGPEXEC:-${EXECglobal}/ncep_post} -export OVERPARMEXEC=${OVERPARMEXEC:-${EXECglobal}/overparm_grib} -export ANOMCATSH=${ANOMCATSH:-${USHglobal}/global_anomcat.sh} -export CHGRESSH=${CHGRESSH:-${USHglobal}/global_chgres.sh} -export POSTGPLIST=${POSTGPLIST:-/dev/null} -export INISCRIPT=${INISCRIPT} -export ERRSCRIPT=${ERRSCRIPT:-'eval [[ $err = 0 ]]'} -export LOGSCRIPT=${LOGSCRIPT} -export ENDSCRIPT=${ENDSCRIPT} -export GFSOUT=${GFSOUT:-gfsout} -export CTLFILE=${CTLFILE:-$NWPROD/parm/gfs_cntrl.parm} -export MODEL_OUT_FORM=${MODEL_OUT_FORM:-binarynemsiompiio} -export GRIBVERSION=${GRIBVERSION:-'grib1'} -# Other variables. -export POSTGPVARS=${POSTGPVARS} -export NTHREADS=${NTHREADS:-1} -export NTHSTACK=${NTHSTACK:-64000000} -export PGMOUT=${PGMOUT:-${pgmout:-'&1'}} -export PGMERR=${PGMERR:-${pgmerr:-'&2'}} -export CHGRESTHREAD=${CHGRESTHREAD:-1} -export FILTER=${FILTER:-1} -export GENPSICHI=${GENPSICHI:-NO} -export GENPSICHIEXE=${GENPSICHIEXE:-${EXECglobal}/genpsiandchi} -export ens=${ens:-NO} -#export D3DINP=${D3DINP:-/dev/null} -typeset -L1 l=$PGMOUT -[[ $l = '&' ]]&&a=''||a='>' -export REDOUT=${REDOUT:-'1>'$a} -typeset -L1 l=$PGMERR -[[ $l = '&' ]]&&a=''||a='>' -export REDERR=${REDERR:-'2>'$a} -################################################################################ -# Preprocessing -$INISCRIPT - -# Chuang: Run chgres if OUTTYP=1 or 0 - -export APRUN=${APRUNP:-${APRUN:-""}} - -# exit if SIGINP does not exist -if [ ${OUTTYP} -le 3 ] ; then - if [ ! -s $SIGINP ] ; then - echo "sigma file not found, exitting" - exit 111 - fi -fi - -export SIGHDR=${SIGHDR:-$NWPROD/exec/global_sighdr} -export IDRT=${IDRT:-4} - -if [ ${OUTTYP} -le 1 ] ; then - export JCAP=${JCAP:-`echo jcap|$SIGHDR ${SIGINP}`} - export LEVS=${LEVS:-`echo levs|$SIGHDR ${SIGINP}`} - export IDVC=${IDVC:-$(echo idvc|$SIGHDR ${SIGINP})} - export IDVM=${IDVM:-$(echo idvm|$SIGHDR ${SIGINP})} - export NVCOORD=${NVCOORD:-$(echo nvcoord|$SIGHDR ${SIGINP})} - export IVSSIG=${IVSSIG:-$(echo ivs|$SIGHDR ${SIGINP})} - export LATCH=${LATCH:-8} - if [ ${OUTTYP} -eq 1 ] ; then - export CHGRESVARS="IDVC=$IDVC,IDVM=$IDVM,NVCOORD=$NVCOORD,IVSSIG=$IVSSIG,LATCH=$LATCH," - elif [ ${OUTTYP} -eq 0 ] ; then - export CHGRESVARS="LATCH=$LATCH,$CHGRESVARS" - fi - #export SIGLEVEL=${SIGLEVEL:-""} - export SIGLEVEL=${SIGLEVEL:-"$NWPROD/fix/global_hyblev.l${LEVS}.txt"} - # specify threads for running chgres - export OMP_NUM_THREADS=$CHGRESTHREAD - export NTHREADS=$OMP_NUM_THREADS - if [ ${JCAP} -eq 574 -a ${IDRT} -eq 4 ] - then - export NTHSTACK=1024000000 - fi - export XLSMPOPTS="parthds=$NTHREADS:stack=$NTHSTACK" - - $CHGRESSH - - export ERR=$? - export err=$ERR - $ERRSCRIPT||exit 1 - -# run post to read sigma file directly if OUTTYP=3 -elif [ ${OUTTYP} -eq 3 ] ; then - export LONB=${LONB:-`echo lonb|$SIGHDR ${SIGINP}`} - export LATB=${LATB:-`echo latb|$SIGHDR ${SIGINP}`} - export MODEL_OUT_FORM=sigio - export GFSOUT=${SIGINP} - -# run post to read nemsio file if OUTTYP=4 -elif [ ${OUTTYP} -eq 4 ] ; then - export nemsioget=${nemsioget:-$EXECglobal/nemsio_get} - export LONB=${LONB:-$($nemsioget $NEMSINP lonf |grep -i "lonf" |awk -F"= " '{print $2}' |awk -F" " '{print $1}')} - export LATB=${LATB:-$($nemsioget $NEMSINP latg |grep -i "latg" |awk -F"= " '{print $2}' |awk -F" " '{print $1}')} - export JCAP=${JCAP:-$($nemsioget $NEMSINP jcap |grep -i "jcap" |awk -F"= " '{print $2}' |awk -F" " '{print $1}')} - - export MODEL_OUT_FORM=${MODEL_OUT_FORM:-binarynemsiompiio} - export GFSOUT=${NEMSINP} - ln -sf $FIXglobal/fix_am/global_lonsperlat.t${JCAP}.${LONB}.${LATB}.txt ./lonsperlat.dat - ln -sf $FIXglobal/fix_am/global_hyblev.l${LEVS}.txt ./global_hyblev.txt -fi - -# allow threads to use threading in Jim's sp lib -# but set default to 1 -export OMP_NUM_THREADS=${OMP_NUM_THREADS:-1} - -pwd=$(pwd) -if [[ -d $DATA ]] -then - mkdata=NO -else - mkdir -p $DATA - mkdata=YES -fi -cd $DATA||exit 99 -################################################################################ -# Post GRIB -export PGM=$POSTGPEXEC -export pgm=$PGM -$LOGSCRIPT -cat <postgp.inp.nml$$ - &NAMPGB - $POSTGPVARS -EOF - -cat <>postgp.inp.nml$$ - / -EOF -if [[ "$VERBOSE" = "YES" ]] -then - cat postgp.inp.nml$$ -fi - -# making the time stamp format for ncep post -export YY=`echo $VDATE | cut -c1-4` -export MM=`echo $VDATE | cut -c5-6` -export DD=`echo $VDATE | cut -c7-8` -export HH=`echo $VDATE | cut -c9-10` - -cat > itag <> itag - -cat itag - -rm -f fort.* - -#ln -sf $SIGINP postgp.inp.sig$$ -#ln -sf $FLXINP postgp.inp.flx$$ -#ln -sf $PGBOUT postgp.out.pgb$$ - -# change model generating Grib number -if [ ${GRIBVERSION} = grib1 ]; then - - if [ ${IGEN} -le 9 ] ; then - cat ${CTLFILE}|sed s:00082:0000${IGEN}:>./gfs_cntrl.parm - elif [ ${IGEN} -le 99 ] ; then - cat ${CTLFILE}|sed s:00082:000${IGEN}:>./gfs_cntrl.parm - elif [ ${IGEN} -le 999 ] ; then - cat ${CTLFILE}|sed s:00082:00${IGEN}:>./gfs_cntrl.parm - else - ln -sf ${CTLFILE} ./gfs_cntrl.parm - fi - ln -sf ./gfs_cntrl.parm fort.14 - -elif [ ${GRIBVERSION} = grib2 ]; then - cp ${POSTGRB2TBL} . - cp ${PostFlatFile} ./postxconfig-NT.txt - if [ ${ens} = "YES" ] ; then - sed < ${PostFlatFile} -e "s#negatively_pert_fcst#${ens_pert_type}#" > ./postxconfig-NT.txt - fi -# cp ${CTLFILE} postcntrl.xml - -fi -export CTL=`basename $CTLFILE` - -ln -sf griddef.out fort.110 -cp ${PARMglobal}/nam_micro_lookup.dat ./eta_micro_lookup.dat - -${APRUN:-mpirun.lsf} $POSTGPEXEC < itag > outpost_gfs_${VDATE}_${CTL} - -export ERR=$? -export err=$ERR -$ERRSCRIPT||exit 2 - -if [ $FILTER = "1" ] ; then - -# Filter SLP and 500 mb height using copygb, change GRIB ID, and then -# cat the filtered fields to the pressure GRIB file, from Iredell - -if [ $GRIBVERSION = grib1 ]; then - $COPYGB -x -i'4,0,80' -k'4*-1,1,102' $PGBOUT tfile - ln -s -f tfile fort.11 - ln -s -f prmsl fort.51 - echo 0 2|$OVERPARMEXEC - $COPYGB -x -i'4,1,5' -k'4*-1,7,100,500' $PGBOUT tfile - ln -s -f tfile fort.11 - ln -s -f h5wav fort.51 - echo 0 222|$OVERPARMEXEC - -#cat $PGBOUT prmsl h5wav >> $PGBOUT - cat prmsl h5wav >> $PGBOUT - -elif [ $GRIBVERSION = grib2 ]; then - if [ ${ens} = YES ] ; then - $COPYGB2 -x -i'4,0,80' -k'1 3 0 7*-9999 101 0 0' $PGBOUT tfile - else - $COPYGB2 -x -i'4,0,80' -k'0 3 0 7*-9999 101 0 0' $PGBOUT tfile - fi - $WGRIB2 tfile -set_byte 4 11 1 -grib prmsl - if [ ${ens} = YES ] ; then - $COPYGB2 -x -i'4,1,5' -k'1 3 5 7*-9999 100 0 50000' $PGBOUT tfile - else - $COPYGB2 -x -i'4,1,5' -k'0 3 5 7*-9999 100 0 50000' $PGBOUT tfile - fi - $WGRIB2 tfile -set_byte 4 11 193 -grib h5wav - -#cat $PGBOUT prmsl h5wav >> $PGBOUT - cat prmsl h5wav >> $PGBOUT - -fi - -fi - -################################################################################ -# Anomaly concatenation -# for now just do anomaly concentration for grib1 -if [ $GRIBVERSION = grib1 ]; then - - if [[ -x $ANOMCATSH ]] - then - if [[ -n $PGIOUT ]] - then - $GRBINDEX $PGBOUT $PGIOUT - fi - export PGM=$ANOMCATSH - export pgm=$PGM - $LOGSCRIPT - - eval $ANOMCATSH $PGBOUT $PGIOUT - - export ERR=$? - export err=$ERR - $ERRSCRIPT||exit 3 - fi -fi -################################################################################ -# Make GRIB index file -if [[ -n $PGIOUT ]] -then - if [ $GRIBVERSION = grib2 ]; then - # JY $GRBINDEX2 $PGBOUT $PGIOUT - $GRB2INDEX $PGBOUT $PGIOUT - else - $GRBINDEX $PGBOUT $PGIOUT - fi -fi -if [[ -r $FLXINP && -n $FLXIOUT && $OUTTYP -le 3 ]] -then - $GRBINDEX $FLXINP $FLXIOUT -fi -################################################################################ -# generate psi and chi -echo "GENPSICHI= " $GENPSICHI -if [ $GENPSICHI = YES ] ; then -#echo "PGBOUT PGIOUT=" $PGBOUT $PGIOUT -#echo "YY MM=" $YY $MM - export psichifile=./psichi.grb - $GENPSICHIEXE < postgp.inp.nml$$ - rc=$? - if [[ $rc -ne 0 ]] ; then echo 'Nonzero return code rc= '$rc ; exit 3 ; fi - cat ./psichi.grb >> $PGBOUT -fi -################################################################################ -# Postprocessing -cd $pwd -[[ $mkdata = YES ]]&&rmdir $DATA -$ENDSCRIPT -set +x -if [[ "$VERBOSE" = "YES" ]] -then - echo $(date) EXITING $0 with return code $err >&2 -fi -exit $err diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.txt deleted file mode 100644 index a63b13f..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/post/global_nceppost.txt +++ /dev/null @@ -1 +0,0 @@ -STGPSH=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/post/tags/ncep_post.v7.7.2/ush/global_nceppost.sh diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.sh deleted file mode 100755 index 1672800..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.sh +++ /dev/null @@ -1,1385 +0,0 @@ -#!/bin/ksh -################################################################################ -# -# Name: getges.sh Author: Mark Iredell -# -# Abstract: -# This script copies the valid global guess file to a given file. -# Alternatively, it writes the name of the guess file to standard output. -# Specify option "-n network" for the job network (default global). -# Other options are gdas, gfs, cdas, mrf, prx, etc. -# Specify option "-e environment" for the job environment (default prod). -# Another option is test. -# Specify option "-f fhour" for the specific forecast hour wanted (default any). -# Specify option "-q" for quiet mode to turn off script messages. -# Specify option "-r resolution" for the resolution wanted (default high). -# Other options are 25464 17042, 12628, low, 6228, namopl, any. -# Specify option "-t filetype" for the filetype wanted from among these choices: -# sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3, -# sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3, -# biascr, satang, satcnt, gesfil -# pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3, -# sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl, -# enggrb, enggri, icegrb, icegri, snogrb, snogrb_high, snogri, sstgrb, sstgri. -# natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur, -# nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur, -# nstcur, nflges, nflgp3 -# Specify option "-v valid" for the valid date wanted (default $CDATE). -# Currently, the valid hours specified must be a multiple of 3. -# Either 2-digit or 4-digit years are currently allowed. -# Specify positional argument to be the file to which to copy the guess. -# If missing, the NAME of the guess file is written to standard output. -# A nonzero return code from this script means either the arguments are invalid -# or the guess could not be found; a message is written to standard error in -# this case, but neither a file copy nor a standard output write will be done. -# The file returned is guaranteed to exist and be readable. -# The script uses the utility commands NDATE and NHOUR. -# -# Example 1. Copy the production sigma guess for 1998100100 to the file sges. -# getges.sh -e prod -t sigges -v 1998100100 sges -# -# Example 2. Assign the pressure grib guess for the date 1998100121. -# export CDATE=1998100121 -# export XLFUNIT_12="$(getges.sh -qt pgbges||echo /dev/null)" -# -# Example 3. Get the PRX pgb analysis or the best valid guess at 1998100112. -# getges -e prx -t pgbcur -v 1998100112 pgbfile -# -# Example 5. Get the 24-hour GFS forecast sigma file valid at 1998100112. -# getges -t sigcur -v 1998100112 -f 24 -e gfs sigfile -# -# History: 1996 December Iredell Initial implementation -# 1997 March Iredell Nine new filetypes -# 1997 April Iredell Two new filetypes and -f option -# 1997 December Iredell Four new filetypes -# 1998 April Iredell 4-digit year allowed; -# sigges internal date no longer checked -# 1998 May Iredell T170L42 defaulted; four new filetypes -# and two filetypes deleted -# 1998 June Rogers Nam types added -# 1998 September Iredell high is default resolution -# 2000 March Iredell Cdas and -n option -# 2000 June Iredell Eight new filetypes -# 2002 April Treadon T254L64 defaulted; add angle dependent -# bias correction file -# 2003 March Iredell GFS network out to 384 hours -# 2003 August Iredell Hourly global guesses -# 2005 September Treadon Add satellite data count file (satcnt) -# 2006 September Gayno Add high-res snow analysis -# 2009 January Rogers Added sfluxgrb file -# 2011 April Rogers Added GFS pg2ges file -# 2016 May Menlove Changed GETGES_COM variable to $COMINmodel -# 2016 November Iredell Adapted getges for NEMS GSM -# Also removed a lot of dead wood -# -################################################################################ -#------------------------------------------------------------------------------- -# Set some default parameters. -fhbeg=03 # hour to begin searching backward for guess -fhinc=03 # hour to increment backward in search -fhend=384 # hour to end searching backward for guess - -#------------------------------------------------------------------------------- -# Get options and arguments. -netwk=global # default network -envir=prod # default environment -fhour=any # default forecast hour -quiet=NO # default quiet mode -trace=NO # default execution trace mode -resol=high # default resolution -typef=sigges # default filetype -valid=${CDATE:-'?'} # default valid date -err=0 - -while getopts n:e:f:qxr:t:v: opt;do - case $opt in - n) netwk="$OPTARG";; - e) envir="$OPTARG";; - f) fhour="$OPTARG";; - q) quiet=YES;; - x) trace=YES;; - r) resol="$OPTARG";; - t) typef="$OPTARG";; - v) valid="$OPTARG";; - \?) err=1;; - esac -done -shift $(($OPTIND-1)) -gfile=$1 -if [[ -z $valid ]];then - echo "$0: either -v option or environment variable CDATE must be set" >&2 -elif [[ $# -gt 1 ]];then - echo "$0: too many positional arguments" >&2 -elif [[ $err -ne 0 ]];then - echo "$0: invalid option" >&2 -fi -if [[ $gfile = '?' || $# -gt 1 || $err -ne 0 || -z $valid ||\ - $netwk = '?' || $envir = '?' || $fhour = '?' || $resol = '?' ||\ - $typef = '?' || $valid = '?' ]];then - echo "Usage: getges.sh [-n network] [-e environment] [-f fhour] [-q] [-r resolution]" >&2 - echo " [-t filetype] [-v valid] [gfile]" >&2 - if [[ $netwk = '?' ]];then - echo " network choices:" >&2 - echo " global (default), namopl, gdas, gfs, cdas, etc." >&2 - elif [[ $envir = '?' ]];then - echo " environment choices:" >&2 - echo " prod (default), test, para, dump, prx" >&2 - echo " (some network values allowed for compatibility)" >&2 - elif [[ $fhour = '?' ]];then - echo " fhour is optional specific forecast hour" >&2 - elif [[ $resol = '?' ]];then - echo " resolution choices:" >&2 - echo " high (default), 25464, 17042, 12628, low, 6228, namopl, any" >&2 - elif [[ $typef = '?' ]];then - echo " filetype choices:" >&2 - echo " sigges (default), siggm3, siggm2, siggm1, siggp1, siggp2, siggp3," >&2 - echo " sfcges, sfcgm3, sfcgm2, sfcgm1, sfcgp1, sfcgp2, sfcgp3," >&2 - echo " sfgges, sfggp3, biascr, satang, satcnt, gesfil" >&2 - echo " pgbges, pgiges, pgbgm6, pgigm6, pgbgm3, pgigm3, pgbgp3, pgigp3," >&2 - echo " sigcur, sfccur, pgbcur, pgicur, prepqc, tcvg12, tcvges, tcvitl," >&2 - echo " enggrb, enggri, icegrb, icegri, snogrb, snogri, sstgrb, sstgri," >&2 - echo " pg2cur, pg2ges, restrt," >&2 - echo " natges, natgm3, natgm2, natgm1, natgp1, natgp2, natgp3, natcur," >&2 - echo " nsfges, nsfgm3, nsfgm2, nsfgm1, nsfgp1, nsfgp2, nsfgp3, nsfcur," >&2 - echo " nstcur, nflges, nflgp3," >&2 - elif [[ $valid = '?' ]];then - echo " valid is the valid date in yyyymmddhh or yymmddhh form" >&2 - echo " (default is environmental variable CDATE)" >&2 - elif [[ $gfile = '?' ]];then - echo " gfile is the guess file to write" >&2 - echo " (default is to write the guess file name to stdout)" >&2 - else - echo " (Note: set a given option to '?' for more details)" >&2 - fi - exit 1 -fi -[[ $trace == YES ]]&&set -x -if [[ $envir != prod && $envir != test && $envir != para && $envir != dump && $envir != pr? && $envir != dev ]];then - netwk=$envir - envir=prod - echo '************************************************************' >&2 - echo '* WARNING: Using "-e" is deprecated in this case. *' >&2 - echo '* Please use "-n" instead. *' >&2 - echo '************************************************************' >&2 -fi -if [[ "$netwk" = "namopl" || "$resol" = "namopl" ]];then - netwk=namopl - typef=restrt - resol=namopl -fi -[[ $resol = 57464 || $resol = 38264 || $resol = 19064 || $resol = 25464 || $resol = 17042 || $resol = 12628 ]]&&resol=high -[[ $resol = 6228 ]]&&resol=low -resolsuf="" -[[ $resol == *deg ]]&&resolsuf=.$resol -fhbeg=$(${NHOUR:?} $valid) -[[ $fhbeg -le 0 ]]&&fhbeg=03 -((fhbeg=(10#$fhbeg-1)/3*3+3)) -[[ $fhbeg -lt 10 ]]&&fhbeg=0$fhbeg -if [[ $typef = enggrb ]];then - typef=icegrb - echo '************************************************************' >&2 - echo '* WARNING: Using "-t enggrb" is now deprecated. *' >&2 - echo '* Please use "-t icegrb". *' >&2 - echo '************************************************************' >&2 -elif [[ $typef = enggri ]];then - typef=icegri - echo '************************************************************' >&2 - echo '* WARNING: Using "-t enggri" is now deprecated. *' >&2 - echo '* Please use "-t icegri". *' >&2 - echo '************************************************************' >&2 -fi - -#------------------------------------------------------------------------------- -# Assemble guess list in descending order from the best guess. -geslist="" -getlist00="" - -# GDAS -if [[ "$netwk" = "gdas" ]];then - if [ -z "$COMINgdas" ]; then - echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 - exit 1 - fi - fhend=12 - case $typef in - biascr) geslist=' - $COMINgdas/gdas.t${cyc}z.abias' - ;; - biascr_pc) geslist=' - $COMINgdas/gdas.t${cyc}z.abias_pc' - ;; - biascr_air) geslist=' - $COMINgdas/gdas.t${cyc}z.abias_air' - ;; - radstat) geslist=' - $COMINgdas/gdas.t${cyc}z.radstat' - ;; - pgbges) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh' - ;; - pg2ges) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' - ;; - pgbgm6) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6' - ;; - pgbgm3) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3' - ;; - pgbgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3' - ;; - pgbcur) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINgdas/gdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINgdas/gdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINgdas/gdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576' - fhbeg=00 - fhinc=06 - ;; - snogrb_1534) geslist=' - $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINgdas/gdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - natges) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' - ;; - natgm3) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio' - ;; - natgm2) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio' - ;; - natgm1) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio' - ;; - natgp1) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio' - ;; - natgp2) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio' - ;; - natgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio' - ;; - natcur) geslist=' - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio' - getlist00=' - $COMINgdas/gdas.t${cyc}z.atmanl.nemsio' - fhbeg=00 - ;; - nsfges) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' - ;; - nsfgm3) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio' - ;; - nsfgm2) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio' - ;; - nsfgm1) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio' - ;; - nsfgp1) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio' - ;; - nsfgp2) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio' - ;; - nsfgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio' - ;; - nsfcur) geslist=' - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio' - getlist00=' - $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio' - fhbeg=00 - ;; - nstcur) geslist=' - $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio' - getlist00=' - $COMINgdas/gdas.t${cyc}z.nstanl.nemsio' - fhbeg=00 - ;; - nflges) geslist=' - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' - ;; - nflgp3) geslist=' - $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio' - ;; - nflcur) geslist=' - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio' - fhbeg=00 - ;; - esac - -# CFS-CDAS -elif [[ "$netwk" = "cfs-cdas" ]];then - if [ -z "$COMINcfs_cdas" ]; then - echo "getges.sh ERROR: The \$COMINcfs_cdas variable must be defined." >&2 - exit 1 - fi - fhend=12 - case $typef in - sigges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fh}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm3}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm2}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhm1}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp1}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp2}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf${fhp3}.LIS - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.abias' - ;; - satang) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.satang' - ;; - satcnt) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - sfgges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fh' - ;; - sfggp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sfluxgrbf$fhp3' - ;; - pgbges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm6 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm6 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhm3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhm3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fhp3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fhp3 - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sf$fh' - getlist00=' - $COMINcfs_cdas/cdas1.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.bf$fh' - getlist00=' - $COMINcfs_cdas/cdas1.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbh$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbih$fh - $COMINcfs_cdas/cdas1.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_high) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574 - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_382) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t382' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb_t574' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $COMINcfs_cdas/cdas1.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# GFS -elif [[ "$netwk" = "gfs" ]];then - if [ -z "$COMINgfs" ]; then - echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 - exit 1 - fi - fhend=384 - case $typef in - natges) geslist=' - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - ;; - pgbcur) geslist=' - $COMINgfs/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINgfs/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvitl) geslist=' - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINgfs/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINgfs/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_1534) geslist=' - $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINgfs/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - natcur) geslist=' - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - getlist00=' - $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' - fhbeg=00 - ;; - nsfcur) geslist=' - $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' - getlist00=' - $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' - fhbeg=00 - ;; - nstcur) geslist=' - $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' - getlist00=' - $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' - fhbeg=00 - ;; - nflcur) geslist=' - $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' - fhbeg=00 - ;; - esac - -# CDAS -elif [[ "$netwk" = "cdas" ]];then - if [ -z "$COMINcdas" ]; then - echo "getges.sh ERROR: The \$COMINcdas variable must be defined." >&2 - exit 1 - fi - fhbeg=06 - fhend=06 - case $typef in - sigges) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $COMINcdas/cdas.t${cyc}z.abias' - ;; - satang) geslist=' - $COMINcdas/cdas.t${cyc}z.satang' - ;; - satcnt) geslist=' - $COMINcdas/cdas.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $COMINcdas/cdas.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $COMINcdas/cdas.t${cyc}z.sf$fh' - getlist00=' - $COMINcdas/cdas.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $COMINcdas/cdas.t${cyc}z.bf$fh' - getlist00=' - $COMINcdas/cdas.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $COMINcdas/cdas.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINcdas/cdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINcdas/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINcdas/cdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $COMINcdas/cdas.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINcdas/cdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $COMINcdas/cdas.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINcdas/cdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $COMINcdas/cdas.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# CDC CDAS -elif [[ "$netwk" = "cdc" ]];then - if [ -z "$COMINcdc" ]; then - echo "getges.sh ERROR: The \$COMINcdc variable must be defined." >&2 - exit 1 - fi - fhbeg=06 - fhend=06 - case $typef in - sigges) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fh' - ;; - siggm3) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhm3' - ;; - siggm2) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhm2' - ;; - siggm1) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhm1' - ;; - siggp1) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhp1' - ;; - siggp2) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhp2' - ;; - siggp3) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fhp3' - ;; - sfcges) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fh' - ;; - sfcgm3) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhm3' - ;; - sfcgm2) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhm2' - ;; - sfcgm1) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhm1' - ;; - sfcgp1) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhp1' - ;; - sfcgp2) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhp2' - ;; - sfcgp3) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fhp3' - ;; - biascr) geslist=' - $COMINcdc/cdas.t${cyc}z.abias' - ;; - satang) geslist=' - $COMINcdc/cdas.t${cyc}z.satang' - ;; - satcnt) geslist=' - $COMINcdc/cdas.t${cyc}z.satcnt' - ;; - gesfil) geslist=' - $COMINcdc/cdas.t${cyc}z.gesfile' - fhbeg=00 - fhend=00 - ;; - pgbges) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fh' - ;; - pgiges) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fh' - ;; - pgbgm6) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fhm6' - ;; - pgigm6) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fhm6' - ;; - pgbgm3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fhm3' - ;; - pgigm3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fhm3' - ;; - pgbgp3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fhp3' - ;; - pgigp3) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fhp3' - ;; - sigcur) geslist=' - $COMINcdc/cdas.t${cyc}z.sf$fh' - getlist00=' - $COMINcdc/cdas.t${cyc}z.sanl' - fhbeg=00 - ;; - sfccur) geslist=' - $COMINcdc/cdas.t${cyc}z.bf$fh' - getlist00=' - $COMINcdc/cdas.t${cyc}z.sfcanl' - fhbeg=00 - ;; - pgbcur) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pgicur) geslist=' - $COMINcdc/cdas.t${cyc}z.pgrbif$fh' - fhbeg=00 - ;; - prepqc) geslist=' - $COMINcdc/cdas.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $COMINcdc/cdas.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $COMINcdc/cdas.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - icegri) geslist=' - $COMINcdc/cdas.t${cyc}z.engicegrb.index' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $COMINcdc/cdas.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogri) geslist=' - $COMINcdc/cdas.t${cyc}z.snogrb.index' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $COMINcdc/cdas.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - sstgri) geslist=' - $COMINcdc/cdas.t${cyc}z.sstgrb.index' - fhbeg=00 - fhinc=06 - ;; - esac - -# Any resolution production -elif [[ "$netwk" = "global" ]];then - if [ -z "$COMINgdas" ]; then - echo "getges.sh ERROR: The \$COMINgdas variable must be defined." >&2 - exit 1 - fi - if [ -z "$COMINgfs" ]; then - echo "getges.sh ERROR: The \$COMINgfs variable must be defined." >&2 - exit 1 - fi - GETGES_NWG=${GETGES_NWG:-${GESROOT:?}} - case $typef in - biascr) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.abias - $COMINgdas/gdas.t${cyc}z.abias - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.abias - $COMINgfs/gfs.t${cyc}z.abias' - fhbeg=06 - fhinc=06 - ;; - pgbges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $COMINgfs/gfs.t${cyc}z.pgrbf$fh' - ;; - pgbgm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm6 - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm6 - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm6 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm6 - $COMINgfs/gfs.t${cyc}z.pgrbf$fhm6' - ;; - pgbgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhm3 - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhm3 - $COMINgdas/gdas.t${cyc}z.pgrbh$fhm3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhm3 - $COMINgfs/gfs.t${cyc}z.pgrbf$fhm3' - ;; - pgbgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fhp3 - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fhp3 - $COMINgdas/gdas.t${cyc}z.pgrbh$fhp3 - $COMINgdas/gdas.t${cyc}z.pgrbf$fhp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fhp3 - $COMINgfs/gfs.t${cyc}z.pgrbf$fhp3' - ;; - pg2ges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$gh - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$gh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$gh - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$gh' - ;; - pg2gm6) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm6 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm6 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm6' - ;; - pg2gm5) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm5 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm5 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm5' - ;; - pg2gm4) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm4 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm4 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm4' - ;; - pg2gm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm3 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm3' - ;; - pg2gm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm2 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm2' - ;; - pg2gm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghm1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghm1 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghm1' - ;; - pg2gp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp1 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp1 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp1' - ;; - pg2gp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp2 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp2 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp2' - ;; - pg2gp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 - $COMINgdas/gdas.t${cyc}z.pgrb2.0p25.f$ghp3 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p25.f$ghp3 - $COMINgfs/gfs.t${cyc}z.pgrb2.0p25.f$ghp3' - ;; - pgbcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbh$fh - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrbf$fh - $COMINgdas/gdas.t${cyc}z.pgrbh$fh - $COMINgdas/gdas.t${cyc}z.pgrbf$fh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrbf$fh - $COMINgfs/gfs.t${cyc}z.pgrbf$fh' - fhbeg=00 - ;; - pg2cur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.pgrb2.0p50.f$gh - $COMINgdas/gdas.t${cyc}z.pgrb2.0p50.f$gh - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.pgrb2.0p50.f$gh - $COMINgfs/gfs.t${cyc}z.pgrb2.0p50.f$gh' - fhbeg=00 - ;; - prepqc) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.prepbufr - $COMINgdas/gdas.t${cyc}z.prepbufr - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.prepbufr - $COMINgfs/gfs.t${cyc}z.prepbufr' - fhbeg=00 - fhend=00 - ;; - tcvg12) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=12 - fhend=12 - ;; - tcvges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=06 - fhend=06 - ;; - tcvitl) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.syndata.tcvitals.tm00 - $COMINgdas/gdas.t${cyc}z.syndata.tcvitals.tm00 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.syndata.tcvitals.tm00 - $COMINgfs/gfs.t${cyc}z.syndata.tcvitals.tm00' - fhbeg=00 - fhend=00 - ;; - icegrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.engicegrb - $COMINgdas/gdas.t${cyc}z.engicegrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.engicegrb - $COMINgfs/gfs.t${cyc}z.engicegrb' - fhbeg=00 - fhinc=06 - ;; - snogrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb - $COMINgdas/gdas.t${cyc}z.snogrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb - $COMINgfs/gfs.t${cyc}z.snogrb' - fhbeg=00 - fhinc=06 - ;; - snogrb_574) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t574.1152.576 - $COMINgdas/gdas.t${cyc}z.snogrb_t574.1152.576 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t574.1152.576 - $COMINgfs/gfs.t${cyc}z.snogrb_t574.1152.576' - fhbeg=00 - fhinc=06 - ;; - snogrb_1534) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.snogrb_t1534.3072.1536 - $COMINgdas/gdas.t${cyc}z.snogrb_t1534.3072.1536 - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.snogrb_t1534.3072.1536 - $COMINgfs/gfs.t${cyc}z.snogrb_t1534.3072.1536' - fhbeg=00 - fhinc=06 - ;; - sstgrb) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sstgrb - $COMINgdas/gdas.t${cyc}z.sstgrb - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sstgrb - $COMINgfs/gfs.t${cyc}z.sstgrb' - fhbeg=00 - fhinc=06 - ;; - natges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - ((vhr=$valid%100)) - if [[ $(($vhr % 3)) -ne 0 ]]; then - fhinc=01 - fi - ;; - natgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm3.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghm3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm3.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghm3.nemsio' - ;; - natgm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm2.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghm2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm2.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghm2.nemsio' - ;; - natgm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghm1.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghm1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghm1.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghm1.nemsio' - ;; - natgp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp1.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghp1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp1.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghp1.nemsio' - ;; - natgp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp2.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghp2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp2.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghp2.nemsio' - ;; - natgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$ghp3.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$ghp3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$ghp3.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$ghp3.nemsio' - ;; - natcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.atmf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.atmf$gh.nemsio' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.atmanl.nemsio - $COMINgdas/gdas.t${cyc}z.atmanl.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.atmanl.nemsio - $COMINgfs/gfs.t${cyc}z.atmanl.nemsio' - fhbeg=00 - ;; - nsfges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' - ;; - nsfgm3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm3.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghm3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm3.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghm3.nemsio' - ;; - nsfgm2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm2.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghm2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm2.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghm2.nemsio' - ;; - nsfgm1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghm1.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghm1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghm1.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghm1.nemsio' - ;; - nsfgp1) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp1.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghp1.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp1.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghp1.nemsio' - ;; - nsfgp2) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp2.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghp2.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp2.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghp2.nemsio' - ;; - nsfgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$ghp3.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$ghp3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$ghp3.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$ghp3.nemsio' - ;; - nsfcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.sfcf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.sfcf$gh.nemsio' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.sfcanl.nemsio - $COMINgdas/gdas.t${cyc}z.sfcanl.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.sfcanl.nemsio - $COMINgfs/gfs.t${cyc}z.sfcanl.nemsio' - fhbeg=00 - ;; - nstcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.nstf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.nstf$gh.nemsio' - getlist00=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.nstanl.nemsio - $COMINgdas/gdas.t${cyc}z.nstanl.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.nstanl.nemsio - $COMINgfs/gfs.t${cyc}z.nstanl.nemsio' - fhbeg=00 - ;; - nflges) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' - ;; - nflgp3) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$ghp3.nemsio - $COMINgdas/gdas.t${cyc}z.flxf$ghp3.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$ghp3.nemsio - $COMINgfs/gfs.t${cyc}z.flxf$ghp3.nemsio' - ;; - nflcur) geslist=' - $GETGES_NWG/$envir/gdas.$day/gdas.t${cyc}z.flxf$gh.nemsio - $COMINgdas/gdas.t${cyc}z.flxf$gh.nemsio - $GETGES_NWG/$envir/gfs.$day/gfs.t${cyc}z.flxf$gh.nemsio - $COMINgfs/gfs.t${cyc}z.flxf$gh.nemsio' - fhbeg=00 - ;; - esac -fi - -# Check validity of options. -if [[ $fhour != any ]];then - fhbeg=$fhour - fhend=$fhour -fi -if [[ $valid -lt 20000000 ]];then - valid=20$valid - echo '************************************************************' >&2 - echo '* WARNING: A 2-digit year was converted to a 4-digit year. *' >&2 - echo '* Please use full a 4-digit year in this utility. *' >&2 - echo '************************************************************' >&2 -fi -if [[ $($NDATE 0 $valid 2>/dev/null) != $valid ]];then - echo getges.sh: invalid date $valid >&2 - exit 2 -fi -if [[ -z "$geslist" ]];then - echo getges.sh: filetype $typef or resolution $resol not recognized >&2 - exit 2 -fi - -#------------------------------------------------------------------------------- -# Loop until guess is found. -fh=$fhbeg -if [ -z "$PDY" ];then echo "getges.sh WARNING: \$PDY variable not set" >&2; fi -while [[ $fh -le $fhend ]];do - ((fhm6=10#$fh-6)) - [[ $fhm6 -lt 10 && $fhm6 -ge 0 ]]&&fhm6=0$fhm6 - ((fhm5=10#$fh-5)) - [[ $fhm5 -lt 10 && $fhm5 -ge 0 ]]&&fhm5=0$fhm5 - ((fhm4=10#$fh-4)) - [[ $fhm4 -lt 10 && $fhm4 -ge 0 ]]&&fhm4=0$fhm4 - ((fhm3=10#$fh-3)) - [[ $fhm3 -lt 10 && $fhm3 -ge 0 ]]&&fhm3=0$fhm3 - ((fhm2=10#$fh-2)) - [[ $fhm2 -lt 10 && $fhm2 -ge 0 ]]&&fhm2=0$fhm2 - ((fhm1=10#$fh-1)) - [[ $fhm1 -lt 10 && $fhm1 -ge 0 ]]&&fhm1=0$fhm1 - ((fhp1=10#$fh+1)) - [[ $fhp1 -lt 10 ]]&&fhp1=0$fhp1 - ((fhp2=10#$fh+2)) - [[ $fhp2 -lt 10 ]]&&fhp2=0$fhp2 - ((fhp3=10#$fh+3)) - [[ $fhp3 -lt 10 ]]&&fhp3=0$fhp3 - gh=$fh;[[ $gh -lt 100 ]]&&gh=0$gh - ghm6=$fhm6;[[ $ghm6 -lt 100 ]]&&ghm6=0$ghm6 - ghm5=$fhm5;[[ $ghm5 -lt 100 ]]&&ghm5=0$ghm5 - ghm4=$fhm4;[[ $ghm4 -lt 100 ]]&&ghm4=0$ghm4 - ghm3=$fhm3;[[ $ghm3 -lt 100 ]]&&ghm3=0$ghm3 - ghm2=$fhm2;[[ $ghm2 -lt 100 ]]&&ghm2=0$ghm2 - ghm1=$fhm1;[[ $ghm1 -lt 100 ]]&&ghm1=0$ghm1 - ghp1=$fhp1;[[ $ghp1 -lt 100 ]]&&ghp1=0$ghp1 - ghp2=$fhp2;[[ $ghp2 -lt 100 ]]&&ghp2=0$ghp2 - ghp3=$fhp3;[[ $ghp3 -lt 100 ]]&&ghp3=0$ghp3 - id=$($NDATE -$fh $valid) - typeset -L8 day=$id - typeset -R2 cyc=$id - eval list=\$getlist$fh - [[ -z "$list" ]]&&list=${geslist} - for ges_var in $list;do - # Replace variables in guess with their values - eval ges_val=$ges_var - # Replace the current PDY with the valid date - ges=${ges_val/$PDY\//$day/} - [[ $quiet = NO ]]&&echo Checking: $ges >&2 - [[ -r $ges ]]&&break 2 - done - fh=$((10#$fh+10#$fhinc)) - [[ $fh -lt 10 ]]&&fh=0$fh -done -if [[ $fh -gt $fhend ]];then - echo getges.sh: unable to find $netwk.$envir.$typef.$resol.$valid >&2 - exit 8 -fi - -#------------------------------------------------------------------------------- -# Either copy guess to a file or write guess name to standard output. -if [[ -z "$gfile" ]];then - echo $ges - exit $? -else - cp $ges $gfile - exit $? -fi diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.txt deleted file mode 100644 index 87bbf4e..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/getges.txt +++ /dev/null @@ -1 +0,0 @@ -GETGESpre=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/getges.sh diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.sh deleted file mode 100755 index 4448067..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.sh +++ /dev/null @@ -1,127 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - - -# This script performs rawinsonde upper-air complex quality control checking -# -# It is normally executed by the script prepobs_makeprepbufr.sh -# but can also be executed from a checkout parent script -# -------------------------------------------------------------------------- - -set -aux - -qid=$$ - -# Positional parameters passed in: -# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr -# file upon successful completion of this script (note that input -# prepbufr file is NOT saved by this script) - -# Imported variables that must be passed in: -# DATA - path to working directory -# CQCS - path to PREPOBS_CQCBUFR program statbge file -# CQCX - path to PREPOBS_CQCBUFR program executable -# CQCC - path to PREPOBS_CQCBUFR program parm cards - -# Imported variables that can be passed in: -# pgmout - string indicating path to for standard output file -# (skipped over by this script if not passed in) -# PRPI_m24 - string indicating path to prepbufr file valid 24-hours previous -# (only needed if temporal checking is being done) -# (skipped over by this script if not passed in) -# PRPI_m12 - string indicating path to prepbufr file valid 12-hours previous -# (only needed if temporal checking is being done) -# (skipped over by this script if not passed in) -# PRPI_p12 - string indicating path to prepbufr file valid 12-hours ahead -# (only needed if temporal checking is being done) -# (skipped over by this script if not passed in) -# PRPI_p24 - string indicating path to prepbufr file valid 24-hours ahead -# (only needed if temporal checking is being done) -# (skipped over by this script if not passed in) - -cd $DATA -PRPI=$1 -if [ ! -s $PRPI ] ; then exit 1 ;fi - -cp /dev/null $DATA/prepbufr_m24 -cp /dev/null $DATA/prepbufr_m12 -cp /dev/null $DATA/prepbufr_p12 -cp /dev/null $DATA/prepbufr_p24 - -set +u -[ -n "$PRPI_m24" ] && cp $PRPI_m24 prepbufr_m24 -[ -n "$PRPI_m12" ] && cp $PRPI_m12 prepbufr_m12 -[ -n "$PRPI_p12" ] && cp $PRPI_p12 prepbufr_p12 -[ -n "$PRPI_p24" ] && cp $PRPI_p24 prepbufr_p24 -set -u - -rm $PRPI.cqcbufr -rm cqc_events cqc_stncnt cqc_stnlst - -pgm=`basename $CQCX` -if [ -s $DATA/prep_step ]; then - set +u - . $DATA/prep_step - set -u -else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -fi - -export FORT4=cqcbufr.unit04.wrk -export FORT12=cqc_events -export FORT14=$PRPI -export FORT15=cqc_stncnt -export FORT16=cqc_stnlst -export FORT17=prepbufr_m24 -export FORT18=prepbufr_m12 -export FORT19=prepbufr_p12 -export FORT20=prepbufr_p24 -export FORT22=cqc_wndpbm -export FORT23=$CQCS -export FORT51=$PRPI.cqcbufr -export FORT52=cqc_sdm -export FORT60=cqcbufr.unit60.wrk -export FORT61=cqcbufr.unit61.wrk -export FORT62=cqcbufr.unit62.wrk -export FORT64=cqcbufr.unit64.wrk -export FORT68=cqc_radcor -export FORT80=cqcbufr.unit80.wrk -TIMEIT=${TIMEIT:-""} -[ -s $DATA/time ] && TIMEIT="$DATA/time -p" -# The following improves performance on Cray-XC40 if $CQCX was -# linked to the IOBUF i/o buffering library -export IOBUF_PARAMS='*wrk:verbose,*cqc_*:verbose' -$TIMEIT $CQCX< $CQCC > outout 2> errfile -err=$? -unset IOBUF_PARAMS -###cat errfile -cat errfile >> outout -cat outout >> cqcbufr.out -set +u -[ -n "$pgmout" ] && cat outout >> $pgmout -set -u -rm outout -set +x -echo -echo 'The foreground exit status for PREPOBS_CQCBUFR is ' $err -echo -set -x -if [ -s $DATA/err_chk ]; then - $DATA/err_chk -else - if test "$err" -gt '0' - then -######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out - # in ops - exit 55 - fi -fi - -if [ "$err" -gt '0' ]; then - exit 9 -else - mv $PRPI.cqcbufr $PRPI -fi - -exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.txt deleted file mode 100644 index 0395d77..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcbufr.txt +++ /dev/null @@ -1 +0,0 @@ -CQCX=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_cqcbufr diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.sh deleted file mode 100755 index bb8f950..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.sh +++ /dev/null @@ -1,101 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - - -# This script performs VAD wind complex quality control checking -# -# It is normally executed by the script prepobs_makeprepbufr.sh -# but can also be executed from a checkout parent script -# -------------------------------------------------------------- - -set -aux - -qid=$$ - -# Positional parameters passed in: -# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr -# file upon successful completion of this script (note that input -# prepbufr file is NOT saved by this script) -# 2 - ncep production date (YYYYMMDDHH) - -# Imported variables that must be passed in: -# DATA - path to working directory -# VQCX - path to PREPOBS_CQCVAD program executable - -# Imported variables that can be passed in: -# pgmout - string indicating path to for standard output file (skipped -# over by this script if not passed in) - -cd $DATA -PRPI=$1 -if [ ! -s $PRPI ] ; then exit 1;fi -CDATE10=$2 - -set +x -cat <<\EOFc > cqcvad05 - &NAMLST - HONOR_FLAGS=TRUE, ! If TRUE then levels with bad q.m. flags are honored - PRINT_52=TRUE, ! If TRUE then writes bird quality control information - ! to unit 52 - PRINT_53=FALSE, ! If TRUE then writes a final report listing with q.c. - ! information to unit 53 - PRINT_60=FALSE, ! If TRUE then writes event information to unit 60 - TEST=FALSE ! If TRUE then writes diagnostic print to stdout (unit 06) - / -EOFc -set -x - -rm $PRPI.cqcvad - -pgm=`basename $VQCX` -if [ -s $DATA/prep_step ]; then - set +u - . $DATA/prep_step - set -u -else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -fi - -export FORT11=$PRPI -echo "$CDATE10" > cdate10.dat -export FORT14=cdate10.dat -export FORT51=$PRPI.cqcvad -export FORT52=cqcvad.birdqc -export FORT53=cqcvad.unit53.wrk -export FORT55=cqcvad.unit55.wrk -export FORT60=cqcvad.unit60.wrk -TIMEIT=${TIMEIT:-""} -[ -s $DATA/time ] && TIMEIT="$DATA/time -p" -$TIMEIT $VQCX < cqcvad05 > outout 2> errfile -err=$? -###cat errfile -cat errfile >> outout -cat outout >> cqcvad.out -set +u -[ -n "$pgmout" ] && cat outout >> $pgmout -set -u -rm outout -set +x -echo -echo 'The foreground exit status for PREPOBS_CQCVAD is ' $err -echo -set -x -if [ -s $DATA/err_chk ]; then - $DATA/err_chk -else - if test "$err" -gt '0' - then -######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out - # in ops - exit 55 - fi -fi - -if [ "$err" -gt '0' ]; then - exit 9 -else - mv $PRPI.cqcvad $PRPI -fi - -exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.txt deleted file mode 100644 index 1898aed..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_cqcvad.txt +++ /dev/null @@ -1 +0,0 @@ -VQCX=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_cqcvad diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.sh deleted file mode 100755 index ff85639..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.sh +++ /dev/null @@ -1,2466 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - -#### UNIX Script Documentation Block -# -# Script name: prepobs_makeprepbufr.sh -# Script description: Prepares & quality controls PREPBUFR file -# -# Author: Keyser Org: EMC Date: 2017-04-20 -# -# Abstract: This script creates the PREPBUFR file containing observational data -# assimilated by all versions of NCEP atmospheric analyses. It points to BUFR -# observational data dumps as input files. PREPOBS_PREPDATA combines them to -# generate an initial form of the PREPBUFR file which also contains the -# background guess as well as the observational error field. If tropical -# cyclone relocation processing has previously occurred, the background global -# guess read in by PREPOBS_PREPDATA (and later by SYNDAT_SYNDATA if it runs) -# is the relocated guess valid at the center date/time for the PREPBUFR -# processing. Otherwise it is the regular (unrelocated) global atmosperic -# guess obtained via the getges utility script. After PREPOBS_PREPDATA runs, -# this script can execute SYNDAT_SYNDATA to generate synthetic wind bogus -# data, as well as their background guess and observational error fields, -# which are appended to the PREPBUFR file. -# -# In the global networks the decision to append synthetic wind bogus data in -# the SYNDATA processing is determined by the outcome of the previous -# tropical cyclone relocation processing (if it was run). There are three -# possible outcomes: -# 1) If all storms in the original tcvitals file have vorticies of at -# least medium intensity such that a relocation was previously -# performed for each, then SYNDAT_SYNDATA will still run but will not -# append synthetic wind bogus data to the PREPBUFR file for any storm. -# It will input the original tcvitals file (output from qctropcy -# processing) and (if the option is set) it will flag dropwinsonde -# winds in the vicinity of each tropical storm in the file. -# 2) If all storms in the original tcvitals file (output from qctropcy -# processing) have weak vorticies such that a relocation was not -# previously performed for any, then SYNDAT_SYNDATA will run, inputting -# the original tcvitals file, and it will append synthetic wind bogus -# data to the PREPBUFR file for each storm in it. It will also -# possibly flag mass pressure and/or dropwinsonde wind reports in the -# vicinity of each storm (if requested). -# 3) If some storms in the original tcvitals file (output from qctropcy -# processing) have weak vorticies, such that a relocation was not -# previously performed for them, and others have vorticies of at least -# medium intensity, such that a relocation was previously performed for -# these, then SYNDAT_SYNDATA will run twice. The first time, it will -# input the relocation-generated tcvitals file, which contains all of -# the weak storms, and it will append synthetic wind bogus data to the -# PREPBUFR file for each storm in it. It will also possibly flag mass -# pressure and/or dropwinsonde wind reports in the vicinity of each of -# these storms (if requested). The second time SYNDAT_SYNDATA runs, it -# will input any storm records that were in the original tcvitals file -# but not in the relocation-generated tcvitals file (i.e., storms with -# vorticies of at least medium intensity). It will not append -# synthetic wind bogus data to the PREPBUFR file for any of these -# storms, but it will flag dropwinsonde winds in the vicinity of each -# storm in the original tcvitals file but not in the relocation- -# generated tcvitals file (if requested). -# If this is the nam network, the only reason relocation processing would -# have been previously run would be to update the first guess read in here by -# PREPOBS_PREPDATA and SYNDAT_SYNDATA. In this case, SYNDAT_SYNDATA inputs -# the original tcvitals file (output from qctropcy processing), appends -# synthetic wind bogus data to the PREPBUFR file for each storm in it, and -# possibly also flags mass pressure and/or dropwinsonde wind reports in the -# vicinity of each storm in the file (if requested). -# -# After all of this, the script then executes a series of quality control -# programs which can change the observation value and/or its quality marker. -# The PREPBUFR file is set up such that all changes to data are stacked on -# top of previous values. Such changes are considered to be "events", with -# the event containing an associated program code and reason code to describe -# it. This allows the PREPBUFR file to internally contain a record of all -# events preformed on the observations. This script has been designed to be -# executed by either an "operational J-job" script, a "test J-job" script, a -# "parallel J-job" script, or a stand-alone batch run initiated by a user. -# -# Script history log: -# 1999-07-20 Dennis A. Keyser -- Original version for implementation -# 2000-06-14 Dennis A. Keyser -- Added the tropical cyclone relocation -# processing -# 2001-03-20 Dennis A. Keyser -- Now gets tcvitals file for t-12 as well as -# t-06 in tropical cyclone relocation processing and passes both to ush -# relocate_relocate_ts.sh as new pos. parameters 3 and 4 -# 2004-01-15 Dennis A. Keyser -- Reads file *aircar_status_flag* in $COMSP -# path to see whether ARINC (primary) or AFWA (backup) reports in AIRCAR -# dump should be read and processed as ACARS data in PREPBUFR (flag file -# generated in upstream dump process and is based on a comparison of report -# counts), inserts proper switch in parm cards read by PREPOBS_PREPDATA -# program -# 2004-08-30 Dennis A. Keyser -- Connects new data dumps to PREPDATA -# processing, copies t-3 and t+3 sigma guess files for GFS and GDAS even if -# DO_RELOCATE = NO (unless GETGUESS = NO); Copies the "PRE-QC" snapshot of -# the PREPBUFR file AFTER SYNDAT_SYNDATA runs (if it does) rather than -# before it runs (ensures that the PRE-QC PREPBUFR file contains ALL of the -# observations); Variable PRVT (observational error table file path) is now -# read by NAM network and defaults to $FIXPREP/prepobs_errtable.nam if not -# imported (obs. errors are now read into PREPBUFR file in NAM network in -# preparation for the switch to the GSI analysis, the operational 3DVAR -# analysis ignores the obs errors in PREPBUFR and still reads them in from -# $PARMPREP/nam_errtable.r3dv) -# 2005-07-01 Dennis A. Keyser -- Logic changes to run SYNDAT_SYNDATA in all -# networks where requested regardless of outcome of relocation processing, -# but sets new script variable DO_BOGUS to NO if SYNDAT_SYNDATA is to NOT -# generate synthetic wind bogus reports and append them to PREPBUFR file -# (SYNDAT_SYNDATA program also modified to read in this variable) - change -# needed because (if requested) SYNDAT_SYNDATA will now flag all -# dropwinsonde wind reports in vicinity of each storm in original tcvitals -# file, regardless of whether or not bogus winds are generated -# 2006-03-22 Dennis A. Keyser -- Accounts for possibility of split dump status -# files (status1 and status2) at the time this runs {in the test for the -# presence of the dump status file(s)} -# 2006-06-13 Dennis A. Keyser -- Adds dump file "wdsatr" to default BUFRLIST -# value and assigns it to unit 38 read in to PREPOBS_PREPDATA; Removed -# tropical cyclone relocation processing, this is now done (if requested) -# in a new script called tropcy_relocate.sh which runs in the new -# TROPCY_QC_RELOC job prior to the PREP job that executes this script -# (TROPCY_QC_RELOC first performs qctropcy processing, this was moved from -# the DUMP job) - this was done to allow the TROPCY_QC_RELOC job to run at -# the same time as the DUMP job in order to speed up overall obs -# processing and remove variability in the PREP job executing this script -# (i.e., this job had run faster when no tropical storms were present) -# 2007-09-14 Dennis A. Keyser -- Replaced "export XLFUNIT_xx=" with -# "ln .sf fort.xx" in preparation for PREPOBS_PREPDATA -# interfacing with global spectral guess files using sigio routines (via -# W3LIB routine GBLEVENTS - sigio requires explicit open statements in the -# code and this conflicts with XLFUNIT statements; Removed test on -# existence of status2 file from NAM_DUMP2 and NDAS_DUMP2 jobs since dump -# files here (currently only "nexrad") are not processed into the PREPBUFR -# file - PREP job initiation may soon no longer be dependent upon -# completion of DUMP2 job in NAM and NDAS networks; in the case where an -# input (normally, pre-QC) PREPBUFR file is passed into the script via the -# variable PREPBUFR_IN, it had been assumed that this file had already -# been run through SYNDATA processing (but that was not the case prior to -# 12Z 25 Jan 2005) - this script changed to use the value of variable -# SYNDATA to determine if the file in PREPBUFR_IN should be run through -# SYNDATA processing (i.e., if SYNDATA=YES, run it through SYNDATA -# processing) (this change will allow reanalysis runs prior to 12Z 25 Jan -# 2005 to work properly) -# 2008-09-25 Dennis A. Keyser -- Added dump file "ascatw" to default BUFRLIST -# value and assigns it to unit 39 read in to PREPOBS_PREPDATA; in -# preparation for future NRL aircraft QC code NRLACQC, added new script -# variables NRLACQC (def=NO), USHNQC (def=${HOMEALL}/ush), NQCX -# (def=$EXECPREP/prepobs_nrlacqc) and NQCC -# (def=$PARMPREP/prepobs_nrlacqc.${NET}.parm"), if NRLACQC=YES will -# execute script USHNQC to perform NRL aircraft QC (not yet ready) -# 2011-10-14 D.A. Keyser -- Updated to handle new "rap" (Rapid Refresh) -# network and its model runs "rap", "rap_p" and "rap_e" -# 2012-05-09 S. Bender/D. Keyser -- Removed all prior references to "NRL" -# aircraft QC script variables (never actually used) since the NRL -# aircraft QC nomenclature is being dropped in place of the existing -# PREPACQC nomenclature and will use its existing script variables; -# removed all references to the ACARSQC processing since it is no longer -# executed (ACARS QC is now performed within the revamped PREPACQC -# processing); removed script variables no longer used by the new version -# of the PREPACQC processing; added new script variables which are -# associated with the new program PREPOBS_PREPACPF which now runs as a -# second program within the PREPACQC processing (after program -# PREPOBS_PREPACQC) in the ush script prepobs_prepacqc.sh {PROCESS_ACQC -# (def=YES), PROCESS_ACPF (def=YES), DICTPREP (def=$HOMEALL/dictionaries), -# DICT (def=$DICTPREP/metar.tbl), APFX (def=$EXECPREP/prepobs_prepacpf), -# and the new second argument "$DATA/adpsfc" passed to -# prepobs_prepacqc.sh} -# 2013-03-05 D.A. Keyser -- Modified to properly run on WCOSS system: replaced -# all usage of "timex" with "time -p."; replaced script variables -# XLFUNIT_n with FORTn (where n is the unit number connected to the -# filename defined by the variable FORTn) - needed because ifort uses -# FORTn; script is now set to run under ksh shell as the default; added -# script variable "BACK" which, when YES, threads the mp_prepdata herefile -# into background shells that run simultaneously (an alternative option -# to poe which is not ready on WCOSS); touches all dump files not included -# in BUFRLIST so that they will not cause a read error if PREPOBS_PREPDATA -# tries to read them -# 2014-01-15 S. Melchior -- Placed into new OBSPROC_PREP vertical directory -# structure/environmental equivalence paradigm. As a result: imports new -# environment variable $HOMEobsproc_prep which points to directory path for -# generic prep subdirectories under version control (in production this is -# normally /nwprod/obsproc_prep.vX.Y.Z where X.Y.Z is version number being -# used, usually the latest); and imports new environment variable -# $HOMEobsproc_network which points to directory path for network-specific -# prep subdirectories under version control (in production this is normally -# /nwprod//obsproc_NETWORK.vX.Y.Z where NETWORK is, e.g., global, namp, rap, -# rtma, urma, and X.Y.Z is version number being used, usually the latest) - -# these replace /nw${envir} in order to point to files moved from -# horizontal to vertical directory structure. -# 2014-02-25 D.A. Keyser -- Removed all references to RUC. Removed option -# to run on MACHINE=sgi - this is obsolete (as a result variables $MACHINE -# and $HOMEALL are no longer used in this script). Replaced variable -# $EXECUTIL with $utilexec for directory path to utility program ndate -# (both were exported from job scripts with same value, $EXECUTIL has now -# been removed from all job scripts). Removed all references to "cdc" -# network (this is obsolete). -# 2014-07-23 D.A. Keyser -- Imported script environment variable DICTPREP now -# defaults to new vertical structure directory path location for metar.tbl -# dictionary, /nw${envir}/decoders/decod_shared/dictionaries, rather than -# old horizontal structure location, /nw${envir}/dictionaries (the latter -# will be removed in September 2014). -# 2016-02-05 JWhiting -- Use NCO-established variables to point to root -# directories for main software components and input/output directories in -# order to run on WCOSS Phase 1 or Phase 2 (here, $COMROOT which replaces -# hardwire to "/com", $NWROOT which replaces hardwire to "/nwprod" in -# comments only). Use NCO-established variables (presumably obtained from -# modules) to point to prod utilities [here, $NDATE from module prod_util -# (default or specified version, loaded in each network which executes this -# script) which replaces executable ndate in non-versioned, horizontal -# structure utility directory path defined by imported variable $utilexec]. -# 2016-04-29 D.A. Keyser -- Updated logic such that when tropical cyclone -# relocation has not run, a first guess is required, the network is gfs or -# gdas, but the cycle time is not 00, 06, 12 or 18z, no attempt will be -# made to obtain a guess 3-hrs before and after cycle time (since it can -# fail). Instead this is treated the same as any 3- or 1-hrly cycle run -# (like rap, e.g.) meaning two guess files will be obtained at the -# spanning 3 hour interval around any cycle time not a multiple of 3 hrs. -# BENEFIT: Allows future hourly WAM model to run properly. -# 2016-07-12 D.C. Stokes -- Reinstated poe option to run multiple instances -# of the PREPDATA processing script in parallel. New variable $launcher -# defines the parallel scripting launch mechanism (description below). -# Added logic to create scaled down versions of err_chk and err_exit -# scripts if they don't exist in the working directory and eliminated -# similar blocks of logic that had been repeated throughout the script. -# Updated USHGETGES default to pick up more recent versions of getges.sh. -# 2017-02-07 D.C. Stokes -- Updated to run on Cray-XC40 as well as iDataPlex. -# If on Cray-XC40, default parallel scripting launching mechanism is cfp -# inovked by aprun. Variable name used for launching mechanism changed from -# "launcher" to "launcher_PREP". Variable COMDATEROOT is now the primary -# default for the root of the directory containing NCEP date files. The -# variable NWROOTp1 is now the default root for directory DICTPREP. Logic -# used to determine if $COMSP points to production "com" directory was -# updated to recognize full path name (as needed on luna/surge). -# 2017-03-19 D.C. Stokes/D.A. Keyser -- Updated to input nemsio atmopheric -# guess files -or- the older sigio atmospheric files. The nemsio option -# is triggered by flag NEMSIO_IN=.true. For nemsio runs, a single guess -# file valid at the prepbufr center time is picked up, even for runs with -# center time that is not a multiple of 3. Also the dbn_alert subtype is -# now dependent upon $RUN (for transition from "gdas1" to "gdas"). -# 2017-04-20 D.C. Stokes -- Relocated assignments of variable stype to ensure -# it always passes the proper value to the getges utility script. -# -# -# Usage: prepobs_makeprepbufr.sh yyyymmddhh -# -# Input script positional parameters: -# 1 String indicating the center date/time for the PREPBUFR -# processing - if missing, then this time -# is obtained from the ${COMDATEROOT}/date/$cycle file -# -# Imported Shell Variables: -# -# These must ALWAYS be exported to this script by the parent script -- -# -# COMROOT Root to input/output "com" directory (in production, -# normally "/com", "/com2", or "/gpfs/hps/nco/ops/com") -# NSPLIT Number of parts into which the PREPDATA processing shell -# script (herefile MP_PREPDATA) will be split in order to -# run in parallel for computational efficiency (either using -# multiple tasks when POE is not "NO" or in background threads -# when BACK is "YES") -# NOTE : This is required ONLY if the imported shell variable -# POE is not "NO" (see below) or the imported shell -# variable BACK is "YES" (see below) (i.e., a parallel -# environment), and the imported shell variable -# PREPDATA=YES (see below) -# NET String indicating system network {either "gfs", "gdas", -# "cdas", "nam", "rap", "rtma" or "urma"} -# NOTE : NET is changed to gdas in the parent Job script for -# RUN=gdas or RUN=gdas1 (was gfs) -# RUN String indicating model run {either "gfs", "gdas", "gdas1", -# "cdas", "nam", "ndas", "rap", "rap_p", "rap_e", -# "rtma", or "urma"} -# cycle String indicating the center cycle hour for PREPBUFR -# processing {"txxz", where xx is two-digit hour of the day -# (UTC)} -# NOTE : This is required ONLY if input script positional -# parameter 1 is missing (see above) -# DATA String indicating the working directory path (usually a -# temporary location) -# COMSP String indicating the directory/filename path to input BUFR -# observational data dumps, tropical cyclone location -# (tcvitals) files, global atmos guess files, and status -# files (e.g., "$COMROOT/gfs/prod/gfs.20060612/gfs.t12z.") -# DBNROOT String indicating directory path to bin/dbn_alert file -# location -# NOTE : This is required ONLY if the imported shell variable -# SENDDBN is "YES" (see below) -# job - String indicating job name (e.g., 'gdas_prep_12') -# NOTE : This is required ONLY if the imported shell variable -# SENDDBN is "YES" (see below) -# $HOMEobsproc_prep - string indicating directory path to generic prep -# subdirectories under version control -# (in production this is normally -# ${NWROOT}/obsproc_prep.vX.Y.Z where X.Y.Z is -# version number being used, usually the latest) -# $HOMEobsproc_network - string indicating directory path to network- -# specific prep subdirectories under version control -# (in production this is normally -# ${NWROOT}/obsproc_NETWORK.vX.Y.Z where NETWORK is, -# e.g., global, nam, rap, rtma, urma, and X.Y.Z is -# version number being used, usually the latest) -# -# These will be set to their default value in this script if not exported -# to this script by the parent script -- -# -# SITE Site name (may have been set by local shell startup script) -# Default is "" -# sys_tp System type and phase. If not imported, an attempt is made -# to set it using getsystem.pl (an NCO prod_util script). -# A failed attempt results in an empty string. -# NEMSIO_IN Flag that if ".true." indicates that nemsio atmospheric -# background fields will be input rather than sigio. -# Default is "" -# SENDDBN String indicating whether or not to alert an output file to -# the NWS/TOC (= "YES" - invoke alert; anything else - do not -# invoke alert) -# Default is "NO" -# NPROCS Number of "poe" tasks to use for mpmd (must be .GE. $NSPLIT) -# NOTE : This is applicable ONLY if the imported shell -# variable POE is not "NO" (see below) and variable -# launcher_PREP is not "cfp" or "aprun" (see below) and -# the imported shell variable PREPDATA=YES (see below) -# For LSF jobs, the count of hosts listed in string $LSB_HOSTS -# will be used to set NPROCS (overriding any imported value). -# Default is "$NSPLIT" -# envir String indicating environment under which job runs ('prod' -# or 'test') -# Default is "prod" -# envir_getges String indicating environment under which GETGES utility -# ush runs (see getges.sh docblock for more information) -# Default is "$envir" -# network_getges -# String indicating job network under which GETGES utility -# ush runs (see getges.sh docblock for more information) -# Default is "global" unless the center PREPBUFR processing -# date/time is not a multiple of 3-hrs and the global guess is -# sigio-based, then the default is "gfs" -# pgmout String indicating file containing standard output (output -# always contatenated onto this file) -# Default is "/dev/null" -# tstsp String indicating the directory/filename path to one or -# more BUFR observational data dumps and/or tropical cyclone -# location (tcvitals) files and/or global atmos guess files -# and/or status files that are to override the corresponding -# file in $COMSP (this should be imported with the same -# naming convention as $COMSP; e.g., -# "/gpfstmp/wx22dk/test_dump/ndas.20060612/ndas.t12z." - -# (if tstsp is not imported, the default is used and no -# overriding file would exist; if tstsp is imported then any -# file found would override the correspoding file in $COMSP) -# Default is "/tmp/null/" -# tmmark - string indicating hour for center PREPBUFR processing date/ -# time relative to the analysis time embedded in $tstsp or -# $COMSP (e.g., "tm12", "tm09", "tm06", "tm03", "tm00") -# Default is "tm00" -# BUFRLIST String indicating list of BUFR data dump file names to -# process -# Default is "adpupa proflr aircar aircft satwnd adpsfc \ -# sfcshp sfcbog vadwnd goesnd spssmi erscat qkswnd msonet \ -# gpsipw rassda wdsatr ascatw" -# POE String indicating whether or not to use a poe-like launcher -# to spread instances of the PREPBUFR processing herefile -# MP_PREPDATA over multiple pes in parallel. (= "NO" - -# do not invoke invoke "poe"; anything else - invoke "poe") -# Default is "YES" -# launcher_PREP Parallel scripting launch tool. Settings are in place for -# aprun, mpirun.lsf, and cfp but a different tool can be -# specified. -# NOTE : This is applicable ONLY if the imported shell -# variable POE is not "NO" and the imported shell -# variable PREPDATA=YES (see below) -# Default on Cray-XC40 is "aprun". Otherwise: "mpirun.lsf" -# BACK String indicating whether or not to run background shells -# (on the same task) for the PREPBUFR processing (= "YES" - -# run background shells; anything else - do not run -# background shells). IF BACK=YES on Cray-XC40, the shells -# are invoked by aprun. -# USHSYND String indicating directory path for SYNDATA ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHPREV String indicating directory path for PREVENTS ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHCQC String indicating directory path for CQCBUFR ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHPQC String indicating directory path for PROFCQC ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHVQC String indicating directory path for CQCVAD ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHAQC String indicating directory path for PREPACQC ush file -# Default is "${HOMEobsproc_prep}/ush" -# USHOIQC String indicating directory path for OIQCBUFR ush file -# Default is "${HOMEobsproc_prep}/ush" -# EXECPREP String indicating directory path for PREPOBS executables -# Default is "${HOMEobsproc_prep}/exec" -# PARMPREP String indicating directory path for PREPOBS parm files -# Default is "${HOMEobsproc_network}/parm" -# FIXPREP String indicating directory path for PREPOBS fix-field -# files -# Default is "${HOMEobsproc_prep}/fix" -# DICTPREP String indicating directory path for PREPOBS dictionary -# files -# Default is "${NWROOTp1}/decoders/decod_shared/dictionaries" -# EXECSYND String indicating directory path for SYNTHETIC data -# executables -# Default is "${HOMEobsproc_prep}/exec" -# PARMSYND String indicating directory path for SYNTHETIC parm files -# Default is "${HOMEobsproc_network}/parm" -# FIXSYND String indicating directory path for SYNTHETIC data fix- -# field files -# Default is "${HOMEobsproc_prep}/fix" -# GETGUESS String: if = "YES" will encode first guess (background) -# values interpolated by the program PREPOBS_PREPDATA to -# observation locations in the PREPBUFR file for use by the -# q.c. programs. This guess is always from a global atmos -# guess file valid at the center PREPBUFR processing date/ -# time or from an interpolated guess obtained from global -# atmos guess files valid at times 3-hours apart which span -# the PREPBUFR processing date/time (the latter is performed -# by the program PREPOBS_PREPDATA and occurs when the guess -# files are sigio-based and the PREPBUFR date/time hour is not -# a multiple of 3, e.g. 02Z rap or tm04 nam catchup runs). The -# guess file (or files) may be obtained in one of two ways: -# 1) From pre-existing files in the working directory -# $DATA called sgesprep and sgesprepA (either copied -# there prior to the execution of this script, or -# copied there earlier in this script from either -# $tstsp, or if not found there, $COMSP which was -# populated by the previous running of tropical -# cyclone relocation processing -# NOTE 1: sgesprepA is needed only when the guess is -# sigio-based and the PREPBUFR processing -# date/time is not a multiple of 3-hrs. -# NOTE 2: if previous tropical cyclone relocation -# processing was run, then an sgesprepA file -# is NEVER generated, not a problem since -# previous tropical cyclone relocation -# processing is not run in rap, rap_p or -# rap_e runs -# 2) Via the execution of the GETGES utility ush to -# obtain sgesprep (if pre-existing file $DATA/sgesprep -# does not exist), and possibly via the execution of -# the GETGES utility ush to obtain sgesprepA (if -# PREPBUFR processing date/time is not a multiple of -# 3-hrs and the global guess is sigio-based, and the -# pre-existing file $DATA/sgesprepA does not exist) -# Default is "YES" -# NOTE: If GETGUESS=NO, then the program PREPOBS_PREPDATA -# will NOT call w3emc routine GBLEVENTS to perform -# "prevents" processing -# PREPDATA String: if = "YES" will perform PREPDATA processing -# (in either a parallel or serial environment depending upon -# the values for POE and BACK) -# Default is "YES" -# SYNDATA String: if = "YES" will attempt to perform synthetic bogus -# processing (generation of synthetic bogus winds to be -# appended to PREPBUFR file and, possibly, flagging of mass -# pressure data "near" storms; and, possibly, flagging of -# dropwinsonde wind data "near" storms) -# Default is "YES" -# DO_QC String: if = "YES" will perform quality control -# Default is "YES" -# PREVENTS String: if = "YES" will encode background and obs. errors -# into PREPBUFR file (usually this should be "NO" since the -# programs PREPOBS_PREPDATA and SYNDAT_SYNDATA normally are -# set to perform this function) -# NOTE: Only invoked if DO_QC=YES -# Default is "NO" -# CQCBUFR String: if = "YES" will complex quality control radiosonde -# data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# PROFCQC String: if = "YES" will quality control wind profiler data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# CQCVAD String: if = "YES" will quality control VAD wind data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# PREPACQC String: if = "YES" will quality control aircraft data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# PROCESS_ACQC String: if = "YES" will execute PREPOBS_PREPACQC program as -# part of PREPACQC processing -# NOTE: Only invoked if PREPACQC=YES -# Default is "YES" -# PROCESS_ACPF String: if = "YES" will execute PREPOBS_PREPACPF program as -# part of PREPACQC processing -# NOTE: Only invoked if PREPACQC=YES -# Default is "YES" -# OIQCBUFR String: if = "YES" will perform final oi-based quality -# control on all data -# NOTE: Only invoked if DO_QC=YES -# Default is "YES" -# MPCOPYX String indicating executable path for PREPOBS_MPCOPYBUFR -# program -# Default is "$EXECPREP/prepobs_mpcopybufr" -# PRPX String indicating executable path for PREPOBS_PREPDATA -# program -# Default is "$EXECPREP/prepobs_prepdata" -# errPREPDATA_limit -# String indicating the highest allowed foreground exit -# status for program PREPOBS_PREPDATA (any exit status higher -# than this is considered a failure) -# (Note: errPREPDATA_limit=1 is ALWAYS considered a FAILURE) -# Default is "0" -# PRPC String indicating data card path for PREPOBS_PREPDATA -# program -# Default is "$PARMPREP/prepobs_prepdata.${NET}.parm" -# PRPT String indicating bufrtable file path for PREPOBS_PREPDATA -# program -# Default is "$FIXPREP/prepobs_prep.bufrtable" -# LANDC String indicating land/sea mask file path for -# PREPOBS_PREPDATA program -# Default is "$FIXPREP/prepobs_landc" -# PRVT String indicating observational error table file path for -# PREPOBS_PREPDATA, SYNDAT_SYNDATA and PREPOBS_PREVENTS -# programs (used by GBLEVENTS subroutine) -# NOTE: Only read by gdas, gfs, cdas and nam networks -# If imported "NET=gdas" or "NET=gfs", default is -# "$HOMEobproc_network/fix/prepobs_errtable.global"; -# if imported "NET=cdas", default is -# "$HOMEobsproc_network/fix/prepobs_errtable.cdas"; -# if imported "NET=nam", default is -# "$HOMEobsproc_network/fix/prepobs_errtable.nam" -# otherwise, default is "$DATA/scratch.PRVT" a null file -# LISTHDX String indicating executable path for PREPOBS_LISTHEADERS -# program -# Default is "$EXECPREP/prepobs_listheaders" -# MONOBFRX String indicating executable path for PREPOBS_MONOPREPBUFR -# program -# Default is "$EXECPREP/prepobs_monoprepbufr" -# SYNDX String indicating executable path for SYNDAT_SYNDATA -# program -# Default is "$EXECSYND/syndat_syndata" -# SYNDC String indicating data card path for SYNDAT_SYNDATA program -# Default is "$PARMSYND/syndat_syndata.${NET}.parm" -# PREX String indicating executable path for PREPOBS_PREVENTS -# program -# Default is "$EXECPREP/prepobs_prevents" -# PREC String indicating data card path for PREPOBS_PREVENTS -# program -# Default is "$PARMPREP/prepobs_prevents.${NET}.parm" -# AQCX String indicating executable path for PREPOBS_PREPACQC -# program -# Default is "$EXECPREP/prepobs_prepacqc" -# AQCC String indicating data card path for PREPOBS_PREPACQC -# program -# Default is "$PARMPREP/prepobs_prepacqc.${NET}.parm" -# APFX String indicating executable path for PREPOBS_PREPACPF -# program -# Default is "$EXECPREP/prepobs_prepacpf" -# DICT String indicating METAR station dictionary path for -# PREPOBS_PREPACPF program -# Default is "$DICTPREP/metar.tbl" -# PQCX String indicating executable path for PREPOBS_PROFCQC -# program -# Default is "$EXECPREP/prepobs_profcqc" -# PQCC String indicating data card path for PREPOBS_PROFCQC -# program -# Default is "$PARMPREP/prepobs_profcqc.${NET}.parm" -# VQCX String indicating executable path for PREPOBS_CQCVAD -# program -# Default is "$EXECPREP/prepobs_cqcvad" -# CQCX String indicating executable path for PREPOBS_CQCBUFR -# program -# Default is "$EXECPREP/prepobs_cqcbufr" -# CQCC String indicating data card path for PREPOBS_CQCBUFR -# program -# Default is "$PARMPREP/prepobs_cqcbufr.${NET}.parm" -# CQCS String indicating statbge path for PREPOBS_CQCBUFR program -# Default is "$FIXPREP/prepobs_cqc_statbge" -# OIQCX String indicating executable path for PREPOBS_OIQCBUFR -# program -# Default is "$EXECPREP/prepobs_oiqcbufr" -# OIQCT String indicating observational error table file path for -# PREPOBS_OIQCBUFR program -# NOTE: If imported "NET=cdas", default is -# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas"; -# otherwise default is -# "$HOMEobsproc_network/fix/prepobs_oiqc.oberrs" -# -# These do not have to be exported to this script. If they are, they will -# be used by the script. If they are not, they will be skipped -# over by the script. -# -# PREPBUFR_APP String indicating path to output PREPBUFR file for -# PREPOBS_PREPDATA program. -# If present and POE is "NO" and BACK is not "YES" (i.e., a -# serial environment), PREPOBS_PREPDATA will append all -# output BUFR messages to a copy of this file (prepda) in -# the current working directory, using the internal BUFR -# mnemonic table in the first several BUFR messages at the -# top of the file -# NOTE 1: In this case, it is assumed the the switch APPEND -# is set to TRUE in the parm cards $PRPC (careful, -# if APPEND is FALSE, the original copy of -# $PREPBUFR_APP will be wiped out and the case below -# will occur) -# NOTE 2: When POE is not "NO" or BACK is "YES" (i.e., a -# parallel environment), appending makes no sense -# because the original output PREPBUFR file is -# monolithic -# If not present or POE is not "NO" or BACK is "YES" (i.e., a -# parallel environment), PREPOBS_PREPDATA will write all -# output BUFR messages to a new file (prepda) in the current -# working directory using the external BUFR mnemonic table -# in the file $PRPT -# NOTE 3: In this case, it is assumed the the switch APPEND -# is set to FALSE in the parm cards $PRPC (careful, -# if APPEND is TRUE, PREPOBS_PREPDATA will abort -# because the original empty PREPBUFR file has no -# internal BUFR mnemonic table) -# PREPBUFR_IN String indicating path to input PREPBUFR file -# If present, this file will be used by SYNDAT_SYNDATA (if -# SYNDATA=YES - see @ below) and by all applicable Q.C. -# programs (set to to be invoked here) rather than the -# PREPBUFR file generated in this script by PREPOBS_PREPDATA -# (normally this would be used when PREPDATA=NO) -# @ - if the PREPBUFR_IN target file is obtained from -# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, -# then for all runs on and after 12Z 25 Jan 2005, -# SYNDATA should be NO because the target files -# will already contain synthetic bogus data; -# if the PREPBUFR_IN target file is obtained from -# ${COMROOT}/*/prod/*.YYYYMMDD/*.tCCz.prepbufr_pre-qc, -# then for all runs prior to 12Z 25 Jan 2005, -# SYNDATA should be YES because the target files -# will not have contain synthetic bogus data. -# If not present, then the PREPBUFR file generated in this -# script by PREPOBS_PREPDATA and possibly appended to by -# SYNDAT_SYNDATA is passed on as input to all applicable -# Q.C. programs -# jlogfile String indicating path to joblog file -# -# These do not have be exported to this script. -# -# COMDATEROOT Primary default for the root of the directory containing -# produciton date files. -# -# NWROOTp1 Root directory for production software on WCOSS Phase 1. -# -# USHGETGES String indicating directory path for GETGES utility script. -# Default is $HOMEobsproc_prep/ush. -# -# GETGESprep GETGES utility script. If NEMSIO_IN=.true., defaults to: -# $USHGETGES/getges.sh -# otherwise, defaults to: -# $USHGETGES/getges_sig.sh -# -# PREPDATAtpn Tasks per node when invoking cfp on Cray-XC40. Will be -# computed if needed but was not imported. -# -# These do not have to be exported to this script. If they are, they will -# be passed on to the script $USHCQC/prepobs_cqcbufr.sh. They are not used -# by this script. -# -# PRPI_m24 See documentation in $USHCQC/prepobs_cqcbufr.sh -# PRPI_m12 See documentation in $USHCQC/prepobs_cqcbufr.sh -# PRPI_p12 See documentation in $USHCQC/prepobs_cqcbufr.sh -# PRPI_p24 See documentation in $USHCQC/prepobs_cqcbufr.sh -# -# Exported Shell Variables: -# CDATE10 String indicating the center date/time for the PREPBUFR -# processing -# SGES Either ... -# 1) String indicating the full path name for global -# sigio-based or nemsio-based guess file valid at the -# center PREPBUFR processing date/time (in which case the -# center PREPBUFR processing date/time is a multiple of -# 3-hrs, or for any PREPBUFR center hour if global guess -# is nemsio-based) - This guess file will be encoded -# into the PREPBUFR file for use by the q.c. programs. -# -- or -- -# 2) String indicating the full path name for the global -# atmosperic guess file valid at the nearest cycle time -# prior to the center PREPBUFR processing date/time which -# is a multiple of 3 (in which case the center PREPBUFR -# processing date/time is not a multiple of 3-hrs and the -# global guess is sigio-based) - A linear interpolation -# (of the spectal coefficients) between this file and the -# guess file indicated by SGESA case 2 below will be -# performed by program PREPOBS_PREPDATA and encoded into -# the PREPBUFR file for use by the q.c. programs. The -# SGES file is always from the GFS in this case. -# NOTE 1: Only case 1 above is valid when tropical cyclone -# relocation processing previously occurred. -# NOTE 2: Case 2 above is necessary because the w3emc lib -# routine gblevents called by PREPOBS_PREPDATA -# expects that sigio-based guess files will only -# have valid hours which are a multiple of 3 -# NOTE 3: Only case 1 above is valid when global guess is -# nemsio-based. -# SGESA Either ... -# 1) String set to "/dev/null" for case 1 of SGES above -# (default) -# -- or -- -# 2) String indicating the full path name for the global -# sigma guess file valid at the nearest cycle time after -# the center PREPBUFR processing/date time which is a -# multiple of 3 for case 2 of SGES above - A linear -# interpolation (of the spectal coefficients) between -# this guess file and the guess file indicated by SGES -# above (see case 2 for SGES) will be performed by the -# program PREPOBS_PREPDATA and encoded into the PREPBUFR -# file for use by the q.c. programs. The SGESA file is -# always from the GFS in this case and its forecast hour -# is 3-hrs later than the SGES file (thus both initiate -# at the same time). -# NOTE 1: Only case 1 above is valid when tropical cyclone -# relocation processing previously occurred. -# NOTE 2: Case 2 above is necessary because the w3emc lib -# routine gblevents called by PREPOBS_PREPDATA -# expects that sigio-based guess files will only -# have valid hours which are a multiple of 3 -# NOTE 3: Only case 1 above is valid when global guess is -# nemsio-based. -# -# -# Modules and files referenced: -# herefiles : $DATA/MP_PREPDATA -# $DATA/MERGE_MSGS -# scripts : $USHGETGES/getges.sh -# $USHGETGES/getges_sig.sh -# $USHSYND/prepobs_syndata.sh -# $USHPREV/prepobs_prevents.sh -# $USHCQC/prepobs_cqcbufr.sh -# $USHPQC/prepobs_profcqc.sh -# $USHVQC/prepobs_cqcvad.sh -# $USHAQC/prepobs_prepacqc.sh -# $USHOIQC/prepobs_oiqcbufr.sh -# $DATA/postmsg (required ONLY if "$jlogfile" is present) -# $DATA/prep_step {here and by referenced script(s)} -# $DATA/err_exit -# $DATA/err_chk {here and by referenced script(s)} -# (NOTE: The last three scripts above are NOT REQUIRED -# utilities. If $DATA/prep_step not found, a scaled down -# version of it is executed in-line. If $DATA/err_exit -# or $DATA/err_chk are not found, scaled down versions, -# created in-line, are executed. -# executables: $NDATE (from prod_util module) -# programs : -# PREPOBS_MPCOPYBUFR - executable: $MPCOPYX -# PREPOBS_PREPDATA - executable: $PRPX -# land/sea mask: $LANDC -# bufr mnemonic user table: $PRPT -# obs. error table: $PRVT -# data cards: $PRPC -# PREPOBS_LISTHEADERS - executable: $LISTHDX -# PREPOBS_MONOPREPBUFR - executable: $MONOBFRX -# SYNDAT_SYNDATA - executable: $SYNDX -# T126 gaussian land/sea mask: -# $FIXSYND/syndat_syndata.slmask.t126.gaussian -# weights: $FIXSYND/syndat_weight -# obs. error table: $PRVT -# data cards: $SYNDC -# PREPOBS_PREVENTS - executable: $PREX -# obs. error table: $PRVT -# data cards: $PREC -# PREPOBS_PREPACQC - executable: $AQCX -# data cards: $AQCC -# PREPOBS_PREPACPF - executable: $APFX -# dictionary: $DICT -# PREPOBS_PROFCQC - executable: $PQCX -# data cards: $PQCC -# PREPOBS_CQCVAD - executable: $VQCX -# PREPOBS_CQCBUFR - executable: $CQCX -# data cards: $CQCC -# PREPOBS_OIQCBUFR - executable: $OIQCX -# obs. error table: $OIQCT -# -# Remarks: -# -# Condition codes -# 0 - no problem encountered -# >0 - some problem encountered -# -# Attributes: -# Language: Korn shell under linux -# Machine: NCEP WCOSS -# -#### - -set -aux - -NEMSIO_IN=${NEMSIO_IN:=""} -jlogfile=${jlogfile:=""} -SENDDBN=${SENDDBN:-NO} - -if [ ! -d $DATA ] ; then mkdir -p $DATA ;fi - -cd $DATA - -qid=$$ - -##################################################### -##################################################### -# create error check and exit utilities if necessary. -# (as may be the case for some developer runs) -##################################################### - -if [ ! -x $DATA/err_exit ]; then -cat <<\EOFerrexit > $DATA/err_exit - set -x - if [ -n "$LSB_JOBID" ]; then - bkill $LSB_JOBID - sleep 60 - date - else - set -e - kill -n 9 $qid - fi - exit 7 # for extra measure -EOFerrexit -chmod 775 $DATA/err_exit -fi - -if [ ! -x $DATA/err_chk ]; then -cat <<\EOFerrchk > $DATA/err_chk - set -x - if [ "$err" != '0' ]; then - $DATA/err_exit - fi -EOFerrchk -chmod 775 $DATA/err_chk -fi - -##################################################### -##################################################### - - -# determine local system name and type if available -# ------------------------------------------------- -SITE=${SITE:-""} -sys_tp=${sys_tp:-$(getsystem.pl -tp)} -getsystp_err=$? -if [ $getsystp_err -ne 0 ]; then - msg="***WARNING: error using getsystem.pl to determine system type and phase" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" -fi -echo sys_tp is set to: $sys_tp - -#------------------------------------------------------------------------------- - -# obtain the center date/time for PREPBUFR processing -# --------------------------------------------------- - -if [ $# -ne 1 ] ; then - cp ${COMDATEROOT:-$COMROOT}/date/$cycle ncepdate - err0=$? - CDATE10=`cut -c7-16 ncepdate` -else - CDATE10=$1 - if [ "${#CDATE10}" -ne '10' ]; then - err0=1 - else - cycle=t`echo $CDATE10|cut -c9-10`z - err0=0 - fi -fi - -if test $err0 -ne 0 -then -# problem with obtaining date record so exit - set +x - echo - echo "problem with obtaining date record;" - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure -fi - -cyc=`echo $CDATE10|cut -c9-10` -modhr=`expr $cyc % 3` - -set +x -echo -echo "CENTER DATE/TIME FOR PREPBUFR PROCESSING IS $CDATE10" -echo -set -x - -#---------------------------------------------------------------------------- - -# Create variables needed for this script and its children -# -------------------------------------------------------- - -envir=${envir:-prod} - -envir_getges=${envir_getges:-$envir} -if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then - network_getges=${network_getges:-global} -else - network_getges=${network_getges:-gfs} -fi - -pgmout=${pgmout:-/dev/null} - -tstsp=${tstsp:-/tmp/null/} -tmmark=${tmmark:-tm00} - -BUFRLIST=${BUFRLIST:-"adpupa proflr aircar aircft satwnd adpsfc sfcshp \ - sfcbog vadwnd goesnd spssmi erscat qkswnd msonet gpsipw rassda wdsatr \ - ascatw"} - -PREPDATA=${PREPDATA:-YES} - -if [ "$PREPDATA" != 'YES' ] ; then - POE=NO - BACK=NO -else - set +u - [ -z "$POE" -a "$BACK" = 'YES' ] && POE=NO - POE=${POE:-YES} - if [ "$POE" != 'NO' -a "$BACK" = 'YES' ]; then - set -u - set +x -echo -echo "YOU have set both POE and BACK to YES - choose one or the other!!" -echo "Defaults are POE=YES and BACK=NO, as is preferable for WCOSS." -echo - set -x - exit 99 - fi - BACK=${BACK:-NO} - PARALLEL=NO - [ "$POE" != 'NO' -o "$BACK" = 'YES' ] && PARALLEL=YES - if [ "$POE" != 'NO' ] ; then - if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - launcher_PREP=${launcher_PREP:-aprun} - else - launcher_PREP=${launcher_PREP:-mpirun.lsf} - fi - if [ "$launcher_PREP" != 'cfp' -a "$launcher_PREP" != aprun ]; then - if [ -n ${LSB_HOSTS:-""} ]; then - NPROCS=$(echo $LSB_HOSTS|wc -w) - set +x; echo "Setting NPROCS based on LSB_HOSTS count"; set -x - else - NPROCS=${NPROCS:-$NSPLIT} - fi - if [ $NPROCS -lt $NSPLIT ]; then - set +x -echo "********************************************************************" -echo " P R O B L E M ! ! ! " -echo "********************************************************************" -echo " NPROCS=$NPROCS IS NOT SUFFICIENT FOR NSPLIT=$NSPLIT " -echo " NPROCS must be greater than NSPLIT when using a " -echo " parallel processing launcher other than cfp " -echo "********************************************************************" - set -x - msg="***FATAL ERROR: Insufficient NPROCS for NSPLIT=$NSPLIT" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - $DATA/err_exit - exit 55 # for extra measure - fi - fi - elif [ "$BACK" = 'YES' ] ; then - NPROCS=$NSPLIT - fi -# fi for PREPDATA != YES -fi - -USHSYND=${USHSYND:-${HOMEobsproc_prep}/ush} -USHPREV=${USHPREV:-${HOMEobsproc_prep}/ush} -USHCQC=${USHCQC:-${HOMEobsproc_prep}/ush} -USHPQC=${USHPQC:-${HOMEobsproc_prep}/ush} -USHVQC=${USHVQC:-${HOMEobsproc_prep}/ush} -USHAQC=${USHAQC:-${HOMEobsproc_prep}/ush} -USHOIQC=${USHOIQC:-${HOMEobsproc_prep}/ush} - -EXECPREP=${EXECPREP:-${HOMEobsproc_prep}/exec} -PARMPREP=${PARMPREP:-${HOMEobsproc_network}/parm} -FIXPREP=${FIXPREP:-${HOMEobsproc_prep}/fix} -DICTPREP=${DICTPREP:-${NWROOTp1}/decoders/decod_shared/dictionaries} - -EXECSYND=${EXECSYND:-${HOMEobsproc_prep}/exec} -PARMSYND=${PARMSYND:-${HOMEobsproc_network}/parm} -FIXSYND=${FIXSYND:-${HOMEobsproc_prep}/fix} - -GETGUESS=${GETGUESS:-YES} -if [ "$GETGUESS" = 'YES' ]; then - USHGETGES=${USHGETGES:-${HOMEobsproc_prep}/ush} - if [ "$NEMSIO_IN" = .true. ]; then - GETGESprep=${GETGESprep:-$USHGETGES/getges.sh} - else - GETGESprep=${GETGESprep:-$USHGETGES/getges_sig.sh} - fi -fi - -PREPDATA=${PREPDATA:-YES} - -SYNDATA=${SYNDATA:-YES} - -DO_QC=${DO_QC:-YES} - -PREVENTS=${PREVENTS:-NO} -CQCBUFR=${CQCBUFR:-YES} -PROFCQC=${PROFCQC:-YES} -CQCVAD=${CQCVAD:-YES} -PREPACQC=${PREPACQC:-YES} -PROCESS_ACQC=${PROCESS_ACQC:-YES} -PROCESS_ACPF=${PROCESS_ACPF:-YES} -OIQCBUFR=${OIQCBUFR:-YES} - -MPCOPYX=${MPCOPYX:-$EXECPREP/prepobs_mpcopybufr} -PRPX=${PRPX:-$EXECPREP/prepobs_prepdata} -errPREPDATA_limit=${errPREPDATA_limit:-0} -PRPC=${PRPC:-$PARMPREP/prepobs_prepdata.${NET}.parm} -PRPT=${PRPT:-$FIXPREP/prepobs_prep.bufrtable} -cp $PRPT prep.bufrtable -LANDC=${LANDC:-$FIXPREP/prepobs_landc} -if [ "$NET" = 'gdas' -o "$NET" = 'gfs' ]; then - PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.global} -elif [ "$NET" = 'cdas' ]; then - PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.cdas} -elif [ "$NET" = 'nam' ]; then - PRVT=${PRVT:-$HOMEobsproc_network/fix/prepobs_errtable.nam} -else - cp /dev/null $DATA/scratch.PRVT - PRVT=${PRVT:-$DATA/scratch.PRVT} -fi -LISTHDX=${LISTHDX:-$EXECPREP/prepobs_listheaders} -MONOBFRX=${MONOBFRX:-$EXECPREP/prepobs_monoprepbufr} -SYNDX=${SYNDX:-$EXECSYND/syndat_syndata} -SYNDC=${SYNDC:-$PARMSYND/syndat_syndata.${NET}.parm} -PREX=${PREX:-$EXECPREP/prepobs_prevents} -PREC=${PREC:-$PARMPREP/prepobs_prevents.${NET}.parm} -AQCX=${AQCX:-$EXECPREP/prepobs_prepacqc} -AQCC=${AQCC:-$PARMPREP/prepobs_prepacqc.${NET}.parm} -APFX=${APFX:-$EXECPREP/prepobs_prepacpf} -DICT=${DICT:-$DICTPREP/metar.tbl} -PQCX=${PQCX:-$EXECPREP/prepobs_profcqc} -PQCC=${PQCC:-$PARMPREP/prepobs_profcqc.${NET}.parm} -VQCX=${VQCX:-$EXECPREP/prepobs_cqcvad} -CQCX=${CQCX:-$EXECPREP/prepobs_cqcbufr} -CQCC=${CQCC:-$PARMPREP/prepobs_cqcbufr.${NET}.parm} -CQCS=${CQCS:-$FIXPREP/prepobs_cqc_statbge} -OIQCX=${OIQCX:-$EXECPREP/prepobs_oiqcbufr} -if [ "$NET" = 'cdas' ]; then - OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs.cdas} -else - OIQCT=${OIQCT:-$HOMEobsproc_network/fix/prepobs_oiqc.oberrs} -fi -TIMEIT=${TIMEIT:-""} -[ -s $DATA/time ] && TIMEIT="$DATA/time -p" - - -# See if tropical cyclone relocation previously ran for this network and cycle -# by checking for status file in first in $tstsp, and if not found there, -# then in $COMSP -# ---------------------------------------------------------------------------- - -relo_rec=no # this will remain no even if relocation run, in the event it did - # not process an tropical cyclone records -if [ -s ${tstsp}tropcy_relocation_status.$tmmark ]; then - RELOCATION_HAS_RUN=YES - msg="Tropical cyclone RELOCATION RAN prior to this job - \ -`cat ${tstsp}tropcy_relocation_status.$tmmark`" - [ "`cat ${tstsp}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ - && relo_rec=yes -elif [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then - RELOCATION_HAS_RUN=YES - msg="Tropical cyclone RELOCATION RAN prior to this job - \ -`cat ${COMSP}tropcy_relocation_status.$tmmark`" - [ "`cat ${COMSP}tropcy_relocation_status.$tmmark`" = "RECORDS PROCESSED" ] \ - && relo_rec=yes -else - RELOCATION_HAS_RUN=NO - msg="Tropical cyclone RELOCATION did NOT run prior to this job" -fi -[ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - -if [ -s ${COMSP}tropcy_relocation_status.$tmmark ]; then - if [ "$SENDDBN" = "YES" ]; then - if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then - RUN_uc=$(echo $RUN | tr [a-z] [A-Z]) - $DBNROOT/bin/dbn_alert MODEL ${RUN_uc}_TCI $job \ - ${COMSP}tropcy_relocation_status.$tmmark - fi - fi -fi - -if [ "$RELOCATION_HAS_RUN" != 'YES' -a "$GETGUESS" != 'NO' ]; then - - if [ $cyc = 00 -o $cyc = 06 -o $cyc = 12 -o $cyc = 18 ]; then - -# The GFS and GDAS networks at 00, 06, 12 and 18z will get the t-3 and t+3 -# atmos guess files here since they are needed by the GSI even if tropical -# cyclone relocation was not previously performed (RELOCATION_HAS_RUN=NO) -# (NOTE 1: Normally RELOCATION_HAS_RUN=YES for these networks) -# (NOTE 2: If RELOCATION_HAS_RUN=YES, the t-3 and t+3 atmos guess files have -# already been obtained for all networks including the GFS and GDAS) -# (NOTE 3: This is not done if GETGUESS is NO) -# - - if [ "$NET" = 'gfs' -o "$NET" = 'gdas' ]; then - for fhr in -3 +3 ;do - if [ "$NEMSIO_IN" = .true. ]; then - if [ $fhr = "-3" ] ; then - sges=sgm3prep - stype=natgm3 - echo $sges - else - sges=sgp3prep - stype=natgp3 - echo $sges - fi - else - if [ $fhr = "-3" ] ; then - sges=sgm3prep - stype=siggm3 - echo $sges - else - sges=sgp3prep - stype=siggp3 - echo $sges - fi - fi - if [ ! -s $sges ]; then - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Tropical cylone relocation HAS NOT previously run" -echo " Get global atmospheric GUESS valid for $fhr hrs relative to center" -echo " PREPBUFR processing date/time" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - $GETGESprep -e $envir_getges -n $network_getges \ - -v $CDATE10 -t $stype $sges - errges=$? - if test $errges -ne 0; then -# problem obtaining global atmospheric first guess so exit - set +x - echo - echo "problem obtaining global atmos guess valid $fhr hrs \ -relative to center PREPBUFR date/time;" - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - set +x - echo -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - fi - done - fi - fi - -elif [ "$RELOCATION_HAS_RUN" = 'YES' ]; then - -# If Tropical cyclone relocation previously ran for this network and cycle -# copy the t-3, t+0 and t+3 atmos guess files and the tcvitals_relocate file -# from either $tstsp or, if not found there, $COMSP to working directory -# (Note: tcvitals_relocate file can be empty, but it must exist) -# -------------------------------------------------------------------------- - - qual_last=".$tmmark" # need this because gfs and gdas don't add $tmmark - # qualifier to end of output atmos guess files - [ $NET = gfs -o $NET = gdas ] && qual_last="" - for file in sgm3prep sgesprep sgp3prep tcvitals.relocate.$tmmark; do - case $file in - tcvitals.relocate.$tmmark) infile=$file; qual_last="";; # already has $tmmark at end - sgm3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgm3.nemsio;else infile=$file;fi;; - sgesprep) if [ "$NEMSIO_IN" = .true. ];then infile=atmges.nemsio;else infile=$file;fi;; - sgp3prep) if [ "$NEMSIO_IN" = .true. ];then infile=atmgp3.nemsio;else infile=$file;fi;; - esac - if [ -s ${tstsp}${infile}${qual_last} ]; then - cp ${tstsp}${infile}${qual_last} $file - continue - elif [ -s ${COMSP}${infile}${qual_last} ]; then - cp ${COMSP}${infile}${qual_last} $file - continue - else - if [ $file = tcvitals.relocate.$tmmark ]; then - if [ -f ${tstsp}$file ]; then - > $file - continue - elif [ -f ${COMSP}$file ]; then - > $file - continue - fi - fi - fi -# either t-3,t+0 or t+3 atmos guess file or the tcvitals_relocate file not -# found in expected location so exit - set +x - echo - echo "$file file not found in expected location where it should have \ -populated by earlier tropical cyclone relocation processing" - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - done - cp tcvitals.relocate.$tmmark tcvitals - if [ $relo_rec = yes ]; then # come here if relocation ran and processed - # 1 or more records, means it updated - # sgesprep - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" -echo " Global atmospheric GUESS valid for 0 hrs relative to center" -echo " PREPBUFR processing date/time was generated by" -echo " previous tropical cyclone relocation processing" -echo " It will be encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - else # come here if relocation ran but did not - # process any records, means it did not update - # sgesprep (sgesprep obtained via getges used) - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Center PREPBUFR processing date/time is a multiple of 3-hrs" -echo " Global atmospheric GUESS valid for 0 hrs relative to center" -echo " PREPBUFR processing date/time was obtained via GETGES" -echo " It will be encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - fi - -fi - -############################################################################### -# POSSIBLY OBTAIN GBL ATMOS GUESS FILE(S) FOR LATER ENCODING INTO PREPBUFR FILE -############################################################################### - -if [ "$PREPDATA" = 'YES' -o "$SYNDATA" = 'YES' -o "$PREVENTS" = 'YES' ]; then - - SGES=/dev/null - SGESA=/dev/null - > sgesprep_pathname - > sgesprepA_pathname - - if [ "$GETGUESS" != 'NO' ]; then - -# Either ... -# If the global background guess will be nemsio-based -OR- if the global -# background guess will be sigio-based and the center PREPBUFR processing -# date/time is a multiple of 3-hrs, then get a global atmospheric guess valid -# at the center PREPBUFR processing date/time - this will be interpolated to -# observation locations by PREPDATA and encoded into the PREPBUFR file for -# use by the q.c. programs; if a non-zero length file sgesprep exists in the -# working directory, then this guess is used - otherwise: the GETGES utility -# is executed to obtain the global atmospheric guess file here -# -# (NOTE 1: a pre-existing sgesprep file in the working directory at this -# point was either: -# copied there prior to the execution of this script -# or -# copied there earlier in this script from either $tstsp, or if -# not found there, $COMSP which was populated by the previous -# running of tropical cyclone relocation processing -# (NOTE 2: If imported variable GETGUESS=NO, then bypass this step - a -# global atmos guess valid at center PREPBUFR time is not obtained) -# -# -- or -- -# -# (AND THIS APPLIES ONLY TO A GLOBAL SIGIO-BASED GUESS!!) -# -# If center PREPBUFR processing date/time is not a multiple of 3-hrs -AND- -# global guess is sigio-based, then get a global sigma guess valid at the -# nearest cycle time prior to the center PREPBUFR processing date/time which -# is a multiple of 3, then get a global sigma guess valid at the nearest -# cycle time after the center PREPBUFR processing date/time which is a -# multiple of 3 - the spectral coefficients will be linearly interpolated to -# the center PREPBUFR processing date/time by the program PREPOBS_PREPDATA -# and this guess will then be interpolated to observation locations (again by -# the program PREPOBS_PREPDATA) and encoded into the PREPBUFR file for use by -# the q.c. programs; if a non-zero length file sgesprep exists in the working -# directory, then this guess is used for time prior to the center PREPBUFR -# processing date/time - otherwise: the utility ush GETGES is executed to -# obtain the global atmos guess file here (will always be from GFS network); -# -# likewise if a non-zero length file sgesprepA exists in the working -# directory, then this guess is used for time after the center PREPBUFR -# processing date/time - otherwise: the utility ush GETGES is executed to -# obtain the global atmos guess file here (will always be from the GFS -# network and initiate at the same time as the guess file valid prior to the -# PREPBUFR processing date/time) -# -# (NOTE 1: a pre-existing sgesprep file in the working directory at this -# point was either: -# copied there prior to the execution of this script -# or -# copied there earlier in this script from either $tstsp, or if -# not found there, $COMSP which was populated by the previous -# running of tropical cyclone relocation processing -# (NOTE 2: a pre-existing sgesprepA file in the working directory at this -# point was copied there prior to the execution of this script - -# it could not have been copied from either $tstsp or $COMSP -# because previous tropical cyclone relocation processing can run -# only when the center tropical cyclone relocation (or PREPBUFR) -# processing date/time is a multiple of 3) -# (NOTE 3: this case is necessary because the gblevents subroutine used to -# add background forecast values to the prepbufr file expects sigio- -# based files to be valid only at hours that are a multiple of 3) -# (NOTE 4: if imported variable GETGUESS=NO, then bypass this step - a -# global atmos guess valid at center PREPBUFR time is not obtained) -# ---------------------------------------------------------------------- - - for sfx in "" A; do - if [ ! -s sgesprep${sfx} ]; then - fhr=any - if [ "$NEMSIO_IN" = .true. ]; then - dhr=0 - stype=natges - else - dhr=`expr 0 - $modhr` - stype=sigges - fi - if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then - [ "$sfx" = 'A' ] && break - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Either center PREPBUFR processing date/time is a multiple of 3-hrs" -echo " -OR-" -echo " global guess is nemsio-based" -echo " Use GETGES to get global sigio-based or nemsio-based GUESS valid for" -echo " 0 hrs relative to center PREPBUFR processing date/time" -echo " Will be encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - else - if [ "$sfx" = 'A' ]; then - typeset -Z2 fhr - fhr=`awk -F"sf" '{print$2}' sgesprep_pathname | cut -c1-2` - fhr=`expr $fhr + 03` - dhr=`expr 3 - $modhr` - fi - set +x - echo -echo "VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV" -echo " Center PREPBUFR processing date/time is not a multiple of 3-hrs" - if [ "$sfx" != 'A' ]; then -echo " Get global atmos GUESS valid at the nearest cycle time prior to" - else -echo " Get global atmos GUESS valid at the nearest cycle time after" - fi -echo " center PREPBUFR processing date/time which is a multiple of 3" -echo " Will be used to generate an interpolated guess which will be" -echo " encoded into PREPBUFR file and used by q.c. programs" -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - fi - $GETGESprep -e $envir_getges -n $network_getges -t $stype\ - -f $fhr -v `${NDATE} $dhr $CDATE10` > sgesprep${sfx}_pathname - errges=$? - if test $errges -ne 0 - then -# problem obtaining global sigio-based or nemsio-based guess - exit if center -# PREPBUFR processing date/time is a multiple of 3-hrs or if global guess is -# nemsio-based, otherwise continue running but set GETGUESS=NO meaning a -# first guess will NOT be encoded in PREPBUFR file - if [ $modhr -eq 0 -o "$NEMSIO_IN" = .true. ]; then - if [ "$NEMSIO_IN" = .true. ]; then - set +x - echo -echo "problem obtaining global nemsio-based guess;" - else - set +x - echo -echo "problem obtaining global sigio-based guess valid 0 hrs relative to \ -center PREPBUFR date/time;" - fi -echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - else - set +x - echo -echo "problem obtaining global atmos guess valid at the nearest cycle time " - if [ "$sfx" != 'A' ]; then -echo "prior to center PREPBUFR processing date/time which is a multiple of 3" - else -echo "after center PREPBUFR processing date/time which is a multiple of 3" - fi -echo "will continue running but a GUESS will NOT be encoded in PREPBUFR file!!" - echo - set -x - msg="PROBLEM OBTAINING ONE OR BOTH SPANNING ATMOS GUESS \ -FILES, GUESS NOT ENCODED IN PREPBUFR FILE --> non-fatal" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - GETGUESS=NO - SGES=/dev/null - SGESA=/dev/null - > sgesprep - > sgesprepA - > sgesprep_pathname - > sgesprepA_pathname - break - fi - fi - cp `cat sgesprep${sfx}_pathname | awk '{ print $1 }'` sgesprep${sfx} - set +x - echo -echo "AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA" - echo - set -x - else - if [ $RELOCATION_HAS_RUN = YES ]; then - -# If relocation ran, then ${sfx} is always "" (null) because relocation will -# only run on center times that are a multiple of 3-hrs - come here to -# store the pathname for the sgesprep file in sgesprep${sfx}_pathname - -# note that it will not be stored here if relocation did not process any -# records, i.e., it did not update the guess, because it was already stored -# in tropcy_relocate.sh (with the getges path) -# -------------------------------------------------------------------------- - - qual_last=".$tmmark" # need this because gfs and gdas don't add - # $tmmark qualifer to end of output atmos - # guess files - [ $NET = gfs -o $NET = gdas ] && qual_last="" - if [ "$NEMSIO_IN" = .true. ]; then - gesbase="atmges.nemsio" - else - gesbase="sgesprep" - fi - if [ -s ${tstsp}${gesbase}${qual_last} ]; then - echo "${tstsp}${gesbase}${qual_last}" > sgesprep${sfx}_pathname - elif [ -s ${COMSP}${gesbase}${qual_last} ]; then - echo "${COMSP}${gesbase}${qual_last}" > sgesprep${sfx}_pathname - fi - else - -# If relocation did not run, then the guess files in $DATA were copied there -# prior to the execution of this script by the user - just echo the path -# to this guess file in $DATA into sgesprep${sfx}_pathname -# -------------------------------------------------------------------------- - - echo "$DATA/sgesprep${sfx}" > sgesprep${sfx}_pathname - fi - fi - eval SGES${sfx}=$DATA/sgesprep${sfx} - done - fi -fi - -################################ -# EXECUTE PREPDATA PROCESSING -################################ - -if [ "$PREPDATA" = 'YES' ]; then - - cd $DATA - -set +u - if [ -z "$PREPBUFR_APP" -o "$PARALLEL" = 'YES' ]; then -set -u - if [ ! -s ${tstsp}status.${tmmark}.bufr_d -a \ - ! -s ${COMSP}status.${tmmark}.bufr_d ]; then - -#########if [ \( ! -s ${tstsp}status1.${tmmark}.bufr_d -o \ -######### ! -s ${tstsp}status2.${tmmark}.bufr_d \) -a \ -######### \( ! -s ${COMSP}status1.${tmmark}.bufr_d -o \ -######### ! -s ${COMSP}status2.${tmmark}.bufr_d \) ]; then - if [ ! -s ${tstsp}status1.${tmmark}.bufr_d -a \ - ! -s ${COMSP}status1.${tmmark}.bufr_d ]; then - -# problem: status file not found - indicates some or all data dumps were not -# found (produced) for requested time ... -# If highest level directory pointing to input BUFR observational -# data dumps is /com or /com2 then EXIT (assumes all data dumps are -# required) -# Otherwise, just echo a diagnostic (assumes only some data dumps are -# required) -# ---------------------------------------------------------------------------- - -echo -echo "Some or all BUFR data dumps were not found for requested time ... " -echo - set -x - - if [[ "$COMSP" =~ (^/com/|^/com2/|^/gpfs/.../nco/ops/com/) && \ - "$tstsp" =~ (^/tmp/null) ]]; then - set +x -echo -echo "ABNORMAL EXIT!!!!!!!!!!!" -echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - fi - fi - - echo $BUFRLIST | grep adpsfc - grp_adpsfc=$? - echo $BUFRLIST | grep adpupa - grp_adpupa=$? - if [ \( ! -f ${COMSP}adpsfc.${tmmark}.bufr_d -a \ - ! -f ${tstsp}adpsfc.${tmmark}.bufr_d -a $grp_adpsfc -eq 0 \) -o \ - \( ! -f ${COMSP}adpupa.${tmmark}.bufr_d -a \ - ! -f ${tstsp}adpupa.${tmmark}.bufr_d -a $grp_adpupa -eq 0 \) ] - then - -# problem: either adpsfc (surface land) or adpupa (raob/pibal/recco) file, or -# both, not found for requested time - this is unacceptable; EXIT -# (unless the culprit file was not included in the $BUFRLIST) -# --------------------------------------------------------------------------- - - set +x -echo -echo "ADPSFC and/or ADPUPA BUFR data dump was not produced for requested" -echo " time (but is in BUFRLIST); ABNORMAL EXIT!!!!!!!!!!!" -echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - - fi - - for name in ${BUFRLIST} ;do - > $name - if [ -f ${tstsp}${name}.${tmmark}.bufr_d ]; then - cp ${tstsp}${name}.${tmmark}.bufr_d $name - elif [ -s ${COMSP}${name}.${tmmark}.bufr_d ]; then - cp ${COMSP}${name}.${tmmark}.bufr_d $name - fi - done - - > prep_exec.cmd - - > prepda.${cycle} - - echo " $CDATE10" > cdate10.dat - -# If GETGUESS=YES, then either ... -# a global sigio-based guess file valid at the center PREPBUFR processing -# date/time which is a multiple of 3-hrs is valid at this point -# -- or -- -# global sigio-based guess files valid at times which are multiples of 3-hrs -# and span the center PREPBUFR processing date/time which is NOT a multiple of -# 3-hrs are available and valid at this point -# -- or -- -# a global nemsio-based guess file valid at the center PREPBUFR processing -# date/time for any hour is valid at this point - -# In any case, namelist "GBLEVN" with PREVEN=T is cat'ed to the beginning -# of the PREPOBS_PREPDATA program data cards file - this means -# PREPOBS_PREPDATA will call w3emc routine GBLEVENTS to do the "prevents" -# processing (otherwise PREVEN=F by default) - - > prepdata.stdin - [ "$GETGUESS" != 'NO' ] && echo " &gblevn preven=true /" >>prepdata.stdin - cat $PRPC >> prepdata.stdin - -# Check contents of *aircar_status_flag* file in $tstsp, or if not found there, -# $COMSP path - this was generated by previous bufr_dump_obs.sh script: if it -# exists and indicates that there were more AFWA (backup) ACARS reports than -# ARINC (primary) ACARS reports in the AIRCAR dump, then skip processing of -# ARINC ACARS messages in PREPOBS_PREPDATA (meaning process ONLY AFWA ACARS -# messages); otherwise, as is usually the case, skip processing of AFWA ACARS -# messages (meaning process only ARINC ACARS messages in PREPOBS_PREPDATA) - - echo " SUBSKP(004,007) = TRUE," > insert - if [ -s ${tstsp}aircar_status_flag.${tmmark}.bufr_d ]; then - grep -q -Fe "004.007" ${tstsp}aircar_status_flag.${tmmark}.bufr_d - err_grep=$? - if [ $err_grep -eq 0 ]; then - echo " SUBSKP(004,004) = TRUE," > insert - msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ -backup AFWA ACARS into PREPBUFR" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - fi - elif [ -s ${COMSP}aircar_status_flag.${tmmark}.bufr_d ]; then - grep -q -Fe "004.007" ${COMSP}aircar_status_flag.${tmmark}.bufr_d - err_grep=$? - if [ $err_grep -eq 0 ]; then - echo " SUBSKP(004,004) = TRUE," > insert - msg="***WARNING: Dump count for ARINC ACARS < AFWA ACARS; encode \ -backup AFWA ACARS into PREPBUFR" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - fi - fi - - grep -q -Fe "`cat insert`" prepdata.stdin - err_grep=$? - if [ $err_grep -ne 0 ]; then - nlines=`cat < prepdata.stdin | wc -l` - line=`grep -n -Fe "&LDTA" prepdata.stdin | cut -f1 -d:` - head -n $line prepdata.stdin > top_part - mlines=`expr $nlines - $line` - tail -n $mlines prepdata.stdin > bottom_part - [ $mlines -gt 2 ] && cat top_part insert bottom_part > prepdata.stdin - rm top_part bottom_part - fi - rm insert - - -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## -## HEREFILE MP_PREPDATA ## -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## - -set +x -cat <<\EOFmpp > MP_PREPDATA - -{ echo - -# This herefile script performs the "prepdata" processing. It is designed to -# run in either a parallel (e.g., poe/mpi or background threads) or serial -# environment. In the parallel environment, it first splits the input BUFR -# data dump files into $NSPLIT equally-sized parts (analogous to dealing -# multiple sets of cards to $NSPLIT players, where each set of cards is a -# different BUFR data dump file). Next, in either the parallel or serial -# environment, it runs PREPOBS_PREPDATA to write out prepbufr files (either -# a single complete file in the serial environment or $NSPLIT partial -# PREPBUFR files in the parallel environment). Finally, it generates a list of -# PREPBUFR message headers which, in the parallel environment, is needed to -# later merge the partial PREPBUFR files together in the proper order. -# -# IMPORTANT: This script assumes that the BUFR data dump files it is to -# process have been copied into the $DATA directory and that each -# file name is the same as in $BUFRLIST. It also assumes that the -# NCEP production date file is present in the $DATA directory and -# that it is called cdate10.dat. Finally, it assumes that the -# PREPOBS_PREPDATA program data cards (parm) file is present in the -# $DATA directory and it is called prepdata.stdin -# ----------------------------------------------------------------------------- -# -# Positional parameters passed in: -# 1 - Stream index ($multi) (0 to $NSPLIT-1) -# -# Imported variables that must be passed in: -# DATA - path to working directory -# PARALLEL - indicates whether or not this script is running in a parallel -# (e.g., poe/mpi or background threads) or serial environment -# "YES" - running in a parallel environment; "NO" running in a -# serial environment) -# NSPLIT number of parts into which the input BUFR data dump files are to -# be evenly divided (applicable only when PARALLEL is "YES") -# BUFRLIST - list of BUFR data dump files to process -# MPCOPYX - path to PREPOBS_MPCOPYBUFR program executable -# PRPT - path to PREPOBS_PREPDATA bufrtable file -# LANDC - path to land/sea mask file -# SGES - path to COPY OF global sigio-based or nemsio-based first guess -# file valid at either center PREPBUFR processing date/time or, -# for global sigio-based guess only, nearest 3-hrly cycle time -# prior to center PREPBUFR processing date/time -# SGESA - path to COPY OF global sigio-based guess file valid at nearest -# 3-hrly cycle AFTER center PREPBUFR processing date/time (if -# needed, otherwise /dev/null). Only used if SGES is valid at -# 3-hrly cycle time PRIOR to center PREPBUFR processing date/time -# (and thus not used if NEMSIO_IN=.true.) -# PRVT - path to observation error table file -# PRPX - path to PREPOBS_PREPDATA program executable -# LISTHDX - path to PREPOBS_LISTHEADERS program executable - -set -aux -multi=$1 - -data=$DATA/multi$multi - -if [ ! -d $DATA/multi$multi ] ; then - mkdir -p $DATA/multi$multi -fi - -status=$data/mstatus ; > $status -mp_pgmout=$data/mp_pgmout ; > $mp_pgmout - - -{ echo -set +x -echo -echo "********************************************************************" -echo "This is stream (task/thread) $multi executing on node `hostname -s`" -echo "Starting time: `date`" -echo "********************************************************************" -echo -set -x -} >> $mp_pgmout - -cd $data - -if [ "$PARALLEL" = 'YES' ]; then - - n=0 - - pgm=`basename $MPCOPYX` -#-----mimics prep_step----- - set +x - echo $pgm > pgmname - set +u - [ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" - set -u - [ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout - rm pgmname - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` - [ -s $DATA/tracer ] && cat $DATA/tracer > errfile - set -x -#-------------------------- - - for name in ${BUFRLIST[*]} ;do - > $name - if [ -s $DATA/$name ] ; then - ((n+=1)) - export FORT$((10+n))=$DATA/$name - export FORT$((50+n))=$name - fi - done - - cat<> $mp_pgmout 2>&1 - &namin nfiles=$n / - &mp nprocs=$NSPLIT,mp_process=$multi / -EOF - err=$? - set +x - echo - echo "The foreground exit status for PREPOBS_MPCOPYBUFR is " $err - echo - set -x - - [ "$err" -gt '0' ] && exit - - dump_dir=$data - -else - - dump_dir=$DATA - -# fi for $PARALLEL = YES -fi - - -pgm=`basename $PRPX` -#-----mimics prep_step----- -set +x -echo $pgm > pgmname -set +u -[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" -set -u -[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout -rm pgmname -[ -f errfile ] && rm errfile -unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -[ -s $DATA/tracer ] && cat $DATA/tracer > errfile -set -x -#-------------------------- - -set +u -[ -n "$PREPBUFR_APP" -a "$PARALLEL" = 'NO' ] && \ - cp $PREPBUFR_APP prepda -set -u - -# Namelist "TASK" with mp_process set to the value of $multi - either the poe/ -# mpi task number (for POE not equal to "NO") or to the background thread -# number (for BACK equal to "YES") in the parallel environment, or hardwired -# to zero in the serial environment, is cat'ed to the beginning of the -# PREPOBS_PREPDATA program data cards (parm) file - this will allow -# PREPOBS_PREPDATA to identify this stream - -> prepdata.stdin -echo " &task mp_process=$multi /" >>prepdata.stdin -cat $DATA/prepdata.stdin >> prepdata.stdin - -BUFRLIST_all="adpupa aircar aircft satwnd proflr vadwnd rassda adpsfc sfcshp \ - sfcbog msonet spssmi erscat qkswnd wdsatr ascatw rtovs atovs goesnd gpsipw" -###BUFRLIST_all_array=($BUFRLIST_all) # this does not work on all platforms -set -A BUFRLIST_all_array `echo $BUFRLIST_all` # this works on all platforms - - -# Any dump file not included in BUFRLIST is "touched" so that it will not -# cause a read error in the event that PREPOBS_PREPDATA still tries to read it - -for name in $BUFRLIST_all;do -[ ! -f $dump_dir/$name ] && > $dump_dir/$name -done - -export FORT11=$DATA/cdate10.dat -export FORT12=$PRPT -export FORT15=$LANDC -## export FORT18=$SGES -## export FORT19=$SGESA - -# The PREPOBS_PREPDATA code opens GFS spectral coefficient guess files using -# sigio routines or GFS gaussian grid guess files using nemsio routines (via -# W3EMC routine GBLEVENTS) in a manner that may not recognize the FORTxx -# variables above. So, the above statements setting FORTxx vars for $SGES and -# $SGESA are replaced by the soft links below. - -ln -sf $SGES fort.18 -ln -sf $SGESA fort.19 -export FORT20=$PRVT -export FORT21=$dump_dir/${BUFRLIST_all_array[0]} -export FORT22=$dump_dir/${BUFRLIST_all_array[1]} -export FORT23=$dump_dir/${BUFRLIST_all_array[2]} -export FORT24=$dump_dir/${BUFRLIST_all_array[3]} -export FORT25=$dump_dir/${BUFRLIST_all_array[4]} -export FORT26=$dump_dir/${BUFRLIST_all_array[5]} -export FORT27=$dump_dir/${BUFRLIST_all_array[6]} -export FORT31=$dump_dir/${BUFRLIST_all_array[7]} -export FORT32=$dump_dir/${BUFRLIST_all_array[8]} -export FORT33=$dump_dir/${BUFRLIST_all_array[9]} -export FORT34=$dump_dir/${BUFRLIST_all_array[10]} -export FORT35=$dump_dir/${BUFRLIST_all_array[11]} -export FORT36=$dump_dir/${BUFRLIST_all_array[12]} -export FORT37=$dump_dir/${BUFRLIST_all_array[13]} -export FORT38=$dump_dir/${BUFRLIST_all_array[14]} -export FORT39=$dump_dir/${BUFRLIST_all_array[15]} -export FORT41=$dump_dir/${BUFRLIST_all_array[16]} -export FORT42=$dump_dir/${BUFRLIST_all_array[17]} -export FORT46=$dump_dir/${BUFRLIST_all_array[18]} -export FORT48=$dump_dir/${BUFRLIST_all_array[19]} -export FORT51=prepda -export FORT52=prevents.filtering.prepdata - -#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) -#If program ever fails, try changing 64000000 to 20000000 -#set +u -#[ -n "$LOADL_PROCESSOR_LIST" ] && XLSMPOPTS=parthds=2:stack=64000000 -#set -u - -# The following improves performance on Cray-XC40 if $PRPX was -# linked to the IOBUF i/o buffering library -export IOBUF_PARAMS='*prevents.filtering.prepdata:verbose' - -$TIMEIT $PRPX >$mp_pgmout 2>&1 -errPREPDATA=$? -unset IOBUF_PARAMS -cat prevents.filtering.prepdata >> $mp_pgmout -set +x -echo -echo "The foreground exit status for PREPOBS_PREPDATA is " $errPREPDATA -echo -set -x - -[ "$errPREPDATA" -gt '4' -o "$errPREPDATA" -eq '1' ] && exit - -# Will execute PREPOBS_LISTHEADERS even if PARALLEL is "NO", because it will -# reorder the monolithic PREPBUFR file to ensure that all messages of the same -# subtype will always be grouped together in sequential messages, arranged in -# the order found in $PRPT (Note: This is a necessity when PARALLEL is "YES" -# because the later program PREPOBS_MONOPREPBUFR must merge the $NSPLIT -# individual (partial) PREPBUFR files together in the proper order) - - -# Build listhdx.stdin from bufrtable entries of possible message headers first -# line is count, followed by list - -grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|wc -l|tee listhdx.stdin -grep "| A[0-9]\{5,\} |" $PRPT | awk '{print $2}'|tee -a listhdx.stdin - -pgm=`basename $LISTHDX` -#-----mimics prep_step----- -set +x -echo $pgm > pgmname -set +u -[ -z "$mp_pgmout" ] && echo "Variable mp_pgmout not set" -set -u -[ -s $DATA/break ] && paste pgmname $DATA/break >> $mp_pgmout -rm pgmname -[ -f errfile ] && rm errfile -unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -[ -s $DATA/tracer ] && cat $DATA/tracer > errfile -set -x -#-------------------------- - -export FORT11=prepda -export FORT51=prepda.reorder -export FORT52=prepda.hdrs - -$TIMEIT $LISTHDX < listhdx.stdin >>$mp_pgmout 2>&1 -err=$? -cat prepda.hdrs -set +x -echo -echo "The foreground exit status for PREPOBS_LISTHEADERS is " $err -echo -set -x - -[ "$err" -gt '0' ] && exit - -mv prepda.reorder prepda -rm listhdx.stdin - -echo "$multi finished -- errPREPDATA = $errPREPDATA" > $status - -{ echo -set +x -echo -echo "********************************************************************" -echo "Finished executing on node `hostname -s`" -echo "Ending time : `date`" -echo "********************************************************************" -echo -set -x -} >> $mp_pgmout - -} 1> $DATA/mp_stream${1}.stdout 2> $DATA/mp_stream${1}.errfile - -exit 0 -EOFmpp -set -x - -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## -## end of HEREFILE MP_PREPDATA ## -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## - - chmod 775 MP_PREPDATA - - if [ "$PARALLEL" = 'YES' ]; then - -# In the parallel environment, either cat the multiple MP_PREPDATA tasks -# into a poe command file (for poe/mpi/cfp) - or - set up a script that will -# fire off each MP_PREPDATA thread as a background process -# ----------------------------------------------------------------------- - if [ "$POE" != 'NO' ]; then - multi=-1 - while [ $((multi+=1)) -lt $NSPLIT ] ; do - echo "ksh $DATA/MP_PREPDATA $multi "|tee -a $DATA/prep_exec.cmd - done - if [ "$launcher_PREP" != cfp -a "$launcher_PREP" != aprun ]; then - # fill in empty tasks - multi=$((multi-=1)) #need to go back one - while [ $((multi+=1)) -lt $NPROCS ] ; do - echo "echo do-nothing" >> $DATA/prep_exec.cmd - done - fi - elif [ $BACK = 'YES' ] ; then - multi=-1 - echo "#!/bin/ksh" > $DATA/prepthrds.sh - while [ $((multi+=1)) -lt $NSPLIT ] ; do - echo "$DATA/MP_PREPDATA $multi &" >> $DATA/prepthrds.sh - echo "echo $DATA/MP_PREPDATA $multi submitted in background" \ - >> $DATA/prepthrds.sh - done - echo "wait" >> $DATA/prepthrds.sh - chmod 775 $DATA/prepthrds.sh - fi - -# In the parallel environment, next either execute the poe wrapper (for poe/ -# mpi/cfp) (do not execute a time command with poe!) - or - run prepthrds.sh -# to kick off background processes and wait for them to complete -# -------------------------------------------------------------------------- - if [ "$POE" != 'NO' ]; then - if [ "$launcher_PREP" = mpirun.lsf ]; then - export MP_CMDFILE=$DATA/prep_exec.cmd - export MP_PGMMODEL=mpmd - export MP_PULSE=0 - export MP_DEBUG_NOTIMEOUT=yes - export MP_LABELIO=yes - export MP_STDOUTMODE=ordered - mpirun.lsf - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - elif [ "$launcher_PREP" = cfp ]; then - export MP_CSS_INTERRUPT=yes - export MP_LABELIO=yes - export MP_STDOUTMODE=ordered - mpirun.lsf cfp $DATA/prep_exec.cmd - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - elif [ "$launcher_PREP" = aprun ]; then - ## Determine tasks per node (PREPDATAtpn) and - ## max number of concurrent procs (PREPDATAprocs) for cfp - typeset -i nodesall=$(echo -e "${LSB_HOSTS// /\\n}"|sort -u|wc -w) - typeset -i ncnodes=$(($nodesall-1)) # we want compute nodes only - if [ $ncnodes -lt 1 ]; then - set +x - echo - echo " ** Could not get positive compute node count for aprun **" - echo " ** Are we using LSF queue with compute node access? **" - echo - echo "ABNORMAL EXIT!!!!!!!!!!!" - echo - set -x - $DATA/err_exit - exit 55 # for extra measure - fi - if [[ -z ${PREPDATAtpn:-""} ]]; then - PREPDATAtpn=$((($NSPLIT+$ncnodes-1)/$ncnodes)) - # cfp is faster with extra thread so add one if there is room. - # (this logic needs an update to avoid hardwired 24) - [ $PREPDATAtpn -lt 24 ] && PREPDATAtpn=$(($PREPDATAtpn+1)) - fi - if [[ -z ${PREPDATAprocs:-""} ]]; then - PREPDATAprocs=$(($ncnodes*$PREPDATAtpn)) # max concurrent processes - fi - aprun -j 1 -n${PREPDATAprocs} -N${PREPDATAtpn} -d1 cfp $DATA/prep_exec.cmd - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - else # unknown launcher and options (eg, for use on R&D system) - $launcher_PREP - export err=$?; $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - fi - elif [ $BACK = 'YES' ] ; then - if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - aprun -n 1 -d $NSPLIT $DATA/prepthrds.sh - else - $DATA/prepthrds.sh - fi - fi - totalt=$NSPLIT - else - -# In the serial environment, just fire off a single thread of MP_PREPDATA -# ----------------------------------------------------------------------- - multi=0 - if [ "$sys_tp" = Cray-XC40 -o "$SITE" = SURGE -o "$SITE" = LUNA ]; then - aprun -n 1 -N 1 ksh $DATA/MP_PREPDATA $multi - else - $DATA/MP_PREPDATA $multi - fi - totalt=1 - - # fi for $PARALLEL = YES - fi - - set +x - multi=0 - while [ $multi -lt $totalt ]; do -echo -echo "********************************************************************" -echo " ++ Script STDOUT from MP_PREPDATA for stream (task/thread) $multi ++" -echo "********************************************************************" -echo - cat $DATA/mp_stream${multi}.stdout -echo "********************************************************************" -echo " ++ End of Script STDOUT from MP_PREPDATA for stream $multi ++ " -echo "********************************************************************" - multi=`expr $multi + 1` - done - -echo -echo "********************************************************************" -echo " ++ Script trace from MP_PREPDATA for stream (task/thread) 0 ++ " - if [ "$PARALLEL" = 'YES' ]; then -echo -echo " In order to conserve space, the script trace from other " -echo " streams is not invoked unless the stream failed. " - fi -echo "********************************************************************" -echo - - cat mp_stream0.errfile - -echo -echo "********************************************************************" -echo " ++ End of Script trace from MP_PREPDATA for stream 0 ++ " -echo "********************************************************************" -echo - set -x - -# check status files -# ------------------ - - errSTATUS=0 - errPREPDATA=0 - four_check=yes - multi=0 - while [ $multi -lt $totalt ]; do - cat $DATA/multi$multi/mp_pgmout >> prepdata.out - cat $DATA/multi$multi/mp_pgmout >> $pgmout - status=$DATA/multi$multi/mstatus - if [ ! -s $status ]; then - set +x -echo -echo "********************************************************************" -echo " P R O B L E M ! ! ! " -echo "********************************************************************" -echo " ###> MP_PREPDATA stream (task/thread) $multi FAILED - Cycle date: \ -$CDATE10" -echo " Current working directory: $DATA " -echo -echo " Script trace from MP_PREPDATA for stream $multi follows ... " -echo "********************************************************************" -echo - cat $DATA/mp_stream${multi}.errfile -echo -echo "********************************************************************" -echo " ++ End of Script trace from MP_PREPDATA for stream $multi ++ " -echo "********************************************************************" -echo - set -x - errSTATUS=99 - else - err_this=`cut -f 2 -d = $status` - [ "$err_this" -gt "$errPREPDATA" ] && errPREPDATA=$err_this - [ "$err_this" -eq '0' ] && four_check=no - fi - multi=`expr $multi + 1` - done - - if [ "$errSTATUS" -gt '0' ]; then - $DATA/err_exit - exit 55 # for extra measure - fi - - [ "$errPREPDATA" -eq '4' -a "$four_check" = 'no' ] && errPREPDATA=0 - - set +x - echo - echo "For all MP_PREPDATA Streams, the largest foreground exit status \ - amongst all PREPOBS_PREPDATA runs is " $errPREPDATA - echo - set -x - - if [ "$errPREPDATA" -le "$errPREPDATA_limit" -a $errPREPDATA -ne 1 ]; then - err=0 - if [ "$errPREPDATA" -eq '4' ]; then - set +x - echo - echo "WARNING: PREPOBS_PREPDATA FOUND EITHER NO ADPUPA OR NO ADPSFC DATA" - echo "-------- THESE DATA WILL NOT BE AVAILABLE TO ANALYSES" - echo - set -x - fi - else - err=$errPREPDATA - fi - - pgm=`basename $PRPX` - touch errfile - $DATA/err_chk - [ $err != 0 ] && exit 55 # for extra measure - - if [ "$PARALLEL" = 'YES' ]; then - -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## -## HEREFILE MERGE_MSGS ## -##VVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVVV## - -set +x -cat <<\EOFmrg > MERGE_MSGS - -# This herefile script merges the individual partial PREPBUFR files present at -# this point into a complete, monolithic PREPBUFR file in the proper message -# type order. It is the last step in the PREPDATA processing. It runs only -# in the parallel environment. -# ---------------------------------------------------------------------------- - -# Positional parameters passed in: -# 1 - Number of input partial PREPBUFR files that are going to be merged -# ($nfiles) -# 2 - Working directory path ($DATA) (contains separate partial PREPBUFR -# files and text files containing headers for each, one directory down) -# 3 - Beginning string of sub-directories in $DATA ($subdir) (each sub- -# directory contains an input partial PREPBUFR file and a text file -# containing headers for all messages in that PREPBUFR file) -# 4 - File in each sub-directory containing headers for all messages in -# partial PREPBUFR file in same sub-directory (file name only - same name -# in all sub-directories) ($header_file_name) -# 5 - Partial PREPBUFR file in each sub-directory (file name only - same name -# in all sub-directories) ($prep_in) -# 6 - Output monolithic PREPBUFR file name (file name only) ($prep_out) -# -# Imported variables that must be passed in: -# MONOBFRX - path to PREPOBS_MONOPREPBUFR program executable -# -# Imported variables that can be passed in: -# pgmout - string indicating path to for standard output file (skipped over -# by this script if not passed in) - - -if [ $# -ne 6 ] ; then - echo "Usage: $0 nfiles DATA subdir header_file_name prep_in prep_out" - exit 1 -fi - -set -aux - -qid=$$ - -nfiles=$1;DATA=$2;subdir=$3;header_file_name=$4;prep_in=$5;prep_out=$6 - - -# From all the header files, extract the header counts and names build -# namelist input to drive $MONOBFRX program -# --------------------------------------------------------------------- - -nheaders=`cat $DATA/${subdir}*/$header_file_name|awk '{print $1}'|sort -u|wc -l` -((nheaders+=0)) - ->$DATA/input echo -echo " &namin nfiles=$nfiles, nheaders=$nheaders," >>$DATA/input - -cd $DATA - - -# Assign the fort units to the files -# ----------------------------------- - -pgm=`basename $MONOBFRX` -if [ -s $DATA/prep_step ]; then - . $DATA/prep_step -else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -fi - - -n=-1 -while [ $((n+=1)) -lt $nfiles ] ;do - [ ! -s $DATA/${subdir}$n/$prep_in ] && exit 1 - export FORT$((11+n))=$DATA/${subdir}$n/$prep_in -done -export FORT51=$prep_out -set +x - - -# Extract the total span of headers by searching through all the header files -# --------------------------------------------------------------------------- - -n=-1 -while [ $((n+=1)) -lt $nfiles ]; do - file=$DATA/${subdir}$n/$header_file_name - [ ! -s $file ] && exit 1 - if [ `cat $file|awk '{print $1}'| \ - sort -u|wc -l` -eq $nheaders ] ; then - headers="" - nlines=`cat $file|wc -l` - i=0 - while [ $((i+=1)) -le $nlines ]; do - line=`sed -n $i,${i}p $file` - header=`echo $line|awk '{print $1}'` - echo " cheaders($i)='$header',">>$DATA/input - headers="$headers $header" - done - break - fi -done - - -# Tranlate the hdrs file contents into namelist array -# --------------------------------------------------- - -n=-1 -while [ $((n+=1)) -lt $nfiles ]; do - file=$DATA/${subdir}$n/$header_file_name - line= - i=0 - for hdr in $headers; do - ((i+=1)) - count=`grep $hdr $file|awk '{print $2}'` - set +u - [ -z "$count" ] && count=0 - set -u - line="${line}msgs($i,$((n+1)))=$count," - done - echo " $line " >>$DATA/input -done - -echo " &end" >>$DATA/input -set -x -cat $DATA/input - -$TIMEIT $MONOBFRX <$DATA/input > outout 2> errfile -export err=$? -###cat errfile -cat errfile >> outout -cat outout >> monoprepbufr.out -set +u -[ -n "$pgmout" ] && cat outout >> $pgmout -set -u -rm outout -set +x -echo -echo "The foreground exit status for PREPOBS_MONOPREPBUFR is " $err -echo -set -x -$DATA/err_chk -[ $err != 0 ] && exit 55 # for extra measure - -exit 0 -EOFmrg -set -x - -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## -## end of HEREFILE MERGE_MSGS ## -##AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA## - - chmod 775 MERGE_MSGS - -# In parallel environment, make monolithic PREPBUFR file by meriging the -# partial PREPBUFR files -# ---------------------------------------------------------------------- - $TIMEIT $DATA/MERGE_MSGS $NSPLIT $DATA multi prepda.hdrs prepda \ - prepda.${cycle} - errsc=$? - if test $errsc -ne 0 - then -# problem with merge script - $DATA/err_exit - exit 55 # for extra measure - fi - else - -# In serial environment, already have a monolithic PREPBUFR file - just -# copy it to expected local monolithic PREPBUFR file location -# --------------------------------------------------------------------- - cp $DATA/multi0/prepda prepda.${cycle} - - # fi for $PARALLEL = YES - fi - -# fi for $PREPDATA = YES -fi - -set +u -[ -n "$PREPBUFR_IN" ] && cp $PREPBUFR_IN $DATA/prepda.${cycle} -set -u - - -############################################ -# EXECUTE SYNTHETIC CYCLONE DATA PROCESSING -############################################ - -if [ "$SYNDATA" = 'YES' ]; then - -# Check condition code - SDM can shut-off synthetic cyclone bogusing -# ------------------------------------------------------------------ -# ==> this switch is NOT YET in place, so it will be hardwired to "YES" - -###cp ???????????? syndata_cond - echo "YES" > syndata_cond - SYN=`cat tcvitals_orig_sort - sort tcvitals > tcvitals_sort - comm -23 tcvitals_orig_sort tcvitals_sort > tcvitals_removed - [ -s tcvitals_removed ] && run_syndat_twice=yes - fi - fi - - $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ - $DATA/tcvitals $CDATE10 - - if [ $run_syndat_twice = yes ]; then - -# Run SYNDATA a second time when switch "run_syndat_twice" was set to "yes" in -# above logic (see %% above) - - DO_BOGUS=NO - $TIMEIT $USHSYND/prepobs_syndata.sh $DATA/prepda.${cycle} \ - $DATA/tcvitals_removed $CDATE10 - fi - fi -fi - -[ "$PREPDATA" = 'YES' ] && cp prepda.${cycle} prepda.prepdata - - -########################################### -# EXECUTE GSI QUALITY-CONTROL PROCESSING -########################################### - -if [ "$DO_QC" = 'YES' ]; then - if [ "$PREVENTS" = 'YES' ];then - $TIMEIT $USHPREV/prepobs_prevents.sh $DATA/prepda.${cycle} $CDATE10 - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$CQCBUFR" = 'YES' ];then - $TIMEIT $USHCQC/prepobs_cqcbufr.sh $DATA/prepda.${cycle} - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$PROFCQC" = 'YES' ];then - $TIMEIT $USHPQC/prepobs_profcqc.sh $DATA/prepda.${cycle} - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$CQCVAD" = 'YES' ];then - $TIMEIT $USHVQC/prepobs_cqcvad.sh $DATA/prepda.${cycle} $CDATE10 - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$PREPACQC" = 'YES' ];then - $TIMEIT $USHAQC/prepobs_prepacqc.sh $DATA/prepda.${cycle} $DATA/adpsfc - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi - if [ "$OIQCBUFR" = 'YES' ];then - $TIMEIT $USHOIQC/prepobs_oiqcbufr.sh $DATA/prepda.${cycle} $CDATE10 - errsc=$? - [ "$errsc" -ne '0' ] && exit $errsc - fi -fi - - -# Look for "OVERLARGE" subsets in stdout (print out of bufrlib when subset -# discarded because it is too big to fit in a BUFR message) -- post to -# jlogfile if appropriate - -msg=`grep "OVERLARGE SUBSET DISCARDED" $pgmout` -err=$? -if [ "$err" -eq '0' ]; then - set +x - echo - echo "$msg" - echo - set -x - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" -fi - -exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.txt deleted file mode 100644 index 22e7705..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_makeprepbufr.txt +++ /dev/null @@ -1 +0,0 @@ -MAKEPREPBUFRSH=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/prepobs_makeprepbufr.sh diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.sh deleted file mode 100755 index dbb6630..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.sh +++ /dev/null @@ -1,152 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - -# This script performs an oi-based quality control on all data -# -# It is normally executed by the script prepobs_makeprepbufr.sh -# but can also be executed from a checkout parent script -# -------------------------------------------------------------- - -set -aux - -qid=$$ - -# Positional parameters passed in: -# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr -# file upon successful completion of this script (note that input -# prepbufr file is NOT saved by this script) -# 2 - NCEP production date (YYYYMMDDHH) - -# Imported variables that must be passed in: -# DATA - path to working directory -# OIQCT - path to observation error table file -# OIQCX - path to PREPOBS_OIQCBUFR program executable - -# Imported variables that can be passed in: -# jlogfile - string indicating path to joblog file (skipped over by this -# script if not passed in) -# pgmout - string indicating path to for standard output file (skipped -# over by this script if not passed in) -# sys_tp - system type and phase. (if not passed in, an attempt is made to -# set this string using getsystem.pl, an NCO script in prod_util) -# SITE - site name (may have been set by local shell startup script) -# launcher_OIQCX - launcher for OIQCX executable (on Cray-XC40, defaults to -# aprun using 16 tasks) - -cd $DATA -PRPI=$1 -if [ ! -s $PRPI ] ; then exit 1;fi -CDATE10=$2 - -jlogfile=${jlogfile:=""} - -rm $PRPI.oiqcbufr -rm tosslist - -pgm=`basename $OIQCX` -if [ -s $DATA/prep_step ]; then - set +u - . $DATA/prep_step - set -u -else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -fi - -#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) -#set +u -#[ -n "$LOADL_PROCESSOR_LIST" ] && export XLSMPOPTS=parthds=2:usrthds=2:stack=64000000 -#set -u - -echo " $CDATE10" > cdate.dat -export FORT11=cdate.dat -export FORT14=$PRPI -export FORT17=$OIQCT -export FORT18=obprt_ipoint.wrk -export FORT20=tolls.wrk -export FORT61=toss.sfc_z -export FORT62=toss.temp_wind -export FORT63=toss.sat_temp -export FORT64=toss.ssmi_wind -export FORT65=tosslist -export FORT70=$PRPI.oiqcbufr -export FORT81=obogram.out -export FORT82=obogram.bin -TIMEIT=${TIMEIT:-""} -[ -s $DATA/time ] && TIMEIT="$DATA/time -p" -# $TIMEIT mpirun $OIQCX > outout 2> errfile -#$TIMEIT mpirun -genvall -n $LSB_DJOB_NUMPROC -machinefile $LSB_DJOB_HOSTFILE $OIQCX > outout 2> errfile - -SITE=${SITE:-""} -sys_tp=${sys_tp:-$(getsystem.pl -tp)} -getsystp_err=$? -if [ $getsystp_err -ne 0 ]; then - msg="***WARNING: error using getsystem.pl to determine system type and phase" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" -fi -echo sys_tp is set to: $sys_tp -if [ "$sys_tp" = "Cray-XC40" -o "$SITE" = "SURGE" -o "$SITE" = "LUNA" ]; then - launcher_OIQCX=${launcher_OIQCX:-"aprun -n 16 -N 16 -j 1"} # consistent with tide/gyre -# launcher_OIQCX=${launcher_OIQCX:-"aprun -n 24 -N 24 -j 1"} # slightly faster -else - launcher_OIQCX=${launcher_OIQCX:-"mpirun.lsf"} -#########################module load ibmpe ics lsf uncomment if not in profile -# seems to run ok w next 10 lines commented out (even though Jack had them in -# his version of this script) -###export LANG=en_US -###export MP_EAGER_LIMIT=65536 -###export MP_EUIDEVELOP=min -###export MP_EUIDEVICE=sn_all -###export MP_EUILIB=us -###export MP_MPILIB=mpich2 -###export MP_USE_BULK_XFER=yes -###export MPICH_ALLTOALL_THROTTLE=0 -###export MP_COLLECTIVE_OFFLOAD=yes -###export KMP_STACKSIZE=1024m -fi - -$TIMEIT $launcher_OIQCX $OIQCX > outout 2> errfile - -err=$? -###cat errfile -cat errfile >> outout -cat outout >> oiqcbufr.out -cp outout obcnt.out -set +u -[ -n "$pgmout" ] && cat outout >> $pgmout -set -u -rm outout -set +x -echo -echo 'The foreground exit status for PREPOBS_OIQCBUFR is ' $err -echo -set -x -if [ "$err" -eq '4' ]; then -msg="WRNG: SOME OBS NOT QC'd BY PGM PREPOBS_OIQCBUFR - # OF OBS > LIMIT \ ---> non-fatal" - set +x - echo - echo "$msg" - echo - set -x - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - err=0 -fi -if [ -s $DATA/err_chk ]; then - $DATA/err_chk -else - if test "$err" -gt '0' - then -######kill -9 ${qid} # need a WCOSS alternative to this even tho commented out - # in ops - exit 55 - fi -fi - -if [ "$err" -gt '0' ]; then - exit 9 -else - mv $PRPI.oiqcbufr $PRPI -fi - -exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.txt deleted file mode 100644 index 72b016f..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_oiqcbufr.txt +++ /dev/null @@ -1 +0,0 @@ -OIQCX=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_oiqcbufr diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.sh deleted file mode 100755 index 0fc1c6e..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.sh +++ /dev/null @@ -1,211 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - - -# This script performs two tasks: -# 1) Execute program PREPOBS_PREPACQC to perform aircraft quality control -# checking -# 2) Execute program PREPOBS_PREPACPF to append a surface level to profile -# reports in the PREPBUFR-format aircraft profiles file which is output -# from PREPOBS_PREPACQC -# Both tasks are optional in case the executing job wants to perform only one -# of these two tasks. The default to to perform both tasks. -# -# This script is normally executed by the script prepobs_makeprepbufr.sh -# but can also be executed from a checkout parent script -# -------------------------------------------------------------------------- - -set -aux - -qid=$$ - -# Positional parameters that must always be passed in: -# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr -# file upon successful completion of this script -# (note that input prepbufr file is NOT saved by this script) -# {this can be set to "null" if PROCESS_ACQC != YES (see below), since in -# this case it is not considered} - -# Positional parameters that must be passed in if PROCESS_ACPF = YES (see -# below): -# 2 - path to adpsfc dump file input to PREPOBS_PREPACPF {normally the same -# one that was read in to generate the prepbufr file in positional -# parameter 1 or, if PREPOBS_PREPACQC != YES (see below), the prepbufr -# file processed by program PREPOBS_PREPACQC which presumably ran some -# place outside of, and prior to, this script} - -# Imported variables that must always be passed in: -# DATA - path to working directory -# PROCESS_ACQC - switch controlling whether or not to execute -# PREPOBS_PREPACQC -# PROCESS_ACPF - switch controlling whether or not to execute -# PREPOBS_PREPACPF - -# Imported variables that must be passed in if PROCESS_ACQC = YES: -# AQCX - path to PREPOBS_PREPACQC program executable -# AQCC - path to PREPOBS_PREPACQC program parm cards - -# Imported variables that must be passed in if PROCESS_ACQC != YES: -# acft_profiles - path to prepbufr.acft_profiles file output by program -# PREPOBS_PREPACQC (which presumably ran some place outside -# of, and prior to, this script) - -# Imported variables that must be passed in if PROCESS_ACPF = YES: -# DICT - path to unsorted METAR station dictionary file -# APFX - path to PREPOBS_PREPACPF program executable - -# Imported variables that can be passed in: -# jlogfile - string indicating path to joblog file -# (skipped over by this script if not passed in) -# (only examined if PROCESS_ACPF = YES) -# pgmout - string indicating path to for standard output file -# (skipped over by this script if not passed in) - - -cd $DATA - -jlogfile=${jlogfile:=""} - -if [ $PROCESS_ACQC = YES ]; then - PRPI=$1 - if [ ! -s $PRPI ] ; then exit 1;fi - - rm $PRPI.prepacqc - rm prepbufr.acft_profiles - - pgm=`basename $AQCX` - if [ -s $DATA/prep_step ]; then - set +u - . $DATA/prep_step - set -u - else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` - fi - - export FORT11=$PRPI - export FORT41=vvel_info.acft_profiles.txt - export FORT61=$PRPI.prepacqc - export FORT62=prepbufr.acft_profiles - TIMEIT=${TIMEIT:-""} - [ -s $DATA/time ] && TIMEIT="$DATA/time -p" - # The following improves performance on Cray-XC40 if $AQCX was - # linked to the IOBUF i/o buffering library - export IOBUF_PARAMS='*.log:verbose,*.txt:verbose,*.sorted:verbose' - $TIMEIT $AQCX< $AQCC > outout 2> errfile - err=$? - err_actual=$err - unset IOBUF_PARAMS -######cat errfile - cat errfile >> outout - cat outout >> prepacqc.out - set +u - [ -n "$pgmout" ] && cat outout >> $pgmout - set -u - rm outout - set +x - echo - echo 'The foreground exit status for PREPOBS_PREPACQC is ' $err - echo - set -x - if [ $err -eq 4 ]; then - msg="PREPBUFR DATA SET CONTAINS NO "AIRCAR" OR "AIRCFT" TABLE A MESSAGES --> non-fatal" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - err=0 - fi - if [ -s $DATA/err_chk ]; then - $DATA/err_chk - else - if test "$err" -gt '0' - then -#########kill -9 ${qid} # need a WCOSS alternative to this even tho commented - # out in ops - exit 55 - fi - fi - - if [ "$err" -gt '0' ]; then - exit 9 - elif [ "$err_actual" -gt '0' ]; then - PROCESS_ACPF=NO - else - [ ! -f $PRPI.prepacqc ] && touch $PRPI.prepacqc - mv $PRPI.prepacqc $PRPI - fi - -else - cp -p $acft_profiles prepbufr.acft_profiles -fi - - -if [ $PROCESS_ACPF = YES ]; then - ADPSFC=$2 - - sort -n +0.61 -0.67 $DICT > metar.tbl.lon_sorted - - msg=good - if [ ! -s $ADPSFC ]; then - msg="WARNING: PREPOBS_PREPACPF COULD NOT RUN, adpsfc FILE NOT FOUND \ ---> non-fatal" - elif [ ! -s prepbufr.acft_profiles ]; then - msg="WARNING: PREPOBS_PREPACPF COULD NOT RUN, prepbufr.acft_profiles \ -FILE NOT FOUND --> non-fatal" - elif [ ! -s metar.tbl.lon_sorted ]; then - msg="WARNING: PREPOBS_PREPACPF COULD NOT RUN, metar.tbl FILE NOT FOUND \ ---> non-fatal" - fi - if [ "$msg" != 'good' ]; then - set +x - echo - echo "$msg" - echo - set -x - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - exit 0 - fi - - pgm=`basename $APFX` - if [ -s $DATA/prep_step ]; then - set +u - . $DATA/prep_step - set -u - else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` - fi - - export FORT11=metar.tbl.lon_sorted - export FORT12=$ADPSFC - export FORT13=prepbufr.acft_profiles - export FORT51=prepbufr.acft_profiles_sfc - TIMEIT=${TIMEIT:-""} - [ -s $DATA/time ] && TIMEIT="$DATA/time -p" - $TIMEIT $APFX > outout 2> errfile - err=$? -######cat errfile - cat errfile >> outout - cat outout >> prepacpf.out - set +u - [ -n "$pgmout" ] && cat outout >> $pgmout - set -u - rm outout - set +x - echo - echo 'The foreground exit status for PREPOBS_PREPACPF is ' $err - echo - set -x - if [ $err -gt 0 ]; then - msg="WARNING: PREPOBS_PREPACPF DID NOT COMPLETE NORMALLY --> non-fatal" - set +x - echo - echo "$msg" - echo - set -x - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - else - err=0 - [ -s $DATA/err_chk ] && $DATA/err_chk - fi -fi - -exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.txt deleted file mode 100644 index 45184d1..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepacqc.txt +++ /dev/null @@ -1 +0,0 @@ -AQCC=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_prepacqc diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepdata.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepdata.txt deleted file mode 100644 index cfba978..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prepdata.txt +++ /dev/null @@ -1 +0,0 @@ -PRPX=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_prepdata diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prevents.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prevents.txt deleted file mode 100644 index 9aa9640..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_prevents.txt +++ /dev/null @@ -1 +0,0 @@ -PREX=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_prevents diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_profcqc.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_profcqc.txt deleted file mode 100644 index 9f82c5a..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_profcqc.txt +++ /dev/null @@ -1 +0,0 @@ -PQCX=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/exec/prepobs_profcqc diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.sh deleted file mode 100755 index 5fe1fab..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.sh +++ /dev/null @@ -1,209 +0,0 @@ -#!/bin/ksh -# Run under ksh (converted to WCOSS) - - -# This script has two functions: -# 1) Generates synthetic cyclone bogus near tropical storms and appends them -# to a PREPBUFR file (based on script variable DO_BOGUS). If may also, -# based on user-requested switch, flag mass pressure reports "near" -# tropical storms. -# 2) Flag dropwinsonde wind reports "near" tropical storms (based on user- -# requested switch). -# -# Note: It can do both 1 and 2 above or just one of them without the other. -# -# (NOTE: SYNDATA is currently restricted to run with T126 gaussian -# land-sea mask) -# -# It is normally executed by the script prepobs_makeprepbufr.sh -# but can also be executed from a checkout parent script -# ------------------------------------------------------------- - -set -aux - -# Positional parameters passed in: -# 1 - path to COPY OF input prepbufr file --> becomes output prepbufr -# file upon successful completion of this script (note that input -# prepbufr file is NOT saved by this script) -# 2 - path to COPY OF input tcvitals file -# 3 - expected center date in PREPBUFR file (YYYYMMDDHH) - - -# Imported variables that must be passed in: -# DATA - path to working directory -# SGES - path to COPY OF global simga first guess file 1 (valid at -# either center date of PREPBUFR file or nearest cycle time prior -# to center date of PREPBUFR file which is a multiple of 3) -# SGESA - path to COPY OF global simga first guess file 2 (either -# null if SGES is valid at center date of PREPBUFR file or valid -# at nearest cycle time after center date of PREPBUFR file which -# is a multiple of 3 if SGES is valid at nearest cycle time -# prior to center date of PREPBUFR file which is a multiple of 3) -# PRVT - path to observation error table file -# FIXSYND - path to synthethic data fixed field files -# SYNDX - path to SYNDAT_SYNDATA program executable -# SYNDC - path to SYNDAT_SYNDATA program parm cards - -# Imported variables that can be passed in: -# DO_BOGUS - Generate synthetic cyclone bogus near tropical storms and -# append them to a PREPBUFR file (and also, based on user- -# requested switch, flag mass pressure reports "near" tropical -# storms)? (choices are "YES" or "NO", anything else defaults to -# "YES", including if this is not passed in) -# jlogfile - string indicating path to joblog file (skipped over by this -# script if not passed in) -# pgmout - string indicating path to for standard output file (skipped -# over by this script if not passed in) -# sys_tp - system type and phase. (if not passed in, an attempt is made to -# set this string using getsystem.pl, an NCO script in prod_util) -# SITE - site name (may have been set by local shell startup script) -# launcher_SYNDX - launcher for SYNDX executable (on Cray-XC40, defaults to -# aprun using single task) - - -cd $DATA -PRPI=$1 -if [ ! -s $PRPI ] ; then exit 1 ;fi -VITL=$2 -CDATE10=$3 - -jlogfile=${jlogfile:=""} - -if [ ! -s $VITL ] ; then - msg="TCVITALS EMPTY - NO PROCESSING PERFORMED BY SYNDAT_SYNDATA for \ -$CDATE10 --> non-fatal" - set +x - echo - echo "$msg" - echo - set -x - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - - exit -fi - -if [ $DO_BOGUS = 'YES' ]; then - suffix_char="" -else - suffix_char="_nobog" -fi - -rm -f $PRPI.syndata bogdomn.wrk${suffix_char} alldat${suffix_char} -rm -f stmtrk.wrk${suffix_char} rawdat.wrk${suffix_char} dumcoef${suffix_char} -rm -f matcoef${suffix_char} dthistry${suffix_char} bogrept${suffix_char} -rm -f bogdata${suffix_char} fenvdta.wrk${suffix_char} stkdatb.wrk${suffix_char} -rm -f gesvit${suffix_char} bghistry.diag${suffix_char} -rm -f prevents.filtering.syndata${suffix_char} - -pgm=`basename $SYNDX` -if [ -s $DATA/prep_step ]; then - set +u - . $DATA/prep_step - set -u -else - [ -f errfile ] && rm errfile - unset FORT00 `env | grep "^FORT[0-9]\{1,\}=" | awk -F= '{print $1}'` -fi - -export FORT11=$VITL -echo " $CDATE10" > cdate10.dat -export FORT13=cdate10.dat -export FORT14=$FIXSYND/syndat_syndata.slmask.t126.gaussian -export FORT15=bogdomn.wrk${suffix_char} -export FORT16=stmtrk.wrk${suffix_char} -export FORT17=rawdat.wrk${suffix_char} -export FORT19=bghistry.diag${suffix_char} -export FORT21=gesvit${suffix_char} -export FORT22=stkdatb.wrk${suffix_char} -export FORT23=fenvdta.wrk${suffix_char} -export FORT24=bogdata${suffix_char} -export FORT25=$PRPI -#####export FORT30=$SGES -#####export FORT31=$SGESA - -# The SYNDAT_SYNDATA code will soon, or may now, open GFS spectral coefficient -# guess files using sigio routines (via W3EMC routine GBLEVENTS) via explicit -# open(unit=number,file=filename) statements. This conflicts with the FORTxx -# statements above. One can either remove the explicit open statements in the -# code or replace the above FORTxx lines with soft links. The soft link -# approach is taken below. - -ln -sf $SGES fort.30 -ln -sf $SGESA fort.31 -export FORT32=$PRVT -export FORT40=$FIXSYND/syndat_weight -export FORT58=bogrept${suffix_char} -export FORT59=dthistry${suffix_char} -export FORT61=$PRPI.syndata -export FORT70=matcoef${suffix_char} -export FORT71=dumcoef${suffix_char} -export FORT72=rawdat.wrk${suffix_char} -export FORT73=stmtrk.wrk${suffix_char} -export FORT74=alldat${suffix_char} -export FORT80=prevents.filtering.syndata${suffix_char} -export FORT89=bogdomn.wrk${suffix_char} - -#### THE BELOW APPLIED TO THE CCS (IBM AIX) (kept for reference) -##The choice in the first line below MAY cause a failure -##The choice in the second line below works! -#set +u -#####[ -n "$LOADL_PROCESSOR_LIST" ] && export XLSMPOPTS=parthds=2:stack=64000000 -#[ -n "$LOADL_PROCESSOR_LIST" ] && export XLSMPOPTS=parthds=2:stack=20000000 -#set -u - -TIMEIT=${TIMEIT:-""} -[ -s $DATA/time ] && TIMEIT="$DATA/time -p" - -SITE=${SITE:-""} -sys_tp=${sys_tp:-$(getsystem.pl -tp)} -getsystp_err=$? -if [ $getsystp_err -ne 0 ]; then - msg="***WARNING: error using getsystem.pl to determine system type and phase" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" -fi -echo sys_tp is set to: $sys_tp -if [ "$sys_tp" = "Cray-XC40" -o "$SITE" = "SURGE" -o "$SITE" = "LUNA" ]; then - launcher_SYNDX=${launcher_SYNDX:-"aprun -n 1 -N 1 -d 1"} -else - launcher_SYNDX=${launcher_SYNDX:-""} -fi -$TIMEIT $launcher_SYNDX $SYNDX < $SYNDC > outout 2> errfile -err=$? -###cat errfile -cat errfile >> outout -[ $DO_BOGUS = 'YES' ] && cat prevents.filtering.syndata >> outout -cat outout >> syndata.out -set +u -[ -n "$pgmout" ] && cat outout >> $pgmout -set -u -rm outout -set +x -echo -echo 'The foreground exit status for SYNDAT_SYNDATA is ' $err -echo -set -x -if [ $err -eq 0 ]; then - - set +x - echo " --------------------------------------------- " - echo " ********** COMPLETED PROGRAM $pgm **********" - echo " --------------------------------------------- " - set -x - msg="$pgm completed normally for $CDATE10 - DO_BOGUS= $DO_BOGUS" - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - mv $PRPI.syndata $PRPI - -else - -msg="SYNDAT_SYNDATA TERMINATED ABNORMALLY WITH CONDITION CODE $err \ ---> non-fatal" - set +x - echo - echo "$msg" - echo - set -x - [ -n "$jlogfile" ] && $DATA/postmsg "$jlogfile" "$msg" - -fi - -exit 0 diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.txt deleted file mode 100644 index dcfe446..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/prep/prepobs_syndata.txt +++ /dev/null @@ -1 +0,0 @@ -/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/obsproc/releases/obsproc_prep_RB-4.0.0/ush/prepobs_syndata.sh diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/gfs_genesis_para_fv3gfs.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/gfs_genesis_para_fv3gfs.sh deleted file mode 100755 index abb97dc..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/gfs_genesis_para_fv3gfs.sh +++ /dev/null @@ -1,108 +0,0 @@ -#!/bin/sh -##BSUB -J gfs_GEN_00 -##BSUB -W 0:30 -##BSUB -o /gpfs/hps/ptmp/Jiayi.Peng/com2/gfs_genesis_00.o%J -##BSUB -e /gpfs/hps/ptmp/Jiayi.Peng/com2/gfs_genesis_00.o%J -##BSUB -q "dev" -##BSUB -P "GEN-T2O" -##BSUB -M 1000 -##BSUB -extsched 'CRAYLINUX[]' -##export NODES=1 - -date -export PS4=' $SECONDS + ' -set -x - -. $MODULESHOME/init/sh -module use /gpfs/hps/nco/ops/nwprod/modulefiles -module load prod_util -module load grib_util/1.0.3 - -module use /opt/cray/alt-modulefiles -module load PrgEnv-intel -module load iobuf/2.0.5 - -module use /opt/cray/craype/default/alt-modulefiles -module load craype-haswell -module list - -#export IOBUF_PARAMS="*:size=256M:count=4:verbose" -export IOBUF_PARAMS="*:size=32M:count=4:verbose" - -export NWROOTGENESIS=${NWROOTGENESIS:-/gpfs/hps/emc/ensemble/save/Jiayi.Peng} -export COMDATEROOT=/gpfs/hps/nco/ops/com -#export COMROOTp1=/gpfs/tp1/nco/ops/com -export ens_tracker_ver=v2.0.1 - -export CDATE=${1:-?} -export CDUMP=${2:-?} -export COMROT=${3:-?} -export DATA=${4:-?} - -export GESROOT=${COMROT} - -#export APRNRELOC="time aprun -b -j1 -n1 -N1 -d24 -cc depth" -#export APRNGETTX="time aprun -q -j1 -n1 -N1 -d1 -cc depth " -export APRUNTRACK="aprun -j1 -n1 -N1 -d1" - -export JYYYY=`echo ${CDATE} | cut -c1-4` -export PDY=`echo ${CDATE} | cut -c1-8` -export cyc=`echo ${CDATE} | cut -c9-10` -export cycle=t${cyc}z - -mkdir -p $DATA -cd $DATA - -mkdir -p ${ROTDIR}/logs/$CDATE -export jlogfile=${jlogfile:-${ROTDIR}/logs/$CDATE/genesis_tracker.log} - -export SENDECF=${SENDECF:-NO} -export SENDCOM=${SENDCOM:-YES} -export SENDDBN=${SENDDBN:-NO} - -#################################### -# Specify Execution Areas -#################################### -export HOMEens_tracker=${HOMEens_tracker:-${NWROOTGENESIS}/ens_tracker.${ens_tracker_ver}} -export EXECens_tracker=${EXECens_tracker:-$HOMEens_tracker/exec} -export FIXens_tracker=${FIXens_tracker:-$HOMEens_tracker/fix} -export USHens_tracker=${USHens_tracker:-$HOMEens_tracker/ush} -export SCRIPTens_tracker=${SCRIPTens_tracker:-$HOMEens_tracker/scripts} - -############################################## -# Define COM directories -############################################## -#export COMINgfs=${COMINgfs:-${COMROOTp2}/gfs/prod/gfs.${PDY}} -#export COMINsyn=${COMINsyn:-${COMROOTp1}/arch/prod/syndat} -export COMINgfs=${COMINgfs:-$(compath.py gfs/prod/gfs.$PDY)} -export COMINsyn=${COMINsyn:-$(compath.py arch/prod/syndat)} - -export COMIN=${COMIN:-${COMROT}} -export COMOUT=${COMOUT:-${COMROT}} - -export COMINgenvit=${COMINgenvit:-${DATA}/genesis_vital_${JYYYY}} -export COMOUTgenvit=${COMOUTgenvit:-${DATA}/genesis_vital_${JYYYY}} - -export gfspara=${gfspara:-${COMIN}} -#export gfspara=${gfspara:-/gpfs/hps/ptmp/emc.glopara/prtest} -#export gfspara=/ptmpd3/emc.glopara/pr4devbs15 -#export gfspara=/ptmpp2/emc.glopara/pr4devbs12 - -mkdir -m 775 -p $COMOUT $COMOUTgenvit - -msg="HAS BEGUN on `hostname`" - -env - -${SCRIPTens_tracker}/exgfs_tc_genesis_fv3gfs.sh -export err=$?; err_chk - -msg="JOB COMPLETED NORMALLY" -postmsg "$jlogfile" "$msg" - -############################## -# Remove the Temporary working directory -############################## -#rm -rf $DATA - -date diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/gfs_genesis_para_fv3gfs.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/gfs_genesis_para_fv3gfs.txt deleted file mode 100644 index 056afa8..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/gfs_genesis_para_fv3gfs.txt +++ /dev/null @@ -1 +0,0 @@ -GENESISSH=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/gfs/q3fy17/ens_tracker.v2.0.1/gfs_genesis_para_fv3gfs.sh diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_extrkr.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_extrkr.sh deleted file mode 100755 index 62a3815..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_extrkr.sh +++ /dev/null @@ -1,1703 +0,0 @@ -#!/bin/ksh - -#module load ics -export PS4='+t+$SECONDS extrkr.sh:$LINENO -- ' - -userid=$LOGNAME - -set +x -############################################################################## -cat<${DATA}/tmpsynvit.${atcfout}.${PDY}${CYL} - grep "${current_str}" ${synvitdir}/${synvitfile} \ - >>${DATA}/tmpsynvit.${atcfout}.${PDY}${CYL} - grep "${future_str}" ${synvitfuture_dir}/${synvitfuture_file} \ - >>${DATA}/tmpsynvit.${atcfout}.${PDY}${CYL} -else - set +x - echo " " - echo " There is no (synthetic) TC vitals file for ${CYL}z in ${synvitdir}," - echo " nor is there a TC vitals file for ${old_hh}z in ${synvitold_dir}." - echo " nor is there a TC vitals file for ${future_hh}z in ${synvitfuture_dir}," - echo " Checking the raw TC Vitals file ....." - echo " " - set -x -fi - -# Take the vitals from Steve Lord's /com/gfs/prod tcvitals file, -# and cat them with the NHC-only vitals from the raw, original -# /com/arch/prod/synda_tcvitals file. Do this because the nwprod -# tcvitals file is the original tcvitals file, and Steve runs a -# program that ignores the vitals for a storm that's over land or -# even just too close to land, and for tracking purposes for the -# US regional models, we need these locations. Only include these -# "inland" storm vitals for NHC (we're not going to track inland -# storms that are outside of NHC's domain of responsibility -- we -# don't need that info). -# UPDATE 5/12/98 MARCHOK: awk logic is added to screen NHC -# vitals such as "89E TEST", since TPC -# does not want tracks for such storms. - -grep "${old_str}" ${archsyndir}/syndat_tcvitals.${CENT}${syy} | \ - grep -v TEST | awk 'substr($0,6,1) !~ /8/ {print $0}' \ - >${DATA}/tmprawvit.${atcfout}.${PDY}${CYL} -grep "${current_str}" ${archsyndir}/syndat_tcvitals.${CENT}${syy} | \ - grep -v TEST | awk 'substr($0,6,1) !~ /8/ {print $0}' \ - >>${DATA}/tmprawvit.${atcfout}.${PDY}${CYL} -grep "${future_str}" ${archsyndir}/syndat_tcvitals.${CENT}${syy} | \ - grep -v TEST | awk 'substr($0,6,1) !~ /8/ {print $0}' \ - >>${DATA}/tmprawvit.${atcfout}.${PDY}${CYL} - - -# IMPORTANT: When "cat-ing" these files, make sure that the vitals -# files from the "raw" TC vitals files are first in order and Steve's -# TC vitals files second. This is because Steve's vitals file has -# been error-checked, so if we have a duplicate tc vitals record in -# these 2 files (very likely), program supvit.x below will -# only take the last vitals record listed for a particular storm in -# the vitals file (all previous duplicates are ignored, and Steve's -# error-checked vitals records are kept). - -cat ${DATA}/tmprawvit.${atcfout}.${PDY}${CYL} ${DATA}/tmpsynvit.${atcfout}.${PDY}${CYL} \ - >${DATA}/vitals.${atcfout}.${PDY}${CYL} - -#--------------------------------------------------------------# -# Now run a fortran program that will read all the TC vitals -# records for the current dtg and the dtg from 6h ago, and -# sort out any duplicates. If the program finds a storm that -# was included in the vitals file 6h ago but not for the current -# dtg, this program updates the 6h-old first guess position -# and puts these updated records as well as the records from -# the current dtg into a temporary vitals file. It is this -# temporary vitals file that is then used as the input for the -# tracking program. -#--------------------------------------------------------------# - -oldymdh=` ${NDATE:?} -${vit_incr} ${PDY}${CYL}` -oldyy=${oldymdh:2:2} -oldmm=${oldymdh:4:2} -olddd=${oldymdh:6:2} -oldhh=${oldymdh:8:2} -oldymd=${oldyy}${oldmm}${olddd} - -futureymdh=` ${NDATE:?} 6 ${PDY}${CYL}` -futureyy=${futureymdh:2:2} -futuremm=${futureymdh:4:2} -futuredd=${futureymdh:6:2} -futurehh=${futureymdh:8:2} -futureymd=${futureyy}${futuremm}${futuredd} - -cat<${DATA}/suv_input.${atcfout}.${PDY}${CYL} -&datenowin dnow%yy=${syy}, dnow%mm=${smm}, - dnow%dd=${sdd}, dnow%hh=${CYL}/ -&dateoldin dold%yy=${oldyy}, dold%mm=${oldmm}, - dold%dd=${olddd}, dold%hh=${oldhh}/ -&datefuturein dfuture%yy=${futureyy}, dfuture%mm=${futuremm}, - dfuture%dd=${futuredd}, dfuture%hh=${futurehh}/ -&hourinfo vit_hr_incr=${vit_incr}/ -EOF - - -numvitrecs=`cat ${DATA}/vitals.${atcfout}.${PDY}${CYL} | wc -l` -if [ ${numvitrecs} -eq 0 ] -then - - if [ ${trkrtype} = 'tracker' ] - then - set +x - echo " " - echo "!!! NOTE -- There are no vitals records for this time period." - echo "!!! File ${DATA}/vitals.${atcfout}.${PDY}${CYL} is empty." - echo "!!! It could just be that there are no storms for the current" - echo "!!! time. Please check the dates and submit this job again...." - echo " " - set -x - exit 1 - fi - -fi - -# For tcgen cases, filter to use only vitals from the ocean -# basin of interest.... - -if [ ${trkrtype} = 'tcgen' ] - then - - if [ ${numvitrecs} -gt 0 ] - then - - fullvitfile=${DATA}/vitals.${atcfout}.${PDY}${CYL} - cp $fullvitfile ${DATA}/vitals.all_basins.${atcfout}.${PDY}${CYL} - basin=` echo $regtype | cut -c1-2` - - if [ ${basin} = 'al' ]; then - cat $fullvitfile | awk '{if (substr($0,8,1) == "L") print $0}' \ - >${DATA}/vitals.tcgen_al_only.${atcfout}.${PDY}${CYL} - cp ${DATA}/vitals.tcgen_al_only.${atcfout}.${PDY}${CYL} \ - ${DATA}/vitals.${atcfout}.${PDY}${CYL} - fi - if [ ${basin} = 'ep' ]; then - cat $fullvitfile | awk '{if (substr($0,8,1) == "E") print $0}' \ - >${DATA}/vitals.tcgen_ep_only.${atcfout}.${PDY}${CYL} - cp ${DATA}/vitals.tcgen_ep_only.${atcfout}.${PDY}${CYL} \ - ${DATA}/vitals.${atcfout}.${PDY}${CYL} - fi - if [ ${basin} = 'wp' ]; then - cat $fullvitfile | awk '{if (substr($0,8,1) == "W") print $0}' \ - >${DATA}/vitals.tcgen_wp_only.${atcfout}.${PDY}${CYL} - cp ${DATA}/vitals.tcgen_wp_only.${atcfout}.${PDY}${CYL} \ - ${DATA}/vitals.${atcfout}.${PDY}${CYL} - fi - - cat ${DATA}/vitals.${atcfout}.${PDY}${CYL} - - fi - -fi - -# - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -# Before running the program to read, sort and update the vitals, -# first run the vitals through some awk logic, the purpose of -# which is to convert all the 2-digit years into 4-digit years. -# We need this logic to ensure that all the vitals going -# into supvit.f have uniform, 4-digit years in their records. -# -# 1/8/2000: sed code added by Tim Marchok due to the fact that -# some of the vitals were getting past the syndata/qctropcy -# error-checking with a colon in them; the colon appeared -# in the character immediately to the left of the date, which -# was messing up the "(length($4) == 8)" statement logic. -# - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -sed -e "s/\:/ /g" ${DATA}/vitals.${atcfout}.${PDY}${CYL} > ${DATA}/tempvit -mv ${DATA}/tempvit ${DATA}/vitals.${atcfout}.${PDY}${CYL} - -awk ' -{ - yycheck = substr($0,20,2) - if ((yycheck == 20 || yycheck == 19) && (length($4) == 8)) { - printf ("%s\n",$0) - } - else { - if (yycheck >= 0 && yycheck <= 50) { - printf ("%s20%s\n",substr($0,1,19),substr($0,20)) - } - else { - printf ("%s19%s\n",substr($0,1,19),substr($0,20)) - } - } -} ' ${DATA}/vitals.${atcfout}.${PDY}${CYL} >${DATA}/vitals.${atcfout}.${PDY}${CYL}.y4 - -mv ${DATA}/vitals.${atcfout}.${PDY}${CYL}.y4 ${DATA}/vitals.${atcfout}.${PDY}${CYL} - -if [ ${numvitrecs} -gt 0 ] -then - - export pgm=supvit - . $prep_step - - ln -s -f ${DATA}/vitals.${atcfout}.${PDY}${CYL} fort.31 - ln -s -f ${DATA}/vitals.upd.${atcfout}.${PDY}${CYL} fort.51 - - msg="$pgm start for $atcfout at ${CYL}z" - $postmsg "$jlogfile" "$msg" - - ${exectrkdir}/supvit <${DATA}/suv_input.${atcfout}.${PDY}${CYL} - suvrcc=$? - - if [ ${suvrcc} -eq 0 ] - then - msg="$pgm end for $atcfout at ${CYL}z completed normally" - $postmsg "$jlogfile" "$msg" - else - set +x - echo " " - echo "!!! ERROR -- An error occurred while running supvit.x, " - echo "!!! which is the program that updates the TC Vitals file." - echo "!!! Return code from supvit.x = ${suvrcc}" - echo "!!! model= ${atcfout}, forecast initial time = ${PDY}${CYL}" - echo "!!! Exiting...." - echo " " - set -x - err_exit " FAILED ${jobid} - ERROR RUNNING SUPVIT IN TRACKER SCRIPT- ABNORMAL EXIT" - fi - -else - - touch ${DATA}/vitals.upd.${atcfout}.${PDY}${CYL} - -fi - -#----------------------------------------------------------------- -# In this section, check to see if the user requested the use of -# operational TC vitals records for the initial time only. This -# option might be used for a retrospective medium range forecast -# in which the user wants to initialize with the storms that are -# currently there, but then let the model do its own thing for -# the next 10 or 14 days.... - -#------------------------------------------------------------------# -# Now select all storms to be processed, that is, process every -# storm that's listed in the updated vitals file for the current -# forecast hour. If there are no storms for the current time, -# then exit. -#------------------------------------------------------------------# - -numvitrecs=`cat ${DATA}/vitals.upd.${atcfout}.${PDY}${CYL} | wc -l` -if [ ${numvitrecs} -eq 0 ] -then - if [ ${trkrtype} = 'tracker' ] - then - set +x - echo " " - echo "!!! NOTE -- There are no vitals records for this time period " - echo "!!! in the UPDATED vitals file." - echo "!!! It could just be that there are no storms for the current" - echo "!!! time. Please check the dates and submit this job again...." - echo " " - set -x - exit 1 - fi -fi - -set +x -echo " " -echo " *--------------------------------*" -echo " | STORM SELECTION |" -echo " *--------------------------------*" -echo " " -set -x - -ict=1 -while [ $ict -le 15 ] -do - stormflag[${ict}]=3 - let ict=ict+1 -done - -dtg_current="${symd} ${CYL}00" -stormmax=` grep "${dtg_current}" ${DATA}/vitals.upd.${atcfout}.${PDY}${CYL} | wc -l` - -if [ ${stormmax} -gt 15 ] -then - stormmax=15 -fi - -sct=1 -while [ ${sct} -le ${stormmax} ] -do - stormflag[${sct}]=1 - let sct=sct+1 -done - - -#---------------------------------------------------------------# -# -# -------- "Genesis" Vitals processing -------- -# -# May 2006: This entire genesis tracking system is being -# upgraded to more comprehensively track and categorize storms. -# One thing that has been missing from the tracking system is -# the ability to keep track of storms from one analysis cycle -# to the next. That is, the current system has been very -# effective at tracking systems within a forecast, but we have -# no methods in place for keeping track of storms across -# difference initial times. For example, if we are running -# the tracker on today's 00z GFS analysis, we will get a -# position for various storms at the analysis time. But then -# if we go ahead and run again at 06z, we have no way of -# telling the tracker that we know about the 00z position of -# this storm. We now address that problem by creating -# "genesis" vitals, that is, when a storm is found at an -# analysis time, we not only produce "atcfunix" output to -# detail the track & intensity of a found storm, but we also -# produce a vitals record that will be used for the next -# run of the tracker script. These "genesis vitals" records -# will be of the format: -# -# YYYYMMDDHH_AAAH_LLLLX_TYP -# -# Where: -# -# YYYYMMDDHH = Date the storm was FIRST identified -# by the tracker. -# AAA = Abs(Latitude) * 10; integer value -# H = 'N' for norther hem, 'S' for southern hem -# LLLL = Abs(Longitude) * 10; integer value -# X = 'E' for eastern hem, 'W' for western hem -# TYP = Tropical cyclone storm id if this is a -# tropical cyclone (e.g., "12L", or "09W", etc). -# If this is one that the tracker instead "Found -# On the Fly (FOF)", we simply put those three -# "FOF" characters in there. - -d6ago_ymdh=` ${NDATE:?} -6 ${PDY}${CYL}` -d6ago_4ymd=` echo ${d6ago_ymdh} | cut -c1-8` -d6ago_ymd=` echo ${d6ago_ymdh} | cut -c3-8` -d6ago_hh=` echo ${d6ago_ymdh} | cut -c9-10` -d6ago_str="${d6ago_ymd} ${d6ago_hh}00" - -d6ahead_ymdh=` ${NDATE:?} 6 ${PDY}${CYL}` -d6ahead_4ymd=` echo ${d6ahead_ymdh} | cut -c1-8` -d6ahead_ymd=` echo ${d6ahead_ymdh} | cut -c3-8` -d6ahead_hh=` echo ${d6ahead_ymdh} | cut -c9-10` -d6ahead_str="${d6ahead_ymd} ${d6ahead_hh}00" - -syyyym6=` echo ${d6ago_ymdh} | cut -c1-4` -smmm6=` echo ${d6ago_ymdh} | cut -c5-6` -sddm6=` echo ${d6ago_ymdh} | cut -c7-8` -shhm6=` echo ${d6ago_ymdh} | cut -c9-10` - -syyyyp6=` echo ${d6ahead_ymdh} | cut -c1-4` -smmp6=` echo ${d6ahead_ymdh} | cut -c5-6` -sddp6=` echo ${d6ahead_ymdh} | cut -c7-8` -shhp6=` echo ${d6ahead_ymdh} | cut -c9-10` - -set +x -echo " " -echo " d6ago_str= --->${d6ago_str}<---" -echo " current_str= --->${current_str}<---" -echo " d6ahead_str= --->${d6ahead_str}<---" -echo " " -echo " for the times 6h ago, current and 6h ahead:" -echo " " -echo " " -set -x - - touch ${DATA}/genvitals.upd.${cmodel}.${atcfout}.${PDY}${CYL} - - -#-----------------------------------------------------------------# -# -# ------ CUT APART INPUT GRIB FILES ------- -# -# For the selected model, cut apart the GRIB input files in order -# to pull out only the variables that we need for the tracker. -# Put these selected variables from all forecast hours into 1 big -# GRIB file that we'll use as input for the tracker. -# -#-----------------------------------------------------------------# - -set +x -echo " " -echo " -----------------------------------------" -echo " NOW CUTTING APART INPUT GRIB FILES TO " -echo " CREATE 1 BIG GRIB INPUT FILE " -echo " -----------------------------------------" -echo " " -set -x - -#gix=$NWPROD/util/exec/grbindex -#g2ix=$NWPROD/util/exec/grb2index -#cgb=$NWPROD/util/exec/copygb -#cgb2=$NWPROD/util/exec/copygb2 - -regflag=`grep NHC ${DATA}/vitals.upd.${atcfout}.${PDY}${CYL} | wc -l` - -# ---------------------------------------------------------------------- -find_gfile() { - # This subroutine finds an input file from a list of possible - # input filenames, and calls err_exit if no file is found. The - # first file found is returned. - - # Calling conventions: - # find_gfile GFS 30 /path/to/file1.master.pgrbq30.grib2 /path/to/file2.master.pgrbq030.grib2 ... - nicename="$1" - nicehour="$2" - shift 2 - gfile=none - echo "Searching for input $nicename data for forecast hour $nicehour" - set -x - now=$( date +%s ) - later=$(( now + wait_max_time )) - # Note: the loop has only one iteration if --wait-max-time is - # unspecified. That is because later=now - while [[ ! ( "$now" -gt "$later" ) ]] ; do - for gfile in "$@" ; do - if [[ ! -e "$gfile" ]] ; then - set +x - echo "$gfile: does not exist" - set -x - gfile=none - elif [[ ! -s "$gfile" ]] ; then - set +x - echo "$gfile: exists, but is empty" - set -x - gfile=none - else - set +x - echo "$gfile: exists, is non-empty, so I will use this file" - set -x - return 0 - fi - done - now=$( date +%s ) - if [[ "$gfile" == none ]] ; then - if [[ ! ( "$now" -lt "$later" ) ]] ; then - set +x - echo " " - echo " " - echo " !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!" - echo " !!! $nicename missing for hour $nicehour" - echo " !!! Check for the existence of these file:" - for gfile in "$@" ; do - echo " !!! $nicename File: $gfile" - done - echo " !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!" - echo " " - set -x - err_exit "ERROR: mandatory input GFS file for hour $nicehour is missing or empty. Aborting. Checked for these files: $*" - continue - else - set +x - echo " " - echo " !!! Mandatory input $nicename missing for hour $nicehour" - echo " !!! Will retry after $sleep_time second sleep." - echo " !!! Checked these files:" - for gfile in "$@" ; do - echo " !!! $nicename File: $gfile" - done - echo " " - set -x - sleep $sleep_time - fi - fi - done -} - -# -------------------------------------------------- -# Process GFS or GDAS data -# -------------------------------------------------- -if [[ ${model} -eq 1 || $model == 8 ]] ; then - - export nest_type="fixed" - export trkrebd=360.0 - export trkrwbd=0.0 - export trkrnbd=85.0 - export trkrsbd=-85.0 - rundescr="xxxx" - atcfdescr="xxxx" - - - if [ $loopnum -eq 1 ] - then - - if [ -s ${DATA}/gfsgribfile.${PDY}${CYL} ] - then - rm ${DATA}/gfsgribfile.${PDY}${CYL} - fi - - rm ${DATA}/master.gfsgribfile.${PDY}${CYL}.f* - rm ${DATA}/gfsgribfile.${PDY}${CYL}.f* - >${DATA}/gfsgribfile.${PDY}${CYL} - - set +x - echo " " - echo "Time before gfs wgrib loop is `date`" - echo " " - set -x - - if [[ "$model" -eq 8 ]] ; then - name=gdas - name1=gdas - nicename=GDAS - else # not model 8, so assume GFS - name=gfs - name1=gfs - nicename=GFS - fi - - for fhour in ${fcsthrs} ; do - fhour=$( echo "$fhour" | bc ) - - if [ ${fhour} -eq $bad_hour ] - then - continue - fi - - fhour00=$( printf %02d "$fhour" ) - fhour000=$( printf %03d "$fhour" ) - fhour0000=$( printf %03d "$fhour" ) - - if [[ "$gribver" == 1 ]] ; then - - find_gfile "$nicename" "$fhour" \ - ${gfsdir}/$name1.t${CYL}z.${flag_pgb}$fhour00 \ - ${gfsdir}/$name1.t${CYL}z.${flag_pgb}$fhour000 \ - ${gfsdir}/pgb${flag_pgb}$fhour00.$name.${symdh} \ - ${gfsdir}/pgrb${flag_pgb}$fhour00.$name.${symdh} - ${WGRIB:?} -s $gfile >gfs.ix - - for parm in ${wgrib_parmlist} - do - case ${parm} in - "SurfaceU") grep "UGRD:10 m " gfs.ix ;; - "SurfaceV") grep "VGRD:10 m " gfs.ix ;; - *) grep "${parm}" gfs.ix ;; - esac - done | ${WGRIB:?} -s $gfile -i -grib -append \ - -o ${DATA}/master.gfsgribfile.${PDY}${CYL}.f${fhour000} - - gfs_master_file=${DATA}/master.gfsgribfile.${PDY}${CYL}.f${fhour000} - gfs_converted_file=${DATA}/gfsgribfile.${PDY}${CYL}.f${fhour000} - gfs_cat_file=${DATA}/gfsgribfile.${PDY}${CYL} -# $cgb -g4 -i2 -x ${gfs_master_file} ${gfs_converted_file} -# cat ${gfs_converted_file} >>${gfs_cat_file} - cat ${gfs_master_file} >>${gfs_cat_file} - - else # gribver is not 1, so assume GRIB2 - - find_gfile "$nicename" "$fhour" \ - ${gfsdir}/$name1.t${CYL}z.pgrb2.0p25.f${fhour000} \ - ${gfsdir}/$name1.t${CYL}z.pgrb2.0p25.f${fhour00} \ - ${gfsdir}/pgb${flag_pgb}$fhour00.$name.${symdh}.grib2 \ - ${gfsdir}/pgrb${flag_pgb}${fhour000}.$name.${symdh}.grib2 - ${WGRIB2:?} -s $gfile >gfs.ix - - for parm in ${wgrib_parmlist} - do - case ${parm} in - "SurfaceU") grep "UGRD:10 m " gfs.ix ;; - "SurfaceV") grep "VGRD:10 m " gfs.ix ;; - *) grep "${parm}" gfs.ix ;; - esac - done | ${WGRIB2:?} -i $gfile -append -grib \ - ${DATA}/master.gfsgribfile.${PDY}${CYL}.f${fhour000} - - gfs_master_file=${DATA}/master.gfsgribfile.${PDY}${CYL}.f${fhour000} - gfs_converted_file=${DATA}/gfsgribfile.${PDY}${CYL}.f${fhour000} - gfs_cat_file=${DATA}/gfsgribfile.${PDY}${CYL} - - ${GRB2INDEX:?} ${gfs_master_file} ${gfs_master_file}.ix - - g1=${gfs_master_file} - x1=${gfs_master_file}.ix - -# grid4="0 6 0 0 0 0 0 0 720 361 0 0 90000000 0 48 -90000000 359500000 500000 500000 0" -# $cgb2 -g "${grid4}" ${g1} ${x1} ${gfs_converted_file} -# cat ${gfs_converted_file} >>${gfs_cat_file} - - cat ${gfs_master_file} >>${gfs_cat_file} - - fi - - done - - if [ ${gribver} -eq 1 ]; then - ${GRBINDEX:?} ${DATA}/gfsgribfile.${PDY}${CYL} ${DATA}/gfsixfile.${PDY}${CYL} - else - ${GRB2INDEX:?} ${DATA}/gfsgribfile.${PDY}${CYL} ${DATA}/gfsixfile.${PDY}${CYL} - fi - -# -------------------------------------------- - - if [[ "$PhaseFlag" == y ]] ; then - - catfile=${DATA}/gfs.${PDY}${CYL}.catfile - >${catfile} - - for fhour in ${fcsthrs} - do - - - fhour=$( echo "$fhour" | bc ) - - if [ ${fhour} -eq $bad_hour ] - then - continue - fi - - fhour00=$( printf %02d "$fhour" ) - fhour000=$( printf %03d "$fhour" ) - fhour0000=$( printf %03d "$fhour" ) - - set +x - echo " " - echo "Date in interpolation for model= $cmodel and fhour= $fhour000 before = `date`" - echo " " - set -x - - gfile=${DATA}/gfsgribfile.${PDY}${CYL} - ifile=${DATA}/gfsixfile.${PDY}${CYL} - - if [ ${gribver} -eq 1 ]; then - ${GRBINDEX:?} $gfile $ifile - else - ${GRB2INDEX:?} $gfile $ifile - fi - - gparm=7 - namelist=${DATA}/vint_input.${PDY}${CYL}.z - echo "&timein ifcsthour=${fhour000}," >${namelist} - echo " iparm=${gparm}," >>${namelist} - echo " gribver=${gribver}," >>${namelist} - echo " g2_jpdtn=${g2_jpdtn}/" >>${namelist} - - ln -s -f ${gfile} fort.11 - ln -s -f ${FIXRELO}/gfs_hgt_levs.txt fort.16 - ln -s -f ${ifile} fort.31 - ln -s -f ${DATA}/${cmodel}.${PDY}${CYL}.z.f${fhour000} fort.51 - - ${exectrkdir}/vint.x <${namelist} - rcc1=$? - - - gparm=11 - namelist=${DATA}/vint_input.${PDY}${CYL}.t - echo "&timein ifcsthour=${fhour000}," >${namelist} - echo " iparm=${gparm}," >>${namelist} - echo " gribver=${gribver}," >>${namelist} - echo " g2_jpdtn=${g2_jpdtn}/" >>${namelist} - - ln -s -f ${gfile} fort.11 - ln -s -f ${FIXRELO}/gfs_tmp_levs.txt fort.16 - ln -s -f ${ifile} fort.31 - ln -s -f ${DATA}/${cmodel}.${PDY}${CYL}.t.f${fhour000} fort.51 - - ${exectrkdir}/vint.x <${namelist} - rcc2=$? - - namelist=${DATA}/tave_input.${PDY}${CYL} - echo "&timein ifcsthour=${fhour000}," >${namelist} - echo " iparm=${gparm}," >>${namelist} - echo " gribver=${gribver}," >>${namelist} - echo " g2_jpdtn=${g2_jpdtn}/" >>${namelist} - - ffile=${DATA}/${cmodel}.${PDY}${CYL}.t.f${fhour000} - ifile=${DATA}/${cmodel}.${PDY}${CYL}.t.f${fhour000}.i - - if [ ${gribver} -eq 1 ]; then - ${GRBINDEX:?} ${ffile} ${ifile} - else - ${GRB2INDEX:?} ${ffile} ${ifile} - fi - - ln -s -f ${ffile} fort.11 - ln -s -f ${ifile} fort.31 - ln -s -f ${DATA}/${cmodel}.tave.${PDY}${CYL}.f${fhour000} fort.51 - ln -s -f ${DATA}/${cmodel}.tave92.${PDY}${CYL}.f${fhour000} fort.92 - - ${exectrkdir}/tave.x <${namelist} - rcc3=$? - - if [ $rcc1 -eq 0 -a $rcc2 -eq 0 -a $rcc3 -eq 0 ]; then - echo " " - else - mailfile=${rundir}/errmail.${cmodel}.${PDY}${CYL} - echo "CPS/WC interp failure for $cmodel ${PDY}${CYL}" >${mailfile} - mail -s "GFS Failure (CPS/WC int) $cmodel ${PDY}${CYL}" ${userid} <${mailfile} - exit 8 - fi - - tavefile=${DATA}/${cmodel}.tave.${PDY}${CYL}.f${fhour000} - zfile=${DATA}/${cmodel}.${PDY}${CYL}.z.f${fhour000} - cat ${zfile} ${tavefile} >>${catfile} -## rm $tavefile $zfile - - set +x - echo " " - echo "Date in interpolation for cmodel= $cmodel and fhour= $fhour000 after = `date`" - echo " " - set -x - - done - fi # end of "If PhaseFlag is on" - fi # end of "If loopnum is 1" - - gfile=${DATA}/gfsgribfile.${PDY}${CYL} - ifile=${DATA}/gfsixfile.${PDY}${CYL} - - if [[ "$PhaseFlag" == y ]] ; then - cat ${catfile} >>${gfile} - if [ ${gribver} -eq 1 ]; then - ${GRBINDEX:?} ${gfile} ${ifile} - else - ${GRB2INDEX:?} ${gfile} ${ifile} - fi - fi - - # File names for input to tracker: - gribfile=${DATA}/gfsgribfile.${PDY}${CYL} - ixfile=${DATA}/gfsixfile.${PDY}${CYL} -fi - -$postmsg "$jlogfile" "SUCCESS: have all inputs needed to run tracker. Will now run the tracker." - -#------------------------------------------------------------------------# -# Now run the tracker # -#------------------------------------------------------------------------# - -ist=1 -while [ $ist -le 15 ] -do - if [ ${stormflag[${ist}]} -ne 1 ] - then - set +x; echo "Storm number $ist NOT selected for processing"; set -x - else - set +x; echo "Storm number $ist IS selected for processing...."; set -x - fi - let ist=ist+1 -done - -namelist=${DATA}/input.${atcfout}.${PDY}${CYL} -ATCFNAME=` echo "${atcfname}" | tr '[a-z]' '[A-Z]'` - -if [ ${cmodel} = 'sref' ]; then - export atcfymdh=` ${NDATE:?} -3 ${scc}${syy}${smm}${sdd}${shh}` -else - export atcfymdh=${scc}${syy}${smm}${sdd}${shh} -fi - -contour_interval=100.0 -write_vit=n -want_oci=.TRUE. - -cat < ${namelist} -&datein inp%bcc=${scc},inp%byy=${syy},inp%bmm=${smm}, - inp%bdd=${sdd},inp%bhh=${shh},inp%model=${model}, - inp%modtyp='${modtyp}', - inp%lt_units='${lead_time_units}', - inp%file_seq='${file_sequence}', - inp%nesttyp='${nest_type}'/ -&atcfinfo atcfnum=${atcfnum},atcfname='${ATCFNAME}', - atcfymdh=${atcfymdh},atcffreq=${atcffreq}/ -&trackerinfo trkrinfo%westbd=${trkrwbd}, - trkrinfo%eastbd=${trkrebd}, - trkrinfo%northbd=${trkrnbd}, - trkrinfo%southbd=${trkrsbd}, - trkrinfo%type='${trkrtype}', - trkrinfo%mslpthresh=${mslpthresh}, - trkrinfo%v850thresh=${v850thresh}, - trkrinfo%gridtype='${modtyp}', - trkrinfo%contint=${contour_interval}, - trkrinfo%want_oci=${want_oci}, - trkrinfo%out_vit='${write_vit}', - trkrinfo%gribver=${gribver}, - trkrinfo%g2_jpdtn=${g2_jpdtn}/ -&phaseinfo phaseflag='${PHASEFLAG}', - phasescheme='${PHASE_SCHEME}', - wcore_depth=${WCORE_DEPTH}/ -&structinfo structflag='${STRUCTFLAG}', - ikeflag='${IKEFLAG}'/ -&fnameinfo gmodname='${atcfname}', - rundescr='${rundescr}', - atcfdescr='${atcfdescr}'/ -&verbose verb=3/ -&waitinfo use_waitfor='n', - wait_min_age=10, - wait_min_size=100, - wait_max_wait=1800, - wait_sleeptime=5, - per_fcst_command=''/ -EOF - -export pgm=gettrk -. $prep_step - -ln -s -f ${gribfile} fort.11 -ln -s -f ${DATA}/vitals.upd.${atcfout}.${PDY}${shh} fort.12 -ln -s -f ${DATA}/genvitals.upd.${cmodel}.${atcfout}.${PDY}${CYL} fort.14 -ihour=1 -for fhour in ${fcsthrs} ; do - fhour=$( echo "$fhour" | bc ) # strip leading zeros - printf "%4d %5d\n" $ihour $(( fhour * 60 )) - let ihour=ihour+1 -done > leadtimes.txt -ln -s -f leadtimes.txt fort.15 -#ln -s -f ${FIXRELO}/${cmodel}.tracker_leadtimes fort.15 -ln -s -f ${ixfile} fort.31 - -if [[ -z "$atcfout" ]] ; then - err_exit 'ERROR: exgfs_trkr script forgot to set $atcfout variable' -fi - -track_file_path=nowhere - -if [ ${trkrtype} = 'tracker' ]; then - if [ ${atcfout} = 'gfdt' -o ${atcfout} = 'gfdl' -o \ - ${atcfout} = 'hwrf' -o ${atcfout} = 'hwft' ]; then - ln -s -f ${DATA}/trak.${atcfout}.all.${stormenv}.${PDY}${CYL} fort.61 - ln -s -f ${DATA}/trak.${atcfout}.atcf.${stormenv}.${PDY}${CYL} fort.62 - ln -s -f ${DATA}/trak.${atcfout}.radii.${stormenv}.${PDY}${CYL} fort.63 - ln -s -f ${DATA}/trak.${atcfout}.atcf_gen.${stormenv}.${PDY}${CYL} fort.66 - ln -s -f ${DATA}/trak.${atcfout}.atcf_sink.${stormenv}.${PDY}${CYL} fort.68 - ln -s -f ${DATA}/trak.${atcfout}.atcf_hfip.${stormenv}.${PDY}${CYL} fort.69 - track_file_path=${DATA}/trak.${atcfout}.atcfunix.${stormenv}.${PDY}${CYL} - else - ln -s -f ${DATA}/trak.${atcfout}.all.${PDY}${CYL} fort.61 - ln -s -f ${DATA}/trak.${atcfout}.atcf.${PDY}${CYL} fort.62 - ln -s -f ${DATA}/trak.${atcfout}.radii.${PDY}${CYL} fort.63 - ln -s -f ${DATA}/trak.${atcfout}.atcf_gen.${PDY}${CYL} fort.66 - ln -s -f ${DATA}/trak.${atcfout}.atcf_sink.${PDY}${CYL} fort.68 - ln -s -f ${DATA}/trak.${atcfout}.atcf_hfip.${PDY}${CYL} fort.69 - track_file_path=${DATA}/trak.${atcfout}.atcfunix.${PDY}${CYL} - fi -else - ln -s -f ${DATA}/trak.${atcfout}.all.${regtype}.${PDY}${CYL} fort.61 - ln -s -f ${DATA}/trak.${atcfout}.atcf.${regtype}.${PDY}${CYL} fort.62 - ln -s -f ${DATA}/trak.${atcfout}.radii.${regtype}.${PDY}${CYL} fort.63 - ln -s -f ${DATA}/trak.${atcfout}.atcf_gen.${regtype}.${PDY}${CYL} fort.66 - ln -s -f ${DATA}/trak.${atcfout}.atcf_sink.${regtype}.${PDY}${CYL} fort.68 - ln -s -f ${DATA}/trak.${atcfout}.atcf_hfip.${regtype}.${PDY}${CYL} fort.69 - track_file_path=${DATA}/trak.${atcfout}.atcfunix.${regtype}.${PDY}${CYL} -fi - -if [[ "$track_file_path" == nowhere ]] ; then - err_exit 'ERROR: exgfs_trkr script forgot to set $track_file_path variable' -fi - -ln -s -f $track_file_path fort.64 - -if [ ${atcfname} = 'aear' ] -then - ln -s -f ${DATA}/trak.${atcfout}.initvitl.${PDY}${CYL} fort.65 -fi - -if [ ${write_vit} = 'y' ] -then - ln -s -f ${DATA}/output_genvitals.${atcfout}.${PDY}${shh} fort.67 -fi - -if [ ${PHASEFLAG} = 'y' ]; then - if [ ${atcfout} = 'gfdt' -o ${atcfout} = 'gfdl' -o \ - ${atcfout} = 'hwrf' -o ${atcfout} = 'hwft' ]; then - ln -s -f ${DATA}/trak.${atcfout}.cps_parms.${stormenv}.${PDY}${CYL} fort.71 - else - ln -s -f ${DATA}/trak.${atcfout}.cps_parms.${PDY}${CYL} fort.71 - fi -fi - -if [ ${STRUCTFLAG} = 'y' ]; then - if [ ${atcfout} = 'gfdt' -o ${atcfout} = 'gfdl' -o \ - ${atcfout} = 'hwrf' -o ${atcfout} = 'hwft' ]; then - ln -s -f ${DATA}/trak.${atcfout}.structure.${stormenv}.${PDY}${CYL} fort.72 - ln -s -f ${DATA}/trak.${atcfout}.fractwind.${stormenv}.${PDY}${CYL} fort.73 - ln -s -f ${DATA}/trak.${atcfout}.pdfwind.${stormenv}.${PDY}${CYL} fort.76 - else - ln -s -f ${DATA}/trak.${atcfout}.structure.${PDY}${CYL} fort.72 - ln -s -f ${DATA}/trak.${atcfout}.fractwind.${PDY}${CYL} fort.73 - ln -s -f ${DATA}/trak.${atcfout}.pdfwind.${PDY}${CYL} fort.76 - fi -fi - -if [ ${IKEFLAG} = 'y' ]; then - if [ ${atcfout} = 'gfdt' -o ${atcfout} = 'gfdl' -o \ - ${atcfout} = 'hwrf' -o ${atcfout} = 'hwft' ]; then - ln -s -f ${DATA}/trak.${atcfout}.ike.${stormenv}.${PDY}${CYL} fort.74 - else - ln -s -f ${DATA}/trak.${atcfout}.ike.${PDY}${CYL} fort.74 - fi -fi - -if [ ${trkrtype} = 'midlat' -o ${trkrtype} = 'tcgen' ]; then - ln -s -f ${DATA}/trkrmask.${atcfout}.${regtype}.${PDY}${CYL} fort.77 -fi - - -set +x -echo " " -echo " -----------------------------------------------" -echo " NOW EXECUTING TRACKER......" -echo " -----------------------------------------------" -echo " " -set -x - -msg="$pgm start for $atcfout at ${CYL}z" -$postmsg "$jlogfile" "$msg" - -set +x -echo "+++ TIMING: BEFORE gettrk ---> `date`" -set -x - -set +x -echo " " -echo "TIMING: Before call to gettrk at `date`" -echo " " -set -x - -##/usrx/local/bin/getrusage -a /hwrf/save/Qingfu.Liu/trak/para/exec/gettrk <${namelist} - -${exectrkdir}/gettrk <${namelist} | tee gettrk.log -gettrk_rcc=$? - -set +x -echo " " -echo "TIMING: After call to gettrk at `date`" -echo " " -set -x - -set +x -echo "+++ TIMING: AFTER gettrk ---> `date`" -set -x - -#--------------------------------------------------------------# -# Send a message to the jlogfile for each storm that used -# tcvitals for hour 0 track/intensity info. -#--------------------------------------------------------------# - -pcount=0 -cat gettrk.log | grep -a 'NOTE: TCVITALS_USED_FOR_ATCF_F00' | \ -while read line -do - echo "line is [$line]" - if [[ ! ( "$pcount" -lt 30 ) ]] ; then - $postmsg "$jlogfile" "Hit maximum number of postmsg commands for tcvitals usage at hour 0. Will stop warning about that, to avoid spamming jlogfile." - break - fi - $postmsg "$jlogfile" "$line" - pcount=$(( pcount + 1 )) -done - -#--------------------------------------------------------------# -# Now copy the output track files to different directories -#--------------------------------------------------------------# - -set +x -echo " " -echo " -----------------------------------------------" -echo " NOW COPYING OUTPUT TRACK FILES TO COM " -echo " -----------------------------------------------" -echo " " -set -x - -if [[ ! -e "$track_file_path" ]] ; then - $postmsg "$jlogfile" "WARNING: tracker output file does not exist. This is probably an error. File: $track_file_path" - $postmsg "$jlogfile" "WARNING: exgfs_trkr will create an empty track file and deliver that." - cat /dev/null > $track_file_path -elif [[ ! -s "$track_file_path" ]] ; then - $postmsg "$jlogfile" "WARNING: tracker output file is empty. That is only an error if there are storms or genesis cases somewhere in the world. File: $track_file_path" -else - $postmsg "$jlogfile" "SUCCESS: Track file exists and is non-empty: $track_file" - if [[ "$PHASEFLAG" == n ]] ; then - echo "Phase information was disabled. I will remove the empty phase information from the track file before delivery." - cp -p $track_file_path $track_file_path.orig - cut -c1-112 < $track_file_path.orig > $track_file_path - if [[ ! -s "$track_file_path" ]] ; then - $postmsg "$jlogfile" "WARNING: Something went wrong with \"cut\" command to remove phase information. Will deliver original file." - /bin/mv -f $track_file_path.orig $track_file_path - else - $postmsg "$jlogfile" "SUCCESS: Removed empty phase information because phase information is disabled." - fi - fi -fi - -#mkdir /global/save/Qingfu.Liu/gfspara_track/gfs.${PDY}${CYL} -#cp /ptmpp1/Qingfu.Liu/trakout2/${PDY}${CYL}/gfs/trak.gfso.atcf* /global/save/Qingfu.Liu/gfspara_track/gfs.${PDY}${CYL}/. -#rm -rf /ptmpp1/Qingfu.Liu/trakout2/${PDY}${CYL}/gfs/* - -if [ ${gettrk_rcc} -eq 0 ]; then - - if [ -s ${DATA}/output_genvitals.${atcfout}.${PDY}${shh} ]; then - cat ${DATA}/output_genvitals.${atcfout}.${PDY}${shh} >>${genvitfile} - fi - - if [ ${PARAFLAG} = 'YES' ] - then - - if [[ ! -s "$track_file_path" ]] ; then - $postmsg "$jlogfile" "WARNING: delivering empty track file to rundir." - fi - - cp $track_file_path ../. - cat $track_file_path >> \ - ${rundir}/${cmodel}.atcfunix.${syyyy} - if [ ${cmodel} = 'gfs' ]; then - cat ${rundir}/${cmodel}.atcfunix.${syyyy} | sed -e "s/ GFSO/ AVNO/g" >>${rundir}/avn.atcfunix.${syyyy} - fi -# cp ${DATA}/trak.${atcfout}.atcf_sink.${regtype}.${PDY}${CYL} ../. -# cp ${DATA}/trak.${atcfout}.atcf_gen.${regtype}.${PDY}${CYL} ../. - fi - - msg="$pgm end for $atcfout at ${CYL}z completed normally" - $postmsg "$jlogfile" "$msg" - -# Now copy track files into various archives.... - - if [ ${SENDCOM} = 'YES' ] - then - - if [[ ! -s "$track_file_path" ]] ; then - $postmsg "$jlogfile" "WARNING: delivering an empty track file to COM." - return - fi - - glatuxarch=${glatuxarch:-${gltrkdir}/tracks.atcfunix.${syy}} - - cat $track_file_path >>${glatuxarch} - if [ ${cmodel} = 'gfs' ]; then - cat $track_file_path | sed -e "s/ GFSO/ AVNO/g" >>${glatuxarch} - fi - - if [ ${PARAFLAG} = 'YES' ] - then - echo " " - tmatuxarch=${tmatuxarch:-/gpfs/gd2/emc/hwrf/save/${userid}/trak/prod/tracks.atcfunix.${syy}} - cat $track_file_path >>${tmatuxarch} - if [ ${cmodel} = 'gfs' ]; then - cat $track_file_path | sed -e "s/ GFSO/ AVNO/g" >>${tmatuxarch} - fi - else - - if [ ${cmodel} = 'gfdl' ] - then - cp $track_file_path ${COM}/${stormenv}.${PDY}${CYL}.trackeratcfunix - else - cp $track_file_path ${COM}/${atcfout}.t${CYL}z.cyclone.trackatcfunix - if [ ${cmodel} = 'gfs' ]; then - cat $track_file_path | sed -e "s/ GFSO/ AVNO/g" >${COM}/avn.t${CYL}z.cyclone.trackatcfunix - fi - fi - - tmscrdir=/gpfs/gd2/emc/hwrf/save/${userid}/trak/prod - - tmtrakstat=${tmscrdir}/tracker.prod.status - echo "${atcfout} tracker completed okay for ${PDY}${CYL}" >>${tmtrakstat} - - export SENDDBN=${SENDDBN:-YES} - if [ ${SENDDBN} = 'YES' ] - then - if [ ${cmodel} = 'gfdl' ] - then - $DBNROOT/bin/dbn_alert ATCFUNIX GFS_NAVY $job ${COM}/${stormenv}.${PDY}${CYL}.trackeratcfunix - else - $DBNROOT/bin/dbn_alert ATCFUNIX GFS_NAVY $job ${COM}/${atcfout}.t${CYL}z.cyclone.trackatcfunix - if [ ${cmodel} = 'gfs' ]; then - $DBNROOT/bin/dbn_alert ATCFUNIX GFS_NAVY $job ${COM}/avn.t${CYL}z.cyclone.trackatcfunix - fi - fi - fi - - if [[ "$SENDNHC" == YES ]] ; then - # We need to parse apart the atcfunix file and distribute the forecasts to - # the necessary directories. To do this, first sort the atcfunix records - # by forecast hour (k6), then sort again by ocean basin (k1), storm number (k2) - # and then quadrant radii wind threshold (k12). Once you've got that organized - # file, break the file up by putting all the forecast records for each storm - # into a separate file. Then, for each file, find the corresponding atcfunix - # file in the /nhc/com/prod/atcf directory and dump the atcfunix records for that - # storm in there. - - if [ ${cmodel} = 'gfdl' ] - then - auxfile=${COM}/${stormenv}.${PDY}${CYL}.trackeratcfunix - else - auxfile=$track_file_path - fi - - sort -k6 ${auxfile} | sort -k1 -k2 -k12 >atcfunix.sorted - - old_string="XX, XX" - - ict=0 - while read unixrec - do - storm_string=` echo "${unixrec}" | cut -c1-6` - if [ "${storm_string}" = "${old_string}" ] - then - echo "${unixrec}" >>atcfunix_file.${ict} - else - let ict=ict+1 - echo "${unixrec}" >atcfunix_file.${ict} - old_string="${storm_string}" - fi - done >${ATCFdir}/${at}${NO}${syyyy}/a${at}${NO}${syyyy}.dat - cat atcfunix_file.$mct >>${ATCFdir}/${at}${NO}${syyyy}/a${at}${NO}${syyyy}.dat - cat atcfunix_file.$mct >>${ATCFdir}/${at}${NO}${syyyy}/ncep_a${at}${NO}${syyyy}.dat - if [ ${cmodel} = 'gfs' ]; then - cat atcfunix_file.$mct | sed -e "s/ GFSO/ AVNO/g" >>${ATCFdir}/${at}${NO}${syyyy}/a${at}${NO}${syyyy}.dat - cat atcfunix_file.$mct | sed -e "s/ GFSO/ AVNO/g" >>${ATCFdir}/${at}${NO}${syyyy}/ncep_a${at}${NO}${syyyy}.dat - fi - set +x - echo " " - echo "+++ Adding records to TPC ATCFUNIX directory: /tpcprd/atcf_unix/${at}${NO}${syyyy}" - echo " " - set -x - else - set +x - echo " " - echo "There is no TPC ATCFUNIX directory for: /tpcprd/atcf_unix/${at}${NO}${syyyy}" - set -x - fi - done - fi - fi - fi - - fi - -else - - if [ ${PARAFLAG} = 'YES' ] - then - echo " " - else - tmtrakstat=/gpfs/gd2/emc/hwrf/save/${userid}/trak/prod/tracker.prod.status - echo "ERROR: ${atcfout} tracker FAILED for ${PDY}${CYL}" >>${tmtrakstat} - fi - - set +x - echo " " - echo "!!! ERROR -- An error occurred while running gettrk.x, " - echo "!!! which is the program that actually gets the track." - echo "!!! Return code from gettrk.x = ${gettrk_rcc}" - echo "!!! model= ${atcfout}, forecast initial time = ${PDY}${CYL}" - echo "!!! Exiting...." - echo " " - set -x - err_exit " FAILED ${jobid} - ERROR RUNNING GETTRK IN TRACKER SCRIPT- ABNORMAL EXIT" - -fi diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_extrkr.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_extrkr.txt deleted file mode 100644 index dbf0b45..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_extrkr.txt +++ /dev/null @@ -1 +0,0 @@ -PARATRKR=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/fv3gfs/trunk/global_shared.v15.0.0/ush/global_extrkr.sh diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_tracker.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_tracker.sh deleted file mode 100755 index f5b9bb5..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_tracker.sh +++ /dev/null @@ -1,115 +0,0 @@ -#! /bin/ksh -set -x - -export CDATE=${1:-?} -export CDUMP=${2:-?} -export COMOUT=${3:-?} -export DATA=${4:-?} -export nknd=${5:-0} - -export PSLOT=${PSLOT:-x} -export FHMAX2=${FHMAX2:-252} -export FHOUT=${FHOUT:-3} -user=$LOGNAME - -# Load production utility module. Used by PARATRKR -shell=${SHELL:-ksh} -export machine=${machine:-WCOSS_C} -if [ $machine = WCOSS ]; then - . /usrx/local/Modules/default/init/ksh 2>>/dev/null - module use /nwprod2/modulefiles 2>>/dev/null - module load prod_util 2>>/dev/null - export APRUNTRACK="" -elif [ $machine = WCOSS_C ]; then - . $MODULESHOME/init/sh 2>>/dev/null - module load prod_util 2>>/dev/null - module load iobuf/2.0.5 2>>/dev/null - export IOBUF_PARAMS="*:size=32M:count=4:verbose" - export APRUNTRACK="aprun -j1 -n1 -N1 -d1" -fi -# -export HOMEDIR=${HOMEDIR:-${BASE_TROPCY:-/gpfs/hps/emc/global/noscrub/Qingfu.Liu/gfs/gfs_q3fy17/tropcy_qc_reloc.v13.2.0_NEMS_Russ/}} -export NWPROD=${NWPROD:-/nwprod} -export NWPROD=${NWPROD:-$HOMEDIR} -export USHDIR=${USHDIR:-$HOMEDIR/ush} -export archsyndir=${archsyndir:-$COMROOTp1/arch/prod/syndat} -export HOMERELO=${HOMERELO:-$HOMEDIR} -FIXRELO=${FIXRELO:-${HOMERELO}/fix} -GRIBVERSION=${GRIBVERSION:-"grib2"} -# -#export DISK_GLOB=${DISK_GLOB:-/global/save} -#export DISK_TRAK=${DISK_TRAK:-$DISK_GLOB} -export GETTRKEXEC=${GETTRKEXEC:-$HOMEDIR/exec/gettrk} -export inpdate=$CDATE -export paradir=$COMIN -export prxtrak=$DATA -export vdir=$DATA - -if [ ! -d ${vdir} ]; then - mkdir -p ${vdir} -fi -if [ ! -d ${prxtrak} ]; then - mkdir -p ${prxtrak} -fi - -cd $vdir - -# Always keep cmodel as "para" - -export cmodel=para -if [ "$GRIBVERSION" = "grib1" ]; then - export gribver=1 -else - export gribver=2 -fi - -# TRACKID can be changed to "parx", "pary", "parw", etc.... - -# -nn=$((`echo $PSLOT | wc -c`-1)) -if [ $nn -eq 1 ] ; then - export TRACKID=`echo par$PSLOT | cut -c1-4` -else -# export TRACKID=pr$PSLOT - export TRACKID=`echo pr$PSLOT | cut -c1-4` -fi - - -export YYYYMMDDHH=${inpdate} -export PDY=` echo ${YYYYMMDDHH} | cut -c1-8` -export cyc=` echo ${YYYYMMDDHH} | cut -c9-10` -if [[ -r tcvitl.$CDUMP.$CDATE ]]; then - export AUXTCVIT=$DATA/auxtcvit.$CDATE - NDATE=${NDATE:-$NWPROD/util/exec/ndate} - export GDATE=$($NDATE -06 $CDATE) - cat tcvitl.gdas.$GDATE tcvitl.$CDUMP.$CDATE >$AUXTCVIT -else - export AUXTCVIT=JUNK_NOFILE -fi - -if [ $nknd -gt 1 ] ; then - export CDUMP=${CDUMP}${nknd} -fi - -gfstrackhour1=180; gfstrackhour2=252 -if [ $gfstrackhour1 -gt $FHMAX2 ]; then gfstrackhour1=$FHMAX2 ; fi -if [ $gfstrackhour2 -gt $FHMAX2 ]; then gfstrackhour2=$FHMAX2 ; fi - -if [[ $CDUMP = gdas ]]; then - ${APRUNTRACK} ${PARATRKR:-$USHDIR/global_extrkr.sh} --gdas-last-hour 9 - cp $DATA/trak.$TRACKID.atcfunix.$CDATE $COMOUT/atcfunix.$CDUMP.$CDATE -else - # Run first tracker for 180 hours for NHC/JTWC operational forecast: - ${APRUNTRACK} ${PARATRKR:-$USHDIR/global_extrkr.sh} --gfs-last-hour $gfstrackhour1 --wait-for-data 900 - cp $DATA/trak.$TRACKID.atcfunix.$CDATE $COMOUT/atcfunix.$CDUMP.$CDATE - if [ $machine = WCOSS_C ]; then - # Run a second tracker for 252 hours for experimental ten day forecasts: - if [ $gfstrackhour2 -gt $gfstrackhour1 ]; then; - export SENDNHC=NO - ${APRUNTRACK} ${PARATRKR:-$USHDIR/global_extrkr.sh} --gfs-last-hour $gfstrackhour2 --wait-for-data 900 - cp $DATA/trak.$TRACKID.atcfunix.$CDATE $COMOUT/atcf252hr.$CDUMP.$CDATE - fi - fi -fi - - diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_tracker.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_tracker.txt deleted file mode 100644 index b7c4bcf..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/global_tracker.txt +++ /dev/null @@ -1 +0,0 @@ -TRACKERSH=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/fv3gfs/trunk/global_shared.v15.0.0/ush/global_tracker.sh diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/vsdbjob.sh b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/vsdbjob.sh deleted file mode 100755 index 3ae08d8..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/vsdbjob.sh +++ /dev/null @@ -1,356 +0,0 @@ -#!/bin/ksh -set -x - -##--------------------------------------------------------------------------- -## Modified version of vsdbjob_submit.sh for use in NCEP/EMC GFS para_config -## to do verification while forecast is running. -## Fanglin Yang, 01Jan2010 -##--------------------------------------------------------------------------- - -## variables read in from vrfy.sh and/or para_config -export DATEST=${1:-20080701} ;#forecast starting date -export DATEND=${2:-20080801} ;#forecast ending date -export vlength=${3:-384} ;#forecast length in hour -export cycle=${4:-"00"} ;#forecast cycle -export exp1name=${5:-"pre13a"} ;#experiment names -export VSDB_START_DATE=${6:-$VSDB_START_DATE} ;#map starting date -export anl_type=${7:-${anltype:-gfs}} ;#analysis type for verification: gfs, gdas, ecmwf, manl or canl -export gfs_cyc=${8:-${gfs_cyc:-1}} ;#number of GFS cycles, 1-->00Z, 4-->00Z 06Z 12Z and 18Z -export iauf00=${9:-${iauf00:-"NO"}} ;#set pgbf00=pgbanl for forecasts with IAU - -export exp1dir=${ARCDIR1:-/global/hires/glopara/archive} ;#online archive of current exp -export scppgb=${SCP_PGB:-"NO"} ;#whether of not to scp pgb files from CLIENT -export sfcvsdb=${sfcvsdb:-"YES"} ;#include the group of surface variables -export rundir0=${rundir:-$STMP/$LOGNAME/vsdb_exp} - -## -##------------------------------------------------------------------- -##------------------------------------------------------------------- - -MAKEVSDBDATA=${VSDB_STEP1:-NO} ;#To create VSDB date - -MAKEMAPS=${VSDB_STEP2:-NO} ;#To make AC and RMS maps - -CONUSPLOTS=${VSDB_STEP2:-NO} ;#To make precip verification plots - -CONUSDATA=${VRFYPRCP:-NO} ;#To compute precip threat skill scores - -VRFYG2OBS=${VRFYG2OBS:-NO} ;#To create grid2obs vsdb date - -#---------------------------------------------------------------------- -export machine=${machine:-WCOSS} ;#WCOSS, THEIA -export machine=$(echo $machine|tr '[a-z]' '[A-Z]') -export ACCOUNT=${ACCOUNT:-GFS-T2O} ;#ibm computer ACCOUNT task -export CUE2RUN=${CUE2RUN:-shared} ;#dev or devhigh or 1 -export CUE2FTP=${CUE2FTP:-$CUE2RUNA} ;#queue for data transfer -export GROUP=${GROUP:-g01} ;#account group -export webhost=${webhost:-"emcrzdm.ncep.noaa.gov"} ;#host for web display -export webhostid=${webhostid:-$LOGNAME} ;#id of webhost -export ftpdir=${WEBDIR:-/home/people/emc/www/htdocs/gmb/$webhostid}/vsdb -export doftp=${SEND2WEB:-"NO"} ;#whether or not to sent maps to ftpdir -export vsdbsave=${vsdbsave:-/stmp/$LOGNAME/VSDB/vsdb_data} ;#place where vsdb database is saved - -chost=`echo $(hostname) |cut -c 1-1 ` -chost2=`echo $(hostname) |cut -c 1-2 ` - -if [ $machine = THEIA ]; then - export vsdbhome=${vsdbhome:-/scratch4/NCEPDEV/global/save/Fanglin.Yang/VRFY/vsdb} ;#script home, do not change - export GNOSCRUB=${GNOSCRUB:-/scratch4/NCEPDEV/global/noscrub} ;#archive directory - export STMP=${STMP:-/scratch4/NCEPDEV/stmp3} ;#temporary directory - export PTMP=${PTMP:-/scratch4/NCEPDEV/stmp3} ;#temporary directory - - export obdata=/scratch4/NCEPDEV/global/save/Fanglin.Yang/obdata ;#observation data for making 2dmaps - export gstat=/scratch4/NCEPDEV/global/noscrub/stat ;#global stats directory - export gfsvsdb=$gstat/vsdb_data ;#operational gfs vsdb database - export canldir=$gstat/canl ;#consensus analysis directory - export ecmanldir=$gstat/ecm ;#ecmwf analysis directory - export OBSPCP=$gstat/OBSPRCP ;#observed precip for verification - export gfswgnedir=$gstat/wgne1 ;#operational gfs precip QPF scores - export gfsfitdir=$gstat/surufits ;#Suru operational model fit-to-obs database - export gdas_prepbufr_arch=$gstat/prepbufr/gdas - export ndasbufr_arch=$gstat/prepbufr/ndas - export nambufr_arch=$gstat/prepbufr/nam - export SUBJOB=$vsdbhome/bin/sub_theia ;#script for submitting batch jobs - export CUE2FTP=service ;#data transfer queue - export NWPROD=$vsdbhome/nwprod ;#common utilities and libs included in /nwprod - export GRADSBIN=/apps/grads/2.0.1a/bin ;#GrADS executables - export IMGCONVERT=/usr/bin/convert ;#image magic converter - export FC=/apps/intel/composer_xe_2013_sp1.2.144/bin/intel64/ifort ;#intel compiler - export FFLAG="-O2 -convert big_endian -FR" ;#intel compiler options - export APRUN="" - export COMROTNCO=${COMROTNCO:-/scratch4/NCEPDEV/rstprod/com} - export COMROTNAM=$COMROTNCO - -elif [ $machine = JET ]; then - export vsdbhome=${vsdbhome:-/pan2/projects/gnmip/Fanglin.Yang/VRFY/vsdb} ;#script home, do not change - export GNOSCRUB=${GNOSCRUB:-/pan2/projects/gnmip/$LOGNAME/noscrub} ;#temporary directory - export STMP=${STMP:-/pan2/projects/gnmip/$LOGNAME/ptmp} ;#temporary directory - export PTMP=${PTMP:-/pan2/projects/gnmip/$LOGNAME/ptmp} ;#temporary directory - - export obdata=/pan2/projects/gnmip/Fanglin.Yang/VRFY/obdata ;#observation data for making 2dmaps - export gstat=/pan2/projects/gnmip/Fanglin.Yang/VRFY/stat ;#global stats directory - export gfsvsdb=$gstat/vsdb_data ;#operational gfs vsdb database - export canldir=$gstat/canl ;#consensus analysis directory - export ecmanldir=$gstat/ecm ;#ecmwf analysis directory - export OBSPCP=$gstat/OBSPRCP ;#observed precip for verification - export gfswgnedir=$gstat/wgne1 ;#operational gfs precip QPF scores - export gfsfitdir=$gstat/surufits ;#Suru operational model fit-to-obs database - export gdas_prepbufr_arch=$gstat/prepbufr/gdas - export SUBJOB=$vsdbhome/bin/sub_jet ;#script for submitting batch jobs - export NWPROD=$vsdbhome/nwprod ;#common utilities and libs included in /nwprod - export GRADSBIN=/opt/grads/2.0.a2//bin/grads ;#GrADS executables - export IMGCONVERT=/usr/bin/convert ;#image magic converter - export FC=/opt/intel/Compiler/11.1/072//bin/intel64/ifort ;#intel compiler - export FFLAG="-O2 -convert big_endian -FR" ;#intel compiler options - export APRUN="" - -elif [ $chost = t -o $machine = WCOSS ]; then - export vsdbhome=${vsdbhome:-/global/save/Fanglin.Yang/VRFY/vsdb} ;#script home, do not change - export GNOSCRUB=${GNOSCRUB:-/global/noscrub} ;#archive directory - export STMP=${STMP:-/stmp} ;#temporary directory - export PTMP=${PTMP:-/ptmp} ;#temporary directory - - export obdata=/global/save/Fanglin.Yang/obdata ;#observation data for making 2dmaps - export gstat=/global/noscrub/Fanglin.Yang/stat ;#global stats directory - export gfsvsdb=$gstat/vsdb_data ;#operational gfs vsdb database - export canldir=$gstat/canl ;#consensus analysis directory - export ecmanldir=$gstat/ecm ;#ecmwf analysis directory - export OBSPCP=$gstat/OBSPRCP ;#observed precip for verification - export gfswgnedir=$gstat/wgne1 ;#operational gfs precip QPF scores - export gfsfitdir=$gstat/surufits ;#Suru operational model fit-to-obs database - export gdas_prepbufr_arch=/global/noscrub/Fanglin.Yang/prepbufr/gdas ;#ops gdas prepbufr archive - export ndasbufr_arch=/global/noscrub/Fanglin.Yang/prepbufr/ndas - export nambufr_arch=/global/noscrub/Fanglin.Yang/prepbufr/nam - export SUBJOB=$vsdbhome/bin/sub_wcoss ;#script for submitting batch jobs - export CUE2FTP=transfer ;#data transfer queue - export NWPROD=$vsdbhome/nwprod ;#common utilities and libs included in /nwprod - export GRADSBIN=/usrx/local/GrADS/2.0.2/bin ;#GrADS executables - export IMGCONVERT=/usrx/local/ImageMagick/6.8.3-3/bin/convert ;#image magic converter - export FC=/usrx/local/intel/composer_xe_2011_sp1.11.339/bin/intel64/ifort ;#intel compiler - export FFLAG="-O2 -convert big_endian -FR" ;#fortran compiler options - export APRUN="" - export COMROTNCO=${COMROTNCO:-/gpfs/hps/nco/ops/com} - export COMROTNAM=${COMROTNAM:-/com2} - -elif [ $machine = WCOSS_C ]; then - export vsdbhome=${vsdbhome:-/gpfs/hps3/emc/global/noscrub/Fanglin.Yang/VRFY/vsdb} ;#script home, do not change - export GNOSCRUB=${GNOSCRUB:-/gpfs/hps3/emc/global/noscrub} ;#archive directory - export STMP=${STMP:-/gpfs/hps3/stmp} ;#temporary directory - export PTMP=${PTMP:-/gpfs/hps3/ptmp} ;#temporary directory - - export obdata=/gpfs/hps3/emc/global/noscrub/Fanglin.Yang/obdata ;#observation data for making 2dmaps - export gstat=/gpfs/hps3/emc/global/noscrub/Fanglin.Yang/stat ;#global stats directory - export gfsvsdb=$gstat/vsdb_data ;#operational gfs vsdb database - export canldir=$gstat/canl ;#consensus analysis directory - export ecmanldir=$gstat/ecm ;#ecmwf analysis directory - export OBSPCP=$gstat/OBSPRCP ;#observed precip for verification - export gfswgnedir=$gstat/wgne ;#operational gfs precip QPF scores - export gfsfitdir=$gstat/surufits ;#Suru operational model fit-to-obs database - export gdas_prepbufr_arch=/gpfs/hps3/emc/global/noscrub/Fanglin.Yang/prepbufr/gdas ;#ops gdas prepbufr archive - export ndasbufr_arch=/gpfs/hps3/emc/global/noscrub/Fanglin.Yang/prepbufr/ndas - export nambufr_arch=/gpfs/hps3/emc/global/noscrub/Fanglin.Yang/prepbufr/nam - export SUBJOB=$vsdbhome/bin/sub_wcoss_c ;#script for submitting batch jobs - export CUE2FTP=dev_transfer ;#data transfer queue - export NWPROD=$vsdbhome/nwprod ;#common utilities and libs included in /nwprod - export GRADSBIN=/gpfs/hps3/emc/global/noscrub/Fanglin.Yang/software/grads-2.1.a2/bin - export IMGCONVERT=/usr/bin/convert ;#image magic converter - export FC=/opt/intel/composer_xe_2015.3.187/bin/intel64/ifort - export FFLAG="-O2 -convert big_endian -FR" ;#fortran compiler options - export APRUN="aprun -n 1 -N 1 -j 1 -d 1" - . $MODULESHOME/init/sh - module load prod_envir - export COMROTNCO=${COMROTNCO:-$COMROOT} - export COMROTNAM=${COMROTNAM:-$COMROOTp2} -fi - -if [ $gfs_cyc = 1 ]; then - export vhrlist=${vhrlist:-"$cycle"} ;#verification hours for each day - export fcyclist="$cycle" ;#forecast cycles to be included in stats computation - export cyc2runvsdb="$cycle" ;#cycle to run vrfy which will generate vsdb data for all cycles of the day -elif [ $gfs_cyc = 2 ]; then - export vhrlist=${vhrlist:-"00 12 "} ;#verification hours for each day - export fcyclist="00 12" ;#forecast cycles to be included in stats computation - export cyc2runvsdb=12 ;#cycle to run vrfy which will generate vsdb data for all cycles of the day -elif [ $gfs_cyc = 4 ]; then - export vhrlist=${vhrlist:-"00 06 12 18"} ;#verification hours for each day - export fcyclist="00 06 12 18" ;#forecast cycles to be included in stats computation - export cyc2runvsdb=18 ;#cycle to run vrfy which will generate vsdb data for all cycles of the day -else - echo "gfs_cyc must be 1, 2 or 4, quit vsdbjob" - exit -fi - -if [ $cycle != $cyc2runvsdb ]; then - MAKEVSDBDATA=NO - MAKEMAPS=NO - VRFYG2OBS=NO -fi -if [ $cycle != 00 -a $cycle != 12 ]; then - CONUSPLOTS=NO - CONUSDATA=NO -fi - - -### -------------------------------------------------------------- -### make vsdb database - if [ $MAKEVSDBDATA = YES ] ; then -### -------------------------------------------------------------- -export fcyclist="$fcyclist" ;#all fcst cycles to be included in verification -export expnlist=$exp1name ;#experiment names -export expdlist=$exp1dir ;#exp online archive directories -export complist=$(hostname) ;#computers where experiments are run -export dumplist=".gfs." ;#file format pgb${asub}${fhr}${dump}${yyyymmdd}${cyc} - -export anl_type=$anl_type ;#analysis type for verification: gfs, gdas or canl -export DATEST=$DATEST ;#verification starting date -export DATEND=$DATEND ;#verification ending date -export vlength=$vlength ;#forecast length in hour -export asub=${asub:-a} ;#string in pgb anal file after pgb, say, pgbanl, pgbhnl -export fsub=${fsub:-f} ;#string in pgb fcsy file after pgb, say, pgbf06, pgbh06 - -if [ ! -d $vsdbhome ]; then - echo "$vsdbhome does not exist " - exit -fi -if [ ! -d $expdlist ]; then - echo "$expdlist does not exist " - exit -fi - -export rundir=$rundir0/acrmse_stat -#export listvar1=fcyclist,vhrlist,expnlist,expdlist,complist,dumplist,DATEST,DATEND,vlength,rundir -#export listvar2=machine,anl_type,scppgb,sfcvsdb,canldir,ecmanldir,vsdbsave,vsdbhome,gd,NWPROD -#export listvar="$listvar1,$listvar2" - -${vsdbhome}/verify_exp_step1.sh - -### -------------------------------------------------------------- - fi -### -------------------------------------------------------------- - - - -### -------------------------------------------------------------- -### make AC and RMSE maps - if [ $MAKEMAPS = YES ] ; then -### -------------------------------------------------------------- -# -export mdlist=${mdlist:-"gfs $exp1name"} ;#experiment names, up to 10 -export fcyclist="$fcyclist" ;#forecast cycles to show on map -export DATEST=${VSDB_START_DATE:-$DATEST} ;#map starting date starting date to show on map -export DATEND=$DATEND ;#verification ending date to show on map -export vlength=$vlength ;#forecast length in hour to show on map -export maptop=${maptop:-10} ;#can be set to 10, 50 or 100 hPa for cross-section maps -export maskmiss=${maskmiss:-1} ;#remove missing data from all models to unify sample size, 0-->NO, 1-->Yes - -set -A namelist $mdlist -export rundir=$rundir0/acrmse_map - -${vsdbhome}/verify_exp_step2.sh -### -------------------------------------------------------------- - fi -### -------------------------------------------------------------- - - -### -------------------------------------------------------------- -### make CONUS precip plots - if [ $CONUSPLOTS = YES ] ; then -### -------------------------------------------------------------- -export expnlist=$mdlist ;#experiment names, up to 6 -export expdlist=${expd_list:-"$exp1dir $exp1dir $exp1dir $exp1dir $exp1dir $exp1dir"} ;#precip stats online archive dirs -export complist=${comp_list:-"$(hostname) $(hostname) $(hostname) $(hostname) $(hostname) $(hostname) "} ;#computers where experiments are run - -export cycle=$cycle ;#cycle to make QPF plots -export DATEST=$DATEST ;#forecast starting date to show on map -export DATEND=$(echo $($NWPROD/util/exec/ndate -${VBACKUP_PRCP:-00} ${DATEND}00 ) |cut -c1-8 ) -export rundir=$rundir0/rain_map -export scrdir=${vsdbhome}/precip -export vhour=${vhr_rain:-${vhour:-180}} ;#verification length in hour - -${scrdir}/plot_pcp.sh -### -------------------------------------------------------------- - fi -### -------------------------------------------------------------- - - -### -------------------------------------------------------------- -### compute precip threat score stats over CONUS - if [ $CONUSDATA = YES ] ; then -### -------------------------------------------------------------- -export cycle=$cycle ;#cycle to generate QPF stats data -export expnlist=$exp1name ;#experiment names -export expdlist=`dirname $COMROT` ;#exp online archive directories -export complist=$(hostname) ;#computers where experiments are run -export dumplist=".gfs." ;#file format pgb${asub}${fhr}${dump}${yyyymmdd}${cyc} -export DATEST=`$NWPROD/util/exec/ndate -${VBACKUP_PRCP:-00} ${DATEST}00 |cut -c 1-8 ` ;#verification starting date -export DATEND=`$NWPROD/util/exec/ndate -${VBACKUP_PRCP:-00} ${DATEND}00 |cut -c 1-8 ` ;#verification starting date - -export ftyplist=${ftyplist:-"flxf"} ;#file types: pgbq or flxf -export dumplist=${dumplist:-".gfs."} ;#file format ${ftyp}f${fhr}${dump}${yyyymmdd}${cyc} -export ptyplist=${ptyplist:-"PRATE"} ;#precip types in GRIB: PRATE or APCP -export bucket=${bucket:-6} ;#accumulation bucket in hours. bucket=0 -- continuous accumulation -export fhout=6 ;#forecast output frequency in hours -export vhour=${vhr_rain:-${vhour:-180}} ;#verification length in hour -export ARCDIR=${ARCDIR1:-$GNOSCRUB/$LOGNAME/archive} ;#directory to save stats data -export rundir=$rundir0/rain_stat -export scrdir=${vsdbhome}/precip - -#export listvar1=expnlist,expdlist,complist,ftyplist,dumplist,ptyplist,bucket,fhout,cyclist,vhour -#export listvar2=machine,DATEST,DATEND,ARCDIR,rundir,scrdir,OBSPCP,mapdir,scppgb,NWPROD -#export listvar="$listvar1,$listvar2" - -${scrdir}/mkup_rain_stat.sh -### -------------------------------------------------------------- - fi -### -------------------------------------------------------------- - - -### -------------------------------------------------------------- -### make grid2obs vsdb database - if [ $VRFYG2OBS = YES ] ; then -### -------------------------------------------------------------- -export cyclist="$fcyclist" ;#all fcst cycles to be included in verification -export expnlist="$exp1name" ;#experiment names -export expdlist="$exp1dir" ;#exp online archive directories -export complist="$(hostname)" ;#computers where experiments are run -export dumplist=".gfs." ;#file format pgb${asub}${fhr}${dump}${yyyymmdd}${cyc} -export fhoutair="6" ;#forecast output frequency in hours for raobs vrfy -export fhoutsfc="3" ;#forecast output frequency in hours for sfc vrfy -export gdtype="3" ;#pgb file resolution, 2 for 2.5-deg and 3 for 1-deg -export vsdbsfc="YES" ;#run sfc verification -export vsdbair="YES" ;#run upper-air verification -if [ $vlength -ge 168 ]; then - export vlength=168 ;#forecast length in hour -else - export vlength=$vlength ;#forecast length in hour -fi -export DATEST=`$NWPROD/util/exec/ndate -${VBACKUP_G2OBS:-00} ${DATEST}00 |cut -c 1-8 ` ;#verification starting date -export DATEND=`$NWPROD/util/exec/ndate -${VBACKUP_G2OBS:-00} ${DATEND}00 |cut -c 1-8 ` ;#verification ending date -export batch=YES -export rundir=$rundir0/grid2obs_stat -export HPSSTAR=${HPSSTAR:-/u/Fanglin.Yang/bin/hpsstar} -export hpssdirlist=${hpsslist:-"/5year/NCEPDEV/emc-global/$LOGNAME/$machine"} -export runhpss=${runhpss:-NO} ;#run hpsstar in batch mode if data are missing - -if [ ! -d $vsdbhome ]; then - echo "$vsdbhome does not exist " - exit -fi -if [ ! -d $expdlist ]; then - echo "$expdlist does not exist " - exit -fi - - -#listvar1=vsdbhome,vsdbsave,cyclist,expnlist,expdlist,dumplist,complist,fhoutair,fhoutsfc,vsdbsfc,vsdbair,gdtype,vlength -#listvar2=NWPROD,SUBJOB,ACCOUNT,CUE2RUN,CUE2FTP,GROUP,DATEST,DATEND,rundir,HPSSTAR,gdas_prepbufr_arch,batch,runhpss,APRUN,COMROTNCO -#export listvar=$listvar1,$listvar2 -${vsdbhome}/grid2obs/grid2obs.sh - - -### -------------------------------------------------------------- - fi -### -------------------------------------------------------------- - -exit - diff --git a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/vsdbjob.txt b/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/vsdbjob.txt deleted file mode 100644 index baf0736..0000000 --- a/systems/fv3gfs/outofcontrol_scripts/wcoss_c/vrfy/vsdbjob.txt +++ /dev/null @@ -1 +0,0 @@ -VSDBSH=/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/verif/global/tags/vsdb/vsdbjob.sh diff --git a/systems/fv3gfs/scripts/exglobal_fcst_crow.sh b/systems/fv3gfs/scripts/exglobal_fcst_crow.sh deleted file mode 100755 index 0415c49..0000000 --- a/systems/fv3gfs/scripts/exglobal_fcst_crow.sh +++ /dev/null @@ -1,288 +0,0 @@ -#!/bin/ksh -################################################################################ -# UNIX Script Documentation Block -# Script name: exglobal_fcst_nemsfv3gfs.sh.ecf -# Script description: Runs a global FV3GFS model forecast -# -# Author: Fanglin Yang Org: NCEP/EMC Date: 2016-11-15 -# Abstract: This script runs a single GFS forecast with FV3 dynamical core. -# This script is created based on a C-shell script that GFDL wrote -# for the NGGPS Phase-II Dycore Comparison Project. -# -# Script history log: -# 2016-11-15 Fanglin Yang First Version. -# 2017-02-09 Rahul Mahajan Added warm start and restructured the code. -# 2017-03-10 Fanglin Yang Updated for running forecast on Cray. -# 2017-03-24 Fanglin Yang Updated to use NEMS FV3GFS with IPD4 -# 2017-05-24 Rahul Mahajan Updated for cycling with NEMS FV3GFS -# 2017-09-13 Fanglin Yang Updated for using GFDL MP and Write Component -# -# $Id$ -# -# Attributes: -# Language: Portable Operating System Interface (POSIX) Shell -# Machine: WCOSS-CRAY, Theia -################################################################################ - -# Set environment. -VERBOSE=${VERBOSE:-"YES"} -if [ $VERBOSE = "YES" ] ; then - echo $(date) EXECUTING $0 $* >&2 - set -x -fi - -# This should be in the script that calls this script, not here -machine=${machine:-"WCOSS_C"} -machine=$(echo $machine | tr '[a-z]' '[A-Z]') -if [ $machine = "WCOSS_C" ] ; then - . $MODULESHOME/init/sh 2>/dev/null - PRGENV=${PRGENV:-intel} - HUGEPAGES=${HUGEPAGES:-hugepages4M} - module unload prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null - module load prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null - module load intel/16.3.210 2>/dev/null - module use /usrx/local/dev/modulefiles - export IOBUF_PARAMS=${IOBUF_PARAMS:-'*:size=8M:verbose'} - export MPICH_GNI_COLL_OPT_OFF=${MPICH_GNI_COLL_OPT_OFF:-MPI_Alltoallv} - export MKL_CBWR=AVX2 - module use /gpfs/hps3/emc/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null - module load esmf/7.1.0bs34 2>/dev/null -elif [ $machine = "THEIA" ]; then - . $MODULESHOME/init/sh 2>/dev/null - module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null - module load esmf/7.1.0bs34 2>/dev/null -fi - -# Directories. -pwd=$(pwd) -DATA=${DATA:-$( pwd -P )/fv3tmp$$} # temporary running directory -SEND=${SEND:-"YES"} #move final result to rotating directory -KEEPDATA=${KEEPDATA:-"NO"} -NTASKS_FV3=${NTASKS_FV3:-$npe_fv3} - -#------------------------------------------------------- -set -ue -if [ ! -d $ROTDIR ]; then mkdir -p $ROTDIR; fi -if [ ! -d $DATA ]; then mkdir -p $DATA ;fi -mkdir -p $DATA/RESTART $DATA/INPUT -cd $DATA -set +ue - -#------------------------------------------------------- -# member directory -if [ $MEMBER -lt 0 ]; then - prefix=$CDUMP - rprefix=$rCDUMP - memchar="" -else - prefix=enkf.$CDUMP - rprefix=enkf.$rCDUMP - memchar=mem$(printf %03i $MEMBER) -fi -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) -memdir=$ROTDIR/${prefix}.$cymd/$chh/$memchar -if [ ! -d $memdir ]; then mkdir -p $memdir; fi - -GDATE=$($NDATE -$assim_freq $CDATE) -gymd=$(echo $GDATE | cut -c1-8) -ghh=$(echo $GDATE | cut -c9-10) -gmemdir=$ROTDIR/${rprefix}.$gymd/$ghh/$memchar - -#------------------------------------------------------- -# initial conditions -increment_file=${increment_file:-$memdir/${CDUMP}.t${chh}z.atminc.nc} - -if [ $warm_start = ".false." ]; then - if [ -d $ICSDIR/$CDATE/$CDUMP/$CASE/INPUT ]; then - $NCP $ICSDIR/$CDATE/$CDUMP/$CASE/INPUT/* $DATA/INPUT/. - else - for file in $memdir/INPUT/*.nc; do - file2=$(echo $(basename $file)) - fsuf=$(echo $file2 | cut -c1-3) - if [ $fsuf = "gfs" -o $fsuf = "sfc" ]; then - $NLN $file $DATA/INPUT/$file2 - fi - done - fi -else - if [ ${restart_test:-"NO"} = "YES" ]; then - # start from the end of last forecast run - $NLN $gmemdir/RESTART/* $DATA/INPUT/. - else - - # Link all (except sfc_data) restart files from $gmemdir - for file in $gmemdir/RESTART/${cymd}.${chh}0000.*.nc; do - file2=$(echo $(basename $file)) - file2=$(echo $file2 | cut -d. -f3-) # remove the date from file - fsuf=$(echo $file2 | cut -d. -f1) - if [ $fsuf != "sfc_data" ]; then - $NLN $file $DATA/INPUT/$file2 - fi - done - - # Link sfcanl_data restart files from $memdir - for file in $memdir/RESTART/${cymd}.${chh}0000.*.nc; do - file2=$(echo $(basename $file)) - file2=$(echo $file2 | cut -d. -f3-) # remove the date from file - fsufanl=$(echo $file2 | cut -d. -f1) - if [ $fsufanl = "sfcanl_data" ]; then - file2=$(echo $file2 | sed -e "s/sfcanl_data/sfc_data/g") - $NLN $file $DATA/INPUT/$file2 - fi - done - - # Handle coupler.res file for DA cycling - if [ ${USE_COUPLER_RES:-"YES"} = "YES" ]; then - # In DA, this is not really a "true restart", - # and the model start time is the analysis time - # The alternative is to replace - # model start time with current model time in coupler.res - file=$gmemdir/RESTART/${cymd}.${chh}0000.coupler.res - file2=$(echo $(basename $file)) - file2=$(echo $file2 | cut -d. -f3-) # remove the date from file - $NLN $file $DATA/INPUT/$file2 - fi - - if [ $read_increment = ".true." ]; then - if [ -f $increment_file ]; then - $NLN $increment_file $DATA/INPUT/fv3_increment.nc - else - read_increment=".false." - fi - fi - fi -fi -nfiles=$(ls -1 $DATA/INPUT/* | wc -l) -if [ $nfiles -le 0 ]; then - echo "Initial conditions must exist in $DATA/INPUT, ABORT!" - exit 1 -fi - -#-------------------------------------------------------------------------- -# Grid and orography data -for n in $(seq 1 $ntiles); do - $NLN $FIX_FV3/$CASE/${CASE}_grid.tile${n}.nc $DATA/INPUT/${CASE}_grid.tile${n}.nc - $NLN $FIX_FV3/$CASE/${CASE}_oro_data.tile${n}.nc $DATA/INPUT/oro_data.tile${n}.nc -done -$NLN $FIX_FV3/$CASE/${CASE}_mosaic.nc $DATA/INPUT/grid_spec.nc - -# GFS standard input data - -$NLN $FIX_AM/global_solarconstant_noaa_an.txt $DATA/solarconstant_noaa_an.txt -$NLN $FIX_AM/global_o3prdlos.f77 $DATA/INPUT/global_o3prdlos.f77 -$NLN $FIX_AM/global_sfc_emissivity_idx.txt $DATA/sfc_emissivity_idx.txt - -$NLN $FIX_AM/global_co2historicaldata_glob.txt $DATA/co2historicaldata_glob.txt -$NLN $FIX_AM/co2monthlycyc.txt $DATA/co2monthlycyc.txt -if [ $ICO2 -gt 0 ]; then - for file in $(ls $FIX_AM/fix_co2_proj/global_co2historicaldata*) ; do - $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") - done -fi - -$NLN $FIX_AM/global_climaeropac_global.txt $DATA/aerosol.dat -if [ $IAER -gt 0 ] ; then - for file in $(ls $FIX_AM/global_volcanic_aerosols*) ; do - $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") - done -fi - -#------------------------------------------------------------------ -# Namelists. - -CROW_TO_SH="$HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH" - -# Override stochastic physics seeds if requested: -if [ ${SET_STP_SEED:-"YES"} = "YES" ]; then - ISEED_SKEB=$((CDATE*1000 + MEMBER*10 + 1)) - ISEED_SHUM=$((CDATE*1000 + MEMBER*10 + 2)) - ISEED_SPPT=$((CDATE*1000 + MEMBER*10 + 3)) - CROW_TO_SH="$CROW_TO_SH apply:ISEED_SKEB=$ISEED_SKEB apply:ISEED_SHUM=$ISEED_SHUM apply:ISEED_SPPT=$ISEED_SPPT" -fi - -set -eu - -# Build the FMS diag_table with the experiment name and date stamp: -pwd -ls -ld . -eval $( $CROW_TO_SH DIAG_TABLE=DIAG_TABLE ) -$CROW_TO_SH expand:diag_table_header > ./diag_table -cat diag_table -cat $DIAG_TABLE >> ./diag_table - -$NCP $DATA_TABLE data_table -$NCP $FIELD_TABLE field_table - -# NEMS and FV3 namelists: -$CROW_TO_SH expand:input_nml > ./input.nml -cat input.nml -$CROW_TO_SH expand:nems_configure > ./nems.configure -cat nems.configure -$CROW_TO_SH expand:model_configure > ./model_configure -cat model_configure - -set +eu - -#------------------------------------------------------------------ -# setup the runtime environment and run the executable -cd $DATA -$NCP $FCSTEXECDIR/$FCSTEXEC $DATA/. -export OMP_NUM_THREADS=$NTHREADS_FV3 -$APRUN_FV3 $DATA/$FCSTEXEC 1>&1 2>&2 -export ERR=$? -export err=$ERR -$ERRSCRIPT || exit $err - -#------------------------------------------------------------------ -if [ $SEND = "YES" ]; then - # Copy model output files - cd $DATA - if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then - $NCP ${CDUMP}.t${chh}z.atm*.nemsio $memdir/. - $NCP ${CDUMP}.t${chh}z.sfc*.nemsio $memdir/. - else - for n in $(seq 1 $ntiles); do - for file in *.tile${n}.nc; do - $NCP $file $memdir/. - done - done - fi - - # Copy model restart files - cd $DATA/RESTART - mkdir -p $memdir/RESTART - - # Add time-stamp to restart files at FHMAX (this should be done inside the model) - RDATE=$($NDATE +$FHMAX $CDATE) - rymd=$(echo $RDATE | cut -c1-8) - rhh=$(echo $RDATE | cut -c9-10) - for file in $(ls * | grep -v 0000); do - $NMV $file ${rymd}.${rhh}0000.$file - done - - # Only save restarts at single time in RESTART directory - # Either at FHMAX or at first time in restart_interval - if [ $restart_interval -eq 0 ]; then - RDATE=$($NDATE +$FHMAX $CDATE) - else - RDATE=$($NDATE +$restart_interval $CDATE) - fi - rymd=$(echo $RDATE | cut -c1-8) - rhh=$(echo $RDATE | cut -c9-10) - for file in ${rymd}.${rhh}0000.* ; do - $NCP $file $memdir/RESTART/$file - done - -fi - -#------------------------------------------------------------------ -# Clean up before leaving -if [ $KEEPDATA = "NO" ]; then rm -rf $DATA; fi - -#------------------------------------------------------------------ -set +x -if [ $VERBOSE = "YES" ] ; then - echo $(date) EXITING $0 with return code $err >&2 -fi -exit 0 diff --git a/systems/fv3gfs/scripts/exglobal_fcst_crow_df.sh b/systems/fv3gfs/scripts/exglobal_fcst_crow_df.sh deleted file mode 100755 index 62b4b56..0000000 --- a/systems/fv3gfs/scripts/exglobal_fcst_crow_df.sh +++ /dev/null @@ -1,236 +0,0 @@ -#!/bin/ksh -################################################################################ -# UNIX Script Documentation Block -# Script name: exglobal_fcst_nemsfv3gfs.sh.ecf -# Script description: Runs a global FV3GFS model forecast -# -# Author: Fanglin Yang Org: NCEP/EMC Date: 2016-11-15 -# Abstract: This script runs a single GFS forecast with FV3 dynamical core. -# This script is created based on a C-shell script that GFDL wrote -# for the NGGPS Phase-II Dycore Comparison Project. -# -# Script history log: -# 2016-11-15 Fanglin Yang First Version. -# 2017-02-09 Rahul Mahajan Added warm start and restructured the code. -# 2017-03-10 Fanglin Yang Updated for running forecast on Cray. -# 2017-03-24 Fanglin Yang Updated to use NEMS FV3GFS with IPD4 -# 2017-05-24 Rahul Mahajan Updated for cycling with NEMS FV3GFS -# 2017-09-13 Fanglin Yang Updated for using GFDL MP and Write Component -# -# $Id$ -# -# Attributes: -# Language: Portable Operating System Interface (POSIX) Shell -# Machine: WCOSS-CRAY, Theia -################################################################################ - -# Set environment. -VERBOSE=${VERBOSE:-"YES"} -if [ $VERBOSE = "YES" ] ; then - echo $(date) EXECUTING $0 $* >&2 - set -x -fi - -# This should be in the script that calls this script, not here -machine=${machine:-"WCOSS_C"} -machine=$(echo $machine | tr '[a-z]' '[A-Z]') -if [ $machine = "WCOSS_C" ] ; then - . $MODULESHOME/init/sh 2>/dev/null - PRGENV=${PRGENV:-intel} - HUGEPAGES=${HUGEPAGES:-hugepages4M} - module unload prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null - module load prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null - module load intel/16.3.210 2>/dev/null - module use /usrx/local/dev/modulefiles - export IOBUF_PARAMS=${IOBUF_PARAMS:-'*:size=8M:verbose'} - export MPICH_GNI_COLL_OPT_OFF=${MPICH_GNI_COLL_OPT_OFF:-MPI_Alltoallv} - export MKL_CBWR=AVX2 - module use /gpfs/hps3/emc/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null - module load esmf/7.1.0bs34 2>/dev/null -elif [ $machine = "THEIA" ]; then - . $MODULESHOME/init/sh 2>/dev/null - module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null - module load esmf/7.1.0bs34 2>/dev/null -fi - -# Directories. -pwd=$(pwd) -DATA=${DATA:-$pwd/fv3tmp$$} # temporary running directory -SEND=${SEND:-"YES"} #move final result to rotating directory -KEEPDATA=${KEEPDATA:-"NO"} -NTASKS_FV3=${NTASKS_FV3:-$npe_fv3} - -#------------------------------------------------------- -set -ue -if [ ! -d $ROTDIR ]; then mkdir -p $ROTDIR; fi -if [ ! -d $DATA ]; then mkdir -p $DATA ;fi -mkdir -p $DATA/RESTART $DATA/INPUT -cd $DATA -set +ue - -#------------------------------------------------------- -# member directory -if [ $MEMBER -lt 0 ]; then - prefix=$CDUMP - rprefix=$rCDUMP - memchar="" -else - prefix=enkf.$CDUMP - rprefix=enkf.$rCDUMP - memchar=mem$(printf %03i $MEMBER) -fi -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) -memdir=$ROTDIR/${prefix}.$cymd/$chh/$memchar -if [ ! -d $memdir ]; then mkdir -p $memdir; fi - -GDATE=$($NDATE -$assim_freq $CDATE) -gymd=$(echo $GDATE | cut -c1-8) -ghh=$(echo $GDATE | cut -c9-10) -gmemdir=$ROTDIR/${rprefix}.$gymd/$ghh/$memchar - -#------------------------------------------------------- -# initial conditions -set -ue -increment_file=${increment_file:-$memdir/${CDUMP}.t${chh}z.atminc.nc} - -ACTOR=$( echo "$TASK_PATH" | sed 's,\.[^.]*$,,g' ) - -$HOMEcrow/crow_dataflow_deliver_sh.py -v -o "$DATA/INPUT/gfs_ctrl.nc" \ - "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=gfs_ctrl_nc - -$HOMEcrow/crow_dataflow_deliver_sh.py -v -m -o "$DATA/INPUT/{kind}.tile{tile}.nc" \ - "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=input_data_tiles -set +ue - -nfiles=$(ls -1 $DATA/INPUT/* | wc -l) -if [ $nfiles -le 0 ]; then - echo "Initial conditions must exist in $DATA/INPUT, ABORT!" - exit 1 -fi - -#-------------------------------------------------------------------------- -# Grid and orography data -for n in $(seq 1 $ntiles); do - $NLN $FIX_FV3/$CASE/${CASE}_grid.tile${n}.nc $DATA/INPUT/${CASE}_grid.tile${n}.nc - $NLN $FIX_FV3/$CASE/${CASE}_oro_data.tile${n}.nc $DATA/INPUT/oro_data.tile${n}.nc -done -$NLN $FIX_FV3/$CASE/${CASE}_mosaic.nc $DATA/INPUT/grid_spec.nc - -# GFS standard input data - -$NLN $FIX_AM/global_solarconstant_noaa_an.txt $DATA/solarconstant_noaa_an.txt -$NLN $FIX_AM/global_o3prdlos.f77 $DATA/INPUT/global_o3prdlos.f77 -$NLN $FIX_AM/global_sfc_emissivity_idx.txt $DATA/sfc_emissivity_idx.txt - -$NLN $FIX_AM/global_co2historicaldata_glob.txt $DATA/co2historicaldata_glob.txt -$NLN $FIX_AM/co2monthlycyc.txt $DATA/co2monthlycyc.txt -if [ $ICO2 -gt 0 ]; then - for file in $(ls $FIX_AM/fix_co2_proj/global_co2historicaldata*) ; do - $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") - done -fi - -$NLN $FIX_AM/global_climaeropac_global.txt $DATA/aerosol.dat -if [ $IAER -gt 0 ] ; then - for file in $(ls $FIX_AM/global_volcanic_aerosols*) ; do - $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") - done -fi - -#------------------------------------------------------------------ -# Namelists. - -CROW_TO_SH="$HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH" - -# Override stochastic physics seeds if requested: -if [ ${SET_STP_SEED:-"YES"} = "YES" ]; then - ISEED_SKEB=$((CDATE*1000 + MEMBER*10 + 1)) - ISEED_SHUM=$((CDATE*1000 + MEMBER*10 + 2)) - ISEED_SPPT=$((CDATE*1000 + MEMBER*10 + 3)) - CROW_TO_SH="$CROW_TO_SH apply:ISEED_SKEB=$ISEED_SKEB apply:ISEED_SHUM=$ISEED_SHUM apply:ISEED_SPPT=$ISEED_SPPT" -fi - -set -eu - -# Build the FMS diag_table with the experiment name and date stamp: -eval $( $CROW_TO_SH DIAG_TABLE=DIAG_TABLE ) -$CROW_TO_SH expand:diag_table_header > diag_table -cat diag_table -cat $DIAG_TABLE >> diag_table - -$NCP $DATA_TABLE data_table -$NCP $FIELD_TABLE field_table - -# NEMS and FV3 namelists: -$CROW_TO_SH expand:input_nml > input.nml -cat input.nml -$CROW_TO_SH expand:nems_configure > nems.configure -cat nems.configure -$CROW_TO_SH expand:model_configure > model_configure -cat model_configure - -set +eu - -#------------------------------------------------------------------ -# setup the runtime environment and run the executable -cd $DATA -$NCP $FCSTEXECDIR/$FCSTEXEC $DATA/. -export OMP_NUM_THREADS=$NTHREADS_FV3 -$APRUN_FV3 $DATA/$FCSTEXEC 1>&1 2>&2 -export ERR=$? -export err=$ERR -$ERRSCRIPT || exit $err - -#------------------------------------------------------------------ -if [ $SEND = "YES" ]; then - # Copy model output files - cd $DATA - if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then - $NCP ${CDUMP}.t${chh}z.atm*.nemsio $memdir/. - $NCP ${CDUMP}.t${chh}z.sfc*.nemsio $memdir/. - else - for n in $(seq 1 $ntiles); do - for file in *.tile${n}.nc; do - $NCP $file $memdir/. - done - done - fi - - # Copy model restart files - cd $DATA/RESTART - mkdir -p $memdir/RESTART - - # Add time-stamp to restart files at FHMAX (this should be done inside the model) - RDATE=$($NDATE +$FHMAX $CDATE) - rymd=$(echo $RDATE | cut -c1-8) - rhh=$(echo $RDATE | cut -c9-10) - for file in $(ls * | grep -v 0000); do - $NMV $file ${rymd}.${rhh}0000.$file - done - - # Only save restarts at single time in RESTART directory - # Either at FHMAX or at first time in restart_interval - if [ $restart_interval -eq 0 ]; then - RDATE=$($NDATE +$FHMAX $CDATE) - else - RDATE=$($NDATE +$restart_interval $CDATE) - fi - rymd=$(echo $RDATE | cut -c1-8) - rhh=$(echo $RDATE | cut -c9-10) - for file in ${rymd}.${rhh}0000.* ; do - $NCP $file $memdir/RESTART/$file - done - -fi - -#------------------------------------------------------------------ -# Clean up before leaving -if [ $KEEPDATA = "NO" ]; then rm -rf $DATA; fi - -#------------------------------------------------------------------ -set +x -if [ $VERBOSE = "YES" ] ; then - echo $(date) EXITING $0 with return code $err >&2 -fi -exit 0 diff --git a/systems/fv3gfs/scripts/exglobal_fcst_crow_exe.sh b/systems/fv3gfs/scripts/exglobal_fcst_crow_exe.sh deleted file mode 100755 index 84b0e6e..0000000 --- a/systems/fv3gfs/scripts/exglobal_fcst_crow_exe.sh +++ /dev/null @@ -1,176 +0,0 @@ -#!/bin/ksh -################################################################################ -# UNIX Script Documentation Block -# Script name: exglobal_fcst_nemsfv3gfs.sh.ecf -# Script description: Runs a global FV3GFS model forecast -# -# Author: Fanglin Yang Org: NCEP/EMC Date: 2016-11-15 -# Abstract: This script runs a single GFS forecast with FV3 dynamical core. -# This script is created based on a C-shell script that GFDL wrote -# for the NGGPS Phase-II Dycore Comparison Project. -# -# Script history log: -# 2016-11-15 Fanglin Yang First Version. -# 2017-02-09 Rahul Mahajan Added warm start and restructured the code. -# 2017-03-10 Fanglin Yang Updated for running forecast on Cray. -# 2017-03-24 Fanglin Yang Updated to use NEMS FV3GFS with IPD4 -# 2017-05-24 Rahul Mahajan Updated for cycling with NEMS FV3GFS -# 2017-09-13 Fanglin Yang Updated for using GFDL MP and Write Component -# -# $Id$ -# -# Attributes: -# Language: Portable Operating System Interface (POSIX) Shell -# Machine: WCOSS-CRAY, Theia -################################################################################ - -# Set environment. -VERBOSE=${VERBOSE:-"YES"} -if [ $VERBOSE = "YES" ] ; then - echo $(date) EXECUTING $0 $* >&2 - set -x -fi - -# This should be in the script that calls this script, not here -machine=${machine:-"WCOSS_C"} -machine=$(echo $machine | tr '[a-z]' '[A-Z]') -if [ $machine = "WCOSS_C" ] ; then - . $MODULESHOME/init/sh 2>/dev/null - PRGENV=${PRGENV:-intel} - HUGEPAGES=${HUGEPAGES:-hugepages4M} - module unload prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null - module load prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null - module load intel/16.3.210 2>/dev/null - module use /usrx/local/dev/modulefiles - export IOBUF_PARAMS=${IOBUF_PARAMS:-'*:size=8M:verbose'} - export MPICH_GNI_COLL_OPT_OFF=${MPICH_GNI_COLL_OPT_OFF:-MPI_Alltoallv} - export MKL_CBWR=AVX2 - module use /gpfs/hps3/emc/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null - module load esmf/7.1.0bs34 2>/dev/null -elif [ $machine = "THEIA" ]; then - . $MODULESHOME/init/sh 2>/dev/null - module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null - module load esmf/7.1.0bs34 2>/dev/null -fi - -# Directories. -pwd=$(pwd) -DATA=${DATA:-$pwd/fv3tmp$$} # temporary running directory -SEND=${SEND:-"YES"} #move final result to rotating directory -KEEPDATA=${KEEPDATA:-"NO"} -NTASKS_FV3=${NTASKS_FV3:-$npe_fv3} - -#------------------------------------------------------- -set -ue -if [ ! -d $ROTDIR ]; then mkdir -p $ROTDIR; fi -if [ ! -d $DATA ]; then mkdir -p $DATA ;fi -mkdir -p $DATA/RESTART $DATA/INPUT -cd $DATA -set +ue - -#------------------------------------------------------- -# initial conditions -set -ue - -ACTOR=$( echo "$TASK_PATH" | sed 's,\.[^.]*$,,g' ) - -$HOMEcrow/crow_dataflow_deliver_sh.py -v -o "$DATA/INPUT/gfs_ctrl.nc" \ - "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=gfs_ctrl_nc - -$HOMEcrow/crow_dataflow_deliver_sh.py -v -m -o "$DATA/INPUT/{kind}.tile{tile}.nc" \ - "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=input_data_tiles -set +ue - -nfiles=$(ls -1 $DATA/INPUT/* | wc -l) -if [ $nfiles -le 0 ]; then - echo "Initial conditions must exist in $DATA/INPUT, ABORT!" - exit 1 -fi - -#-------------------------------------------------------------------------- -# Grid and orography data -for n in $(seq 1 $ntiles); do - $NLN $FIX_FV3/$CASE/${CASE}_grid.tile${n}.nc $DATA/INPUT/${CASE}_grid.tile${n}.nc - $NLN $FIX_FV3/$CASE/${CASE}_oro_data.tile${n}.nc $DATA/INPUT/oro_data.tile${n}.nc -done -$NLN $FIX_FV3/$CASE/${CASE}_mosaic.nc $DATA/INPUT/grid_spec.nc - -# GFS standard input data - -$NLN $FIX_AM/global_solarconstant_noaa_an.txt $DATA/solarconstant_noaa_an.txt -$NLN $FIX_AM/global_o3prdlos.f77 $DATA/INPUT/global_o3prdlos.f77 -$NLN $FIX_AM/global_sfc_emissivity_idx.txt $DATA/sfc_emissivity_idx.txt - -$NLN $FIX_AM/global_co2historicaldata_glob.txt $DATA/co2historicaldata_glob.txt -$NLN $FIX_AM/co2monthlycyc.txt $DATA/co2monthlycyc.txt -if [ $ICO2 -gt 0 ]; then - for file in $(ls $FIX_AM/fix_co2_proj/global_co2historicaldata*) ; do - $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") - done -fi - -$NLN $FIX_AM/global_climaeropac_global.txt $DATA/aerosol.dat -if [ $IAER -gt 0 ] ; then - for file in $(ls $FIX_AM/global_volcanic_aerosols*) ; do - $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") - done -fi - -#------------------------------------------------------------------ -# Namelists. - -set -eu - -CROW_TO_SH="$HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH" - -# Override stochastic physics seeds if requested: -if [ ${SET_STP_SEED:-"YES"} = "YES" ]; then - ISEED_SKEB=$((CDATE*1000 + MEMBER*10 + 1)) - ISEED_SHUM=$((CDATE*1000 + MEMBER*10 + 2)) - ISEED_SPPT=$((CDATE*1000 + MEMBER*10 + 3)) - CROW_TO_SH="$CROW_TO_SH apply:ISEED_SKEB=$ISEED_SKEB apply:ISEED_SHUM=$ISEED_SHUM apply:ISEED_SPPT=$ISEED_SPPT" -fi - -# Build the FMS diag_table with the experiment name and date stamp: -eval $( $CROW_TO_SH DIAG_TABLE=DIAG_TABLE ) -$CROW_TO_SH expand:diag_table_header > diag_table -cat diag_table -cat $DIAG_TABLE >> diag_table - -$NCP $DATA_TABLE data_table -$NCP $FIELD_TABLE field_table - -# NEMS and FV3 namelists: -$CROW_TO_SH expand:input_nml > input.nml -cat input.nml -$CROW_TO_SH expand:nems_configure > nems.configure -cat nems.configure -$CROW_TO_SH expand:model_configure > model_configure -cat model_configure - - -#------------------------------------------------------------------ -# setup the runtime environment and run the executable -cd $DATA -$CROW_TO_SH run:actual_fcst_resources - -#------------------------------------------------------------------ -if [ $SEND = "YES" ]; then - # Copy model output files - cd $DATA - - $HOMEcrow/crow_dataflow_deliver_sh.py -v -m -i '{kind}.tile{tile}.nc' \ - "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=untimed_tiles - - $HOMEcrow/crow_dataflow_deliver_sh.py -v -i \ - 'RESTART/coupler.res' \ - "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=coupler_res - - $HOMEcrow/crow_dataflow_deliver_sh.py -v -m -i \ - 'RESTART/{kind}.tile{tile}.nc' \ - "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=restart_time_tiles -fi - -#------------------------------------------------------------------ -# Clean up before leaving -if [ $KEEPDATA = "NO" ]; then rm -rf $DATA; fi diff --git a/systems/fv3gfs/scripts/exglobal_fcst_crow_io.sh b/systems/fv3gfs/scripts/exglobal_fcst_crow_io.sh deleted file mode 100755 index c39f719..0000000 --- a/systems/fv3gfs/scripts/exglobal_fcst_crow_io.sh +++ /dev/null @@ -1,189 +0,0 @@ -#!/bin/ksh -################################################################################ -# UNIX Script Documentation Block -# Script name: exglobal_fcst_nemsfv3gfs.sh.ecf -# Script description: Runs a global FV3GFS model forecast -# -# Author: Fanglin Yang Org: NCEP/EMC Date: 2016-11-15 -# Abstract: This script runs a single GFS forecast with FV3 dynamical core. -# This script is created based on a C-shell script that GFDL wrote -# for the NGGPS Phase-II Dycore Comparison Project. -# -# Script history log: -# 2016-11-15 Fanglin Yang First Version. -# 2017-02-09 Rahul Mahajan Added warm start and restructured the code. -# 2017-03-10 Fanglin Yang Updated for running forecast on Cray. -# 2017-03-24 Fanglin Yang Updated to use NEMS FV3GFS with IPD4 -# 2017-05-24 Rahul Mahajan Updated for cycling with NEMS FV3GFS -# 2017-09-13 Fanglin Yang Updated for using GFDL MP and Write Component -# -# $Id$ -# -# Attributes: -# Language: Portable Operating System Interface (POSIX) Shell -# Machine: WCOSS-CRAY, Theia -################################################################################ - -# Set environment. -VERBOSE=${VERBOSE:-"YES"} -if [ $VERBOSE = "YES" ] ; then - echo $(date) EXECUTING $0 $* >&2 - set -x -fi - -# This should be in the script that calls this script, not here -machine=${machine:-"WCOSS_C"} -machine=$(echo $machine | tr '[a-z]' '[A-Z]') -if [ $machine = "WCOSS_C" ] ; then - . $MODULESHOME/init/sh 2>/dev/null - PRGENV=${PRGENV:-intel} - HUGEPAGES=${HUGEPAGES:-hugepages4M} - module unload prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null - module load prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null - module load intel/16.3.210 2>/dev/null - module use /usrx/local/dev/modulefiles - export IOBUF_PARAMS=${IOBUF_PARAMS:-'*:size=8M:verbose'} - export MPICH_GNI_COLL_OPT_OFF=${MPICH_GNI_COLL_OPT_OFF:-MPI_Alltoallv} - export MKL_CBWR=AVX2 - module use /gpfs/hps3/emc/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null - module load esmf/7.1.0bs34 2>/dev/null -elif [ $machine = "THEIA" ]; then - . $MODULESHOME/init/sh 2>/dev/null - module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null - module load esmf/7.1.0bs34 2>/dev/null -fi - -# Directories. -pwd=$(pwd) -DATA=${DATA:-$pwd/fv3tmp$$} # temporary running directory -SEND=${SEND:-"YES"} #move final result to rotating directory -KEEPDATA=${KEEPDATA:-"NO"} -NTASKS_FV3=${NTASKS_FV3:-$npe_fv3} - -#------------------------------------------------------- -set -ue -if [ ! -d $ROTDIR ]; then mkdir -p $ROTDIR; fi -if [ ! -d $DATA ]; then mkdir -p $DATA ;fi -mkdir -p $DATA/RESTART $DATA/INPUT -cd $DATA -set +ue - -#------------------------------------------------------- -# initial conditions -set -ue - -ACTOR=$( echo "$TASK_PATH" | sed 's,\.[^.]*$,,g' ) - -$HOMEcrow/crow_dataflow_deliver_sh.py -v -o "$DATA/INPUT/gfs_ctrl.nc" \ - "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=gfs_ctrl_nc - -$HOMEcrow/crow_dataflow_deliver_sh.py -v -m -o "$DATA/INPUT/{kind}.tile{tile}.nc" \ - "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=input_data_tiles -set +ue - -nfiles=$(ls -1 $DATA/INPUT/* | wc -l) -if [ $nfiles -le 0 ]; then - echo "Initial conditions must exist in $DATA/INPUT, ABORT!" - exit 1 -fi - -#-------------------------------------------------------------------------- -# Grid and orography data -for n in $(seq 1 $ntiles); do - $NLN $FIX_FV3/$CASE/${CASE}_grid.tile${n}.nc $DATA/INPUT/${CASE}_grid.tile${n}.nc - $NLN $FIX_FV3/$CASE/${CASE}_oro_data.tile${n}.nc $DATA/INPUT/oro_data.tile${n}.nc -done -$NLN $FIX_FV3/$CASE/${CASE}_mosaic.nc $DATA/INPUT/grid_spec.nc - -# GFS standard input data - -$NLN $FIX_AM/global_solarconstant_noaa_an.txt $DATA/solarconstant_noaa_an.txt -$NLN $FIX_AM/global_o3prdlos.f77 $DATA/INPUT/global_o3prdlos.f77 -$NLN $FIX_AM/global_sfc_emissivity_idx.txt $DATA/sfc_emissivity_idx.txt - -$NLN $FIX_AM/global_co2historicaldata_glob.txt $DATA/co2historicaldata_glob.txt -$NLN $FIX_AM/co2monthlycyc.txt $DATA/co2monthlycyc.txt -if [ $ICO2 -gt 0 ]; then - for file in $(ls $FIX_AM/fix_co2_proj/global_co2historicaldata*) ; do - $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") - done -fi - -$NLN $FIX_AM/global_climaeropac_global.txt $DATA/aerosol.dat -if [ $IAER -gt 0 ] ; then - for file in $(ls $FIX_AM/global_volcanic_aerosols*) ; do - $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") - done -fi - -#------------------------------------------------------------------ -# Namelists. - -CROW_TO_SH="$HOMEcrow/to_sh.py $CONFIG_YAML scope:workflow.$TASK_PATH" - -# Override stochastic physics seeds if requested: -if [ ${SET_STP_SEED:-"YES"} = "YES" ]; then - ISEED_SKEB=$((CDATE*1000 + MEMBER*10 + 1)) - ISEED_SHUM=$((CDATE*1000 + MEMBER*10 + 2)) - ISEED_SPPT=$((CDATE*1000 + MEMBER*10 + 3)) - CROW_TO_SH="$CROW_TO_SH apply:ISEED_SKEB=$ISEED_SKEB apply:ISEED_SHUM=$ISEED_SHUM apply:ISEED_SPPT=$ISEED_SPPT" -fi - -set -eu - -# Build the FMS diag_table with the experiment name and date stamp: -eval $( $CROW_TO_SH DIAG_TABLE=DIAG_TABLE ) -$CROW_TO_SH expand:diag_table_header > diag_table -cat diag_table -cat $DIAG_TABLE >> diag_table - -$NCP $DATA_TABLE data_table -$NCP $FIELD_TABLE field_table - -# NEMS and FV3 namelists: -$CROW_TO_SH expand:input_nml > input.nml -cat input.nml -$CROW_TO_SH expand:nems_configure > nems.configure -cat nems.configure -$CROW_TO_SH expand:model_configure > model_configure -cat model_configure - -set +eu - -#------------------------------------------------------------------ -# setup the runtime environment and run the executable -cd $DATA -$NCP $FCSTEXECDIR/$FCSTEXEC $DATA/. -export OMP_NUM_THREADS=$NTHREADS_FV3 -$APRUN_FV3 $DATA/$FCSTEXEC 1>&1 2>&2 -export ERR=$? -export err=$ERR -$ERRSCRIPT || exit $err - -#------------------------------------------------------------------ -if [ $SEND = "YES" ]; then - # Copy model output files - cd $DATA - - $HOMEcrow/crow_dataflow_deliver_sh.py -v -m -i '{kind}.tile{tile}.nc' \ - "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=untimed_tiles - - $HOMEcrow/crow_dataflow_deliver_sh.py -v -i \ - 'RESTART/coupler.res' \ - "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=coupler_res - - $HOMEcrow/crow_dataflow_deliver_sh.py -v -m -i \ - 'RESTART/{kind}.tile{tile}.nc' \ - "$CROW_DATAFLOW_DB" "$CDATE" "$ACTOR" slot=restart_time_tiles -fi - -#------------------------------------------------------------------ -# Clean up before leaving -if [ $KEEPDATA = "NO" ]; then rm -rf $DATA; fi - -#------------------------------------------------------------------ -set +x -if [ $VERBOSE = "YES" ] ; then - echo $(date) EXITING $0 with return code $err >&2 -fi -exit 0 diff --git a/systems/fv3gfs/scripts/exglobal_fcst_original.sh b/systems/fv3gfs/scripts/exglobal_fcst_original.sh deleted file mode 100755 index b34230c..0000000 --- a/systems/fv3gfs/scripts/exglobal_fcst_original.sh +++ /dev/null @@ -1,911 +0,0 @@ -#!/bin/ksh -################################################################################ -# UNIX Script Documentation Block -# Script name: exglobal_fcst_nemsfv3gfs.sh.ecf -# Script description: Runs a global FV3GFS model forecast -# -# Author: Fanglin Yang Org: NCEP/EMC Date: 2016-11-15 -# Abstract: This script runs a single GFS forecast with FV3 dynamical core. -# This script is created based on a C-shell script that GFDL wrote -# for the NGGPS Phase-II Dycore Comparison Project. -# -# Script history log: -# 2016-11-15 Fanglin Yang First Version. -# 2017-02-09 Rahul Mahajan Added warm start and restructured the code. -# 2017-03-10 Fanglin Yang Updated for running forecast on Cray. -# 2017-03-24 Fanglin Yang Updated to use NEMS FV3GFS with IPD4 -# 2017-05-24 Rahul Mahajan Updated for cycling with NEMS FV3GFS -# 2017-09-13 Fanglin Yang Updated for using GFDL MP and Write Component -# -# $Id$ -# -# Attributes: -# Language: Portable Operating System Interface (POSIX) Shell -# Machine: WCOSS-CRAY, Theia -################################################################################ - -# Set environment. -VERBOSE=${VERBOSE:-"YES"} -if [ $VERBOSE = "YES" ] ; then - echo $(date) EXECUTING $0 $* >&2 - set -x -fi - -# This should be in the script that calls this script, not here -machine=${machine:-"WCOSS_C"} -machine=$(echo $machine | tr '[a-z]' '[A-Z]') -if [ $machine = "WCOSS_C" ] ; then - . $MODULESHOME/init/sh 2>/dev/null - PRGENV=${PRGENV:-intel} - HUGEPAGES=${HUGEPAGES:-hugepages4M} - module unload prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null - module load prod_util iobuf PrgEnv-$PRGENV craype-$HUGEPAGES 2>/dev/null - module load intel/16.3.210 2>/dev/null - module use /usrx/local/dev/modulefiles - export IOBUF_PARAMS=${IOBUF_PARAMS:-'*:size=8M:verbose'} - export MPICH_GNI_COLL_OPT_OFF=${MPICH_GNI_COLL_OPT_OFF:-MPI_Alltoallv} - export MKL_CBWR=AVX2 - module use /gpfs/hps3/emc/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null - module load esmf/7.1.0bs34 2>/dev/null -elif [ $machine = "THEIA" ]; then - . $MODULESHOME/init/sh 2>/dev/null - module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/soft/modulefiles 2>/dev/null - module load esmf/7.1.0bs34 2>/dev/null -fi - -# Cycling and forecast hour specific parameters -CASE=${CASE:-C768} -CDATE=${CDATE:-2017032500} -CDUMP=${CDUMP:-gdas} -FHMIN=${FHMIN:-0} -FHMAX=${FHMAX:-9} -FHOUT=${FHOUT:-3} -FHZER=${FHZER:-6} -FHCYC=${FHCYC:-24} -FHMAX_HF=${FHMAX_HF:-0} -FHOUT_HF=${FHOUT_HF:-1} -NSOUT=${NSOUT:-"-1"} - -# Directories. -pwd=$(pwd) -NWPROD=${NWPROD:-${NWROOT:-$pwd}} -BASE_GSM=${BASE_GSM:-$NWPROD} -FIX_DIR=${FIX_DIR:-$BASE_GSM/fix} -FIX_AM=${FIX_AM:-$FIX_DIR/fix_am} -FIX_FV3=${FIX_FV3:-$FIX_DIR/fix_fv3} -DATA=${DATA:-$pwd/fv3tmp$$} # temporary running directory -ROTDIR=${ROTDIR:-$pwd} # rotating archive directory -ICSDIR=${ICSDIR:-$pwd} # cold start initial conditions -DMPDIR=${DMPDIR:-$pwd} # global dumps for seaice, snow and sst analysis - -# Model resolution specific parameters -DELTIM=${DELTIM:-225} -layout_x=${layout_x:-8} -layout_y=${layout_y:-16} -LEVS=${LEVS:-65} - -# Utilities -NCP=${NCP:-"/bin/cp -p"} -NLN=${NLN:-"/bin/ln -sf"} -NMV=${NMV:-"/bin/mv"} -SEND=${SEND:-"YES"} #move final result to rotating directory -ERRSCRIPT=${ERRSCRIPT:-'eval [[ $err = 0 ]]'} -NDATE=${NDATE:-$NWPROD/util/exec/ndate} -KEEPDATA=${KEEPDATA:-"NO"} - -# Other options -MEMBER=${MEMBER:-"-1"} # -1: control, 0: ensemble mean, >0: ensemble member $MEMBER -ENS_NUM=${ENS_NUM:-1} # Single executable runs multiple members (e.g. GEFS) - -# Model specific stuff -FCSTEXECDIR=${FCSTEXECDIR:-$BASE_GSM/sorc/fv3gfs.fd/BUILD/bin} -FCSTEXEC=${FCSTEXEC:-fv3_gfs.x} -PARM_FV3DIAG=${PARM_FV3DIAG:-$BASE_GSM/parm/parm_fv3diag} - -# Model config options -APRUN_FV3=${APRUN_FV3:-${APRUN_FCST:-${APRUN:-""}}} -NTHREADS_FV3=${NTHREADS_FV3:-${NTHREADS_FCST:-${nth_fv3:-1}}} -cores_per_node=${cores_per_node:-${npe_node_max:-24}} -ntiles=${ntiles:-6} -NTASKS_FV3=${NTASKS_FV3:-$npe_fv3} - -TYPE=${TYPE:-"nh"} # choices: nh, hydro -MONO=${MONO:-"non-mono"} # choices: mono, non-mono - -QUILTING=${QUILTING:-".true."} -OUTPUT_GRID=${OUTPUT_GRID:-"gaussian_grid"} -WRITE_NEMSIOFILE=${WRITE_NEMSIOFILE:-".true."} -WRITE_NEMSIOFLIP=${WRITE_NEMSIOFLIP:-".true."} - -rCDUMP=${rCDUMP:-$CDUMP} - -#------------------------------------------------------- -if [ ! -d $ROTDIR ]; then mkdir -p $ROTDIR; fi -if [ ! -d $DATA ]; then mkdir -p $DATA ;fi -mkdir -p $DATA/RESTART $DATA/INPUT -cd $DATA || exit 8 - -#------------------------------------------------------- -# member directory -if [ $MEMBER -lt 0 ]; then - prefix=$CDUMP - rprefix=$rCDUMP - memchar="" -else - prefix=enkf.$CDUMP - rprefix=enkf.$rCDUMP - memchar=mem$(printf %03i $MEMBER) -fi -cymd=$(echo $CDATE | cut -c1-8) -chh=$(echo $CDATE | cut -c9-10) -memdir=$ROTDIR/${prefix}.$cymd/$chh/$memchar -if [ ! -d $memdir ]; then mkdir -p $memdir; fi - -GDATE=$($NDATE -$assim_freq $CDATE) -gymd=$(echo $GDATE | cut -c1-8) -ghh=$(echo $GDATE | cut -c9-10) -gmemdir=$ROTDIR/${rprefix}.$gymd/$ghh/$memchar - -#------------------------------------------------------- -# initial conditions -warm_start=${warm_start:-".false."} -read_increment=${read_increment:-".false."} -increment_file=${increment_file:-$memdir/${CDUMP}.t${chh}z.atminc.nc} -restart_interval=${restart_interval:-0} - -if [ $warm_start = ".false." ]; then - if [ -d $ICSDIR/$CDATE/$CDUMP/$CASE/INPUT ]; then - $NCP $ICSDIR/$CDATE/$CDUMP/$CASE/INPUT/* $DATA/INPUT/. - else - for file in $memdir/INPUT/*.nc; do - file2=$(echo $(basename $file)) - fsuf=$(echo $file2 | cut -c1-3) - if [ $fsuf = "gfs" -o $fsuf = "sfc" ]; then - $NLN $file $DATA/INPUT/$file2 - fi - done - fi -else - if [ ${restart_test:-"NO"} = "YES" ]; then - # start from the end of last forecast run - $NLN $gmemdir/RESTART/* $DATA/INPUT/. - else - - # Link all (except sfc_data) restart files from $gmemdir - for file in $gmemdir/RESTART/${cymd}.${chh}0000.*.nc; do - file2=$(echo $(basename $file)) - file2=$(echo $file2 | cut -d. -f3-) # remove the date from file - fsuf=$(echo $file2 | cut -d. -f1) - if [ $fsuf != "sfc_data" ]; then - $NLN $file $DATA/INPUT/$file2 - fi - done - - # Link sfcanl_data restart files from $memdir - for file in $memdir/RESTART/${cymd}.${chh}0000.*.nc; do - file2=$(echo $(basename $file)) - file2=$(echo $file2 | cut -d. -f3-) # remove the date from file - fsufanl=$(echo $file2 | cut -d. -f1) - if [ $fsufanl = "sfcanl_data" ]; then - file2=$(echo $file2 | sed -e "s/sfcanl_data/sfc_data/g") - $NLN $file $DATA/INPUT/$file2 - fi - done - - # Handle coupler.res file for DA cycling - if [ ${USE_COUPLER_RES:-"YES"} = "YES" ]; then - # In DA, this is not really a "true restart", - # and the model start time is the analysis time - # The alternative is to replace - # model start time with current model time in coupler.res - file=$gmemdir/RESTART/${cymd}.${chh}0000.coupler.res - file2=$(echo $(basename $file)) - file2=$(echo $file2 | cut -d. -f3-) # remove the date from file - $NLN $file $DATA/INPUT/$file2 - fi - - if [ $read_increment = ".true." ]; then - if [ -f $increment_file ]; then - $NLN $increment_file $DATA/INPUT/fv3_increment.nc - else - read_increment=".false." - fi - fi - fi -fi -nfiles=$(ls -1 $DATA/INPUT/* | wc -l) -if [ $nfiles -le 0 ]; then - echo "Initial conditions must exist in $DATA/INPUT, ABORT!" - exit 1 -fi - -#-------------------------------------------------------------------------- -# Grid and orography data -for n in $(seq 1 $ntiles); do - $NLN $FIX_FV3/$CASE/${CASE}_grid.tile${n}.nc $DATA/INPUT/${CASE}_grid.tile${n}.nc - $NLN $FIX_FV3/$CASE/${CASE}_oro_data.tile${n}.nc $DATA/INPUT/oro_data.tile${n}.nc -done -$NLN $FIX_FV3/$CASE/${CASE}_mosaic.nc $DATA/INPUT/grid_spec.nc - -# GFS standard input data - -IALB=${IALB:-1} -IEMS=${IEMS:-1} -ISOL=${ISOL:-2} -IAER=${IAER:-111} -ICO2=${ICO2:-2} - -$NLN $FIX_AM/global_solarconstant_noaa_an.txt $DATA/solarconstant_noaa_an.txt -$NLN $FIX_AM/global_o3prdlos.f77 $DATA/INPUT/global_o3prdlos.f77 -$NLN $FIX_AM/global_sfc_emissivity_idx.txt $DATA/sfc_emissivity_idx.txt - -$NLN $FIX_AM/global_co2historicaldata_glob.txt $DATA/co2historicaldata_glob.txt -$NLN $FIX_AM/co2monthlycyc.txt $DATA/co2monthlycyc.txt -if [ $ICO2 -gt 0 ]; then - for file in $(ls $FIX_AM/fix_co2_proj/global_co2historicaldata*) ; do - $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") - done -fi - -$NLN $FIX_AM/global_climaeropac_global.txt $DATA/aerosol.dat -if [ $IAER -gt 0 ] ; then - for file in $(ls $FIX_AM/global_volcanic_aerosols*) ; do - $NLN $file $DATA/$(echo $(basename $file) | sed -e "s/global_//g") - done -fi -#------------------------------------------------------------------ -# changeable parameters -# dycore definitions -res=$(echo $CASE |cut -c2-5) -resp=$((res+1)) -npx=$resp -npy=$resp -npz=$((LEVS-1)) -io_layout="1,1" -#ncols=$(( (${npx}-1)*(${npy}-1)*3/2 )) - -# spectral truncation and regular grid resolution based on FV3 resolution -JCAP_CASE=$((2*res-2)) -LONB_CASE=$((4*res)) -LATB_CASE=$((2*res)) - -JCAP=${JCAP:-$JCAP_CASE} -LONB=${LONB:-$LONB_CASE} -LATB=${LATB:-$LATB_CASE} - -# Fix files -FNGLAC=${FNGLAC:-"$FIX_AM/global_glacier.2x2.grb"} -FNMXIC=${FNMXIC:-"$FIX_AM/global_maxice.2x2.grb"} -FNTSFC=${FNTSFC:-"$FIX_AM/RTGSST.1982.2012.monthly.clim.grb"} -FNSNOC=${FNSNOC:-"$FIX_AM/global_snoclim.1.875.grb"} -FNZORC=${FNZORC:-"igbp"} -FNALBC2=${FNALBC2:-"$FIX_AM/global_albedo4.1x1.grb"} -FNAISC=${FNAISC:-"$FIX_AM/CFSR.SEAICE.1982.2012.monthly.clim.grb"} -FNTG3C=${FNTG3C:-"$FIX_AM/global_tg3clim.2.6x1.5.grb"} -FNVEGC=${FNVEGC:-"$FIX_AM/global_vegfrac.0.144.decpercent.grb"} -FNMSKH=${FNMSKH:-"$FIX_AM/seaice_newland.grb"} -FNVMNC=${FNVMNC:-"$FIX_AM/global_shdmin.0.144x0.144.grb"} -FNVMXC=${FNVMXC:-"$FIX_AM/global_shdmax.0.144x0.144.grb"} -FNSLPC=${FNSLPC:-"$FIX_AM/global_slope.1x1.grb"} -FNALBC=${FNALBC:-"$FIX_AM/global_snowfree_albedo.bosu.t${JCAP}.${LONB}.${LATB}.rg.grb"} -FNVETC=${FNVETC:-"$FIX_AM/global_vegtype.igbp.t${JCAP}.${LONB}.${LATB}.rg.grb"} -FNSOTC=${FNSOTC:-"$FIX_AM/global_soiltype.statsgo.t${JCAP}.${LONB}.${LATB}.rg.grb"} -FNABSC=${FNABSC:-"$FIX_AM/global_mxsnoalb.uariz.t${JCAP}.${LONB}.${LATB}.rg.grb"} -FNSMCC=${FNSMCC:-"$FIX_AM/global_soilmgldas.t${JCAP}.${LONB}.${LATB}.grb"} - -# If the appropriate resolution fix file is not present, use the highest resolution available (T1534) -[[ ! -f $FNALBC ]] && FNALBC="$FIX_AM/global_snowfree_albedo.bosu.t1534.3072.1536.rg.grb" -[[ ! -f $FNVETC ]] && FNVETC="$FIX_AM/global_vegtype.igbp.t1534.3072.1536.rg.grb" -[[ ! -f $FNSOTC ]] && FNSOTC="$FIX_AM/global_soiltype.statsgo.t1534.3072.1536.rg.grb" -[[ ! -f $FNABSC ]] && FNABSC="$FIX_AM/global_mxsnoalb.uariz.t1534.3072.1536.rg.grb" -[[ ! -f $FNSMCC ]] && FNSMCC="$FIX_AM/global_soilmgldas.t1534.3072.1536.grb" - -# NSST Options -# nstf_name contains the NSST related parameters -# nstf_name(1) : 0 = NSSTM off, 1 = NSSTM on but uncoupled, 2 = NSSTM on and coupled -# nstf_name(2) : 0 = NSSTM spin up off, 1 = NSSTM spin up on, -# nstf_name(3) : 0 = NSSTM analysis off, 1 = NSST analysis on -# nstf_name(4) : zsea1 in mm -# nstf_name(5) : zsea2 in mm -# nst_anl : .true. or .false., NSST analysis over lake -nstf_name=${nstf_name:-"0,0,0,0,0"} -nst_anl=${nst_anl:-".false."} - - -# blocking factor used for threading and general physics performance -#nyblocks=`expr \( $npy - 1 \) \/ $layout_y ` -#nxblocks=`expr \( $npx - 1 \) \/ $layout_x \/ 32` -#if [ $nxblocks -le 0 ]; then nxblocks=1 ; fi -blocksize=${blocksize:-32} - -# the pre-conditioning of the solution -# =0 implies no pre-conditioning -# >0 means new adiabatic pre-conditioning -# <0 means older adiabatic pre-conditioning -na_init=${na_init:-1} -[[ $warm_start = ".true." ]] && na_init=0 - -# variables for controlling initialization of NCEP/NGGPS ICs -filtered_terrain=${filtered_terrain:-".true."} -gfs_dwinds=${gfs_dwinds:-".true."} - -# various debug options -no_dycore=${no_dycore:-".false."} -dycore_only=${adiabatic:-".false."} -chksum_debug=${chksum_debug:-".false."} -print_freq=${print_freq:-6} - -if [ ${TYPE} = "nh" ]; then # non-hydrostatic options - - hydrostatic=".false." - phys_hydrostatic=".false." # enable heating in hydrostatic balance in non-hydrostatic simulation - use_hydro_pressure=".false." # use hydrostatic pressure for physics - if [ $warm_start = ".true." ]; then - make_nh=".false." # restarts contain non-hydrostatic state - else - make_nh=".true." # re-initialize non-hydrostatic state - fi - -else # hydrostatic options - - hydrostatic=".true." - phys_hydrostatic=".false." # ignored when hydrostatic = T - use_hydro_pressure=".false." # ignored when hydrostatic = T - make_nh=".false." # running in hydrostatic mode - -fi - -# Conserve total energy as heat globally -consv_te=${consv_te:-1.} # range 0.-1., 1. will restore energy to orig. val. before physics - -# time step parameters in FV3 -k_split=${k_split:-2} -n_split=${n_split:-6} - -if [ $(echo $MONO | cut -c-4) = "mono" ]; then # monotonic options - - d_con=${d_con_mono:-"0."} - do_vort_damp=".false." - if [ ${TYPE} = "nh" ]; then # non-hydrostatic - hord_mt=${hord_mt_nh_mono:-"10"} - hord_xx=${hord_xx_nh_mono:-"10"} - else # hydrostatic - hord_mt=${hord_mt_hydro_mono:-"10"} - hord_xx=${hord_xx_hydro_mono:-"10"} - fi - -else # non-monotonic options - - d_con=${d_con_nonmono:-"1."} - do_vort_damp=".true." - if [ ${TYPE} = "nh" ]; then # non-hydrostatic - hord_mt=${hord_mt_nh_nonmono:-"5"} - hord_xx=${hord_xx_nh_nonmono:-"5"} - else # hydrostatic - hord_mt=${hord_mt_hydro_nonmono:-"10"} - hord_xx=${hord_xx_hydro_nonmono:-"10"} - fi - -fi - -if [ $(echo $MONO | cut -c-4) != "mono" -a $TYPE = "nh" ]; then - vtdm4=${vtdm4_nh_nonmono:-"0.06"} -else - vtdm4=${vtdm4:-"0.05"} -fi - -if [ $warm_start = ".true." ]; then # warm start from restart file - - nggps_ic=".false." - ncep_ic=".false." - external_ic=".false." - mountain=".true." - if [ $read_increment = ".true." ]; then # add increment on the fly to the restarts - res_latlon_dynamics="fv3_increment.nc" - else - res_latlon_dynamics='""' - fi - -else # CHGRES'd GFS analyses - - nggps_ic=${nggps_ic:-".true."} - ncep_ic=${ncep_ic:-".false."} - external_ic=".true." - mountain=".false." - read_increment=".false." - res_latlon_dynamics='""' - -fi - -# Stochastic Physics Options -if [ ${SET_STP_SEED:-"YES"} = "YES" ]; then - ISEED_SKEB=$((CDATE*1000 + MEMBER*10 + 1)) - ISEED_SHUM=$((CDATE*1000 + MEMBER*10 + 2)) - ISEED_SPPT=$((CDATE*1000 + MEMBER*10 + 3)) -else - ISEED=${ISEED:-0} -fi -DO_SKEB=${DO_SKEB:-"NO"} -DO_SPPT=${DO_SPPT:-"NO"} -DO_SHUM=${DO_SHUM:-"NO"} -JCAP_STP=${JCAP_STP:-$JCAP_CASE} -LONB_STP=${LONB_STP:-$LONB_CASE} -LATB_STP=${LATB_STP:-$LATB_CASE} - -# build the date for curr_date and diag_table from CDATE -SYEAR=$(echo $CDATE | cut -c1-4) -SMONTH=$(echo $CDATE | cut -c5-6) -SDAY=$(echo $CDATE | cut -c7-8) -SHOUR=$(echo $CDATE | cut -c9-10) -curr_date="${SYEAR},${SMONTH},${SDAY},${SHOUR},0,0" -rsecs=$((restart_interval*3600)) -restart_secs=${rsecs:-0} - -# copy over the tables -DIAG_TABLE=${DIAG_TABLE:-$PARM_FV3DIAG/diag_table} -DATA_TABLE=${DATA_TABLE:-$PARM_FV3DIAG/data_table} -FIELD_TABLE=${FIELD_TABLE:-$PARM_FV3DIAG/field_table} - -# build the diag_table with the experiment name and date stamp -cat > diag_table << EOF -FV3 Forecast -$SYEAR $SMONTH $SDAY $SHOUR 0 0 -EOF -cat $DIAG_TABLE >> diag_table - -$NCP $DATA_TABLE data_table -$NCP $FIELD_TABLE field_table - -#------------------------------------------------------------------ -rm -f nems.configure -cat > nems.configure < model_configure < input.nml <> input.nml -if [ $MEMBER -gt 0 ]; then - - cat >> input.nml << EOF -&nam_stochy - ntrunc = $JCAP_STP - lon_s = $LONB_STP - lat_s = $LATB_STP -EOF - - if [ $DO_SKEB = "YES" ]; then - cat >> input.nml << EOF - skeb = $SKEB - iseed_skeb = ${ISEED_SKEB:-$ISEED} - skeb_tau = ${SKEB_TAU:-"-999."} - skeb_lscale = ${SKEB_LSCALE:-"-999."} - skebnorm = ${SKEBNORM:-"1"} -EOF - fi - - if [ $DO_SHUM = "YES" ]; then - cat >> input.nml << EOF - shum = $SHUM - iseed_shum = ${ISEED_SHUM:-$ISEED} - shum_tau = ${SHUM_TAU:-"-999."} - shum_lscale = ${SHUM_LSCALE:-"-999."} -EOF - fi - - if [ $DO_SPPT = "YES" ]; then - cat >> input.nml << EOF - sppt = $SPPT - iseed_sppt = ${ISEED_SPPT:-$ISEED} - sppt_tau = ${SPPT_TAU:-"-999."} - sppt_lscale = ${SPPT_LSCALE:-"-999."} - sppt_logit = ${SPPT_LOGIT:-".true."} - sppt_sfclimit = ${SPPT_SFCLIMIT:-".true."} -EOF - fi - - cat >> input.nml << EOF - $nam_stochy_nml -/ -EOF - -else - - cat >> input.nml << EOF -&nam_stochy -/ -EOF - -fi - -#------------------------------------------------------------------ -# setup the runtime environment and run the executable -cd $DATA -$NCP $FCSTEXECDIR/$FCSTEXEC $DATA/. -export OMP_NUM_THREADS=$NTHREADS_FV3 -$APRUN_FV3 $DATA/$FCSTEXEC 1>&1 2>&2 -export ERR=$? -export err=$ERR -$ERRSCRIPT || exit $err - -#------------------------------------------------------------------ -if [ $SEND = "YES" ]; then - # Copy model output files - cd $DATA - if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then - $NCP ${CDUMP}.t${chh}z.atm*.nemsio $memdir/. - $NCP ${CDUMP}.t${chh}z.sfc*.nemsio $memdir/. - else - for n in $(seq 1 $ntiles); do - for file in *.tile${n}.nc; do - $NCP $file $memdir/. - done - done - fi - - # Copy model restart files - cd $DATA/RESTART - mkdir -p $memdir/RESTART - - # Add time-stamp to restart files at FHMAX (this should be done inside the model) - RDATE=$($NDATE +$FHMAX $CDATE) - rymd=$(echo $RDATE | cut -c1-8) - rhh=$(echo $RDATE | cut -c9-10) - for file in $(ls * | grep -v 0000); do - $NMV $file ${rymd}.${rhh}0000.$file - done - - # Only save restarts at single time in RESTART directory - # Either at FHMAX or at first time in restart_interval - if [ $restart_interval -eq 0 ]; then - RDATE=$($NDATE +$FHMAX $CDATE) - else - RDATE=$($NDATE +$restart_interval $CDATE) - fi - rymd=$(echo $RDATE | cut -c1-8) - rhh=$(echo $RDATE | cut -c9-10) - for file in ${rymd}.${rhh}0000.* ; do - $NCP $file $memdir/RESTART/$file - done - -fi - -#------------------------------------------------------------------ -# Clean up before leaving -if [ $KEEPDATA = "NO" ]; then rm -rf $DATA; fi - -#------------------------------------------------------------------ -set +x -if [ $VERBOSE = "YES" ] ; then - echo $(date) EXITING $0 with return code $err >&2 -fi -exit 0 diff --git a/systems/rocoto_style_fv3gfs/_main.yaml b/systems/rocoto_style_fv3gfs/_main.yaml deleted file mode 100644 index d54ad0c..0000000 --- a/systems/rocoto_style_fv3gfs/_main.yaml +++ /dev/null @@ -1,10 +0,0 @@ -include: - - schedulers.yaml - - settings.yaml - - resources.yaml - - validator.yaml - - post_manager.yaml - - task_template.yaml - - dump_waiter.yaml - - make_next_cycles.yaml - - suite_def.yaml diff --git a/systems/rocoto_style_fv3gfs/begin_ecflow_workflow.sh b/systems/rocoto_style_fv3gfs/begin_ecflow_workflow.sh deleted file mode 100755 index ad9b7f2..0000000 --- a/systems/rocoto_style_fv3gfs/begin_ecflow_workflow.sh +++ /dev/null @@ -1,117 +0,0 @@ -#! /bin/bash - -set -ue - -# Get the directory in which this script resides. We'll assume the -# yaml files are there: -dir0=$( dirname "$0" ) -here=$( cd "$dir0" ; pwd -P ) - -export WORKTOOLS_VERBOSE=NO - -# Make sure this directory is in the python path so we find worktools.py: -export PYTHONPATH=$here:${PYTHONPATH:+:$PYTHONPATH} - -# Parse arguments: -if [[ "$1" == "-v" ]] ; then - export WORKTOOLS_VERBOSE=YES - shift 1 -fi -export CONFIGDIR="$1" - -if [[ ! -d /usrx/local || -e /etc/redhat-release ]] ; then - echo "ERROR: This script only runs on WCOSS Cray" 1>&2 - exit 1 -fi - -if ( ! which ecflow_client > /dev/null 2>&1 ) ; then - echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." - exit 1 -fi - -if [[ "${ECF_ROOT:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_ROOT" - exit 1 -fi - -if [[ "${ECF_HOME:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_HOME. I suggest \$ECF_ROOT/submit" - exit 1 -fi - -if [[ "${ECF_PORT:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_PORT. See /usrx/local/sys/ecflow/assigned_ports.txt" - exit 1 -fi - -export ECF_HOME="${ECF_HOME:-$ECF_ROOT/submit}" - -if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - echo "begin_ecflow_workflow.sh: verbose mode" - export redirect=" " -else - export redirect="> /dev/null 2>&1" -fi - -echo "ecFlow server port: $ECF_PORT" -echo "ecFlow server root: $ECF_ROOT" -echo "ecFlow server home: $ECF_HOME" - -set +e -if ( ! which python3 > /dev/null 2>&1 || \ - ! python3 -c 'import yaml ; f{"1+1"}' > /dev/null 2>&1 ) ; then - python36=/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/python/3.6.1-emc/bin/python3.6 -else - python36="$( which python3 )" -fi -set -e - -tmpfile=${TMPDIR:-/tmp}/find-expdir.$RANDOM.$RANDOM.$$ - -make_yaml_files() { - # NOTE: Sourcing config.base clobbers the ecflow variables, so we - # must do it in a subshell. - set +uex - source "$CONFIGDIR"/config.base $redirect - set -ue - - if [[ "$FHMAX_GFS" != 240 ]] ; then - echo "ERROR: This script requires FHMAX_GFS = 240" 1>&2 - exit 1 - fi - - if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - set -x - fi - - $python36 -c "import worktools ; worktools.make_yaml_files('$here','$EXPDIR')" - - echo "$EXPDIR" > "$tmpfile" -} - -if ( ! ( make_yaml_files ) ) ; then - echo "Failed to make YAML files" - exit 1 -fi - -EXPDIR=$( cat "$tmpfile" ) -rm -f "$tmpfile" - -if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - set -x -fi - -/ecf/devutils/server_check.sh "$ECF_ROOT" "$ECF_PORT" $redirect || true - -if ( ! ecflow_client --ping $redirect ) ; then - echo "Could not connect to ecflow server. Aborting." - exit 1 -fi - -$python36 -c "import worktools ; worktools.create_and_begin_ecflow_workflow('$EXPDIR')" - - - - - - diff --git a/systems/rocoto_style_fv3gfs/dump_waiter.yaml b/systems/rocoto_style_fv3gfs/dump_waiter.yaml deleted file mode 100644 index 21feca3..0000000 --- a/systems/rocoto_style_fv3gfs/dump_waiter.yaml +++ /dev/null @@ -1,37 +0,0 @@ -dump_waiter: &dump_waiter_task !Task - <<: *exclusive_task_template - manual: | - The dump_waiter task is a special job needed to run this - workflow outside of the production suite. It handles the - external dependency on the dump and the associated - (approximate) time dependency. - In ecflow: - The dump_waiter is started when the cycle is allowed to - begin, and waits for the dump to be available. It sets - the "updated_status" event when the dump is available. - In Rocoto: - The dump_waiter is never run; it is defined so that the - updated_status data event can be used as a data dependency - in the prep job. - - Disable: !calc metasched.type=='rocoto' - - resources: !calc doc.resources.run_dump_waiter - - updated_status: !DataEvent - file: !expand >- - {doc.settings.DUMPDIR}/@Y@m@d@H/{up.CDUMP}/{up.CDUMP}.t@Hz.updated.status.tm00.bufr_d - - # The batch_job_command is dumped into the ecf file where the - # J-Job would normally be called. This job is never run by - # Rocoto, so Rocoto never uses this code block. - ecflow_command: !expand | - setpdy.sh - source ./PDY - WAITFILE=%DUMPDIR%/${{PDY}}%CYC%/{CDUMP}/{CDUMP}.t%CYC%z.updated.status.tm00.bufr_d - while [[ ! -s "$WAITFILE" ]] ; do - echo "$WAITFILE: nope" - sleep 37 - done - echo "$WAITFILE: yup" - ecflow_client --event updated_status diff --git a/systems/rocoto_style_fv3gfs/envir-p1.h b/systems/rocoto_style_fv3gfs/envir-p1.h deleted file mode 120000 index 81dc6f5..0000000 --- a/systems/rocoto_style_fv3gfs/envir-p1.h +++ /dev/null @@ -1 +0,0 @@ -/ecf/ecfnets/include/envir-p1.h \ No newline at end of file diff --git a/systems/rocoto_style_fv3gfs/envir-p2.h b/systems/rocoto_style_fv3gfs/envir-p2.h deleted file mode 120000 index aaddd00..0000000 --- a/systems/rocoto_style_fv3gfs/envir-p2.h +++ /dev/null @@ -1 +0,0 @@ -/ecf/ecfnets/include/envir-p2.h \ No newline at end of file diff --git a/systems/rocoto_style_fv3gfs/envir-xc40.h b/systems/rocoto_style_fv3gfs/envir-xc40.h deleted file mode 120000 index 4109d97..0000000 --- a/systems/rocoto_style_fv3gfs/envir-xc40.h +++ /dev/null @@ -1 +0,0 @@ -/ecf/ecfnets/include/envir-xc40.h \ No newline at end of file diff --git a/systems/rocoto_style_fv3gfs/head.h b/systems/rocoto_style_fv3gfs/head.h deleted file mode 120000 index 46423de..0000000 --- a/systems/rocoto_style_fv3gfs/head.h +++ /dev/null @@ -1 +0,0 @@ -/ecf/ecfnets/include/head.h \ No newline at end of file diff --git a/systems/rocoto_style_fv3gfs/make-rocoto-xml.py b/systems/rocoto_style_fv3gfs/make-rocoto-xml.py deleted file mode 100755 index adf86c8..0000000 --- a/systems/rocoto_style_fv3gfs/make-rocoto-xml.py +++ /dev/null @@ -1,18 +0,0 @@ -#! /usr/bin/env python3 -f'This script requires Python 3.6 or newer.' - -import os, io, sys -from crow.metascheduler import to_rocoto -from crow.config import from_dir, Suite - -if len(sys.argv) != 2: - sys.stderr.write('Syntax: make-ecflow-suite.py PSLOT\n') - sys.stderr.write('PSLOT must match what you gave setup_expt.py\n') - sys.exit(1) - -conf=from_dir('.') -conf.sys_argv_1=sys.argv[1] -suite=Suite(conf.suite) -with open('workflow.xml','wt') as fd: - print('workflow.xml') - fd.write(to_rocoto(suite)) diff --git a/systems/rocoto_style_fv3gfs/make_next_cycles.yaml b/systems/rocoto_style_fv3gfs/make_next_cycles.yaml deleted file mode 100644 index 3904d0a..0000000 --- a/systems/rocoto_style_fv3gfs/make_next_cycles.yaml +++ /dev/null @@ -1,64 +0,0 @@ -make_next_cycles: &make_next_cycles_task !Task - <<: *exclusive_task_template - manual: | - The make_next_cycles task generates and begins the suites for the - next few cycles in the workflow. This job is only used when running - in ecflow. - - Disable: !calc metasched.type=='rocoto' - - resources: !calc doc.resources.run_make_next_cycles - - Trigger: !Depend gdas - - CDUMP: gdas # useless but required - - # The batch_job_command is dumped into the ecf file where the - # J-Job would normally be called. This job is never run by - # Rocoto, so Rocoto never uses this code block. - ecflow_command: !expand | - export WORKFLOW_FIRST_CYCLE=%WORKFLOW_FIRST_CYCLE:1970010100% - export WORKFLOW_LAST_CYCLE=%WORKFLOW_LAST_CYCLE:ETERNITY% - export WORKFLOW_CYCLES_TO_GENERATE=%WORKFLOW_CYCLES_TO_GENERATE:5% - export WORKFLOW_CROW_HOME=%WORKFLOW_CROW_HOME% - export WORKFLOW_EXPDIR=%WORKFLOW_EXPDIR% - export ECF_HOME=%ECF_HOME% - export ECF_ROOT=%ECF_ROOT:X% - - if [[ "$ECF_ROOT" == X ]] ; then - export ECF_ROOT=$( cd "$ECF_HOME" ; cd .. ; pwd -P ) - fi - - export PDY=%PDY% - export CYC=%CYC% - export cycle=t%CYC%z - setpdy.sh - source ./PDY - - module load prod_util - module load ecflow - - set -uex - - # Decide the range of cycles to start - first_cycle=$( $NDATE +6 "%PDY%%CYC%" ) - - if [[ "${{WORKFLOW_LAST_CYCLE:-ETERNITY}}" != ETERNITY && - "$first_cycle" -gt "$WORKFLOW_LAST_CYCLE" ]] ; then - postmsg "Last cycle reached. Not starting any new cycles." - fi - - last_cycle=$first_cycle - for istart in $( seq 1 5 ) ; do - if [[ "${{WORKFLOW_LAST_CYCLE:-ETERNITY}}" != ETERNITY && - "$last_cycle" -gt "$WORKFLOW_LAST_CYCLE" ]] ; then - break - fi - last_cycle=$( $NDATE +6 "$last_cycle" ) - done - - cd "$WORKFLOW_CROW_HOME" - postmsg "Start cycles $first_cycle through $last_cycle." - ./update_ecflow_workflow.sh "$WORKFLOW_EXPDIR" "$first_cycle" "$last_cycle" - - diff --git a/systems/rocoto_style_fv3gfs/model_ver.h b/systems/rocoto_style_fv3gfs/model_ver.h deleted file mode 120000 index 06c8e27..0000000 --- a/systems/rocoto_style_fv3gfs/model_ver.h +++ /dev/null @@ -1 +0,0 @@ -/ecf/ecfnets/include/model_ver.h \ No newline at end of file diff --git a/systems/rocoto_style_fv3gfs/post_manager.yaml b/systems/rocoto_style_fv3gfs/post_manager.yaml deleted file mode 100644 index ea867ac..0000000 --- a/systems/rocoto_style_fv3gfs/post_manager.yaml +++ /dev/null @@ -1,23 +0,0 @@ -post_manager_job_contents: &post_manager_job_contents !expand | - fhrs='anl {tools.join(up.Dimensions.fhr," ")}' - CDATE=%PDY%%CYC% - for fid in $fhrs ; do - if [[ "$fid" == anl ]] ; then - fhr3=000 - event=release_postanl - else - fhr3=$( printf %%03d $fid ) - fhr2=$( printf %%02d $fid ) - event=release_post$fhr2 - fi - - file=%COM%/$CDUMP.%PDY%/%CYC%/$CDUMP.t%CYC%z.logf$fhr3.nemsio - - while [[ ! -s "$file" ]] ; do - echo "$file: nope" - sleep 33 - done - echo "$file: yup" - ecflow_client --event "$event" - done - echo "done" diff --git a/systems/rocoto_style_fv3gfs/remake_ecflow_files_for.sh b/systems/rocoto_style_fv3gfs/remake_ecflow_files_for.sh deleted file mode 100755 index 1122aeb..0000000 --- a/systems/rocoto_style_fv3gfs/remake_ecflow_files_for.sh +++ /dev/null @@ -1,123 +0,0 @@ -#! /bin/bash - -set -ue - -# Get the directory in which this script resides. We'll assume the -# yaml files are there: -dir0=$( dirname "$0" ) -here=$( cd "$dir0" ; pwd -P ) - -export WORKTOOLS_VERBOSE=NO - -# Make sure this directory is in the python path so we find worktools.py: -export PYTHONPATH=$here:${PYTHONPATH:+:$PYTHONPATH} - -# Parse arguments: -if [[ "$1" == "-v" ]] ; then - export WORKTOOLS_VERBOSE=YES - shift 1 -fi -export CONFIGDIR="$1" -export FIRST_CYCLE="$2" -export LAST_CYCLE="$3" - -if [[ ! -d /usrx/local || -e /etc/redhat-release ]] ; then - echo "ERROR: This script only runs on WCOSS Cray" 1>&2 - exit 1 -fi - -if ( ! which ecflow_client > /dev/null 2>&1 ) ; then - echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." - exit 1 -fi - -if [[ "${ECF_ROOT:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_ROOT" - exit 1 -fi - -if [[ "${ECF_HOME:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_HOME. I suggest \$ECF_ROOT/submit" - exit 1 -fi - -if [[ "${ECF_PORT:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_PORT. See /usrx/local/sys/ecflow/assigned_ports.txt" - exit 1 -fi - -export ECF_HOME="${ECF_HOME:-$ECF_ROOT/submit}" - -if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - echo "remake_ecflow_files_for.sh: verbose mode" - export redirect=" " -else - export redirect="> /dev/null 2>&1" -fi - -echo "ecFlow server port: $ECF_PORT" -echo "ecFlow server root: $ECF_ROOT" -echo "ecFlow server home: $ECF_HOME" - -set +e -if ( ! which python3 > /dev/null 2>&1 || \ - ! python3 -c 'import yaml ; f{"1+1"}' > /dev/null 2>&1 ) ; then - python36=/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/python/3.6.1-emc/bin/python3.6 -else - python36="$( which python3 )" -fi -set -e - -tmpfile=${TMPDIR:-/tmp}/find-expdir.$RANDOM.$RANDOM.$$ - -make_yaml_files() { - # NOTE: Sourcing config.base clobbers the ecflow variables, so we - # must do it in a subshell. - set +uex - source "$CONFIGDIR"/config.base $redirect - set -ue - - if [[ "$FHMAX_GFS" != 240 ]] ; then - echo "ERROR: This script requires FHMAX_GFS = 240" 1>&2 - exit 1 - fi - - if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - set -x - fi - - $python36 -c "import worktools ; worktools.make_yaml_files('$here','$EXPDIR')" - - echo "$EXPDIR" > "$tmpfile" -} - -if ( ! ( make_yaml_files ) ) ; then - echo "Failed to make YAML files" - exit 1 -fi - -EXPDIR=$( cat "$tmpfile" ) -rm -f "$tmpfile" - -if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - echo "remake_ecflow_files_for.sh: EXPDIR=$EXPDIR" - set -x -fi - -/ecf/devutils/server_check.sh "$ECF_ROOT" "$ECF_PORT" $redirect || true - -if ( ! ecflow_client --ping $redirect ) ; then - echo "Could not connect to ecflow server. Aborting." - exit 1 -fi - -$python36 -c "import worktools ; worktools.remake_ecflow_files_for_cycles( - '$EXPDIR', - '$FIRST_CYCLE', - '$LAST_CYCLE')" - - - - - - diff --git a/systems/rocoto_style_fv3gfs/resources_C192_C192.yaml b/systems/rocoto_style_fv3gfs/resources_C192_C192.yaml deleted file mode 100644 index 66b8eae..0000000 --- a/systems/rocoto_style_fv3gfs/resources_C192_C192.yaml +++ /dev/null @@ -1,190 +0,0 @@ -resources: - - # From if[[...ecen]] block in config.resources: - run_ecen: !JobRequest - - memory: "254M" - walltime: !timedelta "00:10:00" - mpi_ranks: 84 - exe: placeholder - # max_ppn comes from THEIA.env: 84/12 = 7 - max_ppn: 12 - OMP_NUM_THREADS: 2 - - run_chgres: !JobRequest - - exe: time - OMP_NUM_THREADS: 12 - args: - - placeholder - - run_nothing: !JobRequest # Special placeholder for "do nothing" - - memory: "300M" - exe: placeholder - walltime: !timedelta "00:02:00" - exclusive: false - - run_dump_waiter: !JobRequest - - memory: "300M" - exe: placeholder - walltime: !FirstTrue - - when: !calc doc.settings.realtime - do: !timedelta "01:00:00" - - otherwise: !timedelta "00:05:00" - - run_make_next_cycles: !JobRequest - - memory: "300M" - exe: placeholder - walltime: !timedelta "00:15:00" - - run_eobs: !JobRequest - - memory: "3072M" - walltime: !timedelta "00:15:00" - mpi_ranks: !calc 12*6 - exe: placeholder - max_ppn: 6 - OMP_NUM_THREADS: 4 - - run_eomg: !JobRequest - - memory: "3072M" - walltime: !timedelta "01:20:00" - mpi_ranks: !calc 6*12 - exe: placeholder - max_ppn: 6 - OMP_NUM_THREADS: 2 - - run_eupd: !JobRequest - - memory: "3072M" - walltime: !timedelta "00:15:00" - mpi_ranks: !calc 10*12 - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 2 - -# run_enkf: !JobRequest -# pi_ranks: !calc 10*12 -# resources: !JobRequest -# - exe: placeholder -# max_ppn: 12 -# OMP_NUM_THREADS: 4 - - run_efcs: !JobRequest - - walltime: !timedelta "00:45:00" - mpi_ranks: !calc >- - doc.settings.layout_x*doc.settings.layout_y*6 - + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP - max_ppn: 12 - memory: "254M" - - run_epos: !JobRequest - - memory: "254M" - mpi_ranks: 84 - walltime: !timedelta "00:10:00" - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 2 - - run_prep: !JobRequest - - memory: "3072M" - walltime: !timedelta "00:15:00" - mpi_ranks: 12 - exe: placeholder - - run_anal: !JobRequest - - memory: "3072M" - mpi_ranks: 144 - walltime: !timedelta "0:40:00" - exe: placeholder - max_ppn: 6 - -# run_gsi: !JobRequest -# pi_ranks: !calc 24*6 -# resources: !JobRequest -# - exe: placeholder -# max_ppn: 6 -# OMP_NUM_THREADS: 4 - - run_gdasfcst: !JobRequest - - mpi_ranks: !calc >- - doc.settings.layout_x*doc.settings.layout_y*6 - + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP - walltime: !timedelta "00:10:00" - max_ppn: 12 - memory: "1024M" - - run_gdas_post_manager: !JobRequest - - memory: "300M" - exe: placeholder - walltime: !calc >- - doc.resources.run_gdasfcst[0].walltime + tools.to_timedelta('00:15:00') - - run_gfsfcst: !JobRequest - - mpi_ranks: !calc >- - doc.settings.layout_x*doc.settings.layout_y*6 - + doc.settings.WRITE_GROUP*doc.settings.WRTTASK_PER_GROUP - walltime: !timedelta "00:45:00" - max_ppn: 12 - memory: "1024M" - - run_gfs_post_manager: !JobRequest - - memory: "300M" - exe: placeholder - walltime: !calc >- - doc.resources.run_gfsfcst[0].walltime + tools.to_timedelta('00:15:00') - - run_gdaspost: !JobRequest - - memory: "3072M" - mpi_ranks: 72 - walltime: !timedelta "00:10:00" - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 1 - - run_gfspost: !JobRequest - - memory: "3072M" - mpi_ranks: 72 - walltime: !timedelta "00:10:00" - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 1 - - run_gfsvrfy: !JobRequest - - memory: "3072M" - mpi_ranks: 1 - walltime: !timedelta "02:00:00" - exe: placeholder - max_ppn: 1 - - run_gdasvrfy: !JobRequest - - memory: "3072M" - mpi_ranks: 1 - walltime: !timedelta "02:00:00" - exe: placeholder - max_ppn: 1 - - run_arch: !JobRequest - - memory: "3072M" - exclusive: false - mpi_ranks: 1 - walltime: !timedelta "01:00:00" - exe: placeholder - max_ppn: 1 - OMP_NUM_THREADS: 2 - - run_final: !JobRequest - - memory: "2M" - mpi_ranks: 1 - walltime: !timedelta "00:01:00" - exe: placeholder - max_ppn: 1 - OMP_NUM_THREADS: 2 - - run_earc: !JobRequest - - memory: "3072M" - mpi_ranks: 1 - walltime: !timedelta "01:00:00" - exe: placeholder - max_ppn: 1 - - run_fv3ic: !JobRequest - - memory: "3072M" - mpi_ranks: 24 - exe: placeholder diff --git a/systems/rocoto_style_fv3gfs/resources_C768_C384.yaml b/systems/rocoto_style_fv3gfs/resources_C768_C384.yaml deleted file mode 100644 index 121b4bc..0000000 --- a/systems/rocoto_style_fv3gfs/resources_C768_C384.yaml +++ /dev/null @@ -1,161 +0,0 @@ -resources: - - run_test: !JobRequest - - exe: nothing - mpi_ranks: 1 - - # From if[[...ecen]] block in config.resources: - run_ecen: !JobRequest - - memory: "3072M" - walltime: 00:02:00 - mpi_ranks: 80 - exe: placeholder - # max_ppn comes from THEIA.env: 84/12 = 7 - max_ppn: 4 - OMP_NUM_THREADS: 2 - - run_chgres: !JobRequest - - exe: time - OMP_NUM_THREADS: 12 - args: - - placeholder - - run_nothing: !JobRequest # Special placeholder for "do nothing" - - memory: "300M" - walltime: 00:05:00 - exe: nothing - - run_eobs: !JobRequest - - memory: "3072M" - walltime: 00:02:00 - mpi_ranks: !calc 12*6 - exe: placeholder - max_ppn: 6 - OMP_NUM_THREADS: 4 - - run_eomg: !JobRequest - - memory: "3072M" - walltime: 00:02:00 - mpi_ranks: !calc 6*12 - exe: placeholder - max_ppn: 6 - OMP_NUM_THREADS: 2 - - run_eupd: !JobRequest - - memory: "3072M" - walltime: 00:02:00 - mpi_ranks: !calc 20*12 - exe: placeholder - max_ppn: 6 - OMP_NUM_THREADS: 2 - -# run_enkf: !JobRequest -# pi_ranks: !calc 10*12 -# resources: !JobRequest -# - exe: placeholder -# max_ppn: 12 -# OMP_NUM_THREADS: 4 - - run_efcs: !JobRequest - - walltime: 00:02:00 - mpi_ranks: !calc "doc.settings.layout_x*doc.settings.layout_y*6" - max_ppn: 12 - memory: "254M" - - run_epos: !JobRequest - - memory: "254M" - mpi_ranks: 80 - walltime: 00:02:00 - exe: placeholder - max_ppn: 4 - OMP_NUM_THREADS: 2 - - run_prep: !JobRequest - - memory: "3072M" - walltime: 00:02:00 - mpi_ranks: 6 - exe: placeholder - max_ppn: 2 - OMP_NUM_THREADS: max - - run_anal: !JobRequest - - memory: "3072M" - mpi_ranks: 360 - walltime: 00:02:00 - exe: placeholder - max_ppn: 6 - OMP_NUM_THREADS: 2 - -# run_gsi: !JobRequest -# pi_ranks: !calc 24*6 -# resources: !JobRequest -# - exe: placeholder -# max_ppn: 6 -# OMP_NUM_THREADS: 4 - - run_gdasfcst: !JobRequest - - mpi_ranks: !calc "doc.settings.layout_x*doc.settings.layout_y*6" - walltime: 00:02:00 - max_ppn: 12 - memory: "1024M" - - run_gfsfcst: !JobRequest - - mpi_ranks: !calc "doc.settings.layout_x*doc.settings.layout_y*6" - walltime: 00:02:00 - max_ppn: 12 - memory: "1024M" - - run_gdaspost: !JobRequest - - memory: "3072M" - mpi_ranks: 72 - walltime: 00:02:00 - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 1 - - run_gfspost: !JobRequest - - memory: "3072M" - mpi_ranks: 72 - walltime: 00:02:00 - exe: placeholder - max_ppn: 12 - OMP_NUM_THREADS: 1 - - run_vrfy: !JobRequest - - memory: "3072M" - mpi_ranks: 1 - walltime: 00:02:00 - exe: placeholder - max_ppn: 1 - OMP_NUM_THREADS: 2 - - run_arch: !JobRequest - - memory: "3072M" - exclusive: false - mpi_ranks: 1 - walltime: 00:02:00 - exe: placeholder - max_ppn: 1 - OMP_NUM_THREADS: 2 - - run_final: !JobRequest - - memory: "2M" - mpi_ranks: 1 - walltime: 00:01:00 - exe: placeholder - max_ppn: 1 - OMP_NUM_THREADS: 2 - -# run_earc: !JobRequest -# emory: "3072M" -# mpi_ranks: 1 -# walltime: 00:15:00 -# resources: !JobRequest -# - exe: placeholder -# max_ppn: 1 -# OMP_NUM_THREADS: 2 - - run_fv3ic: !JobRequest - - memory: "3072M" - mpi_ranks: 24 - exe: placeholder diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.anal b/systems/rocoto_style_fv3gfs/sample-config/config.anal deleted file mode 100755 index 49ae4dd..0000000 --- a/systems/rocoto_style_fv3gfs/sample-config/config.anal +++ /dev/null @@ -1,34 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.anal ########## -# Analysis specific - -echo "BEGIN: config.anal" - -# Get task specific resources -. $EXPDIR/config.resources anal - -if [ $DONST = "YES" ]; then - . $EXPDIR/config.nsst -fi - -if [[ "$CDUMP" = "gfs" ]] ; then - #export USE_RADSTAT="NO" # This can be only used when bias correction is not-zero. - export GENDIAG="NO" -fi - -export ANALYSISSH="$HOMEgsi/scripts/exglobal_analysis_fv3gfs.sh.ecf" - -export npe_gsi=$npe_anal -export nth_gsi=4 - -export nth_cycle=12 - -echo "END: config.anal" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.arch b/systems/rocoto_style_fv3gfs/sample-config/config.arch deleted file mode 100755 index 706f887..0000000 --- a/systems/rocoto_style_fv3gfs/sample-config/config.arch +++ /dev/null @@ -1,25 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.arch ########## -# Archive specific - -echo "BEGIN: config.arch" - -# Get task specific resources -. $EXPDIR/config.resources arch - -#--online archive of nemsio files for fit2obs verification -export FITSARC="NO" - -#--starting and ending hours of previous cycles to be removed from rotating directory -export RMOLDSTD=144 -export RMOLDEND=24 - -echo "END: config.arch" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.base b/systems/rocoto_style_fv3gfs/sample-config/config.base deleted file mode 100644 index 9cc679a..0000000 --- a/systems/rocoto_style_fv3gfs/sample-config/config.base +++ /dev/null @@ -1,252 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.base ########## -# Common to all steps - -echo "BEGIN: config.base" - -# Machine environment -export machine="WCOSS_C" - -# EMC parallel or NCO production -export RUN_ENVIR="emc" -export NET=gfs -export RUN=$CDUMP - -# Account, queue, etc. -if [ $machine = "THEIA" ]; then - - export ACCOUNT="fv3-cpu" - export QUEUE="batch" - export QUEUE_ARCH="service" - -elif [ $machine = "WCOSS_C" ]; then - - export ACCOUNT="FV3GFS-T2O" - export QUEUE="dev" - export QUEUE_ARCH="dev_transfer" - -fi - -# Project to use in mass store: -HPSS_PROJECT=emc-global - -# Directories relative to installation areas: -export HOMEgfs=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.v15.0.0 -export BASE_MODULES="$HOMEgfs/modulefiles" -export PARMgfs=$HOMEgfs/parm -export FIXgfs=$HOMEgfs/fix -export USHgfs=$HOMEgfs/ush -export EXECgfs=$HOMEgfs/exec - -######################################################################## - -# --- Load modules --- - -# Theia workaround. Reduce stack soft limit while running "module" to -# avoid runaway memory allocation: -ulimit_s=$( ulimit -S -s ) -ulimit -S -s 10000 - -# Find module command and purge: -source "$BASE_MODULES/module-setup.sh.inc" 2> /dev/null - -# Load our module: -module use "$BASE_MODULES" 2> /dev/null -module load module_base.$( echo $machine | tr A-Z a-z ) 2> /dev/null - -# Restore stack soft limit: -ulimit -S -s "$ulimit_s" -unset ulimit_s - -for exetest in hsi htar ; do - if ( ! which $exetest ) ; then - echo "$exetest: executable missing after \"module load\"" 1>&2 - exit 2 - fi -done - -######################################################################## - -# GLOBAL static environment parameters -if [ $machine = "THEIA" ]; then - - export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" - export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" - export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" - -elif [ $machine = "WCOSS_C" ]; then - - export NWPROD="/gpfs/hps/nco/ops/nwprod" - if [ -d /gpfs/tp1 ]; then - export SITE="LUNA" - export DMPDIR="/gpfs/tp1/emc/globaldump" - elif [ -d /gpfs/gp1 ]; then - export SITE="SURGE" - export DMPDIR="/gpfs/gp1/emc/globaldump" - fi - export RTMFIX=$CRTM_FIX - -fi - - -# Machine specific paths used everywhere -if [ $machine = "THEIA" ]; then - - # USER specific paths - export HOMEDIR="/scratch4/NCEPDEV/global/save/$USER" - export STMP="/scratch4/NCEPDEV/stmp3/$USER" - export PTMP="/scratch4/NCEPDEV/stmp4/$USER" - export NOSCRUB="/scratch4/NCEPDEV/global/noscrub/$USER" - - # Base directories for various builds - export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" - -elif [ $machine = "WCOSS_C" ]; then - - # USER specific paths - export HOMEDIR="/gpfs/hps3/emc/global/noscrub/$USER" - export STMP="/gpfs/hps2/stmp/$USER" - export PTMP="/gpfs/hps2/ptmp/$USER" - export NOSCRUB="/gpfs/hps3/emc/global/noscrub/$USER" - - # Base directories for various builds - export BASE_SVN="/gpfs/hps3/emc/global/noscrub/emc.glopara/svn" - -fi - -# Utilities needed in the scripts (mostly post) -if [ $machine = "THEIA" ]; then - - export NDATE="$NWPROD/util/exec/ndate" - export NHOUR="$NWPROD/util/exec/nhour" - export WGRIB="$NWPROD/util/exec/wgrib" - export WGRIB2="/scratch3/NCEPDEV/nwprod/utils/wgrib2.v2.0.6c/wgrib2/wgrib2" - export COPYGB="$NWPROD/util/exec/copygb" - export COPYGB2="$NWPROD/util/exec/copygb2" - export GRBINDEX="$NWPROD/util/exec/grbindex" - export GRB2INDEX="$NWPROD/util/exec/grb2index" - export GRBINDEX2="$NWPROD/util/exec/grb2index" - export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" - export CNVGRIB21_GFS=/apps/cnvgrib/1.4.0/bin/cnvgrib - export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" - -fi - -# ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ - -#################################################### -# DO NOT ADD MACHINE DEPENDENT STUFF BELOW THIS LINE -# IF YOU HAVE TO MAKE MACHINE SPECIFIC CHANGES BELOW -# FEEL FREE TO MOVE THEM ABOVE THIS LINE TO KEEP IT -# CLEAR -#################################################### -# Build paths relative to $HOMEgfs -export HOMEgsi="$HOMEgfs/sorc/gsi.fd" -export HOMEfv3gfs="$HOMEgfs/sorc/fv3gfs.fd" -export HOMEpost="$HOMEgfs" -export BASE_PREP="$BASE_SVN/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="$BASE_SVN/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_VERIF="$BASE_SVN/verif/global/tags/vsdb" - -#--will be removed after gsi reppo is updated -export BASE_GSM=$HOMEgfs -export BASE_GSI=$HOMEgsi - - -# CONVENIENT utility scripts and other environment parameters -export NCP="/bin/cp -p" -export NMV="/bin/mv" -export NLN="/bin/ln -sf" -export VERBOSE="YES" -export KEEPDATA="NO" -export NCO_NAMING_CONV="YES" -export CHGRP_CMD="chgrp rstprod" -export NEMSIOGET="$HOMEgfs/exec/nemsio_get" - -# Machine environment, jobs, and other utility scripts -export BASE_ENV="$HOMEgfs/env" -export BASE_JOB="$HOMEgfs/jobs/rocoto" - -# EXPERIMENT specific environment parameters -export SDATE=2018010500 -export EDATE=2018010506 -export assim_freq=6 -export PSLOT="crowmaster192" -export EXPDIR="/gpfs/hps3/emc/global/noscrub/$USER/$PSLOT" -export ROTDIR="/gpfs/hps2/ptmp/$USER/ROTDIRS_CROW/$PSLOT" -export RUNDIR="$STMP/RUNDIRS/$PSLOT" -export ARCDIR="$NOSCRUB/archive/$PSLOT" -export ATARDIR="/NCEPDEV/$HPSS_PROJECT/1year/$USER/$machine/scratch/$PSLOT" -export jlogfile="${RUNDIR}/jlogfile" - -# Resolution specific parameters -export LEVS=65 -export CASE="C192" -export CASE_ENKF="C192" - -# Surface cycle update frequency -export FHCYC=24 - -# Output frequency of the forecast model (for cycling) -export FHMIN=0 -export FHMAX=9 -export FHOUT=3 - -# GFS cycle info -export gfs_cyc=4 # 0: no GFS cycle, 1: 00Z only, 2: 00Z and 12Z only, 4: all 4 cycles. - -# GFS output and frequency -export FHMIN_GFS=0 -export FHMAX_GFS=240 -export FHOUT_GFS=6 -export FHMAX_HF_GFS=0 -export FHOUT_HF_GFS=1 - -# I/O QUILTING, true--use Write Component; false--use GFDL FMS -# if quilting=true, choose OUTPUT_GRID as cubed_sphere_grid in netcdf or gaussian_grid -# if gaussian_grid, set OUTPUT_FILE for nemsio or netcdf -export QUILTING=".true." -export OUTPUT_GRID="gaussian_grid" -export OUTPUT_FILE="nemsio" - -# Microphysics Options: 99-ZhaoCarr, 8-Thompson; 6-WSM6, 10-MG, 11-GFDL -export imp_physics=99 - -# Shared parameters -# Hybrid related -export DOHYBVAR="YES" -export NMEM_ENKF=20 -export SMOOTH_ENKF="YES" -export l4densvar=".false." -export lwrite4danl=".false." - -# EnKF output frequency -if [ $DOHYBVAR = "YES" ]; then - export FHMIN_ENKF=3 - export FHMAX_ENKF=9 - if [ $l4densvar = ".true." ]; then - export FHOUT=1 - export FHOUT_ENKF=1 - else - export FHOUT_ENKF=3 - fi -fi - -# Relocation related -export DO_RELOCATE="NO" - -# If YES, NSST is turned on in anal and/or fcst steps -export DONST="NO" - -# The switch to apply SST elevation correction or not -export nst_anl=.true. - -echo "END: config.base" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.base.default b/systems/rocoto_style_fv3gfs/sample-config/config.base.default deleted file mode 100755 index d14f0a3..0000000 --- a/systems/rocoto_style_fv3gfs/sample-config/config.base.default +++ /dev/null @@ -1,253 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.base ########## -# Common to all steps - -echo "BEGIN: config.base" - -# Machine environment -export machine="@MACHINE@" - -# EMC parallel or NCO production -export RUN_ENVIR="emc" -export NET=gfs -export RUN=$CDUMP - -# Account, queue, etc. -if [ $machine = "THEIA" ]; then - - export ACCOUNT="fv3-cpu" - export QUEUE="batch" - export QUEUE_ARCH="service" - -elif [ $machine = "WCOSS_C" ]; then - - export ACCOUNT="FV3GFS-T2O" - export QUEUE="dev" - export QUEUE_ARCH="dev_transfer" - -fi - -# Project to use in mass store: -HPSS_PROJECT=emc-global - -# Directories relative to installation areas: -export HOMEgfs=@HOMEgfs@ -export BASE_MODULES="$HOMEgfs/modulefiles" -export PARMgfs=$HOMEgfs/parm -export FIXgfs=$HOMEgfs/fix -export USHgfs=$HOMEgfs/ush -export EXECgfs=$HOMEgfs/exec - -######################################################################## - -# --- Load modules --- - -# Theia workaround. Reduce stack soft limit while running "module" to -# avoid runaway memory allocation: -ulimit_s=$( ulimit -S -s ) -ulimit -S -s 10000 - -# Find module command and purge: -source "$BASE_MODULES/module-setup.sh.inc" 2> /dev/null - -# Load our module: -module use "$BASE_MODULES" 2> /dev/null -module load module_base.$( echo $machine | tr A-Z a-z ) 2> /dev/null - -# Restore stack soft limit: -ulimit -S -s "$ulimit_s" -unset ulimit_s - -for exetest in hsi htar ; do - if ( ! which $exetest ) ; then - echo "$exetest: executable missing after \"module load\"" 1>&2 - exit 2 - fi -done - -######################################################################## - -# GLOBAL static environment parameters -if [ $machine = "THEIA" ]; then - - export NWPROD="/scratch4/NCEPDEV/global/save/glopara/nwpara" - export DMPDIR="/scratch4/NCEPDEV/global/noscrub/dump" - export RTMFIX="/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" - -elif [ $machine = "WCOSS_C" ]; then - - export NWPROD="/gpfs/hps/nco/ops/nwprod" - if [ -d /gpfs/tp1 ]; then - export SITE="LUNA" - export DMPDIR="/gpfs/tp1/emc/globaldump" - elif [ -d /gpfs/gp1 ]; then - export SITE="SURGE" - export DMPDIR="/gpfs/gp1/emc/globaldump" - fi - export RTMFIX=$CRTM_FIX - -fi - - -# Machine specific paths used everywhere -if [ $machine = "THEIA" ]; then - - # USER specific paths - export HOMEDIR="/scratch4/NCEPDEV/global/save/$USER" - export STMP="/scratch4/NCEPDEV/stmp3/$USER" - export PTMP="/scratch4/NCEPDEV/stmp4/$USER" - export NOSCRUB="/scratch4/NCEPDEV/global/noscrub/$USER" - - # Base directories for various builds - export BASE_SVN="/scratch4/NCEPDEV/global/save/glopara/svn" - -elif [ $machine = "WCOSS_C" ]; then - - # USER specific paths - export HOMEDIR="/gpfs/hps3/emc/global/noscrub/$USER" - export STMP="/gpfs/hps2/stmp/$USER" - export PTMP="/gpfs/hps2/ptmp/$USER" - export NOSCRUB="/gpfs/hps3/emc/global/noscrub/$USER" - - # Base directories for various builds - export BASE_SVN="/gpfs/hps3/emc/global/noscrub/emc.glopara/svn" - -fi - -# Utilities needed in the scripts (mostly post) -if [ $machine = "THEIA" ]; then - - export NDATE="$NWPROD/util/exec/ndate" - export NHOUR="$NWPROD/util/exec/nhour" - export WGRIB="$NWPROD/util/exec/wgrib" - export WGRIB2="/scratch3/NCEPDEV/nwprod/utils/wgrib2.v2.0.6c/wgrib2/wgrib2" - export COPYGB="$NWPROD/util/exec/copygb" - export COPYGB2="$NWPROD/util/exec/copygb2" - export GRBINDEX="$NWPROD/util/exec/grbindex" - export GRB2INDEX="$NWPROD/util/exec/grb2index" - export GRBINDEX2="$NWPROD/util/exec/grb2index" - export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" - export CNVGRIB21_GFS=/apps/cnvgrib/1.4.0/bin/cnvgrib - export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" - -fi - -# ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ - -#################################################### -# DO NOT ADD MACHINE DEPENDENT STUFF BELOW THIS LINE -# IF YOU HAVE TO MAKE MACHINE SPECIFIC CHANGES BELOW -# FEEL FREE TO MOVE THEM ABOVE THIS LINE TO KEEP IT -# CLEAR -#################################################### -# Build paths relative to $HOMEgfs -export HOMEgsi="$HOMEgfs/sorc/gsi.fd" -export HOMEfv3gfs="$HOMEgfs/sorc/fv3gfs.fd" -export HOMEpost="$HOMEgfs" -export BASE_PREP="$BASE_SVN/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="$BASE_SVN/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_VERIF="$BASE_SVN/verif/global/tags/vsdb" - -#--will be removed after gsi reppo is updated -export BASE_GSM=$HOMEgfs -export BASE_GSI=$HOMEgsi - - -# CONVENIENT utility scripts and other environment parameters -export NCP="/bin/cp -p" -export NMV="/bin/mv" -export NLN="/bin/ln -sf" -export VERBOSE="YES" -export KEEPDATA="NO" -export NCO_NAMING_CONV="YES" -export CHGRP_CMD="chgrp rstprod" -export NEMSIOGET="$HOMEgfs/exec/nemsio_get" - -# Machine environment, jobs, and other utility scripts -export BASE_ENV="$HOMEgfs/env" -export BASE_JOB="$HOMEgfs/jobs/rocoto" - -# EXPERIMENT specific environment parameters -export SDATE=@SDATE@ -export EDATE=@EDATE@ -export assim_freq=6 -export PSLOT="@PSLOT@" -export EXPDIR="@EXPDIR@/$PSLOT" -export ROTDIR="@ROTDIR@/$PSLOT" -export RUNDIR="$STMP/RUNDIRS/$PSLOT" -export ARCDIR="$NOSCRUB/archive/$PSLOT" -export ICSDIR="@ICSDIR@" -export ATARDIR="/NCEPDEV/$HPSS_PROJECT/1year/$USER/$machine/scratch/$PSLOT" -export jlogfile="${RUNDIR}/jlogfile" - -# Resolution specific parameters -export LEVS=65 -export CASE="@CASECTL@" -export CASE_ENKF="@CASEENS@" - -# Surface cycle update frequency -export FHCYC=24 - -# Output frequency of the forecast model (for cycling) -export FHMIN=0 -export FHMAX=9 -export FHOUT=3 - -# GFS cycle info -export gfs_cyc=@gfs_cyc@ # 0: no GFS cycle, 1: 00Z only, 2: 00Z and 12Z only, 4: all 4 cycles. - -# GFS output and frequency -export FHMIN_GFS=0 -export FHMAX_GFS=24 -export FHOUT_GFS=6 -export FHMAX_HF_GFS=0 -export FHOUT_HF_GFS=1 - -# I/O QUILTING, true--use Write Component; false--use GFDL FMS -# if quilting=true, choose OUTPUT_GRID as cubed_sphere_grid in netcdf or gaussian_grid -# if gaussian_grid, set OUTPUT_FILE for nemsio or netcdf -export QUILTING=".true." -export OUTPUT_GRID="gaussian_grid" -export OUTPUT_FILE="nemsio" - -# Microphysics Options: 99-ZhaoCarr, 8-Thompson; 6-WSM6, 10-MG, 11-GFDL -export imp_physics=99 - -# Shared parameters -# Hybrid related -export DOHYBVAR="YES" -export NMEM_ENKF=@NMEM_ENKF@ -export SMOOTH_ENKF="YES" -export l4densvar=".false." -export lwrite4danl=".false." - -# EnKF output frequency -if [ $DOHYBVAR = "YES" ]; then - export FHMIN_ENKF=3 - export FHMAX_ENKF=9 - if [ $l4densvar = ".true." ]; then - export FHOUT=1 - export FHOUT_ENKF=1 - else - export FHOUT_ENKF=3 - fi -fi - -# Relocation related -export DO_RELOCATE="NO" - -# If YES, NSST is turned on in anal and/or fcst steps -export DONST="NO" - -# The switch to apply SST elevation correction or not -export nst_anl=.true. - -echo "END: config.base" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.base.nco b/systems/rocoto_style_fv3gfs/sample-config/config.base.nco deleted file mode 100755 index 6b95a75..0000000 --- a/systems/rocoto_style_fv3gfs/sample-config/config.base.nco +++ /dev/null @@ -1,174 +0,0 @@ -#!/bin/ksh -set -x - -export RUN_ENVIR="nco" -export machine="WCOSS_C" - -export ACCOUNT="GFS-OPS" -export QUEUE="prod" -export QUEUE_ARCH="dev_transfer" - -# Project to use in mass store: -HPSS_PROJECT=emc-global - -export gfs_ver=v15.0.0 -export HOMEgfs=$NWROOT/gfs.${gfs_ver} -export BASE_MODULES="$HOMEgfs/modulefiles" -export PARMgfs=$HOMEgfs/parm -export FIXgfs=$HOMEgfs/fix -export USHgfs=$HOMEgfs/ush -export EXECgfs=$HOMEgfs/exec - - -######################################################################## -# Theia workaround. Reduce stack soft limit while running "module" to -# avoid runaway memory allocation: -ulimit_s=$( ulimit -S -s ) -ulimit -S -s 10000 - -# Find module command and purge: -source "$BASE_MODULES/module-setup.sh.inc" 2> /dev/null - -# Load our module: -module use "$BASE_MODULES" 2> /dev/null -module load module_base.$( echo $machine | tr A-Z a-z ) 2> /dev/null - -# Restore stack soft limit: -ulimit -S -s "$ulimit_s" -unset ulimit_s - -for exetest in hsi htar ; do - if ( ! which $exetest ) ; then - echo "$exetest: executable missing after \"module load\"" 1>&2 - exit 2 - fi -done - -######################################################################## -# GLOBAL static environment parameters - -export NWPROD="/gpfs/hps/nco/ops/nwprod" -if [ -d /gpfs/tp1 ]; then - export SITE="LUNA" - export DMPDIR="/gpfs/tp1/emc/globaldump" -elif [ -d /gpfs/gp1 ]; then - export SITE="SURGE" - export DMPDIR="/gpfs/gp1/emc/globaldump" -fi -export RTMFIX=$CRTM_FIX - - -# Machine specific paths used everywhere - -# USER specific paths -export HOMEDIR="/gpfs/hps3/emc/global/noscrub/$USER" -export STMP="/gpfs/hps2/stmp/$USER" -export PTMP="/gpfs/hps2/ptmp/$USER" -export NOSCRUB="/gpfs/hps3/emc/global/noscrub/$USER" - -# Base directories for various builds -export BASE_SVN="/gpfs/hps3/emc/global/noscrub/emc.glopara/svn" - -# ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -# Build paths relative to $HOMEgfs -export HOMEgsi="$HOMEgfs/sorc/gsi.fd" -export HOMEfv3gfs="$HOMEgfs/sorc/fv3gfs.fd" -export HOMEpost="$HOMEgfs" -export BASE_PREP="$BASE_SVN/obsproc/releases/obsproc_prep_RB-4.0.0" -export BASE_PREP_GLOBAL="$BASE_SVN/obsproc/releases/obsproc_global_RB-3.0.0" -export BASE_VERIF="$BASE_SVN/verif/global/tags/vsdb" - -#--will be removed after gsi reppo is updated -export BASE_GSM=$HOMEgfs -export BASE_GSI=$HOMEgsi - - -# CONVENIENT utility scripts and other environment parameters -export NCP="/bin/cp -p" -export NMV="/bin/mv" -export NLN="/bin/ln -sf" -export VERBOSE="YES" -export KEEPDATA="NO" -export NCO_NAMING_CONV="YES" -export CHGRP_CMD="chgrp rstprod" -export NEMSIOGET="$HOMEgfs/exec/nemsio_get" - -# Machine environment, jobs, and other utility scripts -export BASE_ENV="$HOMEgfs/env" -export BASE_JOB="$HOMEgfs/jobs/rocoto" - -# EXPERIMENT specific environment parameters -export SDATE=2018020100 -export EDATE=2018020300 - -export assim_freq=6 -export PSLOT="nco_prod" -export EXPDIR="/gpfs/hps3/ptmp/$USER/$PSLOT" -export ROTDIR="$COMROOT/gfs/prod" -export RUNDIR="$STMP/$PSLOT" -export ARCDIR="$NOSCRUB/archive/$PSLOT" -export ICSDIR="$ICSDIR" -export ATARDIR="/NCEPDEV/$HPSS_PROJECT/1year/$USER/$machine/scratch/$PSLOT" - -# Resolution specific parameters -export LEVS=65 -export CASE=768 -export CASE_ENKF=384 - -# Surface cycle update frequency -export FHCYC=24 - -# Output frequency of the forecast model (for cycling) -export FHMIN=0 -export FHMAX=9 -export FHOUT=1 - -# GFS cycle info -export gfs_cyc=4 - -# GFS output and frequency -export FHMIN_GFS=0 -export FHMAX_GFS=384 -export FHOUT_GFS=3 -export FHMAX_HF_GFS=120 -export FHOUT_HF_GFS=1 - -# I/O QUILTING, true--use Write Component; false--use GFDL FMS -# if quilting=true, choose OUTPUT_GRID as cubed_sphere_grid in netcdf or gaussian_grid -# if gaussian_grid, set OUTPUT_FILE for nemsio or netcdf -export QUILTING=".true." -export OUTPUT_GRID="gaussian_grid" -export OUTPUT_FILE="nemsio" - -# Microphysics Options: 99-ZhaoCarr, 8-Thompson; 6-WSM6, 10-MG, 11-GFDL -export imp_physics=11 - -# Shared parameters -# Hybrid related -export DOHYBVAR="YES" -export NMEM_ENKF=80 -export SMOOTH_ENKF="YES" -export l4densvar=".true." -export lwrite4danl=".false." - -# EnKF output frequency -if [ $DOHYBVAR = "YES" ]; then - export FHMIN_ENKF=3 - export FHMAX_ENKF=9 - if [ $l4densvar = ".true." ]; then - export FHOUT=1 - export FHOUT_ENKF=1 - else - export FHOUT_ENKF=3 - fi -fi - -# Relocation related -export DO_RELOCATE="NO" - -# If YES, NSST is turned on in anal and/or fcst steps -export DONST="YES" - -# The switch to apply SST elevation correction or not -export nst_anl=.true. - diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.earc b/systems/rocoto_style_fv3gfs/sample-config/config.earc deleted file mode 100755 index 74690ec..0000000 --- a/systems/rocoto_style_fv3gfs/sample-config/config.earc +++ /dev/null @@ -1,22 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.earc ########## -# Ensemble archive specific - -echo "BEGIN: config.earc" - -# Get task specific resources -. $EXPDIR/config.resources earc - -export NMEM_EARCGRP=10 - -export EARC_CYC="00" # Archive ensemble restarts at EARC_CYC only; can be "00 06 12 18" - -echo "END: config.earc" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.ecen b/systems/rocoto_style_fv3gfs/sample-config/config.ecen deleted file mode 100755 index a6d5940..0000000 --- a/systems/rocoto_style_fv3gfs/sample-config/config.ecen +++ /dev/null @@ -1,24 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.ecen ########## -# Ensemble recentering specific - -echo "BEGIN: config.ecen" - -# Get task specific resources -. $EXPDIR/config.resources ecen - -export ENKFRECENSH="$HOMEgsi/scripts/EnKF/scripts_ncep/exglobal_enkf_recenter_fv3gfs.sh.ecf" -export nth_ecen=2 - -export CHGRESEXEC="$HOMEgfs/exec/chgres_recenter.exe" -export nth_chgres=12 - -echo "END: config.ecen" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.efcs b/systems/rocoto_style_fv3gfs/sample-config/config.efcs deleted file mode 100755 index 9f7c184..0000000 --- a/systems/rocoto_style_fv3gfs/sample-config/config.efcs +++ /dev/null @@ -1,61 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.efcs ########## -# Ensemble forecast specific, dependency: config.fcst - -echo "BEGIN: config.efcs" - -# Source model specific information that is resolution dependent -. $EXPDIR/config.fv3 $CASE_ENKF - -# Get task specific resources -. $EXPDIR/config.resources efcs - -export npe_fv3=$npe_efcs -export nth_fv3=1 - -if [ $QUILTING = ".true." ]; then - export npe_fv3=$(echo " $npe_fv3 + $WRITE_GROUP * $WRTTASK_PER_GROUP" | bc) - export npe_efcs=$npe_fv3 -fi - -export ENKFFCSTSH="$HOMEgsi/scripts/EnKF/scripts_ncep/exglobal_enkf_fcst_fv3gfs.sh.ecf" -export NMEM_EFCSGRP=10 -export RERUN_EFCSGRP="NO" - -# Stochastic physics parameters (only for ensemble forecasts) -export DO_SKEB="NO" -export SKEB=-999. -export SKEB_TAU=21600. -export SKEB_LSCALE=500000. -export SKEBNORM=1 -export DO_SHUM="YES" -export SHUM=0.006 -export SHUM_TAU=21600. -export SHUM_LSCALE=500000. -export DO_SPPT="YES" -export SPPT=0.5 -export SPPT_TAU=21600. -export SPPT_LSCALE=500000. -export SPPT_LOGIT=".true." -export SPPT_SFCLIMIT=".true." - -if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then - export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_da" -else - export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_da_orig" -fi - -# FV3 model namelist parameters to over-ride -export restart_interval=6 -export k_split=1 # model is unstable with k_split=2, n_split=6 and stochastic physics -export n_split=12 # make the model stable with k_split=1, n_split=12 - -echo "END: config.efcs" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.eobs b/systems/rocoto_style_fv3gfs/sample-config/config.eobs deleted file mode 100755 index 910bffe..0000000 --- a/systems/rocoto_style_fv3gfs/sample-config/config.eobs +++ /dev/null @@ -1,30 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.eobs config.eomg ########## -# Ensemble innovation specific, dependency config.anal - -echo "BEGIN: config.eobs" - -# Get task specific resources -. $EXPDIR/config.resources eobs - -export INVOBSSH="$HOMEgsi/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" -export ENKFINVOBSSH="$HOMEgsi/scripts/EnKF/scripts_ncep/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" -export NMEM_EOMGGRP=10 -export RERUN_EOMGGRP="YES" -#export USE_RADSTAT="NO" # This can be only used when bias correction is non-zero. -export npe_gsi=$npe_eobs -export nth_gsi=4 - -# GSI namelist options related to observer for EnKF -export OBSINPUT_INVOBS="dmesh(1)=225.0,dmesh(2)=225.0" -export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" - -echo "END: config.eobs" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.epos b/systems/rocoto_style_fv3gfs/sample-config/config.epos deleted file mode 100755 index 47e2fe6..0000000 --- a/systems/rocoto_style_fv3gfs/sample-config/config.epos +++ /dev/null @@ -1,21 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.epos ########## -# Ensemble post processing specific - -echo "BEGIN: config.epos" - -# Get task specific resources -. $EXPDIR/config.resources epos - -export ENKFPOSTSH="$HOMEgsi/scripts/EnKF/scripts_ncep/exglobal_enkf_post_fv3gfs.sh.ecf" -export nth_epos=2 - -echo "END: config.epos" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.eupd b/systems/rocoto_style_fv3gfs/sample-config/config.eupd deleted file mode 100755 index a5cc8f9..0000000 --- a/systems/rocoto_style_fv3gfs/sample-config/config.eupd +++ /dev/null @@ -1,22 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.eupd ########## -# Ensemble update specific, dependency config.anal - -echo "BEGIN: config.eupd" - -# Get task specific resources -. $EXPDIR/config.resources eupd - -export ENKFUPDSH="$HOMEgsi/scripts/EnKF/scripts_ncep/exglobal_enkf_update_fv3gfs.sh.ecf" -export npe_enkf=$npe_eupd -export nth_enkf=4 - -echo "END: config.eupd" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.fcst b/systems/rocoto_style_fv3gfs/sample-config/config.fcst deleted file mode 100755 index 0d999ee..0000000 --- a/systems/rocoto_style_fv3gfs/sample-config/config.fcst +++ /dev/null @@ -1,140 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.fcst ########## -# Forecast specific - -echo "BEGIN: config.fcst" - -# Source model specific information that is resolution dependent -. $EXPDIR/config.fv3 $CASE - -# Get task specific resources -. $EXPDIR/config.resources fcst - -if [ $DONST = "YES" ]; then - . $EXPDIR/config.nsst -fi - -export FCSTMODSDIR="$HOMEfv3gfs/modulefiles" -export FORECASTSH="$HOMEgfs/scripts/exglobal_fcst_nemsfv3gfs.sh" -#export FCSTEXECDIR="$HOMEfv3gfs/NEMS/exe" -export FCSTEXECDIR="$HOMEgfs/exec" -export FCSTEXEC="fv3_gfs_nh.prod.32bit.x" -export npe_fv3=$npe_fcst # This is model resolution dependent, see note above - -if [ $QUILTING = ".true." ]; then - export npe_fv3=$(echo " $npe_fv3 + $WRITE_GROUP * $WRTTASK_PER_GROUP" | bc) - export npe_fcst=$npe_fv3 -fi - -# Model configuration -export TYPE="nh" -export MONO="non-mono" - -# Use stratosphere h2o physics -export h2o_phys=".false." - -# Options of stratosphere O3 physics reaction coefficients -export new_o3forc=NO - -# Microphysics configuration -export dnats=0 -export cal_pre=".true." -export do_sat_adj=".false." -export random_clds=".true." -export cnvcld=".true." - -if [ $imp_physics -eq 99 ]; then # ZhaoCarr - export ncld=1 - export FIELD_TABLE="$HOMEgfs/parm/parm_fv3diag/field_table_zhaocarr" - export nwat=2 - -elif [ $imp_physics -eq 6 ]; then # WSM6 - export ncld=2 - export FIELD_TABLE="$HOMEgfs/parm/parm_fv3diag/field_table_wsm6" - export nwat=6 - -elif [ $imp_physics -eq 8 ]; then # Thompson - export ncld=2 - export FIELD_TABLE="$HOMEgfs/parm/parm_fv3diag/field_table_thompson" - export nwat=6 - -elif [ $imp_physics -eq 11 ]; then # GFDL - export ncld=5 - export FIELD_TABLE="$HOMEgfs/parm/parm_fv3diag/field_table_gfdl" - export nwat=6 - export dnats=1 - export cal_pre=".false." - export do_sat_adj=".true." - export random_clds=".false." - export cnvcld=".false." - - export hord_mt_nh_nonmono=6 - export hord_xx_nh_nonmono=6 - export vtdm4_nh_nonmono=0.02 - export nord=2 - export dddmp=0.1 - export d4_bg=0.12 - -else - echo "Unknown microphysics option, ABORT!" - -fi -#--------------------------------------------------------------------- - - -# Disable the use of coupler.res; get model start time from model_configure -export USE_COUPLER_RES="NO" - -if [[ "$CDUMP" == "gdas" ]] ; then # GDAS cycle specific parameters - - # Variables used in DA cycling - if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then - export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_da" - else - export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_da_orig" - fi - - # Write restart files at next assimilation time - export restart_interval=6 - -elif [[ "$CDUMP" == "gfs" ]] ; then # GFS cycle specific parameters - - # Write more variables to output - if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then - export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table" - else - export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_orig" - fi - -fi - -# Regrid tiles to global Gaussian grid in NEMSIO -export REGRID_NEMSIO_SH="$HOMEgfs/ush/fv3gfs_regrid_nemsio.sh" -if [ $DONST = YES ]; then - export REGRID_NEMSIO_TBL="$HOMEgfs/parm/parm_fv3diag/variable_table_da.txt" -else - export REGRID_NEMSIO_TBL="$HOMEgfs/parm/parm_fv3diag/variable_table_da_nonsst.txt" -fi - -# Remap tiles to global latlon grid in NetCDF -export REMAPSH="$HOMEgfs/ush/fv3gfs_remap.sh" -export master_grid="0p25deg" # 1deg 0p5deg 0p25deg 0p125deg etc -export npe_remap=$((npe_fcst < 240 ? npe_fcst : 240)) -export nth_remap=2 - -# Global latlon NetCDF to nemsio utility parameters -export NC2NEMSIOSH="$HOMEgfs/ush/fv3gfs_nc2nemsio.sh" - -# Remember config.efcs will over-ride these values for ensemble forecasts -# if these variables are re-defined there. -# Otherwise, the ensemble forecast will inherit from config.fcst - -echo "END: config.fcst" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.fv3 b/systems/rocoto_style_fv3gfs/sample-config/config.fv3 deleted file mode 100755 index 91d9c1d..0000000 --- a/systems/rocoto_style_fv3gfs/sample-config/config.fv3 +++ /dev/null @@ -1,112 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.fv3 ########## -# FV3 model resolution specific parameters -# e.g. time-step, processor layout, physics and dynamics parameters -# This config sets default variables for FV3 for a given resolution -# User can over-ride after sourcing this config file - -if [ $# -ne 1 ]; then - - echo "Must specify an input resolution argument to set variables!" - echo "argument can be any one of the following:" - echo "C48 C96 C192 C384 C768 C1152 C3072" - exit 1 - -fi - -case_in=$1 - -echo "BEGIN: config.fv3" - -# (Standard) Model resolution dependent variables -case $case_in in - "C48") - export DELTIM=450 - export layout_x=2 - export layout_y=4 - export npe_node_fcst=24 - export nth_fv3=1 - export cdmbgwd="0.062,3.5" # mountain blocking and gravity wave drag - export WRITE_GROUP=1 - export WRTTASK_PER_GROUP=24 - export WRTIOBUF="4M" - ;; - "C96") - export DELTIM=450 - export layout_x=4 - export layout_y=4 - export npe_node_fcst=24 - export nth_fv3=1 - export cdmbgwd="0.125,3.0" # mountain blocking and gravity wave drag - export WRITE_GROUP=1 - export WRTTASK_PER_GROUP=24 - export WRTIOBUF="4M" - ;; - "C192") - export DELTIM=450 - export layout_x=4 - export layout_y=6 - export npe_node_fcst=12 - export nth_fv3=2 - export cdmbgwd="0.2,2.5" # mountain blocking and gravity wave drag - export WRITE_GROUP=2 - export WRTTASK_PER_GROUP=24 - export WRTIOBUF="8M" - ;; - "C384") - export DELTIM=300 - export layout_x=4 - export layout_y=8 - export npe_node_fcst=12 - export nth_fv3=2 - export cdmbgwd="1.0,1.2" # mountain blocking and gravity wave drag - export WRITE_GROUP=3 - export WRTTASK_PER_GROUP=24 - export WRTIOBUF="16M" - ;; - "C768") - export DELTIM=225 - export layout_x=8 - export layout_y=16 - export npe_node_fcst=12 - export nth_fv3=2 - export cdmbgwd="3.5,0.25" # mountain blocking and gravity wave drag - export WRITE_GROUP=4 - export WRTTASK_PER_GROUP=60 - export WRTIOBUF="32M" - ;; - "C1152") - export DELTIM=150 - export layout_x=8 - export layout_y=16 - export npe_node_fcst=6 - export nth_fv3=4 - export WRITE_GROUP=4 - export WRTTASK_PER_GROUP=84 - export WRTIOBUF="48M" - ;; - "C3072") - export DELTIM=90 - export layout_x=16 - export layout_y=32 - export npe_node_fcst=6 - export nth_fv3=4 - export WRITE_GROUP=4 - export WRTTASK_PER_GROUP=120 - export WRTIOBUF="64M" - ;; - *) - echo "grid $case_in not supported, ABORT!" - exit 1 - ;; -esac - -echo "END: config.fv3" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.fv3ic b/systems/rocoto_style_fv3gfs/sample-config/config.fv3ic deleted file mode 100755 index 8f1f497..0000000 --- a/systems/rocoto_style_fv3gfs/sample-config/config.fv3ic +++ /dev/null @@ -1,20 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.fv3ic ########## -# Convert GFS initial conditions into FV3 initial conditions - -echo "BEGIN: config.fv3ic" - -# Task and thread configuration -export wtime_fv3ic="00:30:00" -export npe_fv3ic=24 -export npe_node_fv3ic=24 - -echo "END: config.fv3ic" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.getic b/systems/rocoto_style_fv3gfs/sample-config/config.getic deleted file mode 100755 index c30167e..0000000 --- a/systems/rocoto_style_fv3gfs/sample-config/config.getic +++ /dev/null @@ -1,27 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.getic ########## -# Fetching GFS initial conditions specific - -echo "BEGIN: config.getic" - -# Get task specific resources -. $EXPDIR/config.resources getic - -# We should just be supporting the OPSGFS only -export ics_from="opsgfs" # initial conditions from opsgfs or pargfs - -# Provide a parallel experiment name and path to HPSS archive -if [ $ics_from = "pargfs" ]; then - export parexp="prnemsrn" - export HPSS_PAR_PATH="/5year/NCEPDEV/emc-global/emc.glopara/WCOSS_C/$parexp" -fi - -echo "END: config.getic" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.nsst b/systems/rocoto_style_fv3gfs/sample-config/config.nsst deleted file mode 100755 index f18862f..0000000 --- a/systems/rocoto_style_fv3gfs/sample-config/config.nsst +++ /dev/null @@ -1,40 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date: 2017-09-23 02:48:49 +0000 (Sat, 23 Sep 2017) $ -# $Revision: 97753 $ -# $Author: fanglin.yang@noaa.gov $ -# $Id: config.nsst 97753 2017-09-23 02:48:49Z fanglin.yang@noaa.gov $ -############################################################### - -########## config.nsst ########## -# NSST specific - -echo "BEGIN: config.nsst" - -# NSST parameters contained within nstf_name - -# nstf_name(1) : NST_MODEL (NSST Model) : 0 = OFF, 1 = ON but uncoupled, 2 = ON and coupled -export NST_MODEL=2 - -# nstf_name(2) : NST_SPINUP : 0 = OFF, 1 = ON, -export NST_SPINUP=0 -if [[ "$CDATE" = $SDATE ]]; then - export NST_SPINUP=1 -fi - -# nstf_name(3) : NST_RESV (Reserved, NSST Analysis) : 0 = OFF, 1 = ON -export NST_RESV=0 - -# nstf_name(4,5) : ZSEA1, ZSEA2 the two depths to apply vertical average (bias correction) -export ZSEA1=0 -export ZSEA2=0 - -export NST_GSI=3 # default 0: No NST info at all; - # 1: Input NST info but not used in GSI; - # 2: Input NST info, used in CRTM simulation, no Tr analysis - # 3: Input NST info, used in both CRTM simulation and Tr analysis -export NSTINFO=0 # number of elements added in obs. data array (default = 0) -if [ $NST_GSI -gt 0 ]; then export NSTINFO=4; fi - -echo "END: config.nsst" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.post b/systems/rocoto_style_fv3gfs/sample-config/config.post deleted file mode 100755 index d7259b1..0000000 --- a/systems/rocoto_style_fv3gfs/sample-config/config.post +++ /dev/null @@ -1,44 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.post ########## -# Post specific - -echo "BEGIN: config.post" - -# Get task specific resources -. $EXPDIR/config.resources post - -# Convert nemsio files to grib files using post job -#------------------------------------------- - -# No. of concurrent post jobs [0 implies sequential] -export NPOSTGRP=5 - -# Post driver job that calls global_nceppost.sh and downstream jobs -export POSTJJOBSH="$HOMEpost/jobs/JGLOBAL_NCEPPOST" -export GFSDOWNSH="$HOMEpost/ush/fv3gfs_downstream_nems.sh" -export GFSDWNSH="$HOMEpost/ush/fv3gfs_dwn_nems.sh" - -export POSTGPSH="$HOMEpost/ush/global_nceppost.sh" -export POSTGPEXEC="$HOMEgfs/exec/gfs_ncep_post" -export GOESF=NO # goes image -export GTGF=NO # gtg icing product -export FLXF=YES # grib2 flux file written by post -export PGB1F=YES - -export npe_postgp=$npe_post -export nth_postgp=1 - -export GFS_DOWNSTREAM="YES" -export downset=1 -export npe_dwn=24 -export nth_dwn=1 - -echo "END: config.post" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.prep b/systems/rocoto_style_fv3gfs/sample-config/config.prep deleted file mode 100755 index c2f5928..0000000 --- a/systems/rocoto_style_fv3gfs/sample-config/config.prep +++ /dev/null @@ -1,21 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.prep ########## -# Prep step specific - -echo "BEGIN: config.prep" - -# Get task specific resources -. $EXPDIR/config.resources prep - -export DO_MAKEPREPBUFR="YES" # if NO, will copy prepbufr from globaldump -export DRIVE_MAKEPREPBUFRSH="$HOMEgfs/ush/drive_makeprepbufr.sh" - -echo "END: config.prep" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.prepbufr b/systems/rocoto_style_fv3gfs/sample-config/config.prepbufr deleted file mode 100755 index 6ebc3a1..0000000 --- a/systems/rocoto_style_fv3gfs/sample-config/config.prepbufr +++ /dev/null @@ -1,94 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.prepbufr ########## -# PREPBUFR specific configuration - -echo "BEGIN: config.prepbufr" - -# Get task specific resources -. $EXPDIR/config.resources prepbufr - -# What to turn ON or OFF -export SYNDATA="YES" # Execute program SYNDAT_SYNDATA -export PREPACQC="YES" # Execute program PREPOBS_PREPACQC -export PROCESS_ACQC="YES" # Execute program PREPOBS_PREPACQC -export PROCESS_ACPF="NO" # Execute program PREPOBS_PREPACPF -export PROFCQC="YES" # Execute program PREPOBS_PROFCQC -export CQCVAD="YES" # Execute program PREPOBS_CQCVAD -export CQCBUFR="YES" # Execute program PREPOBS_CQCBUFR -export OIQCBUFR="NO" # Execute program PREPOBS_OIQCBUFR -export PREPDATA="YES" # Execute program PREPOBS_MPCOPYBUFR, - # PREPOBS_PREPDATA, - # PREPOBS_LISTHEADERS, - # PREPOBS_MONOPREPBUFR -export GETGUESS="YES" # Encode first guess (background) values interpolated to - # observation locations in the PREPBUFR file for use by - # the q.c. programs. This guess is always from a global - # guess file valid at the center PREPBUFR processing date/time. -export DO_QC="YES" # IF NO, programs PREPOBS_PREPACQC, PREPOBS_ACARSQC, - # PREPOBS_PROFCQC, PREPOBS_CQCVAD, PREPOBS_CQCBUFR and - # PREPOBS_OIQCBUFR will NEVER execute regardless of - # switches above - - # should be set to NO only as a last resort!!! - -# BUFR data types to process -export BUFRLIST="adpupa proflr aircar aircft satwnd adpsfc sfcshp vadwnd wdsatr ascatw rassda gpsipw" - -# MAKEPREPBUFR script and options -export MAKEPREPBUFRSH="$BASE_PREP/ush/prepobs_makeprepbufr.sh" -export NSPLIT=4 # execute in parallel - -# These variable largely eliminate the need for explicitly setting -# USH directories, FIX files, PARM files, EXECutables below -# The USER can overwrite components that they wish -# e.g. PRVT is used from the GSI -export HOMEobsproc_prep=$BASE_PREP -export EXECPREP="$BASE_PREP/exec" -export FIXPREP="$BASE_PREP/fix" -export HOMEobsproc_network=$BASE_PREP_GLOBAL -export PARMPREP="$BASE_PREP_GLOBAL/parm" - -# Directories -#export USHSYND="$BASE_PREP/ush" -#export USHPREV="$BASE_PREP/ush" -#export USHCQC="$BASE_PREP/ush" -#export USHPQC="$BASE_PREP/ush" -#export USHVQC="$BASE_PREP/ush" -#export USHAQC="$BASE_PREP/ush" -#export USHOIQC="$BASE_PREP/ush" - -# Fix files -#export CQCS="$BASE_PREP/fix/prepobs_cqc_statbge" -#export LANDC="$BASE_PREP/fix/prepobs_landc" -#export PRPT="$BASE_PREP/fix/prepobs_prep.bufrtable" -export PRVT="$HOMEgsi/fix/prepobs_errtable.global" -#export OIQCT="$BASE_PREP_GLOBAL/fix/prepobs_oiqc.oberrs" - -# parm files -#export AQCC="$BASE_PREP_GLOBAL/parm/prepobs_prepacqc.${CDUMP}.parm" -#export CQCC="$BASE_PREP_GLOBAL/parm/prepobs_cqcbufr.gdas.parm" -#export PRPC="$BASE_PREP_GLOBAL/parm/prepobs_prepdata.${CDUMP}.parm" -#export PQCC="$BASE_PREP_GLOBAL/parm/prepobs_profcqc.gdas.parm" -#export SYNDC="$BASE_PREP_GLOBAL/parm/syndat_syndata.gdas.parm" - -# Executables -#export PRPX="$BASE_PREP/exec/prepobs_prepdata" -#export PREX="$BASE_PREP/exec/prepobs_prevents" -#export AQCX="$BASE_PREP/exec/prepobs_prepacqc" -#export PQCX="$BASE_PREP/exec/prepobs_profcqc" -#export CQCX="$BASE_PREP/exec/prepobs_cqcbufr" -#export SYNDX="$BASE_PREP/exec/syndat_syndata" -#export MPCOPYX="$BASE_PREP/exec/prepobs_mpcopybufr" -#export LISTHDX="$BASE_PREP/exec/prepobs_listheaders" -#export MONOBFRX="$BASE_PREP/exec/prepobs_monoprepbufr" -#export VQCX="$BASE_PREP/exec/prepobs_cqcvad" -#export OIQCX="$BASE_PREP/exec/prepobs_oiqcbufr" - -echo "END: config.prepbufr" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.resources b/systems/rocoto_style_fv3gfs/sample-config/config.resources deleted file mode 100755 index eadc424..0000000 --- a/systems/rocoto_style_fv3gfs/sample-config/config.resources +++ /dev/null @@ -1,127 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.resources ########## -# Set resource information for job tasks -# e.g. walltime, node, cores per node, memory etc. - -if [ $# -ne 1 ]; then - - echo "Must specify an input task argument to set resource variables!" - echo "argument can be any one of the following:" - echo "anal fcst post vrfy arch" - echo "eobs eomg eupd ecen efcs epos earc" - exit 1 - -fi - -step=$1 - -echo "BEGIN: config.resources" - -if [ $step = "prep" -o $step = "prepbufr" ]; then - - eval "export wtime_$step='00:15:00'" - eval "export npe_$step=12" - eval "export npe_node_$step=12" - -elif [ $step = "anal" ]; then - - export wtime_anal="01:30:00" - export npe_anal=144 - export npe_node_anal=6 - export memory_anal="3072M" - -elif [ $step = "fcst" ]; then - - export wtime_fcst="00:15:00" - export wtime_fcst_gfs="06:00:00" - export npe_fcst=$(echo "$layout_x * $layout_y * 6" | bc) - export npe_node_fcst=${npe_node_fcst:-12} - export memory_fcst="1024M" - -elif [ $step = "post" ]; then - - export wtime_post="00:30:00" - export wtime_post_gfs="01:00:00" - export npe_post=72 - export npe_node_post=12 - export npe_node_dwn=24 - if [[ "$machine" == THEIA ]]; then - export memory_post="16384M" - else - export memory_post="3072M" - fi - -elif [ $step = "vrfy" ]; then - - export wtime_vrfy="03:00:00" - export wtime_vrfy_gfs="06:00:00" - export npe_vrfy=1 - export npe_node_vrfy=1 - if [[ "$machine" == THEIA ]]; then - export memory_vrfy="16384M" - else - export memory_vrfy="3072M" - # Memory on cray is for the batch node, not the compute node. - # Tasks on the compute node have no memory limit. 16GB is - # unneeded, and is beyond the queue maximum anyway (the job is - # rejected). - fi - -elif [ $step = "arch" -o $step = "earc" -o $step = "getic" ]; then - - eval "export wtime_$step='06:00:00'" - eval "export npe_$step=1" - eval "export npe_node_$step=1" - -elif [ $step = "eobs" -o $step = "eomg" ]; then - - export wtime_eobs="00:45:00" - export wtime_eomg="01:00:00" - export npe_eobs=72 - export npe_node_eobs=6 - export memory_eobs="3072M" - -elif [ $step = "eupd" ]; then - - export wtime_eupd="00:30:00" - export npe_eupd=120 - export npe_node_eupd=12 - export memory_eupd="3072M" - -elif [ $step = "ecen" ]; then - - export wtime_ecen="00:30:00" - export npe_ecen=84 - export npe_node_ecen=12 - export memory_ecen="3072M" - -elif [ $step = "efcs" ]; then - - export wtime_efcs="01:00:00" - export npe_efcs=$(echo "$layout_x * $layout_y * 6" | bc) - export npe_node_efcs=${npe_node_fcst:-12} - export memory_efcs="254M" - -elif [ $step = "epos" ]; then - - export wtime_epos="00:30:00" - export npe_epos=84 - export npe_node_epos=12 - export memory_epos="254M" - -else - - echo "Invalid step = $step, ABORT!" - exit 2 - -fi - -echo "END: config.resources" diff --git a/systems/rocoto_style_fv3gfs/sample-config/config.vrfy b/systems/rocoto_style_fv3gfs/sample-config/config.vrfy deleted file mode 100755 index ee8fa61..0000000 --- a/systems/rocoto_style_fv3gfs/sample-config/config.vrfy +++ /dev/null @@ -1,176 +0,0 @@ -#!/bin/ksh -x -############################################################### -# < next few lines under version control, D O N O T E D I T > -# $Date$ -# $Revision$ -# $Author$ -# $Id$ -############################################################### - -########## config.vrfy ########## -# Verification step specific - -echo "BEGIN: config.vrfy" - -# Get task specific resources -. $EXPDIR/config.resources vrfy - -export VDUMP="gfs" # verifying dump -export CDUMPFCST="gdas" # Fit-to-obs with GDAS/GFS prepbufr -export CDFNL="gdas" # Scores verification against GDAS/GFS analysis - -export VSDB_STEP1="YES" # populate VSDB database -export VSDB_STEP2="NO" -export VRFYG2OBS="YES" # Grid to observations, see note below if turning ON -export VRFYFITS="YES" # Fit to observations -export VRFYPRCP="YES" # Precip threat scores -export VRFYMINMON="YES" # GSI minimization monitoring -export VRFYRAD="YES" # Radiance data assimilation monitoring -export VRFYOZN="YES" # Ozone data assimilation monitoring -export VRFYTRAK="YES" # Hurricane track forecasts -export VRFYGENESIS="YES" # Cyclone genesis -export VRFYGMPK="NO" # Gempak verification - -# Fit to Observations -if [ $VRFYFITS = "YES" ]; then - - if [ $machine = "WCOSS_C" ]; then - export fitdir="$BASE_SVN/verif/global/parafits.fv3nems/batrun" - export PREPQFITSH="$fitdir/subfits_cray_nems" - elif [ $machine = "THEIA" ]; then - export fitdir="$BASE_SVN/verif/global/parafits.fv3nems/batrun" - export PREPQFITSH="$fitdir/subfits_theia_nems" - fi - -fi - -# VSDB STEP1, Verify Precipipation and Grid To Obs options -# All these call $VSDBSH -if [[ "$CDUMP" = "gfs" ]] ; then - ddd=`echo $CDATE |cut -c 1-8` - #if [ $ddd -eq 5 -o $ddd -eq 10 ]; then export VSDB_STEP2 = "YES" ;fi - - if [ $VSDB_STEP1 = "YES" -o $VSDB_STEP2 = "YES" -o $VRFYPRCP = "YES" -o $VRFYG2OBS = "YES" ]; then - export BACKDATEVSDB=24 # execute vsdbjob for the previous day - export VBACKUP_PRCP=24 # back up for QPF verification data - export vsdbsave="$NOSCRUB/archive/vsdb_data" # place to save vsdb database - export vsdbhome=$BASE_VERIF # location of global verification scripts - export VSDBSH="$vsdbhome/vsdbjob.sh" # VSDB job script - export vlength=$FHMAX_GFS # verification length - export vhr_rain=$FHMAX_GFS # verification length for precip - export ftyplist="pgbq" # verif. files used for computing QPF ETS scores - export anltype="gfs" # default=gfs, analysis type (gfs or gdas) for verification - - export VSDB_START_DATE="$SDATE" # starting date for vsdb maps - export webhost="emcrzdm.ncep.noaa.gov" # webhost(rzdm) computer - export webhostid="$USER" # webhost(rzdm) user name - export SEND2WEB="NO" # whether or not to send maps to webhost - export WEBDIR="/home/people/emc/www/htdocs/gmb/${webhostid}/vsdb/$PSLOT" - export mdlist="gfs $PSLOT " # exps (up to 10) to compare in maps - fi -fi - - -# Minimization, Radiance and Ozone Monitoring -if [ $VRFYRAD = "YES" -o $VRFYMINMON = "YES" -o $VRFYOZN = "YES" ]; then - - export HOMEgfs="$HOMEgfs" - export envir="para" - - # Radiance Monitoring - if [[ "$VRFYRAD" == "YES" && "$CDUMP" == "$CDFNL" ]] ; then - - export HOMEradmon="$HOMEgfs" - export RADMON_SUFFIX=$PSLOT - export TANKverf="$NOSCRUB/radmon" - if [ $machine = "WCOSS_C" -o $machine = "THEIA" ]; then - export VRFYRADSH="$HOMEgfs/jobs/JGDAS_VERFRAD" - else - echo "WARNING: Radiance monitoring is not enabled on $machine!" - export VRFYRAD="NO" - fi - - fi - - # Minimization Monitoring - if [[ "$VRFYMINMON" = "YES" ]] ; then - - export HOMEgfs="$HOMEgfs" - export HOMEminmon=$HOMEgfs - export MINMON_SUFFIX=$PSLOT - export M_TANKverf="$NOSCRUB/minmon" - if [[ "$machine" == "WCOSS_C" || "$machine" == "THEIA" ]] ; then - if [[ "$CDUMP" = "gdas" ]] ; then - export VRFYMINSH="$HOMEgfs/jobs/JGDAS_VMINMON" - elif [[ "$CDUMP" = "gfs" ]] ; then - export VRFYMINSH="$HOMEgfs/jobs/JGFS_VMINMON" - fi - else - echo "WARNING: Minimization monitoring is not enabled on $machine!" - export VRFYMINMON="NO" - fi - - fi - - # Ozone Monitoring - if [[ "$VRFYOZN" == "YES" && "$CDUMP" == "$CDFNL" ]] ; then - - export HOMEoznmon="$HOMEgfs" - export OZNMON_SUFFIX=$PSLOT - export TANKverf_ozn="$NOSCRUB/oznmon" - if [ $machine = "WCOSS_C" -o $machine = "THEIA" ]; then - export VRFYOZNSH="$HOMEgfs/jobs/JGDAS_VERFOZN" - else - echo "WARNING: Ozone monitoring is not enabled on $machine!" - export VRFYOZN="NO" - fi - - fi - -fi - -# Cyclone track verification -if [ $VRFYTRAK = "YES" ]; then - - export TRACKERSH="$HOMEgfs/ush/global_tracker.sh" - export PARATRKR="$HOMEgfs/ush/global_extrkr.sh" - export GETTRKEXEC="$HOMEgfs/exec/gettrk" - export GETTX=$GETTRKEXEC - export SUPVX="$HOMEgfs/exec/supvit" - export HOMERELO=$HOMEgfs - export homesyndir=$HOMEgfs - export prep_step="$NWPROD/prod_util.v1.0.15/ush/prep_step" - if [[ "$CDUMP" = "gfs" ]] ; then - export FHOUT=$FHOUT_GFS - export FHMAX=$FHMAX_GFS - export FHMAX2=${FHMAX2_GFS:-$FHMAX_GFS} # We don't run FCST2 - fi - if [ $machine = "THEIA" ]; then - export COMROOTp1="/scratch4/NCEPDEV/rstprod/com" - export archsyndir="$COMROOTp1/arch/prod/syndat" - fi -fi - -# Cyclone genesis verification -if [ $VRFYGENESIS = "YES" ]; then - - if [ $machine = "WCOSS_C" ] ; then - export NWROOTGENESIS="/gpfs/hps3/emc/global/noscrub/emc.glopara/svn/gfs/q3fy17" - elif [ $machine = "THEIA" ] ; then - export NWROOTGENESIS="/scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17" - export UTILROOT="/scratch4/NCEPDEV/global/save/glopara/nwpara/prod_util.v1.0.15" - export GETTX_GEN="$NWROOTGENESIS/ens_tracker.v2.0.1/exec/gettrk_gen_g2_theia" - fi - if [ $machine = "WCOSS_C" -o $machine = "THEIA" ]; then - export GENESISSH="$NWROOTGENESIS/ens_tracker.v2.0.1/gfs_genesis_para_fv3gfs.sh" - else - echo "WARNING: Genesis verification is not enabled on $machine!" - export VRFYGENESIS="NO" - export GENESISSH="" - fi - - export GETTX_GEN="$NWROOTGENESIS/ens_tracker.v2.0.1/exec/gettrk_gen_g2" - -fi - -echo "END: config.vrfy" diff --git a/systems/rocoto_style_fv3gfs/schedulers.yaml b/systems/rocoto_style_fv3gfs/schedulers.yaml deleted file mode 100644 index 532eaeb..0000000 --- a/systems/rocoto_style_fv3gfs/schedulers.yaml +++ /dev/null @@ -1,23 +0,0 @@ - -wcoss_cray_scheduler_settings: &wcoss_cray_scheduler_settings - name: LSFAlps - physical_cores_per_node: 24 - logical_cpus_per_core: 2 - hyperthreading_allowed: true - -theia_scheduler_settings: &theia_scheduler_settings - name: MoabTorque - physical_cores_per_node: 24 - logical_cpus_per_core: 2 - hyperthreading_allowed: true - -# Magic block to automatically detect your machine -automatic_scheduler_settings: &automatic_scheduler_settings !FirstTrue - - when: !calc tools.isdir("/gpfs") and tools.isdir("/usrx/local") - do: *wcoss_cray_scheduler_settings - - when: !calc tools.isdir("/scratch4") and tools.isdir("/scratch3") - do: *theia_scheduler_settings - - otherwise: !error "You are not on Theia or WCOSS" - -scheduler_settings: *automatic_scheduler_settings - diff --git a/systems/rocoto_style_fv3gfs/settings.yaml b/systems/rocoto_style_fv3gfs/settings.yaml deleted file mode 100644 index 196d960..0000000 --- a/systems/rocoto_style_fv3gfs/settings.yaml +++ /dev/null @@ -1,64 +0,0 @@ - -## initial_directory: location from which the setup script was run. -initial_directory: !calc ( tools.realpath(tools.abspath(".")) ) - -config_base: - # These are initialized from config.base: - FHMAX_GFS: !Immediate [ !calc int(tools.env("FHMAX_GFS")) ] - PSLOT: !Immediate [ !calc tools.env("PSLOT") ] - EXPDIR: !Immediate [ !calc tools.env("EXPDIR") ] - ROTDIR: !Immediate [ !calc tools.env("ROTDIR") ] - RUNDIR: !Immediate [ !calc tools.env("RUNDIR") ] - HOMEgfs: !Immediate [ !calc tools.env("HOMEgfs") ] - CASE: !Immediate [ !calc tools.env("CASE") ] - CASE_ENKF: !Immediate [ !calc tools.env("CASE_ENKF") ] - SDATE: !Immediate [ !calc tools.env("SDATE") ] - EDATE: !Immediate [ !calc tools.env("EDATE") ] - -settings: - resource_file: !expand >- - resources_{doc.config_base.CASE}_{doc.config_base.CASE_ENKF}.yaml - - HOMEgfs: !calc doc.config_base.HOMEgfs - COM: !calc doc.config_base.ROTDIR - EXPDIR: !calc doc.config_base.EXPDIR - DATAROOT: !calc doc.config_base.RUNDIR - experiment_name: !calc doc.config_base.PSLOT - - NMEM_ENKF: 20 - ENKF_GROUPS: 2 - NMEM_EOMGGRP: 4 - NMEM_EFCSGRP: 10 - NMEM_EARCGRP: 10 - - ENKF_INNOVATE_GROUPS: !calc ( NMEM_ENKF // NMEM_EOMGGRP ) - ENKF_FORECAST_GROUPS: !calc ( NMEM_ENKF // NMEM_EFCSGRP ) - ENKF_ARCHIVE_GROUPS: !calc ( NMEM_ENKF // NMEM_EARCGRP ) - - ECF_HOME: !calc ( tools.env('ECF_HOME') ) - ROCOTO_HOME: !calc ( doc.config_base.EXPDIR ) - layout_x: 4 - layout_y: 6 - WRITE_GROUP: 2 - WRTTASK_PER_GROUP: 24 - QUEUE: dev - PROJECT: FV3GFS-T2O - QUEUESERV: dev_transfer - QUEUESHARED: dev_shared - - DUMPDIR: "/gpfs/tp1/emc/globaldump" - - start_date: !calc tools.strptime(doc.config_base.SDATE,"%Y%m%d%H") - end_date: !calc tools.strptime(doc.config_base.EDATE,"%Y%m%d%H") - start_date_gfs: !calc ( start_date+tools.to_timedelta('6:00:00') ) - end_date_gfs: !calc end_date - interval_gfs: !timedelta "6:00:00" - #forecast_hours: !calc tools.seq(0,120,1)+tools.seq(123,240,3)+tools.seq(240,384,12) - forecast_hours: !calc tools.seq(0,doc.config_base.FHMAX_GFS,6) - gdas_hours: !calc tools.seq(0,9,3) - - max_job_tries: 1 - realtime: True - archive_to_hpss: True - -######################################################################## diff --git a/systems/rocoto_style_fv3gfs/suite_def.yaml b/systems/rocoto_style_fv3gfs/suite_def.yaml deleted file mode 100644 index aed45fe..0000000 --- a/systems/rocoto_style_fv3gfs/suite_def.yaml +++ /dev/null @@ -1,374 +0,0 @@ -scheduler: !calc | - tools.get_scheduler(doc.scheduler_settings.name, - doc.scheduler_settings) -suite: !Cycle - Clock: !Clock - start: !calc doc.settings.start_date - end: !calc doc.settings.end_date - step: !timedelta "6:00:00" - - Alarms: - first: !Clock - start: !calc suite.Clock.start - end: !calc suite.Clock.start - step: !calc suite.Clock.step - gdas: !Clock - start: !calc suite.Clock.start - end: !calc suite.Clock.end - step: !calc suite.Clock.step - gfs: !Clock - start: !calc doc.settings.start_date_gfs - end: !calc doc.settings.end_date_gfs - step: !calc doc.settings.interval_gfs - - ecFlow: - suite_def_filename: !expand "{doc.settings.experiment_name}_%Y%m%d%H.def" - suite_name: !expand "{doc.settings.experiment_name}_%Y%m%d%H" - scheduler: !calc doc.scheduler - dates_in_time_dependencies: true - - # write_cycles/analyze_cycles: Use these to generate a subset of - # the cycles in ecFlow. Make sure analyze_cycles starts at least - # one cycle before write_cycles so that dependencies are - # processed. These are overridden by update_ecflow_workflow.py - # during its execution. - - # write_cycles: !Clock - # start: 2018-01-02T00:00:00 - # end: 2018-01-02T18:00:00 - # step: !timedelta "6:00:00" - # analyze_cycles: !Clock - # start: 2018-01-02T00:00:00 - # end: 2018-01-02T18:00:00 - # step: !timedelta "6:00:00" - - ecflow_def: !expand | - # This ecflow suite definition is automatically generated. - # Changes will be overwritten. Please edit suite_def.yaml instead. - - # Repeat vs. autocancel. - # - # - Use "repeat day 1" if you are generating four cycles: 00, 06, 12, 18 - # as is done in operations - # - # - Use "autocancel" if you are generating one suite for each cycle. - # This ensures the server is not filled up with cycles. - - #repeat day 1 - autocancel +5 - - edit ECF_TRIES '{doc.settings.max_job_tries}' - #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% xc40-dev' - #edit ECF_JOB_CMD 'lsub %ECF_JOB% %ECF_JOBOUT% %MACHINE%' - #edit ECF_KILL_CMD 'lkill %ECF_NAME% %ECF_JOBOUT%' - edit ECF_HOME '{doc.settings.ECF_HOME}' - edit MACHINE 'xc40-dev' - #edit ECF_HOME '/gpfs/hps3/emc/global/noscrub/emc.glopara/ecflow/fv3' - edit CYC '{tools.strftime(suite.Clock.now,"%H")}' - edit PDY '{tools.strftime(suite.Clock.now,"%Y%m%d")}' - edit ENVIR 'prod' - edit E 'jecffv3' - #edit QUEUE 'dev' - edit EMCPEN '{doc.settings.experiment_name}' - edit DATAROOT '{doc.settings.DATAROOT}' - edit ECF_FILES '{doc.settings.ECF_HOME}' - #edit ECF_JOBOUT '%ECF_HOME%/%ECF_NAME%.%ECF_TRYNO%' - edit ECF_OUT '{doc.settings.COM}/logs' - edit ECF_LOG '{doc.settings.EXPDIR}/ecf.log' - edit MODEL_NAME 'gfs' - - edit DUMPDIR '{doc.settings.DUMPDIR}' - {common_metasched_vars} - - common_metasched_vars: !expand | - {metasched.defvar("QUEUE", doc.settings.QUEUE)} - {metasched.defvar("HOMEgfs", doc.settings.HOMEgfs)} - {metasched.defvar("QUEUESHARED", doc.settings.QUEUESHARED)} - {metasched.defvar("COM", doc.settings.COM)} - {metasched.defvar("QUEUESERV", doc.settings.QUEUESERV)} - {metasched.defvar("PROJECT", doc.settings.PROJECT)} - - Rocoto: - scheduler: !calc doc.scheduler - max_tries: !calc doc.settings.max_job_tries - workflow_xml: !expand | - - - - - - - - {tools.indent(" ",suite.common_metasched_vars)} - ]> - - - &LOG_DIR;/rocoto_@Y@m@d@H.log - {to_rocoto.make_time_xml(indent=1)} - {to_rocoto.make_task_xml(indent=1)} - - - gdas: !Family - AlarmName: gdas - - ecflow_def: | - edit MODEL_NAME 'gdas' - - dump_waiter: !Task - <<: *dump_waiter_task - ecflow_def: !FirstTrue - - when: !calc doc.settings.realtime - do: "edit ECF_TRIES 72" - - otherwise: "" - Trigger: !Depend up.gdas.post.at('-6:00:00') - Complete: !Depend ~ suite.has_cycle('-6:00:00') - - prep: !Task - <<: *exclusive_task_template - Trigger: !Depend up.gdas.post.at('-6:00:00') & dump_waiter.updated_status - Complete: !Depend ~ suite.has_cycle('-6:00:00') - resources: !calc ( doc.resources.run_prep ) - - anal: !Task - <<: *exclusive_task_template - Trigger: !Depend ( prep & enkf.epos.at('-6:00:00') ) - Complete: !Depend ~ suite.has_cycle('-6:00:00') - resources: !calc ( doc.resources.run_anal ) - - fcst: !Task - <<: *exclusive_task_template - Trigger: !Depend anal | ~ suite.has_cycle('-6:00:00') - resources: !calc ( doc.resources.run_gdasfcst ) - - post: !TaskArray - Dimensions: - fhr: !calc doc.settings.gdas_hours #tools.seq(0,9,1) - post_manager_el: !TaskElement - <<: *exclusive_task_template - Trigger: !Depend up.fcst.is_running() - Complete: !Depend up.fcst - Disable: !calc metasched.type=='rocoto' - Foreach: [] - J_JOB: post_manager - ecflow_command: *post_manager_job_contents - Name: post_manager - resources: !calc doc.resources.run_gdas_post_manager - release_postanl: !DataEvent - file: !expand >- - {metasched.varref("COM")}/{up.CDUMP}.{metasched.datestring("%Y%m%d/%H/")}{up.CDUMP}.t{metasched.datestring("%H")}z.logf000.nemsio - release_post_fhr: !DataEventElement - Name: !expand "release_post{dimval.fhr:02d}" - Foreach: [ fhr ] - file: !expand > - {metasched.varref("COM")}/{up.CDUMP}.{metasched.datestring("%Y%m%d/%H/")}{up.CDUMP}.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio - # NOTE: the above files must match post_manager.yaml - - post_el: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - J_JOB: post.sh - Name: !expand "g{dimidx.fhr:03d}" - FHRGRP: !expand "{dimidx.fhr:03d}" - FHRLST: !FirstTrue - - when: !calc dimidx.fhr==0 - do: anl - - otherwise: !expand "f{(dimidx.fhr-1)*3:03d}" - more_vars: [ FHRGRP, FHRLST ] - release_id: !FirstTrue - - when: !calc dimidx.fhr==0 - do: "anl" - - otherwise: !expand "{(dimidx.fhr-1)*3:02d}" - Trigger: !Depend post_manager.depend("release_post{F}",F=[release_id]) | up.fcst - resources: !calc doc.resources.run_gdaspost - - vrfy: !Task - <<: *exclusive_task_template - Trigger: !Depend post - resources: !calc ( doc.resources.run_gdasvrfy ) - - enkf: !Family - eobs: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.prep & epos.at('-6:00:00') ) - Complete: !Depend ~ suite.has_cycle('-6:00:00') - resources: !calc ( doc.resources.run_eobs ) - - eomg: !TaskArray - Trigger: !Depend eobs - Complete: !Depend ~ suite.has_cycle('-6:00:00') - Dimensions: - groupid: !calc tools.seq(1,doc.settings.ENKF_INNOVATE_GROUPS,1) - grp: !TaskElement - <<: *exclusive_task_template - resources: !calc ( doc.resources.run_eomg ) - J_JOB: eomg.sh - Foreach: [ groupid ] - Name: !expand "grp{dimval.groupid}" - ENSGRP: !expand "{dimval.groupid:02d}" - more_vars: [ ENSGRP ] - - eupd: !Task - <<: *exclusive_task_template - Trigger: !Depend eomg - Complete: !Depend ~ suite.has_cycle('-6:00:00') - resources: !calc ( doc.resources.run_eupd ) - - ecen: !Task - <<: *exclusive_task_template - Trigger: !Depend ( eupd & up.anal ) - Complete: !Depend ~ suite.has_cycle('-6:00:00') - resources: !calc ( doc.resources.run_ecen ) - - efcs: !TaskArray - Trigger: !Depend ( ecen | ~ suite.has_cycle('-6:00:00') ) - Dimensions: - groupid: !calc tools.seq(1,doc.settings.ENKF_FORECAST_GROUPS,1) - grp: !TaskElement - <<: *exclusive_task_template - resources: !calc ( doc.resources.run_efcs ) - J_JOB: efcs.sh - Foreach: [ groupid ] - Name: !expand "grp{dimval.groupid}" - ENSGRP: !expand "{dimval.groupid:02d}" - more_vars: [ ENSGRP ] - - epos: !Task - <<: *exclusive_task_template - Trigger: !Depend efcs - resources: !calc ( doc.resources.run_epos ) - - gfs: !Family - Complete: !Depend ~ suite.has_cycle('-6:00:00') - AlarmName: gfs - ecflow_def: | - edit MODEL_NAME 'gfs' - - dump_waiter: !Task - <<: *dump_waiter_task - ecflow_def: !FirstTrue - - when: !calc doc.settings.realtime - do: "edit ECF_TRIES 72" - - otherwise: "" - Trigger: !Depend up.gdas.post.at('-6:00:00') - - prep: !Task - <<: *exclusive_task_template - resources: !calc ( doc.resources.run_prep ) - Trigger: !Depend up.gdas.post.at('-6:00:00') & dump_waiter.updated_status - - anal: !Task - <<: *exclusive_task_template - Trigger: !Depend ( prep & up.gdas.enkf.epos.at('-6:00:00') ) - resources: !calc ( doc.resources.run_anal ) - - fcst: !Task - <<: *exclusive_task_template - Trigger: !Depend anal - resources: !calc ( doc.resources.run_gfsfcst ) - - post: !TaskArray - Dimensions: - fhr: !calc doc.settings.forecast_hours - - post_manager_el: !TaskElement - <<: *exclusive_task_template - Disable: !calc metasched.type == 'rocoto' - Trigger: !Depend up.fcst.is_running() - Complete: !Depend up.fcst - Foreach: [ ] - ecflow_command: *post_manager_job_contents - J_JOB: post_manager - Name: post_manager - resources: !calc doc.resources.run_gfs_post_manager - release_postanl: !DataEvent - file: !expand >- - {metasched.varref("COM")}/{up.CDUMP}.{metasched.datestring("%Y%m%d/%H/")}{up.CDUMP}.t{metasched.datestring("%H")}z.logf000.nemsio - release_post_fhr: !DataEventElement - Name: !expand "release_post{dimval.fhr:02d}" - Foreach: [ fhr ] - file: !expand >- - {metasched.varref("COM")}/{up.CDUMP}.{metasched.datestring("%Y%m%d/%H/")}{up.CDUMP}.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio - # NOTE: the above files must match post_manager.yaml - - post_el: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - resources: !calc doc.resources.run_gfspost - Name: !expand "grp{dimidx.fhr:03d}" - FHRGRP: !expand "{dimidx.fhr:03d}" - J_JOB: post.sh - FHRLST: !FirstTrue - - when: !calc dimidx.fhr==0 - do: anl - - otherwise: !expand "f{(dimidx.fhr-1)*6:03d}" - release_id: !FirstTrue - - when: !calc dimidx.fhr==0 - do: "00" - - otherwise: !expand "{(dimidx.fhr-1)*6:02d}" - more_vars: [ FHRGRP, FHRLST ] - Trigger: !Depend post_manager.depend("release_post{F}",F=[release_id]) | up.fcst - - vrfy: !Task - <<: *exclusive_task_template - Trigger: !Depend post - resources: !calc ( doc.resources.run_gfsvrfy ) - - make_next_cycles: !Task - <<: *make_next_cycles_task - Trigger: !Depend gdas - ecflow_def: !expand | - # These variables are used by the make_next_cycles job to generate - # suites for later cycles once earlier cycles have finished. - edit WORKFLOW_FIRST_CYCLE '{tools.strftime(suite.Clock.start,"%Y%m%d%H")}' - edit WORKFLOW_LAST_CYCLE '{tools.strftime(suite.Clock.end,"%Y%m%d%H")}' - edit WORKFLOW_CYCLES_TO_GENERATE '5' - edit WORKFLOW_CROW_HOME '{doc.initial_directory}' - edit WORKFLOW_EXPDIR '{doc.settings.EXPDIR}' - - archive: !Family - ecflow_def: - edit ECF_TRIES '3' - gdasarch: !Task - <<: *service_task_template - AlarmName: gdas - Trigger: !Depend up.gdas.vrfy - resources: !calc ( doc.resources.run_arch ) - Disable: !calc not doc.settings.archive_to_hpss - J_JOB: arch.sh - CDUMP: gdas - - earc: !TaskArray - AlarmName: gdas - Trigger: !Depend up.gdas.enkf.epos - Dimensions: - groupid: !calc tools.seq(0,doc.settings.ENKF_ARCHIVE_GROUPS-1,1) - grp: !TaskElement - <<: *service_task_template - resources: !calc ( doc.resources.run_arch ) - J_JOB: earc.sh - Foreach: [ groupid ] - Name: !expand "grp{dimval.groupid:02d}" - more_vars: [ ENSGRP ] - ENSGRP: !expand "{dimval.groupid:02d}" - CDUMP: gdas - - gfsarch: !Task - <<: *service_task_template - Complete: !Depend ~ suite.has_cycle('-6:00:00') - AlarmName: gfs - Trigger: !Depend up.gfs.vrfy - resources: !calc ( doc.resources.run_arch ) - Disable: !calc not doc.settings.archive_to_hpss - J_JOB: arch.sh - CDUMP: gfs - - final: !Task - <<: *service_task_template - resources: !calc (doc.resources.run_nothing) - rocoto_command: /bin/true - CDUMP: gfs # useless but required diff --git a/systems/rocoto_style_fv3gfs/tail.h b/systems/rocoto_style_fv3gfs/tail.h deleted file mode 120000 index 79d1a3b..0000000 --- a/systems/rocoto_style_fv3gfs/tail.h +++ /dev/null @@ -1 +0,0 @@ -/ecf/ecfnets/include/tail.h \ No newline at end of file diff --git a/systems/rocoto_style_fv3gfs/task_template.yaml b/systems/rocoto_style_fv3gfs/task_template.yaml deleted file mode 100644 index 422aead..0000000 --- a/systems/rocoto_style_fv3gfs/task_template.yaml +++ /dev/null @@ -1,149 +0,0 @@ -task_template: &task_template - - Template: *task_validator - - rocoto_command: !expand "&HOMEgfs;/jobs/rocoto/{J_JOB}" - ecflow_command: !expand "${{HOMEgfs}}/jobs/rocoto/{J_JOB}" - - Rocoto: !expand | - {rocoto_command} - {sched.rocoto_accounting(accounting,jobname=task_path_var, - outerr="&LOG_DIR;/@Y@m@d/@H/&PSLOT;_"+task_path_var+".log")} - {sched.rocoto_resources(resources)} - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMP{CDUMP} - {rocoto_more_vars} - - CDUMP: !calc task_path_list[0] - - # Default resources for a job are serial - #resources: !calc doc.resources.run_nothing - - ecf_dev_overrides: !expand | - # Set data and logs to locations specified in the suite definition. - # This is needed when running without write access to the NCO com areas. - export DATAROOT=%DATAROOT% - export jlogfile=%ECF_OUT%/jlogfile - export COMROOT=%COM% - export cycle=t%CYC%z - export CDATE=%PDY%%CYC% - export EXPDIR="{doc.settings.EXPDIR}" - - ecf_more_exports: "" - - more_vars: [] - - ecf_more_exports: !calc | - "\n".join([ tools.expand("export {VAR}=%{VAR}%\n",VAR=V) for V in more_vars ]) - - rocoto_more_vars: !calc | - "\n".join([ metasched.defenvar(VAR,this[VAR]) for VAR in more_vars ]) - - ecflow_def_more_vars: !calc | - "\n".join([ metasched.defenvar(VAR,this[VAR]) for VAR in more_vars ]) - - ecflow_def: !calc ecflow_def_more_vars - - ecf_file: !expand | - #! /bin/sh - {ecf_batch_resources} - {ecf_dev_overrides} - %include - %include - - set -x - - {ecf_resource_more} - - export HOMEgfs=%HOMEgfs% - export model=%MODEL_NAME% - export CDUMP=$model - {ecf_more_exports} - ## don't include - - ############################################################ - # Load modules - ############################################################ - #. $MODULESHOME/init/sh - {ecf_module_commands} - #module list - - ############################################################# - # WCOSS environment settings - ############################################################# - - {ecf_environment_settings} - - ########################################################### - export cyc=%CYC% - - # CALL executable job script here - {ecflow_command} - - %include - %manual - {ecf_manual} - %end - - ecf_manual: | - # FIXME: Insert manual for this job. - - ecf_module_commands: |- - # Add any "module" commands here (switch, load, use, etc.) - - ecf_environment_settings: |- - # Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here. - - ecf_batch_resources: !expand |- - {sched.batch_accounting(accounting,jobname=task_path_var,outerr="%ECF_OUT%/%EMCPEN%_%PDY%%CYC%_"+task_path_var+".log")} - {sched.batch_resources(resources)} - - ecf_resource_more: !expand |- - {ecf_maybe_ntasks} - {ecf_maybe_ptile} - {ecf_maybe_threads} - - ecf_maybe_ntasks: !FirstTrue - - when: !calc resources.total_ranks()>0 - do: !expand 'export ntasks={resources.total_ranks()}' - - otherwise: "# No MPI in use, so I am not setting $ntasks." - - ecf_maybe_threads: !FirstTrue - - when: !calc resources.has_threads() - do: !expand 'export threads={resources[0]["OMP_NUM_THREADS"]}' - - otherwise: "# No OpenMP in use, so I am not setting $threads" - - ecf_maybe_ptile: !FirstTrue - - when: !calc resources.has_threads() or resources.total_ranks()>0 - do: !expand 'export ptile={sched.max_ranks_per_node(resources)}' - - otherwise: "# Neither OpenMP nor MPI are in use, so I am not setting $ptile" - - - -shared_accounting: &shared_accounting - queue: !calc metasched.varref('QUEUESHARED') - project: !calc metasched.varref('PROJECT') - -service_accounting: &service_accounting - queue: !calc metasched.varref('QUEUESERV') - project: !calc metasched.varref('PROJECT') - -exclusive_accounting: &exclusive_accounting - queue: !calc metasched.varref('QUEUE') - project: !calc metasched.varref('PROJECT') - -shared_task_template: &shared_task_template - <<: *task_template - accounting: *shared_accounting - J_JOB: !expand '{task_path_list[-1]}.sh' - -service_task_template: &service_task_template - <<: *task_template - accounting: *service_accounting - J_JOB: !expand '{task_path_list[-1]}.sh' - -exclusive_task_template: &exclusive_task_template - <<: *task_template - accounting: *exclusive_accounting - J_JOB: !expand '{task_path_list[-1]}.sh' diff --git a/systems/rocoto_style_fv3gfs/update_ecflow_workflow.sh b/systems/rocoto_style_fv3gfs/update_ecflow_workflow.sh deleted file mode 100755 index 7d85131..0000000 --- a/systems/rocoto_style_fv3gfs/update_ecflow_workflow.sh +++ /dev/null @@ -1,94 +0,0 @@ -#! /bin/bash - -set -ue - -# Get the directory in which this script resides. We'll assume the -# yaml files are there: -dir0=$( dirname "$0" ) -here=$( cd "$dir0" ; pwd -P ) - -export WORKTOOLS_VERBOSE=NO - -# Make sure this directory is in the python path so we find worktools.py: -export PYTHONPATH=$here:${PYTHONPATH:+:$PYTHONPATH} - -# Parse arguments: -if [[ "$1" == "-v" ]] ; then - export WORKTOOLS_VERBOSE=YES - shift 1 -fi -export EXPDIR="$1" -export FIRST_CYCLE="$2" -export LAST_CYCLE="$3" - -if [[ ! -d /usrx/local || -e /etc/redhat-release ]] ; then - echo "ERROR: This script only runs on WCOSS Cray" 1>&2 - exit 1 -fi - -if ( ! which ecflow_client > /dev/null 2>&1 ) ; then - echo "ERROR: There is no ecflow_client in your \$PATH. Load the ecflow module." - exit 1 -fi - -if [[ "${ECF_ROOT:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_ROOT" - exit 1 -fi - -if [[ "${ECF_HOME:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_HOME. I suggest \$ECF_ROOT/submit" - exit 1 -fi - -if [[ "${ECF_PORT:-Q}" == Q ]] ; then - echo "ERROR: You need to set \$ECF_PORT. See /usrx/local/sys/ecflow/assigned_ports.txt" - exit 1 -fi - -export ECF_HOME="${ECF_HOME:-$ECF_ROOT/submit}" - -if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - echo "begin_ecflow_workflow.sh: verbose mode" - export redirect=" " -else - export redirect="> /dev/null 2>&1" -fi - -echo "ecFlow server port: $ECF_PORT" -echo "ecFlow server root: $ECF_ROOT" -echo "ecFlow server home: $ECF_HOME" - -set +e -if ( ! which python3 > /dev/null 2>&1 || \ - ! python3 -c 'import yaml ; f{"1+1"}' > /dev/null 2>&1 ) ; then - python36=/gpfs/hps3/emc/nems/noscrub/Samuel.Trahan/python/3.6.1-emc/bin/python3.6 -else - python36="$( which python3 )" -fi -set -e - -if [[ "${WORKTOOLS_VERBOSE:-NO}" == YES ]] ; then - set -x -fi - -/ecf/devutils/server_check.sh "$ECF_ROOT" "$ECF_PORT" $redirect || true - -if ( ! ecflow_client --ping $redirect ) ; then - echo "Could not connect to ecflow server. Aborting." - exit 1 -fi - -$python36 -c " -import worktools ; -worktools.add_cycles_to_running_ecflow_workflow_at( - '$EXPDIR', - '$FIRST_CYCLE', - '$LAST_CYCLE' -)" - - - - - - diff --git a/systems/rocoto_style_fv3gfs/validator.yaml b/systems/rocoto_style_fv3gfs/validator.yaml deleted file mode 100644 index 7242181..0000000 --- a/systems/rocoto_style_fv3gfs/validator.yaml +++ /dev/null @@ -1,80 +0,0 @@ -task_validator: &task_validator !Template - - Rocoto: - description: >- - XML to insert in the task definition, excluding the task tag - itself, and the dependencies. - type: string - - ecf_file: - description: >- - Contents of the ecf file that will be run for this task - type: string - - CDUMP: - description: "Model name: gfs or gdas" - allowed: [ gfs, gdas ] - type: string - - rocoto_more_vars: - description: >- - Additional text to include after other envar tags in Rocoto task - definition. This is referenced by the Rocoto variable. - type: string - default: "" - - ecflow_command: - description: >- - Command to execute for this task when run in ecflow. This is - inserted into the ecf file. - type: string - - rocoto_command: - description: >- - Command to execute for this task when run in rocoto. This is - inserted into the rocoto command tag for the task. - type: string - - more_vars: - description: >- - List of variables that should be added to Rocoto envar blocks, - ecflow suite definition edits, and ecf file exports. Overriding - ecflow_def will prevent this from being passed through as edits - in the suite definition. - type: string list - - ecf_environment_settings: - description: "Tuning variables like KMP_AFFINITY and OMP_STACKSIZE." - type: string - default: "" - - ecf_module_commands: - description: >- - Any "module" commands: module load, module switch, etc. - type: string - default: "" - - ecf_resource_more: - description: >- - Generates additional resource specification variables such as - ntasks, threads, and ptile. - type: string - default: "" - - ecf_maybe_ntasks: - description: "Sets the $ntasks variable (number of MPI ranks) if needed" - type: string - default: "" - - ecf_maybe_threads: - description: "Sets the $threads variable (number of OpenMP threads) if needed" - type: string - default: "" - - ecf_maybe_ptile: - description: >- - Sets the $ptile variable (maximum number of MPI ranks per node) if needed - type: string - default: "" - - diff --git a/systems/rocoto_style_fv3gfs/workflow.xml b/systems/rocoto_style_fv3gfs/workflow.xml deleted file mode 100644 index bab8975..0000000 --- a/systems/rocoto_style_fv3gfs/workflow.xml +++ /dev/null @@ -1,1728 +0,0 @@ - - - - - - - - - - - - - -]> - - - &LOG_DIR;/rocoto_@Y@m@d@H.log - 201801050000 201801050000 06:00:00 - 201801050000 201801050600 06:00:00 - 201801050600 201801050600 12:00:00 - 201801050600 201801050600 12:00:00 - - - DUMMY_VALUE - - &HOMEgfs;/jobs/rocoto/prep.sh - &QUEUE; - &PROJECT; - gdas.prep - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.prep.log - - 0:15:00 - 3072M - 1:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - - - - - /gpfs/tp1/emc/globaldump/@Y@m@d@H/gdas/gdas.t@Hz.updated.status.tm00.bufr_d - - - - - - &HOMEgfs;/jobs/rocoto/anal.sh - &QUEUE; - &PROJECT; - gdas.anal - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.anal.log - - 1:30:00 - 3072M - 24:ppn=6 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - - - - - - - - - - - - - - - - &HOMEgfs;/jobs/rocoto/fcst.sh - &QUEUE; - &PROJECT; - gdas.fcst - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.fcst.log - - 0:15:00 - 1024M - 16:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - - - - - - - - - - - - DUMMY_VALUE - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gdas.post.g000 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.post.g000.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - FHRGRP000 - FHRLSTanl - - &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf000.nemsio - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gdas.post.g001 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.post.g001.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - FHRGRP001 - FHRLSTf000 - - &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf000.nemsio - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gdas.post.g002 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.post.g002.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - FHRGRP002 - FHRLSTf003 - - &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf003.nemsio - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gdas.post.g003 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.post.g003.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - FHRGRP003 - FHRLSTf006 - - &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf006.nemsio - - - - - &HOMEgfs;/jobs/rocoto/vrfy.sh - &QUEUE; - &PROJECT; - gdas.vrfy - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.vrfy.log - - 3:00:00 - 3072M - 1:ppn=1 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - - - - - - - DUMMY_VALUE - - &HOMEgfs;/jobs/rocoto/eobs.sh - &QUEUE; - &PROJECT; - gdas.enkf.eobs - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.eobs.log - - 0:45:00 - 3072M - 12:ppn=6 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - - - - - - - - - - - - - - - - DUMMY_VALUE - - &HOMEgfs;/jobs/rocoto/eomg.sh - &QUEUE; - &PROJECT; - gdas.enkf.eomg.grp1 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.eomg.grp1.log - - 2:00:00 - 3072M - 12:ppn=6 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - ENSGRP01 - - - - - - - - - - - - - - &HOMEgfs;/jobs/rocoto/eomg.sh - &QUEUE; - &PROJECT; - gdas.enkf.eomg.grp2 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.eomg.grp2.log - - 2:00:00 - 3072M - 12:ppn=6 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - ENSGRP02 - - - - - - - - - - - - - - - &HOMEgfs;/jobs/rocoto/eupd.sh - &QUEUE; - &PROJECT; - gdas.enkf.eupd - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.eupd.log - - 0:30:00 - 3072M - 10:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - - - - - - - - - - &HOMEgfs;/jobs/rocoto/ecen.sh - &QUEUE; - &PROJECT; - gdas.enkf.ecen - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.ecen.log - - 0:30:00 - 254M - 7:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - - - - - - - - - - - - - - - - - - DUMMY_VALUE - - &HOMEgfs;/jobs/rocoto/efcs.sh - &QUEUE; - &PROJECT; - gdas.enkf.efcs.grp1 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.efcs.grp1.log - - 1:00:00 - 254M - 16:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - ENSGRP01 - - - - - - - - - - - &HOMEgfs;/jobs/rocoto/efcs.sh - &QUEUE; - &PROJECT; - gdas.enkf.efcs.grp2 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.efcs.grp2.log - - 1:00:00 - 254M - 16:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - ENSGRP02 - - - - - - - - - - - - &HOMEgfs;/jobs/rocoto/epos.sh - &QUEUE; - &PROJECT; - gdas.enkf.epos - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gdas.enkf.epos.log - - 0:30:00 - 254M - 7:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - - - - - - - - - DUMMY_VALUE - - &HOMEgfs;/jobs/rocoto/prep.sh - &QUEUE; - &PROJECT; - gfs.prep - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.prep.log - - 0:15:00 - 3072M - 1:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - - - - - /gpfs/tp1/emc/globaldump/@Y@m@d@H/gfs/gfs.t@Hz.updated.status.tm00.bufr_d - - - - - - &HOMEgfs;/jobs/rocoto/anal.sh - &QUEUE; - &PROJECT; - gfs.anal - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.anal.log - - 1:30:00 - 3072M - 24:ppn=6 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - - - - - - - - - - - &HOMEgfs;/jobs/rocoto/fcst.sh - &QUEUE; - &PROJECT; - gfs.fcst - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.fcst.log - - 1:30:00 - 1024M - 16:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - - - - - - - - - - DUMMY_VALUE - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp000 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp000.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP000 - FHRLSTanl - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf000.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp001 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp001.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP001 - FHRLSTf000 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf000.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp002 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp002.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP002 - FHRLSTf006 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf006.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp003 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp003.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP003 - FHRLSTf012 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf012.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp004 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp004.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP004 - FHRLSTf018 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf018.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp005 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp005.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP005 - FHRLSTf024 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf024.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp006 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp006.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP006 - FHRLSTf030 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf030.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp007 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp007.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP007 - FHRLSTf036 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf036.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp008 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp008.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP008 - FHRLSTf042 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf042.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp009 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp009.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP009 - FHRLSTf048 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf048.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp010 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp010.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP010 - FHRLSTf054 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf054.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp011 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp011.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP011 - FHRLSTf060 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf060.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp012 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp012.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP012 - FHRLSTf066 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf066.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp013 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp013.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP013 - FHRLSTf072 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf072.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp014 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp014.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP014 - FHRLSTf078 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf078.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp015 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp015.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP015 - FHRLSTf084 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf084.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp016 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp016.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP016 - FHRLSTf090 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf090.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp017 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp017.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP017 - FHRLSTf096 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf096.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp018 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp018.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP018 - FHRLSTf102 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf102.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp019 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp019.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP019 - FHRLSTf108 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf108.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp020 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp020.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP020 - FHRLSTf114 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf114.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp021 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp021.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP021 - FHRLSTf120 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf120.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp022 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp022.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP022 - FHRLSTf126 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf126.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp023 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp023.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP023 - FHRLSTf132 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf132.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp024 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp024.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP024 - FHRLSTf138 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf138.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp025 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp025.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP025 - FHRLSTf144 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf144.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp026 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp026.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP026 - FHRLSTf150 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf150.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp027 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp027.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP027 - FHRLSTf156 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf156.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp028 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp028.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP028 - FHRLSTf162 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf162.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp029 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp029.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP029 - FHRLSTf168 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf168.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp030 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp030.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP030 - FHRLSTf174 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf174.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp031 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp031.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP031 - FHRLSTf180 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf180.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp032 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp032.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP032 - FHRLSTf186 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf186.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp033 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp033.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP033 - FHRLSTf192 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf192.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp034 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp034.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP034 - FHRLSTf198 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf198.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp035 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp035.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP035 - FHRLSTf204 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf204.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp036 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp036.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP036 - FHRLSTf210 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf210.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp037 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp037.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP037 - FHRLSTf216 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf216.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp038 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp038.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP038 - FHRLSTf222 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf222.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp039 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp039.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP039 - FHRLSTf228 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf228.nemsio - - - - - - &HOMEgfs;/jobs/rocoto/post.sh - &QUEUE; - &PROJECT; - gfs.post.grp040 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.post.grp040.log - - 0:10:00 - 3072M - 6:ppn=12 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - FHRGRP040 - FHRLSTf234 - - - &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf234.nemsio - - - - - - - &HOMEgfs;/jobs/rocoto/vrfy.sh - &QUEUE; - &PROJECT; - gfs.vrfy - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_gfs.vrfy.log - - 6:00:00 - 3072M - 1:ppn=1 - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - - - - - - - - - - - DUMMY_VALUE - - &HOMEgfs;/jobs/rocoto/arch.sh - &QUEUESERV; - &PROJECT; - archive.gdasarch - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_archive.gdasarch.log - - 6:00:00 - 3072M - 1 - - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - - - - - - - DUMMY_VALUE - - &HOMEgfs;/jobs/rocoto/earc.sh - &QUEUESERV; - &PROJECT; - archive.earc.grp00 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_archive.earc.grp00.log - - 6:00:00 - 3072M - 1 - - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - ENSGRP00 - - - - - - &HOMEgfs;/jobs/rocoto/earc.sh - &QUEUESERV; - &PROJECT; - archive.earc.grp01 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_archive.earc.grp01.log - - 6:00:00 - 3072M - 1 - - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - ENSGRP01 - - - - - - &HOMEgfs;/jobs/rocoto/earc.sh - &QUEUESERV; - &PROJECT; - archive.earc.grp02 - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_archive.earc.grp02.log - - 6:00:00 - 3072M - 1 - - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgdas - ENSGRP02 - - - - - - - &HOMEgfs;/jobs/rocoto/arch.sh - &QUEUESERV; - &PROJECT; - archive.gfsarch - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_archive.gfsarch.log - - 6:00:00 - 3072M - 1 - - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - - - - - - - - - - - - - - /bin/true - &QUEUESERV; - &PROJECT; - final_no_alarm - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_final_no_alarm.log - - 0:02:00 - 300M - 1 - - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - - - - - - - - - - - - - - - - - - /bin/true - &QUEUESERV; - &PROJECT; - final_for_gfs - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_final_for_gfs.log - - 0:02:00 - 300M - 1 - - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - - - - - - - - - - - - - - - - - - - - /bin/true - &QUEUESERV; - &PROJECT; - final_for_gdas - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_final_for_gdas.log - - 0:02:00 - 300M - 1 - - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - /bin/true - &QUEUESERV; - &PROJECT; - final - &LOG_DIR;/@Y@m@d/@H/&PSLOT;_final.log - - 0:02:00 - 300M - 1 - - - CDATE@Y@m@d@H - EXPDIR&EXPDIR; - CDUMPgfs - - - - - - - - - - - - - - - - - - - - - diff --git a/systems/rocoto_style_fv3gfs/worktools.py b/systems/rocoto_style_fv3gfs/worktools.py deleted file mode 100644 index 47aeb34..0000000 --- a/systems/rocoto_style_fv3gfs/worktools.py +++ /dev/null @@ -1,220 +0,0 @@ -#! /usr/bin/env python3 -f'This python module requires python 3.6 or newer' - -import logging, os, io, sys, datetime, glob, shutil, subprocess -from collections import OrderedDict -from copy import copy -logger=logging.getLogger('crow.model.fv3gfs') - -try: - import crow -except ImportError as ie: - thisdir=os.path.dirname(os.path.abspath(__file__)) - topdir=os.path.realpath(os.path.join(thisdir,"../..")) - sys.path.append(topdir) - del thisdir, topdir - -level=logging.WARNING -if os.environ.get('WORKTOOLS_VERBOSE','NO') == 'YES': - level=logging.INFO -logging.basicConfig(stream=sys.stderr,level=level) - -import crow.tools -from crow.metascheduler import to_ecflow, to_rocoto -from crow.config import from_dir, Suite, from_file, to_yaml -from crow.tools import Clock - -ECFNETS_INCLUDE = "/ecf/ecfnets/include" -SIX_HOURS = datetime.timedelta(seconds=6*3600) - -def read_yaml_suite(dir): - logger.info(f'{dir}: read yaml files specified in _main.yaml') - conf=from_dir(dir) - suite=Suite(conf.suite) - return conf,suite - -def make_yaml_files(srcdir,tgtdir): - if not os.path.exists(tgtdir): - logger.info(f'{tgtdir}: make directory') - os.makedirs(tgtdir) - logger.info(f'{tgtdir}: send yaml files to here') - logger.info(f'{srcdir}: get yaml files from here') - for srcfile in glob.glob(f'{srcdir}/*.yaml'): - srcbase=os.path.basename(srcfile) - if srcbase.startswith('resources'): continue - if srcbase.startswith('settings'): continue - tgtfile=os.path.join(tgtdir,srcbase) - logger.info(f'{srcbase}: copy yaml file') - shutil.copyfile(srcfile,tgtfile) - - # Deal with the settings: - doc=from_file(f"{srcdir}/settings.yaml") - settings_yaml=os.path.join(tgtdir,'settings.yaml') - logger.info(f'{settings_yaml}: generate file') - with open(f'{tgtdir}/settings.yaml','wt') as fd: - fd.write('# This file is automatically generated from:\n') - fd.write(f'# {srcdir}/settings.yaml') - fd.write('# Changes to this file may be overwritten.\n\n') - fd.write(to_yaml(doc)) - - # Now the resources: - resource_basename=doc.settings.resource_file - resource_srcfile=os.path.join(srcdir,resource_basename) - resource_tgtfile=os.path.join(tgtdir,'resources.yaml') - logger.info(f'{resource_srcfile}: use this resource yaml file') - shutil.copyfile(resource_srcfile,resource_tgtfile) - logger.info(f'{tgtdir}: yaml files created here') - -def loudly_make_dir_if_missing(dirname): - if dirname and not os.path.exists(dirname): - logger.info(f'{dirname}: make directory') - os.makedirs(dirname) - -def make_parent_dir(filename): - loudly_make_dir_if_missing(os.path.dirname(filename)) - -def make_clocks_for_cycle_range(suite,first_cycle,last_cycle,surrounding_cycles): - suite_clock=copy(suite.Clock) - logger.info(f'cycles to write: {first_cycle:%Ft%T} - {last_cycle:%Ft%T}') - suite.ecFlow.write_cycles = Clock( - start=first_cycle,end=last_cycle,step=SIX_HOURS) - first_analyzed=max(suite_clock.start,first_cycle-surrounding_cycles*SIX_HOURS) - last_analyzed=min(suite_clock.end,last_cycle+surrounding_cycles*SIX_HOURS) - logger.info(f'cycles to analyze: {first_analyzed:%Ft%T} - {last_analyzed:%Ft%T}') - suite.ecFlow.analyze_cycles=Clock( - start=first_analyzed,end=last_analyzed,step=SIX_HOURS) - -def generate_ecflow_suite_in_memory(suite,first_cycle,last_cycle,surrounding_cycles): - logger.info(f'make suite for cycles: {first_cycle:%Ft%T} - {last_cycle:%Ft%T}') - make_clocks_for_cycle_range(suite,first_cycle,last_cycle,surrounding_cycles) - suite_defs, ecf_files = to_ecflow(suite) - return suite_defs, ecf_files - -def write_ecflow_suite_to_disk(targetdir, suite_defs, ecf_files): - written_suite_defs=OrderedDict() - logger.info(f'{targetdir}: write suite here') - for deffile in suite_defs.keys(): - defname = suite_defs[deffile]['name'] - defcontents = suite_defs[deffile]['def'] - #print(f'=== contents of suite def {defname}\n{suite_defs[defname]}') - filename=os.path.realpath(os.path.join(targetdir,'defs',deffile)) - make_parent_dir(filename) - logger.info(f'{defname}: {filename}: write suite definition') - with open(os.path.join(targetdir,filename),'wt') as fd: - fd.write(defcontents) - written_suite_defs[defname]=filename - for setname in ecf_files: - logger.info(f'{defname}: write ecf file set {setname}') - for filename in ecf_files[setname]: - full_fn=os.path.realpath(os.path.join(targetdir,defname,filename)+'.ecf') - logger.debug(f'{defname}: {setname}: write ecf file {full_fn}') - make_parent_dir(full_fn) - with open(full_fn,'wt') as fd: - fd.write(ecf_files[setname][filename]) - return written_suite_defs - -def get_target_dir_and_check_ecflow_env(): - ECF_HOME=os.environ.get('ECF_HOME',None) - - if not ECF_HOME: - logger.error('Set $ECF_HOME to location where your ecflow files should reside.') - return None - elif not os.environ.get('ECF_PORT',None): - logger.error('Set $ECF_PORT to the port number of your ecflow server.') - return None - elif not os.path.isdir(ECF_HOME): - logger.error('Directory $ECF_HOME={ECF_HOME} does not exist. You need to set up your account for ecflow before you can run any ecflow workflows.') - return None - - for file in [ 'head.h', 'tail.h', 'envir-xc40.h' ]: - yourfile=os.path.join(ECF_HOME,file) - if not os.path.exists(yourfile): - logger.warning(f'{yourfile}: does not exist. I will get one for you.') - os.symlink(os.path.join(ECFNETS_INCLUDE,file),yourfile) - else: - logger.info(f'{yourfile}: exists.') - - return ECF_HOME - -def create_new_ecflow_workflow(suite,surrounding_cycles=5): - ECF_HOME=get_target_dir_and_check_ecflow_env() - if not ECF_HOME: return None,None,None,None - first_cycle=suite.Clock.start - last_cycle=min(suite.Clock.end,first_cycle+suite.Clock.step*2) - suite_defs, ecf_files = generate_ecflow_suite_in_memory( - suite,first_cycle,last_cycle,surrounding_cycles) - suite_def_files = write_ecflow_suite_to_disk( - ECF_HOME,suite_defs,ecf_files) - return ECF_HOME, suite_def_files, first_cycle, last_cycle - -def update_existing_ecflow_workflow(suite,first_cycle,last_cycle, - surrounding_cycles=5): - ECF_HOME=get_target_dir_and_check_ecflow_env() - suite_defs, ecf_files = generate_ecflow_suite_in_memory( - suite,first_cycle,last_cycle,surrounding_cycles) - suite_def_files = write_ecflow_suite_to_disk( - ECF_HOME,suite_defs,ecf_files) - return ECF_HOME, suite_def_files - -def load_and_begin_ecflow_suites(ECF_HOME,suite_def_files): - logger.info(f'{ECF_HOME}: write files for suites: ' - f'{", ".join(suite_def_files.keys())}') - with crow.tools.chdir(ECF_HOME): - for suite, file in suite_def_files.items(): - cmd=f'ecflow_client --load {file}' - logger.info(cmd) - subprocess.run(cmd,check=False,shell=True) - cmd=f'ecflow_client --begin {suite}' - logger.info(cmd) - subprocess.run(cmd,check=False,shell=True) - -######################################################################## - -# These functions are called directly from scripts, and can be thought -# of as "main programs." - -def remake_ecflow_files_for_cycles( - yamldir,first_cycle_str,last_cycle_str, - surrounding_cycles=5): - ECF_HOME=get_target_dir_and_check_ecflow_env() - conf,suite=read_yaml_suite(yamldir) - loudly_make_dir_if_missing(f'{conf.settings.COM}/log') - - first_cycle=datetime.datetime.strptime(first_cycle_str,'%Y%m%d%H') - first_cycle=max(suite.Clock.start,first_cycle) - - last_cycle=datetime.datetime.strptime(last_cycle_str,'%Y%m%d%H') - last_cycle=max(first_cycle,min(suite.Clock.end,last_cycle)) - - suite_defs, ecf_files = generate_ecflow_suite_in_memory( - suite,first_cycle,last_cycle,surrounding_cycles) - written_suite_defs = write_ecflow_suite_to_disk( - ECF_HOME, suite_defs, ecf_files) - print(f'''Suite definition files and ecf files have been written to: - - {ECF_HOME} - -If all you wanted to do was update the ecf files, then you're done. - -If you want to update the suite (cycle) definitions, or add suites -(cycles), you will need to call ecflow_client's --load, --begin, ---replace, or --delete commands.''') - -def create_and_begin_ecflow_workflow(yamldir,surrounding_cycles=5): - conf,suite=read_yaml_suite(yamldir) - loudly_make_dir_if_missing(f'{conf.settings.COM}/log') - ECF_HOME, suite_def_files, first_cycle, last_cycle = \ - create_new_ecflow_workflow(suite,surrounding_cycles) - if not ECF_HOME: - logger.error('Could not create workflow files. See prior errors for details.') - return False - load_and_begin_ecflow_suites(ECF_HOME,suite_def_files) - -def add_cycles_to_running_ecflow_workflow_at( - yamldir,first_cycle_str,last_cycle_str,surrounding_cycles=5): - conf,suite=read_yaml_suite(yamldir) - first_cycle=datetime.datetime.strptime(first_cycle_str,'%Y%m%d%H') - last_cycle=datetime.datetime.strptime(last_cycle_str,'%Y%m%d%H') - ECF_HOME, suite_def_files = update_existing_ecflow_workflow( - suite,first_cycle,last_cycle,surrounding_cycles) - load_and_begin_ecflow_suites(ECF_HOME,suite_def_files) From 850a5e7bc04e88f9281edba0311c2402dc8ccd82 Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Thu, 7 Mar 2019 21:51:14 +0000 Subject: [PATCH 440/487] updated workflow regression test for legacy workflow (setup_expt.py) --- tests/regression/fv3gfs_regression.sh | 208 +++++++++++--------------- 1 file changed, 84 insertions(+), 124 deletions(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index 008b007..724756b 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -64,33 +64,32 @@ PYTHON_FILE_COMPARE=${PYTHON_FILE_COMPARE:-'TRUE'} #CREATE_EXP='FALSE' #BUILD='FALSE' #RUNROCOTO='FALSE' -JOB_LEVEL_CHECK='TRUE' +#JOB_LEVEL_CHECK='TRUE' #RZDM_RESULTS='TRUE' #PYTHON_FILE_COMPARE='FALSE' -idate='2018012306' -edate='2018012312' - -fv3gfs_git_branch='master' +fv3gfs_git_branch='slurm_beta' # Leave fv3gfs_svn_url blank to use git branch in fv3gfs_git_branch fv3gfs_svn_url='' -load_rocoto='rocoto/1.2.4' +module use /scratch4/NCEPDEV/global/save/Terry.McGuinness/git/Rocoto-fix-terry-3/modulefile +load_rocoto='fix-terry-3_local' ICS_dir_cray='/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/ICS' PTMP_cray='/gpfs/hps3/ptmp' -ICS_dir_theia='/scratch4/NCEPDEV/global/noscrub/glopara/ICS/FV3GFS' +ICS_dir_theia='None' PTMP_theia='/scratch4/NCEPDEV/stmp4' # system dependent #----------------- if [[ -d /scratch4/NCEPDEV ]]; then system="theia" -elif [[ -d /gpfs/hps3 ]]; then - system="wcoss_cray" +#elif [[ -d /gpfs/hps3 ]]; then +# system="wcoss_cray" else log_message "CRITICAL" "Unknown machine $system, not supported" fi +# TODO prepare for JET, Gaea if [[ $system == "wcoss_cray" ]]; then ICS_dir=$ICS_dir_cray PTMP=$PTMP_cray @@ -112,8 +111,8 @@ if [[ -z ${rocotostatcmd} ]]; then log_message "CRITICAL" "($rocotostatcmd) not found on system" fi -fv3gfs_ver='v15.0.0' -num_expected_exec='29' +#fv3gfs_ver='v15.0.0' +num_expected_exec='51' if [[ ! -d $1 ]] && [[ ! -f $1 ]]; then if [[ -z $1 || $1 == "--non-interactive" ]]; then @@ -126,88 +125,45 @@ if [[ ! -d $1 ]] && [[ ! -f $1 ]]; then fi -# CASE = C192_C192_low -# HASH for org BUILD branch b169ca6dd3840edb909fefa00292523cdeeda422 -# -# On disk snapshot for flat master low res -# ========================================= -# ./setup_expt.py --pslot crowmaster192 --configdir /gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/$fv3gfs_ver/parm/config/ --idate 2018010500 --edate 2018010506 --icsdir /gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/ICS --comrot /gpfs/hps2/ptmp/emc.glopara/ROTDIRS_CROW --expdir /gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/ --resdet 192 --resens 192 --nens 20 --gfs_cyc 4 -# -# CASE = C768_C384_high -# -# On disk snapshot for flat master high res -# ========================================= -# ./setup_expt.py --pslot crowmaster768 --configdir /gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/$fv3gfs_ver/parm/config/ --idate 2018010500 --edate 2018010506 --icsdir /gpfs/hps3/emc/global/noscrub/emc.glopara/ICS --comrot /gpfs/hps2/ptmp/emc.glopara/ROTDIRS_CROW --expdir /gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209 --resdet 768 --resens 384 --nens 80 --gfs_cyc 4 - -pslot_basename='fv3gfs' +#======================================= +# CASE = global-slurm-test +# ./setup_expt.py --pslot gw_C384C192_2019021400_IC --comrot /scratch4/NCEPDEV/global/noscrub/Terry.McGuinness/ROTDIRS --expdir /scratch4/NCEPDEV/global/noscrub/Terry.McGuinness/expdir --idate 2019021400 --edate 2019021412 --configdir /scratch4/NCEPDEV/global/save/Terry.McGuinness/git/global-workflow/parm/config --resdet 384 --resens 192 --nens 80 --gfs_cyc 4 + +pslot_basename='global-fv3gfs' checkout_dir_basename="${pslot_basename}_sorc_${regressionID}" pslot="${pslot_basename}_exp_${regressionID}" # Check to see if user entered a CASE from regressionID CASE=$regressionID -if [[ $CASE == "BUILD" || $CASE == "BUILD_org" ]]; then - - log_message "INFO" "Running special $CASE case" - regressionID=${CASE} - - setup_expt=${CHECKOUT_DIR}/${checkout_dir_basename}/ush/rocoto/setup_expt.py - setup_workflow=${CHECKOUT_DIR}/${checkout_dir_basename}/ush/rocoto/setup_workflow.py - config_dir=${CHECKOUT_DIR}/${checkout_dir_basename}/parm/config - if [[ $CASE == "BUILD_org" ]]; then - fv3gfs_git_branch='b169ca6dd3840edb909fefa00292523cdeeda422' - else - fv3gfs_git_branch='BUILD' - fi - EXTRA_SETUP_STRING="--resdet 192 --resens 192 --nens 20 --gfs_cyc 4" - -elif [[ $CASE == "C192_C192_low" ]]; then - - log_message "INFO" "Running special case: $CASE for this regression test" - config_dir=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.$fv3gfs_ver/parm/config - setup_expt=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.$fv3gfs_ver/ush/rocoto/setup_expt.py - setup_workflow=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.$fv3gfs_ver/ush/rocoto/setup_workflow.py - EXTRA_SETUP_STRING="--resdet 192 --resens 192 --nens 20 --gfs_cyc 4" - idate=2018010500 - edate=2018010506 - ICS_dir=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/ICS - CHECKOUT='FALSE' - BUILD='FALSE' - log_message "INFO" "Because we are running with CASE $CASE the script is using snapshot on disk so CHECKOUT is set to FALSE" - log_message "INFO" "Because we are running with CASE $CASE the script is using snapshot on disk so BUILD is set to FALSE" - -elif [[ $CASE == "C768_C384_high" ]]; then - - log_message "INFO" "Running special case: $CASE for this regression test" - config_dir=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.$fv3gfs_ver/parm/config - setup_expt=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.$fv3gfs_ver/ush/rocoto/setup_expt.py - setup_workflow=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/snapshot_master_20180209/gfs.$fv3gfs_ver/ush/rocoto/setup_workflow.py - EXTRA_SETUP_STRING="--resdet 768 --resens 384 --nens 80 --gfs_cyc 4" - idate=2018010500 - edate=2018010506 - ICS_dir=/gpfs/hps3/emc/global/noscrub/emc.glopara/CROW/ICS - CHECKOUT='FALSE' - BUILD='FALSE' - log_message "INFO" "Because we are running with CASE $CASE the script is using snapshot on disk so CHECKOUT is set to FALSE" - log_message "INFO" "Because we are running with CASE $CASE the script is using snapshot on disk so BUILD is set to FALSE" - -else: - - CASE='master' - log_message "INFO" "Running default case with regressionID: $regressionID" - setup_expt=${CHECKOUT_DIR}/${checkout_dir_basename}/ush/rocoto/setup_expt.py - setup_workflow=${CHECKOUT_DIR}/${checkout_dir_basename}/ush/rocoto/setup_workflow.py - config_dir=${CHECKOUT_DIR}/${checkout_dir_basename}/parm/config - +if [[ $CASE == "slurm" ]]; then + log_message "INFO" "using slurm so loading slurm module for running test case" + module load slurm fi +log_message "INFO" "Running default case with regressionID: $regressionID" +setup_expt=${CHECKOUT_DIR}/${checkout_dir_basename}/ush/rocoto/setup_expt.py +setup_workflow=${CHECKOUT_DIR}/${checkout_dir_basename}/ush/rocoto/setup_workflow.py +config_dir=${CHECKOUT_DIR}/${checkout_dir_basename}/parm/config + username=`echo ${USER} | tr '[:upper:]' '[:lower:]'` comrot=${CHECKOUT_DIR}/${REGRESSSION_COMROT_BASENAME} comrot_test_dir=${comrot}/${pslot} exp_dir_fullpath=${CHECKOUT_DIR}/${pslot} -exp_setup_string="--pslot ${pslot} --icsdir $ICS_dir --configdir ${config_dir} --comrot ${comrot} --idate $idate --edate $edate --expdir ${CHECKOUT_DIR} $EXTRA_SETUP_STRING" +#TODO Stop HERE and make sure default values for baseline canned case are present + +link_args='emc theia' +idate='2019021400' +edate='2019021412' +EXTRA_SETUP_STRING="--resdet 384 --resens 192 --nens 80 --gfs_cyc 4" +if [[ $ICS_dir == "None" ]]; then + exp_setup_string="--pslot ${pslot} --configdir ${config_dir} --comrot ${comrot} --idate $idate --edate $edate --expdir ${CHECKOUT_DIR} $EXTRA_SETUP_STRING" +else + exp_setup_string="--pslot ${pslot} --icsdir $ICS_dir --configdir ${config_dir} --comrot ${comrot} --idate $idate --edate $edate --expdir ${CHECKOUT_DIR} $EXTRA_SETUP_STRING" +fi + # If RZDM is set then the viewer will attempt to post the state of the workflow in html on the rzdm server #RZDM='tmcguinness@emcrzdm.ncep.noaa.gov:/home/www/emc/htdocs/gc_wmb/tmcguinness' @@ -326,6 +282,7 @@ echo "edate = $edate" echo "CHECKOUT_DIR = $CHECKOUT_DIR" echo "CHECKOUT = $CHECKOUT" echo "BUILD = $BUILD" +echo "link args = $link_args" echo "CREATE_EXP = $CREATE_EXP" echo "COMPARE_BASE = $COMPARE_BASE" #echo "RZDM_RESULTS = $RZDM_RESULTS" @@ -372,10 +329,11 @@ if [[ $CHECKOUT == 'TRUE' ]]; then svn co $fv3gfs_svn_url ${checkout_dir_basename} else - + + fv3gfs_repo_name='global-workflow' log_message "INFO" "cloning fvgfs from git with branch $fv3gfs_git_branch" - log_message "INFO" "git clone ssh://${username}@vlab.ncep.noaa.gov:29418/fv3gfs ${checkout_dir_basename}" - git clone ssh://${username}@vlab.ncep.noaa.gov:29418/fv3gfs ${checkout_dir_basename} + log_message "INFO" "git clone ssh://${username}@vlab.ncep.noaa.gov:29418/$fv3gfs_repo_name ${checkout_dir_basename}" + git clone ssh://${username}@vlab.ncep.noaa.gov:29418/$fv3gfs_repo_name ${checkout_dir_basename} if [[ ! -z "${fv3gfs_git_branch}// }" ]]; then cd ${checkout_dir_basename} @@ -387,6 +345,41 @@ if [[ $CHECKOUT == 'TRUE' ]]; then fi fi +if [[ $BUILD == 'TRUE' ]]; then + + cd ${checkout_dir_basename}/sorc + + # This is in BUILD branch for you + #sed -i 's/cd gsi.fd/cd gsi.fd\n checkout DA-FV3-IMPL/' checkout.sh + #log_message "WARNING" "just updated checkout.sh script and added line to checkout DA-FV3-IMPL branch for gsi instead of master" + + log_message "INFO" "running checkout script: $PWD/checkout.sh $username" + export GIT_TERMINAL_PROMPT=0 + ./checkout.sh + if [[ $? -ne 0 ]]; then + log_message "CRITICAL" "checkout.sh script failed" + fi + log_message "INFO" "running build script: $PWD/build_all.sh $build_all_args" + ./build_all.sh + if [[ $? -ne 0 ]]; then + log_message "CRITICAL" "build_all.sh script failed" + fi + log_message "INFO" "running link_fv3gfs.sh $link_args" + ./link_fv3gfs.sh $link_args + if [[ $? -ne 0 ]]; then + log_message "CRITICAL" "link_fv3gfs.sh $link_args script failed" + fi + num_shared_exec=`ls -1 ../exec | wc -l` + if [[ $num_shared_exec != $num_expected_exec ]]; then + log_message "WARNING" "number of executables in shared exec: $num_shared_exec was found and was expecting $num_expected_exec" + filepath='../exe' + fullpath=`echo $(cd $(dirname $filepath ) ; pwd ) /$(basename $filepath )` + log_message "WARNING" "check the executables found in: $fullpath" + else + log_message "INFO" "number of executables in shared exec: $num_shared_exec" + fi +fi + if [[ $CREATE_EXP == 'TRUE' ]]; then @@ -412,55 +405,22 @@ if [[ $CREATE_EXP == 'TRUE' ]]; then log_message "INFO" "setting up workflow: ${setup_workflow} --expdir $exp_dir_fullpath" yes | ${setup_workflow} --expdir $exp_dir_fullpath - if [[ -d $exp_dir_fullpath ]]; then - - if [[ $CASE == "C192_C192_low" || $CASE == "BUILD" ]]; then - log_message "WARNING" "updated config.base and changed FHMAX_GFS=240" - sed -i 's/^export FHMAX_GFS=.*/export FHMAX_GFS=\"240\" \# WARNING changed to 240 by regression script/' $exp_dir_fullpath/config.base - log_message "WARNING" "updated config.vrfy and changed VRFYTRAK=NO" - sed -i 's/^export VRFYTRAK=.*/export VRFYTRAK=\"NO\" \# WARNING changed to 240 by regression script/' $exp_dir_fullpath/config.vrfy - log_message "WARNING" "updated config.vrfy and changed VRFYGENESIS=NO" - sed -i 's/^export VRFYGENESIS=.*/export VRFYGENESIS=\"NO\" \# WARNING changed to 240 by regression script/' $exp_dir_fullpath/config.vrfy - fi + if [[ $ICS_dir == "None" ]]; then + warm_start_files='/scratch3/NCEPDEV/stmp1/Kate.Friedman/FV3GFS_ICS/2019021400' + log_message "INFO" "moving FV3GFS warmstart files for 2019021400 from: $warm_start_files" + rsync -av $warm_start_files/enkfgdas.20190214/ $PWD/enkfgdas.20190214 + rsync -av $warm_start_files/gdas.20190214/ $PWD/gdas.20190214 + log_message "INFO" "finished setting up warmstart files for 2019021400" + fi + if [[ -d $exp_dir_fullpath ]]; then + log_message "INFO" "the experiment directory is present: $exp_dir_fullpath" else log_message "CRITICAL" "The experment directory was not created correctly" fi - #sed -i 's/^export VRFYG2OBS=.*/export VRFYG2OBS=\"NO\" \# WARNING changed by regression script/' $exp_dir_fullpath/config.vrfy - #log_message "WARNING" "modified config.vrfy with VRFYG2OBS=NO because it do not make sense for it to be on for only one cycle" fi -if [[ $BUILD == 'TRUE' ]]; then - - cd ${checkout_dir_basename}/sorc - - # This is in BUILD branch for you - #sed -i 's/cd gsi.fd/cd gsi.fd\n checkout DA-FV3-IMPL/' checkout.sh - #log_message "WARNING" "just updated checkout.sh script and added line to checkout DA-FV3-IMPL branch for gsi instead of master" - - log_message "INFO" "running checkout script: $PWD/checkout.sh $username" - export GIT_TERMINAL_PROMPT=0 - ./checkout.sh $username - if [[ $CASE == "BASE_org" ]]; then - build_all_args='cray' - else - build_all_args='config=fv3gfs_build.cfg' - fi - log_message "INFO" "running build script: $PWD/build_all.sh $build_all_args" - ./build_all.sh $build_all_args - log_message "INFO" "running link_fv3gfs.sh" - ./link_fv3gfs.sh - num_shared_exec=`ls -1 ../exec | wc -l` - if [[ $num_shared_exec != $num_expected_exec ]]; then - log_message "WARNING" "number of executables in shared exec: $num_shared_exec was found and was expecting $num_expected_exec" - filepath='../exe' - fullpath=`echo $(cd $(dirname $filepath ) ; pwd ) /$(basename $filepath )` - log_message "WARNING" "check the executables found in: $fullpath" - else - log_message "INFO" "number of executables in shared exec: $num_shared_exec" - fi -fi run_file_compare_python () { From 9edb313cab2198a36675c06dd9bc58e0b45f632d Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Tue, 12 Mar 2019 18:41:18 +0000 Subject: [PATCH 441/487] updated login and cleanup regression test script --- tests/regression/compare_folders.py | 2 +- tests/regression/fv3gfs_regression.sh | 235 +++++++++++++++----------- 2 files changed, 135 insertions(+), 102 deletions(-) diff --git a/tests/regression/compare_folders.py b/tests/regression/compare_folders.py index 25aa6c5..7347f33 100755 --- a/tests/regression/compare_folders.py +++ b/tests/regression/compare_folders.py @@ -16,7 +16,7 @@ def get_args(): parser = argparse.ArgumentParser() #group = parser.add_mutually_exclusive_group(required=True) - parser.add_argument('--cmp_dirs',nargs=2,metavar=('COMROT_baseline','COMROT_testrun'),help='compare COMROT foloders') + parser.add_argument('--cmp_dirs',nargs=2,metavar=('COMROT_baseline','COMROT_testrun'),help='compare COMROT folders') parser.add_argument('-ujf','--cmp_dirs_with_joblevel_file', nargs=1, metavar=('file_list.yaml'), help='(u)se stored (j)ob level (f)ile list when comparing COMROTs') parser.add_argument('-cjf','--creat_jobslevel_file',nargs=3,metavar=('job_name','COMROT','file_list.yaml'),help='(c)reate (j)ob level (f)ile from output') parser.add_argument('-f','--diff_list_file',dest="diff_list_file",help='name of file containing a list of differing files') diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index 724756b..1243716 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -1,4 +1,4 @@ -#!/bin/bash +#!/bin/env bash export REGRESSSION_COMROT_BASENAME='fv3gfs_regression_COMROTs' @@ -11,10 +11,39 @@ usage () { echo -e "\ttwo arguments (dir) (dir) : does a bitwise compare on the gfs files from the first dir to the second\n" echo -e "\tthird optional argument is used when acctually running the script so no promps are given, otherwize the script will report on the settings.\n" echo -e "\033[1mEXAMPLE:\033[0m\n\tnohup ./fv3gfs_regression.sh baseline --non-interactive > & fv3gfs_regression_baseline_run.log &\n" - echo -e "\033[1mNOTE:\033[0m\n\tSupported CASES are BUILD, BUILD_org, C192_C192_low, and C192_C192_high. Any of these CASES are run by using them by names as (str)\n" + echo -e "\033[1mNOTE:\033[0m\n\tCurret supported CASES: slurm (uses module load slurm and thus creates slurm ready XML)\n" exit } +find_data_dir () { + + local _check_baseline_dir=$1 + + STARTTIME=$(date +%s) + while IFS= read -r -d '' file + do + gfsfile=`basename $file | cut -f 1 -d"."` + if [[ $gfsfile == "enkf" ]]; then + check_real_base_dir=`dirname $file` + if ls $check_real_base_dir/gdas.* 1> /dev/null 2>&1; then + real_base_dir=$check_real_base_dir + break + fi + fi + if [[ $(($ENDTIME - $STARTTIME)) > 65 ]]; then + log_message "CRITICAL" "looking for valid baseline directory put then gave up after a minute" + exit -1 + fi + ENDTIME=$(date +%s) + done < <(find $_check_baseline_dir -print0 ) + + if [[ -z $real_base_dir ]]; then + exit -1 + fi + _check_baseline_dir=`dirname $file` + echo $_check_baseline_dir +} + INTERACTIVE='TRUE' for arg do @@ -129,86 +158,30 @@ fi # CASE = global-slurm-test # ./setup_expt.py --pslot gw_C384C192_2019021400_IC --comrot /scratch4/NCEPDEV/global/noscrub/Terry.McGuinness/ROTDIRS --expdir /scratch4/NCEPDEV/global/noscrub/Terry.McGuinness/expdir --idate 2019021400 --edate 2019021412 --configdir /scratch4/NCEPDEV/global/save/Terry.McGuinness/git/global-workflow/parm/config --resdet 384 --resens 192 --nens 80 --gfs_cyc 4 -pslot_basename='global-fv3gfs' -checkout_dir_basename="${pslot_basename}_sorc_${regressionID}" -pslot="${pslot_basename}_exp_${regressionID}" - -# Check to see if user entered a CASE from regressionID -CASE=$regressionID - -if [[ $CASE == "slurm" ]]; then - log_message "INFO" "using slurm so loading slurm module for running test case" - module load slurm -fi - -log_message "INFO" "Running default case with regressionID: $regressionID" -setup_expt=${CHECKOUT_DIR}/${checkout_dir_basename}/ush/rocoto/setup_expt.py -setup_workflow=${CHECKOUT_DIR}/${checkout_dir_basename}/ush/rocoto/setup_workflow.py -config_dir=${CHECKOUT_DIR}/${checkout_dir_basename}/parm/config - -username=`echo ${USER} | tr '[:upper:]' '[:lower:]'` - -comrot=${CHECKOUT_DIR}/${REGRESSSION_COMROT_BASENAME} -comrot_test_dir=${comrot}/${pslot} -exp_dir_fullpath=${CHECKOUT_DIR}/${pslot} - -#TODO Stop HERE and make sure default values for baseline canned case are present - -link_args='emc theia' -idate='2019021400' -edate='2019021412' -EXTRA_SETUP_STRING="--resdet 384 --resens 192 --nens 80 --gfs_cyc 4" -if [[ $ICS_dir == "None" ]]; then - exp_setup_string="--pslot ${pslot} --configdir ${config_dir} --comrot ${comrot} --idate $idate --edate $edate --expdir ${CHECKOUT_DIR} $EXTRA_SETUP_STRING" -else - exp_setup_string="--pslot ${pslot} --icsdir $ICS_dir --configdir ${config_dir} --comrot ${comrot} --idate $idate --edate $edate --expdir ${CHECKOUT_DIR} $EXTRA_SETUP_STRING" -fi # If RZDM is set then the viewer will attempt to post the state of the workflow in html on the rzdm server #RZDM='tmcguinness@emcrzdm.ncep.noaa.gov:/home/www/emc/htdocs/gc_wmb/tmcguinness' #ROCOTOVIEWER='/u/Terry.McGuinness/bin/rocoto_viewer.py' -find_data_dir () { - - local _check_baseline_dir=$1 - - STARTTIME=$(date +%s) - while IFS= read -r -d '' file - do - gfsfile=`basename $file | cut -f 1 -d"."` - if [[ $gfsfile == "enkf" ]]; then - check_real_base_dir=`dirname $file` - if ls $check_real_base_dir/gdas.* 1> /dev/null 2>&1; then - real_base_dir=$check_real_base_dir - break - fi - fi - if [[ $(($ENDTIME - $STARTTIME)) > 65 ]]; then - log_message "CRITICAL" "looking for valid baseline directory put then gave up after a minute" - exit -1 - fi - ENDTIME=$(date +%s) - done < <(find $_check_baseline_dir -print0 ) - - if [[ -z $real_base_dir ]]; then - exit -1 - fi - _check_baseline_dir=`dirname $file` - echo $_check_baseline_dir -} - log_message "INFO" "running regression script on host $HOST with PID $BASHPID" COMPARE_BASE='FALSE' JUST_COMPARE_TWO_DIRS='FALSE' + if [[ -d $1 ]] && [[ -d $2 ]]; then CHECKOUT='FALSE' BUILD='FALSE' CREATE_EXP='FALSE' RUNROCOTO='FALSE' + + check_baseline_dir_with_this_dir=`readlink -f $2` check_baseline_dir=`readlink -f $1` - check_baseline_dir_get=$( find_data_dir $check_baseline_dir ) + + #TODO this needs to be simplified and refactored + #check_baseline_dir_get=$( find_data_dir $check_baseline_dir ) + check_baseline_dir_get=$check_baseline_dir + if [[ -z $check_baseline_dir_get ]]; then log_message "CRITICAL" "$check_baseline_dir_get is not a directory with a baseline to test in it" fi @@ -216,8 +189,11 @@ if [[ -d $1 ]] && [[ -d $2 ]]; then check_baseline_dir=$check_baseline_dir_get log_message "WARNING" "given directory did not have gfs data, but a subsequent subdirectory was found that did:\n$check_baseline_dir" fi - check_baseline_dir_with_this_dir=`readlink -f $2` - check_baseline_dir_with_this_dir_get=$( find_data_dir $check_baseline_dir_with_this_dir ) + + #TODO this needs to be simplified and refactored + #check_baseline_dir_with_this_dir_get=$( find_data_dir $check_baseline_dir_with_this_dir ) + check_baseline_dir_with_this_dir_get=$check_baseline_dir_with_this_dir + if [[ -z $check_baseline_dir_with_this_dir_get ]]; then log_message "CRITICAL" "$check_baseline_dir_with_this_get is not a directory with a baseline to test in it" fi @@ -256,7 +232,9 @@ elif [[ -d $1 && ! -d $2 ]]; then fi log_message "INFO" "running test run ($regressionID) agaist regression baseline in directory $check_baseline_dir" COMPARE_BASE='TRUE' - check_baseline_dir_get=$( find_data_dir $check_baseline_dir ) + #TODO need to refactor check_baseline_dir_get : multiple arg logic tricky and hard to support + #check_baseline_dir_get=$( find_data_dir $check_baseline_dir ) + check_baseline_dir_get=$check_baseline_dir if [[ -z $check_baseline_dir_get ]]; then log_message "CRITICAL" "$check_baseline_dir_get is not a directory with a baseline to test in it" fi @@ -271,27 +249,72 @@ fi # RZDM_RESULTS="FALSE" #fi + +# Check to see if user entered a CASE from regressionID +CASE=$regressionID +special_case_found="FALSE" + +if [[ $CASE == "slurm" ]]; then + log_message "INFO" "using slurm so loading slurm module for running test case" + module load slurm + special_case_found="TRUE" +fi + regressionID=${regressionID:-'test_run'} +pslot_basename='fv3gfs' +checkout_dir_basename="${pslot_basename}_sorc_${regressionID}" +pslot="${pslot_basename}_exp_${regressionID}" +setup_expt=${CHECKOUT_DIR}/${checkout_dir_basename}/ush/rocoto/setup_expt.py + +log_message "INFO" "Running default case with regressionID: $regressionID" +setup_workflow=${CHECKOUT_DIR}/${checkout_dir_basename}/ush/rocoto/setup_workflow.py +config_dir=${CHECKOUT_DIR}/${checkout_dir_basename}/parm/config + +username=`echo ${USER} | tr '[:upper:]' '[:lower:]'` + +comrot=${CHECKOUT_DIR}/${REGRESSSION_COMROT_BASENAME} +comrot_test_dir=${comrot}/${pslot} +exp_dir_fullpath=${CHECKOUT_DIR}/${pslot} -echo -e "\nCurrent Script Settings are" +#TODO Stop HERE and make sure default values for baseline canned case are present + +link_args='emc theia' +idate='2019021400' +edate='2019021406' +EXTRA_SETUP_STRING="--resdet 384 --resens 192 --nens 80 --gfs_cyc 4" + +if [[ $ICS_dir == "None" ]]; then + exp_setup_string="--pslot ${pslot} --configdir ${config_dir} --comrot ${comrot} --idate $idate --edate $edate --expdir ${CHECKOUT_DIR} $EXTRA_SETUP_STRING" +else + exp_setup_string="--pslot ${pslot} --icsdir $ICS_dir --configdir ${config_dir} --comrot ${comrot} --idate $idate --edate $edate --expdir ${CHECKOUT_DIR} $EXTRA_SETUP_STRING" +fi + +echo -e "\nScript Control Settings (env vars)" +echo -e "====================================" + +echo "CHECKOUT = $CHECKOUT" +echo "BUILD = $BUILD" +echo "CREATE_EXP = $CREATE_EXP" +echo "RUNROCOTO = $RUNROCOTO" +echo "COMPARE_BASE = $COMPARE_BASE" +if [[ $special_case_found == "TRUE" ]]; then +echo "Special CASE = $CASE" +fi + +echo -e "\nRepo and filepaths Settings" echo -e "============================" echo "regressionID = $regressionID" echo "git branch = $fv3gfs_git_branch" -echo "idate = $idate" -echo "edate = $edate" echo "CHECKOUT_DIR = $CHECKOUT_DIR" -echo "CHECKOUT = $CHECKOUT" -echo "BUILD = $BUILD" echo "link args = $link_args" -echo "CREATE_EXP = $CREATE_EXP" -echo "COMPARE_BASE = $COMPARE_BASE" #echo "RZDM_RESULTS = $RZDM_RESULTS" -echo -e "RUNROCOTO = $RUNROCOTO\n" echo "PYTHON_FILE_COMPARE = $PYTHON_FILE_COMPARE" echo -e "JOB_LEVEL_CHECK = $JOB_LEVEL_CHECK\n" echo -e "\nModel Workflow Configuration Settings" echo "======================================" +echo "idate : $idate" +echo "edate : $edate" echo "PSLOT : $pslot" echo "COMROT : $comrot" echo "CONFIG : $config_dir" @@ -301,21 +324,26 @@ echo "EDATE : $edate" echo "EXPDIR : $exp_dir_fullpath" echo -e "EXTRA : $EXTRA_SETUP_STRING\n" -if [ $INTERACTIVE == "TRUE" ] || [ $- == *i* ]; then - while read -n1 -r -p "Are these the correct settings (y/n): " answer - do - if [[ $answer == "n" ]]; then - echo -e "\n" - exit - fi - if [[ $answer == "y" ]]; then - echo -e "\n" - break - fi - echo "" - done +if [[ $INTERACTIVE == "TRUE" ]]; then + echo -e "To run with these settings append --non-interactive for the final argument and re-run this script\n\n" + exit 0 fi +#if [ $INTERACTIVE == "TRUE" ] || [ $- == *i* ]; then +# while read -n1 -r -p "Are these the correct settings (y/n): " answer +# do +# if [[ $answer == "n" ]]; then +# echo -e "\n" +# exit +# fi +# if [[ $answer == "y" ]]; then +# echo -e "\n" +# break +# fi +# echo "" +# done +#fi + SCRIPT_STARTTIME=$(date +%s) if [[ $CHECKOUT == 'TRUE' ]]; then @@ -376,7 +404,7 @@ if [[ $BUILD == 'TRUE' ]]; then fullpath=`echo $(cd $(dirname $filepath ) ; pwd ) /$(basename $filepath )` log_message "WARNING" "check the executables found in: $fullpath" else - log_message "INFO" "number of executables in shared exec: $num_shared_exec" + log_message "INFO" "number of executables in shared exec found as expected: $num_shared_exec" fi fi @@ -405,20 +433,22 @@ if [[ $CREATE_EXP == 'TRUE' ]]; then log_message "INFO" "setting up workflow: ${setup_workflow} --expdir $exp_dir_fullpath" yes | ${setup_workflow} --expdir $exp_dir_fullpath - if [[ $ICS_dir == "None" ]]; then - warm_start_files='/scratch3/NCEPDEV/stmp1/Kate.Friedman/FV3GFS_ICS/2019021400' - log_message "INFO" "moving FV3GFS warmstart files for 2019021400 from: $warm_start_files" - rsync -av $warm_start_files/enkfgdas.20190214/ $PWD/enkfgdas.20190214 - rsync -av $warm_start_files/gdas.20190214/ $PWD/gdas.20190214 - log_message "INFO" "finished setting up warmstart files for 2019021400" - fi - if [[ -d $exp_dir_fullpath ]]; then log_message "INFO" "the experiment directory is present: $exp_dir_fullpath" else log_message "CRITICAL" "The experment directory was not created correctly" fi + if [[ $ICS_dir == "None" ]]; then + warm_start_files='/scratch3/NCEPDEV/stmp1/Kate.Friedman/FV3GFS_ICS/2019021400' + log_message "INFO" "moving FV3GFS warmstart files for 2019021400 from: $warm_start_files" + mkdir -p $comrot_test_dir/enkfgdas.20190214 + mkdir -p $comrot_test_dir/gdas.20190214 + rsync -rlptgoDv $warm_start_files/enkfgdas.20190214/ $comrot_test_dir/enkfgdas.20190214 + rsync -rlptgoDv $warm_start_files/gdas.20190214/ $comrot_test_dir/gdas.20190214 + log_message "INFO" "finished setting up warmstart files for 2019021400" + fi + fi @@ -433,8 +463,11 @@ run_file_compare_python () { log_message "CRITICAL" "one of the target directories does not exist" fi - log_message "INFO" "running: compare_folders.py $check_baseline_dir $comrot_test_dir -n $regressionID" - compare_folders.py --cmp_dirs $check_baseline_dir $comrot_test_dir -n $regressionID + log_message "INFO" "loading module nccmp" + module load nccmp + log_message "INFO" "processing at lease $total_number_files using comprehensive pyton global file comparitor" + log_message "INFO" "running: compare_folders.py --ctotal_number_filesmp_dirs $check_baseline_dir $comrot_test_dir" + compare_folders.py --cmp_dirs $check_baseline_dir $comrot_test_dir } From 4c7bab1ac79c6cf99e0a5d8c4222b81806bb7bbc Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Wed, 13 Mar 2019 14:28:20 +0000 Subject: [PATCH 442/487] conitional around implance logic was erronous and wrong --- tests/regression/compare_folders.py | 39 +++++++++++++---------------- 1 file changed, 18 insertions(+), 21 deletions(-) diff --git a/tests/regression/compare_folders.py b/tests/regression/compare_folders.py index 7347f33..bb9af75 100755 --- a/tests/regression/compare_folders.py +++ b/tests/regression/compare_folders.py @@ -532,27 +532,24 @@ def get_logger(): logger.info(logger_hdr+'checking for matching file counts in directories') results = compare(folder1, folder2) - if len(results['left']) !=0 and len(results['right']) !=0: - left_right = ('left','right') - out_of_order_file_name = os.path.join( os.path.dirname( diff_file_name ), os.path.basename(diff_file_name).split('.',1)[0]+'.file_imbalance') - out_of_order_file = open(out_of_order_file_name ,'w') - for each_side in left_right: - if each_side == 'left': - foldera = folder1 - folderb = folder2 - else: - folderb = folder1 - foldera = folder2 - num_missmatched_files = len(results[each_side]) - if num_missmatched_files != 0: - logger.info('%d files found in %s that are not in %s list written to %s'\ - %(num_missmatched_files,os.path.basename(foldera),os.path.basename(folderb), out_of_order_file_name)) - out_of_order_file.write('%d files found in %s that are not in %s:\n'%(num_missmatched_files,os.path.basename(foldera),os.path.basename(folderb))) - for file in results[each_side]: - out_of_order_file.write(' %s'%file+'\n') - out_of_order_file.flush() - else: - logger.info('after applying filters, both directories have matching file counts') + left_right = ('left','right') + out_of_order_file_name = os.path.join( os.path.dirname( diff_file_name ), os.path.basename(diff_file_name).split('.',1)[0]+'.file_imbalance') + out_of_order_file = open(out_of_order_file_name ,'w') + for each_side in left_right: + if each_side == 'left': + foldera = folder1 + folderb = folder2 + else: + folderb = folder1 + foldera = folder2 + num_missmatched_files = len(results[each_side]) + if num_missmatched_files != 0: + logger.info('%d files found in %s that are not in %s list written to %s'\ + %(num_missmatched_files,os.path.basename(foldera),os.path.basename(folderb), out_of_order_file_name)) + out_of_order_file.write('%d files found in %s that are not in %s:\n'%(num_missmatched_files,os.path.basename(foldera),os.path.basename(folderb))) + for file in results[each_side]: + out_of_order_file.write(' %s'%file+'\n') + out_of_order_file.flush() logger.info(logger_hdr+'checking for file differences...') compare_files = filecmp.dircmp(folder1, folder2, ignore=egnore_file_list) print_diff_files( compare_files ) From 062fe7053097ba41cabdeea05119b5c1ca075a4c Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Wed, 13 Mar 2019 14:53:06 +0000 Subject: [PATCH 443/487] update python enviroment to exclude intel and use native for python2 and emc istall for python3 --- tests/regression/fv3gfs_regression.sh | 24 +++++++++++++++++++++--- 1 file changed, 21 insertions(+), 3 deletions(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index 1243716..3627cbd 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -127,15 +127,33 @@ else PTMP=$PTMP_theia fi +module unload intelpython +python_check=$(which python) +if [[ -z ${python_check} ]]; then + log_message "CRITICAL" "python two shoule be in /usr/bin/python and was not found (check your path)" +fi +python_version=$($python_check --version 2>&1) +log_message "INFO" "using python two from $python_check $python_version" + +module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/python/modulefiles +module load python/3.6.1-emc + +python_check=$(which python3) +if [[ -z ${python_check} ]]; then + log_message "CRITICAL" "python three shoule be in your path from ../NCEPDEV/nems/noscrub/emc.nemspara/python/modulefiles via module load python/3.6.1-emc\nbut module failed to load" +fi +python_version=$($python_check --version 2>&1) +log_message "INFO" "using python three from $python_check $python_version" + module load $load_rocoto -rocotoruncmd=`which rocotorun` +rocotoruncmd=$(which rocotorun) if [[ -z ${rocotoruncmd} ]]; then log_message "CRITICAL" "module load for rocoto ($load_rocoto) on system failed" fi -rocotover=`$rocotoruncmd --version` +rocotover=$($rocotoruncmd --version) log_message "INFO" "using rocoto version $rocotover" -rocotostatcmd=`which rocotostat` +rocotostatcmd=$(which rocotostat) if [[ -z ${rocotostatcmd} ]]; then log_message "CRITICAL" "($rocotostatcmd) not found on system" fi From d225fe70ccc1f0f6a8f9b791e69667f555e70504 Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Wed, 13 Mar 2019 16:35:41 +0000 Subject: [PATCH 444/487] added check in fv3gfs_regression.sh for the placment of sister script compare_folders.py if the python checker is to be used --- tests/regression/fv3gfs_regression.sh | 23 ++++++++++++++++++++--- 1 file changed, 20 insertions(+), 3 deletions(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index 3627cbd..08a2a13 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -135,8 +135,25 @@ fi python_version=$($python_check --version 2>&1) log_message "INFO" "using python two from $python_check $python_version" -module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/python/modulefiles -module load python/3.6.1-emc +if [[ $PYTHON_FILE_COMPARE == "TRUE" ]]; then + execPATH="`dirname \"$0\"`" + execPATH="`( cd \"$execPATH\" && pwd )`" + if [ -z "$execPATH" ] ; then + log_message "CRITICAL" "can not access locate $execPATH where this script was lauched" + fi + + COMPARE_FOLDERS=$execPATH/compare_folders.py + if [[ ! -f $COMPARE_FOLDERS ]]; then + log_message "CRITICAL" "the python script compare_folders.py could not be located\nit should be located in the same directory where the regression script is lauched $execPATH" + fi + + if [[ $system == "theia" ]]; then + module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/python/modulefiles + module load python/3.6.1-emc + else + log_message "CRITICAL" "this script needs to be ported to the non-Thiea systems" + fi +fi python_check=$(which python3) if [[ -z ${python_check} ]]; then @@ -485,7 +502,7 @@ run_file_compare_python () { module load nccmp log_message "INFO" "processing at lease $total_number_files using comprehensive pyton global file comparitor" log_message "INFO" "running: compare_folders.py --ctotal_number_filesmp_dirs $check_baseline_dir $comrot_test_dir" - compare_folders.py --cmp_dirs $check_baseline_dir $comrot_test_dir + $COMPARE_FOLDERS --cmp_dirs $check_baseline_dir $comrot_test_dir } From 0b028c4c3d79355d4e506e7bf6edc6d7532e5f51 Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Thu, 14 Mar 2019 13:51:28 +0000 Subject: [PATCH 445/487] added master as a special case to the fv3gfs_regression.sh script --- tests/regression/fv3gfs_regression.sh | 59 +++++++++++++-------------- 1 file changed, 28 insertions(+), 31 deletions(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index 08a2a13..889a62c 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -98,8 +98,7 @@ PYTHON_FILE_COMPARE=${PYTHON_FILE_COMPARE:-'TRUE'} #PYTHON_FILE_COMPARE='FALSE' fv3gfs_git_branch='slurm_beta' -# Leave fv3gfs_svn_url blank to use git branch in fv3gfs_git_branch -fv3gfs_svn_url='' + module use /scratch4/NCEPDEV/global/save/Terry.McGuinness/git/Rocoto-fix-terry-3/modulefile load_rocoto='fix-terry-3_local' @@ -141,12 +140,12 @@ if [[ $PYTHON_FILE_COMPARE == "TRUE" ]]; then if [ -z "$execPATH" ] ; then log_message "CRITICAL" "can not access locate $execPATH where this script was lauched" fi - + COMPARE_FOLDERS=$execPATH/compare_folders.py if [[ ! -f $COMPARE_FOLDERS ]]; then log_message "CRITICAL" "the python script compare_folders.py could not be located\nit should be located in the same directory where the regression script is lauched $execPATH" fi - + if [[ $system == "theia" ]]; then module use /scratch4/NCEPDEV/nems/noscrub/emc.nemspara/python/modulefiles module load python/3.6.1-emc @@ -154,7 +153,7 @@ if [[ $PYTHON_FILE_COMPARE == "TRUE" ]]; then log_message "CRITICAL" "this script needs to be ported to the non-Thiea systems" fi fi - + python_check=$(which python3) if [[ -z ${python_check} ]]; then log_message "CRITICAL" "python three shoule be in your path from ../NCEPDEV/nems/noscrub/emc.nemspara/python/modulefiles via module load python/3.6.1-emc\nbut module failed to load" @@ -167,7 +166,7 @@ rocotoruncmd=$(which rocotorun) if [[ -z ${rocotoruncmd} ]]; then log_message "CRITICAL" "module load for rocoto ($load_rocoto) on system failed" fi - + rocotover=$($rocotoruncmd --version) log_message "INFO" "using rocoto version $rocotover" rocotostatcmd=$(which rocotostat) @@ -288,11 +287,18 @@ fi # Check to see if user entered a CASE from regressionID CASE=$regressionID special_case_found="FALSE" +fv3gfs_git_branch='slurm_beta' if [[ $CASE == "slurm" ]]; then log_message "INFO" "using slurm so loading slurm module for running test case" + log_message "INFO" "otherwise for the time being the default branch is slurm_beta but would use moab" module load slurm special_case_found="TRUE" + fv3gfs_git_branch='slurm_beta' +elif [[ $CASE == "master" ]]; then + log_message "INFO" "using spcial case (master) so global-worfflow will be cloning from master" + special_case_found="TRUE" + fv3gfs_git_branch='master' fi regressionID=${regressionID:-'test_run'} @@ -332,9 +338,6 @@ echo "BUILD = $BUILD" echo "CREATE_EXP = $CREATE_EXP" echo "RUNROCOTO = $RUNROCOTO" echo "COMPARE_BASE = $COMPARE_BASE" -if [[ $special_case_found == "TRUE" ]]; then -echo "Special CASE = $CASE" -fi echo -e "\nRepo and filepaths Settings" echo -e "============================" @@ -345,6 +348,9 @@ echo "link args = $link_args" #echo "RZDM_RESULTS = $RZDM_RESULTS" echo "PYTHON_FILE_COMPARE = $PYTHON_FILE_COMPARE" echo -e "JOB_LEVEL_CHECK = $JOB_LEVEL_CHECK\n" +if [[ $special_case_found == "TRUE" ]]; then +echo "Special CASE = $CASE" +fi echo -e "\nModel Workflow Configuration Settings" echo "======================================" @@ -383,30 +389,21 @@ SCRIPT_STARTTIME=$(date +%s) if [[ $CHECKOUT == 'TRUE' ]]; then cd ${CHECKOUT_DIR} - if [[ ! -z ${fv3gfs_svn_url} ]]; then - - if [[ -d ${checkout_dir_basename} ]]; then - rm -Rf ${checkout_dir_basename} - fi - log_message "INFO" "checking out fv3gfs from svn $fv3gfs_svn_url" - svn co $fv3gfs_svn_url ${checkout_dir_basename} - - else - fv3gfs_repo_name='global-workflow' - log_message "INFO" "cloning fvgfs from git with branch $fv3gfs_git_branch" - log_message "INFO" "git clone ssh://${username}@vlab.ncep.noaa.gov:29418/$fv3gfs_repo_name ${checkout_dir_basename}" - git clone ssh://${username}@vlab.ncep.noaa.gov:29418/$fv3gfs_repo_name ${checkout_dir_basename} - - if [[ ! -z "${fv3gfs_git_branch}// }" ]]; then - cd ${checkout_dir_basename} - git checkout remotes/origin/${fv3gfs_git_branch} -b ${fv3gfs_git_branch} - git rev-parse HEAD | xargs git show --stat - cd ${CHECKOUT_DIR} - fi - + fv3gfs_repo_name='global-workflow' + log_message "INFO" "git clone ssh://${username}@vlab.ncep.noaa.gov:29418/$fv3gfs_repo_name ${checkout_dir_basename}" + git clone ssh://${username}@vlab.ncep.noaa.gov:29418/$fv3gfs_repo_name ${checkout_dir_basename} + + if [[ ${fv3gfs_git_branch} != "master" ]]; then + log_message "INFO" "git is now checkingout branch $fv3gfs_git_branch" + cd ${checkout_dir_basename} + git checkout remotes/origin/${fv3gfs_git_branch} -b ${fv3gfs_git_branch} + git rev-parse HEAD | xargs git show --stat + cd ${CHECKOUT_DIR} + else + log_message "INFO" "git clone left in master branch and no checkout was performed" fi -fi +fi if [[ $BUILD == 'TRUE' ]]; then From 675ea9f67abf679db4da7c30f8415842e32601ed Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Thu, 14 Mar 2019 19:03:27 +0000 Subject: [PATCH 446/487] updated fv3gfs_regression.sh for running off of master without update to ICDIR is optional and added env switch for copying warm start files --- tests/regression/fv3gfs_regression.sh | 18 +++++++++++------- 1 file changed, 11 insertions(+), 7 deletions(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index 889a62c..c2ba5e7 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -299,6 +299,7 @@ elif [[ $CASE == "master" ]]; then log_message "INFO" "using spcial case (master) so global-worfflow will be cloning from master" special_case_found="TRUE" fv3gfs_git_branch='master' + ICS_dir=$PWD fi regressionID=${regressionID:-'test_run'} @@ -323,6 +324,8 @@ link_args='emc theia' idate='2019021400' edate='2019021406' EXTRA_SETUP_STRING="--resdet 384 --resens 192 --nens 80 --gfs_cyc 4" +COPY_WARM_ICS=${COPY_WARM_ICS:-'TRUE'} + if [[ $ICS_dir == "None" ]]; then exp_setup_string="--pslot ${pslot} --configdir ${config_dir} --comrot ${comrot} --idate $idate --edate $edate --expdir ${CHECKOUT_DIR} $EXTRA_SETUP_STRING" @@ -333,11 +336,12 @@ fi echo -e "\nScript Control Settings (env vars)" echo -e "====================================" -echo "CHECKOUT = $CHECKOUT" -echo "BUILD = $BUILD" -echo "CREATE_EXP = $CREATE_EXP" -echo "RUNROCOTO = $RUNROCOTO" -echo "COMPARE_BASE = $COMPARE_BASE" +echo "CHECKOUT = $CHECKOUT" +echo "BUILD = $BUILD" +echo "CREATE_EXP = $CREATE_EXP" +echo "RUNROCOTO = $RUNROCOTO" +echo "COMPARE_BASE = $COMPARE_BASE" +echo "COPY_WARM_ICS = $COPY_WARM_ICS" echo -e "\nRepo and filepaths Settings" echo -e "============================" @@ -347,7 +351,7 @@ echo "CHECKOUT_DIR = $CHECKOUT_DIR" echo "link args = $link_args" #echo "RZDM_RESULTS = $RZDM_RESULTS" echo "PYTHON_FILE_COMPARE = $PYTHON_FILE_COMPARE" -echo -e "JOB_LEVEL_CHECK = $JOB_LEVEL_CHECK\n" +echo -e "JOB_LEVEL_CHECK = $JOB_LEVEL_CHECK" if [[ $special_case_found == "TRUE" ]]; then echo "Special CASE = $CASE" fi @@ -471,7 +475,7 @@ if [[ $CREATE_EXP == 'TRUE' ]]; then log_message "CRITICAL" "The experment directory was not created correctly" fi - if [[ $ICS_dir == "None" ]]; then + if [[ $COPY_WARM_ICS == "TRUE" ]]; then warm_start_files='/scratch3/NCEPDEV/stmp1/Kate.Friedman/FV3GFS_ICS/2019021400' log_message "INFO" "moving FV3GFS warmstart files for 2019021400 from: $warm_start_files" mkdir -p $comrot_test_dir/enkfgdas.20190214 From 05f075223325115a3f3fa7f5d9b1c67ec0d01cc9 Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Thu, 14 Mar 2019 21:06:20 +0000 Subject: [PATCH 447/487] moved rsyc for warmstart files outside of setup block and added a control env var --- tests/regression/fv3gfs_regression.sh | 19 ++++++++++--------- 1 file changed, 10 insertions(+), 9 deletions(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index c2ba5e7..b9d104e 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -475,16 +475,17 @@ if [[ $CREATE_EXP == 'TRUE' ]]; then log_message "CRITICAL" "The experment directory was not created correctly" fi - if [[ $COPY_WARM_ICS == "TRUE" ]]; then - warm_start_files='/scratch3/NCEPDEV/stmp1/Kate.Friedman/FV3GFS_ICS/2019021400' - log_message "INFO" "moving FV3GFS warmstart files for 2019021400 from: $warm_start_files" - mkdir -p $comrot_test_dir/enkfgdas.20190214 - mkdir -p $comrot_test_dir/gdas.20190214 - rsync -rlptgoDv $warm_start_files/enkfgdas.20190214/ $comrot_test_dir/enkfgdas.20190214 - rsync -rlptgoDv $warm_start_files/gdas.20190214/ $comrot_test_dir/gdas.20190214 - log_message "INFO" "finished setting up warmstart files for 2019021400" - fi +fi + +if [[ $COPY_WARM_ICS == "TRUE" ]]; then + warm_start_files='/scratch3/NCEPDEV/stmp1/Kate.Friedman/FV3GFS_ICS/2019021400' + log_message "INFO" "moving FV3GFS warmstart files for 2019021400 from: $warm_start_files" + mkdir -p $comrot_test_dir/enkfgdas.20190214 + mkdir -p $comrot_test_dir/gdas.20190214 + rsync -rlptgoDv $warm_start_files/enkfgdas.20190214/ $comrot_test_dir/enkfgdas.20190214 + rsync -rlptgoDv $warm_start_files/gdas.20190214/ $comrot_test_dir/gdas.20190214 + log_message "INFO" "finished setting up warmstart files for 2019021400" fi From adcdd40dfb3dd6f53ef9d4243438e509a3064d00 Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Fri, 15 Mar 2019 20:28:01 +0000 Subject: [PATCH 448/487] renamed compare_folders.py to compare_GFS_comdirs.py and also have it print WARNING messages when file are of zero length --- tests/regression/{compare_folders.py => compare_GFS_comdirs.py} | 0 1 file changed, 0 insertions(+), 0 deletions(-) rename tests/regression/{compare_folders.py => compare_GFS_comdirs.py} (100%) diff --git a/tests/regression/compare_folders.py b/tests/regression/compare_GFS_comdirs.py similarity index 100% rename from tests/regression/compare_folders.py rename to tests/regression/compare_GFS_comdirs.py From bba1f1d51a04ea88a51d0f5a8018357231e585bb Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Mon, 18 Mar 2019 15:22:15 +0000 Subject: [PATCH 449/487] missed using the add command on the fv3gfs_regression.sh file on the last push --- tests/regression/fv3gfs_regression.sh | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index b9d104e..88cec23 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -141,9 +141,9 @@ if [[ $PYTHON_FILE_COMPARE == "TRUE" ]]; then log_message "CRITICAL" "can not access locate $execPATH where this script was lauched" fi - COMPARE_FOLDERS=$execPATH/compare_folders.py + COMPARE_FOLDERS=$execPATH/compare_GFS_comdirs.py if [[ ! -f $COMPARE_FOLDERS ]]; then - log_message "CRITICAL" "the python script compare_folders.py could not be located\nit should be located in the same directory where the regression script is lauched $execPATH" + log_message "CRITICAL" "the python script compare_GFS_comdirs.py could not be located\nit should be located in the same directory where the regression script is lauched $execPATH" fi if [[ $system == "theia" ]]; then @@ -503,7 +503,7 @@ run_file_compare_python () { log_message "INFO" "loading module nccmp" module load nccmp log_message "INFO" "processing at lease $total_number_files using comprehensive pyton global file comparitor" - log_message "INFO" "running: compare_folders.py --ctotal_number_filesmp_dirs $check_baseline_dir $comrot_test_dir" + log_message "INFO" "running: compare_GFS_comdirs.py --ctotal_number_filesmp_dirs $check_baseline_dir $comrot_test_dir" $COMPARE_FOLDERS --cmp_dirs $check_baseline_dir $comrot_test_dir } From cc650eca0f3610ca5f79ab72b0f3ea3a92ca5f05 Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Mon, 18 Mar 2019 20:55:32 +0000 Subject: [PATCH 450/487] added sanity check for zero sized files in the compare_GFS_comdirs.py script --- tests/regression/compare_GFS_comdirs.py | 23 +++++++++++++++++++---- 1 file changed, 19 insertions(+), 4 deletions(-) diff --git a/tests/regression/compare_GFS_comdirs.py b/tests/regression/compare_GFS_comdirs.py index bb9af75..631b26c 100755 --- a/tests/regression/compare_GFS_comdirs.py +++ b/tests/regression/compare_GFS_comdirs.py @@ -53,7 +53,7 @@ def _recursive_dircmp(folder1, folder2 ): data = { 'left': [r'{}/{}'.format(folder1, i) for i in comparison.left_only], 'right': [r'{}/{}'.format(folder2, i) for i in comparison.right_only], - 'both': [r'{}/{}'.format(folder1, i) for i in comparison.common_files], + 'both': [r'{}/{}'.format(folder1, i) for i in comparison.common_files] } for datalist in data.values(): @@ -315,7 +315,7 @@ def print_diff_files(dcmp): import subprocess from subprocess import run - global diff_file; global cwd; global verbose + global diff_file; global cwd; global verbose; global zero_sized_files_list global fixed_dir_experment_name if len(dcmp.common_dirs) != 0 and not verbose: logger.info(logger_hdr+'checking directories: %s'%' '.join(dcmp.common_dirs)) @@ -347,6 +347,9 @@ def print_diff_files(dcmp): file1_shortpath = '/'+dcmp.left.replace(cwd,'').replace(fixed_dir_experment_name,'').lstrip('/') file2_shortpath = '/'+dcmp.right.replace(cwd,'').replace(fixed_dir_experment_name,'').lstrip('/') diff_tar_members = [] + if len(zero_sized_files_list) > 0: + for zero_sized_file in zero_sized_files_list: + diff_file.write('warning: this is a zero legth file: %s'%zero_sized_file) if 'master.grb2' in name: if not cmp_master_grb2( file1, file2 ): diff_file.write( 'grib2 file %s has data differences in directories %s and %s\n'%(name,file1_shortpath,file2_shortpath)) @@ -461,6 +464,7 @@ def get_logger(): verbose = args.verbose_tar file_dic_list = collections.defaultdict(list) + zero_sized_files_list = list() if args.creat_jobslevel_file is not None: @@ -525,13 +529,24 @@ def get_logger(): total_file_count_dir1 = sum([len(files) for r, d, files in os.walk(folder1)]) total_file_count_dir2 = sum([len(files) for r, d, files in os.walk(folder2)]) + + import pathlib + logger.info(logger_hdr+'a safty sanity check for zero sized files is processing') + for folder in (folder1,folder2): + for path, subdirs, files in os.walk(folder): + for name in files: + file_name_found = pathlib.PurePath(path,name) + if os.path.getsize( file_name_found ) == 0: + zero_sized_files_list.append(file_name_found) + logger.warning( "%s is a zero sized file "%file_name_found ) + logger.info(logger_hdr+'total number of files in %s is %d'%(folder1,total_file_count_dir1)) logger.info(logger_hdr+'total number of files in %s is %d'%(folder2,total_file_count_dir2)) - logger.info(logger_hdr+'comparing folders:\n %s\n %s'%(folder1,folder2)) - logger.info(logger_hdr+'checking for matching file counts in directories') results = compare(folder1, folder2) + + logger.info(logger_hdr+'checking for matching file counts in directories') left_right = ('left','right') out_of_order_file_name = os.path.join( os.path.dirname( diff_file_name ), os.path.basename(diff_file_name).split('.',1)[0]+'.file_imbalance') out_of_order_file = open(out_of_order_file_name ,'w') From 4d451e46ddb180462b463ef96c159b45eeb7fcfd Mon Sep 17 00:00:00 2001 From: tmcguinness Date: Thu, 28 Mar 2019 13:41:15 -0400 Subject: [PATCH 451/487] updated fv3 reggression script to use ICSDIR as default from Cathy T. --- tests/regression/fv3gfs_regression.sh | 30 +++++++++++++++++++++------ 1 file changed, 24 insertions(+), 6 deletions(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index 88cec23..eb71e3a 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -192,6 +192,9 @@ fi # CASE = global-slurm-test # ./setup_expt.py --pslot gw_C384C192_2019021400_IC --comrot /scratch4/NCEPDEV/global/noscrub/Terry.McGuinness/ROTDIRS --expdir /scratch4/NCEPDEV/global/noscrub/Terry.McGuinness/expdir --idate 2019021400 --edate 2019021412 --configdir /scratch4/NCEPDEV/global/save/Terry.McGuinness/git/global-workflow/parm/config --resdet 384 --resens 192 --nens 80 --gfs_cyc 4 +#====================================== +# CASE defualt +# $HOMEgfs/setup_expt.py --pslot $yourPSLOT --resdet 384 --resens 192 --comrot $yourROTDIRS --expdir $yourEXPDIR --idate 2017073118 --edate 2017080100 --icsdir /scratch4/NCEPDEV/da/noscrub/Catherine.Thomas/ICSDIR --configdir $HOMEgfs/parm/config --nens 24 --cdump gdas --gfs_cyc 1 # If RZDM is set then the viewer will attempt to post the state of the workflow in html on the rzdm server @@ -288,10 +291,16 @@ fi CASE=$regressionID special_case_found="FALSE" fv3gfs_git_branch='slurm_beta' +ICS_dir="/scratch4/NCEPDEV/da/noscrub/Catherine.Thomas/ICSDIR" +if [[ ! -d "$ICS_dir" ]]; then + log_message "CRITICAL" "Using base case but ICSDIR directory does not exsist: $ICS_dir" +fi +log_message "INFO" "Using ICSDIR from Cathy as default base case" +log_message "INFO" "ICSDIR: $ICS_dir" if [[ $CASE == "slurm" ]]; then + log_message "INFO" "using special CASE slurm so using branch beta_slurm" log_message "INFO" "using slurm so loading slurm module for running test case" - log_message "INFO" "otherwise for the time being the default branch is slurm_beta but would use moab" module load slurm special_case_found="TRUE" fv3gfs_git_branch='slurm_beta' @@ -299,7 +308,6 @@ elif [[ $CASE == "master" ]]; then log_message "INFO" "using spcial case (master) so global-worfflow will be cloning from master" special_case_found="TRUE" fv3gfs_git_branch='master' - ICS_dir=$PWD fi regressionID=${regressionID:-'test_run'} @@ -321,10 +329,12 @@ exp_dir_fullpath=${CHECKOUT_DIR}/${pslot} #TODO Stop HERE and make sure default values for baseline canned case are present link_args='emc theia' -idate='2019021400' -edate='2019021406' -EXTRA_SETUP_STRING="--resdet 384 --resens 192 --nens 80 --gfs_cyc 4" -COPY_WARM_ICS=${COPY_WARM_ICS:-'TRUE'} + +idate='2017073118' +edate='2017080100' + +EXTRA_SETUP_STRING="--resdet 384 --resens 192 --nens 24 --gfs_cyc 4" +COPY_WARM_ICS=${COPY_WARM_ICS:-'FALSE'} if [[ $ICS_dir == "None" ]]; then @@ -475,6 +485,14 @@ if [[ $CREATE_EXP == 'TRUE' ]]; then log_message "CRITICAL" "The experment directory was not created correctly" fi + sed -i 's/USE_RADSTAT=\"NO\"/USE_RADSTAT=\"YES\"/' $exp_dir_fullpath/config.eobs + sed -i 's/USE_RADSTAT=\"NO\"/USE_RADSTAT=\"YES\"/' $exp_dir_fullpath/config.anal + log_message "INFO" "updated config.eobs and config.anal with USE_RADSTAT=YES" + sed -i 's/FHMAX_GFS_06=180/FHMAX_GFS_18=160' $exp_dir_fullpath/config.base + sed -i 's/FHMAX_GFS_12=180/FHMAX_GFS_18=160' $exp_dir_fullpath/config.base + sed -i 's/FHMAX_GFS_18=180/FHMAX_GFS_18=160' $exp_dir_fullpath/config.base + log_message "INFO" "updated config.base to have the GFS forecast length to be 160" + fi From 41cb24586a542005caa8b3888bcabec23eecd994 Mon Sep 17 00:00:00 2001 From: Terry McGuinness Date: Thu, 28 Mar 2019 13:52:07 -0400 Subject: [PATCH 452/487] removed updated to GFS forecast lengh modification in global regression script --- tests/regression/fv3gfs_regression.sh | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index eb71e3a..be60a4b 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -488,10 +488,10 @@ if [[ $CREATE_EXP == 'TRUE' ]]; then sed -i 's/USE_RADSTAT=\"NO\"/USE_RADSTAT=\"YES\"/' $exp_dir_fullpath/config.eobs sed -i 's/USE_RADSTAT=\"NO\"/USE_RADSTAT=\"YES\"/' $exp_dir_fullpath/config.anal log_message "INFO" "updated config.eobs and config.anal with USE_RADSTAT=YES" - sed -i 's/FHMAX_GFS_06=180/FHMAX_GFS_18=160' $exp_dir_fullpath/config.base - sed -i 's/FHMAX_GFS_12=180/FHMAX_GFS_18=160' $exp_dir_fullpath/config.base - sed -i 's/FHMAX_GFS_18=180/FHMAX_GFS_18=160' $exp_dir_fullpath/config.base - log_message "INFO" "updated config.base to have the GFS forecast length to be 160" + #sed -i 's/FHMAX_GFS_06=180/FHMAX_GFS_18=160' $exp_dir_fullpath/config.base + #sed -i 's/FHMAX_GFS_12=180/FHMAX_GFS_18=160' $exp_dir_fullpath/config.base + #sed -i 's/FHMAX_GFS_18=180/FHMAX_GFS_18=160' $exp_dir_fullpath/config.base + #log_message "INFO" "updated config.base to have the GFS forecast length to be 160" fi From 1e968eb10bea5c0b385f3c0f88b5b88138741c05 Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Mon, 1 Apr 2019 18:37:46 +0000 Subject: [PATCH 453/487] updated regression script for fv3gfs base case configuration with gfs_cyc=1 --- tests/regression/compare_GFS_comdirs.py | 2 +- tests/regression/fv3gfs_regression.sh | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/tests/regression/compare_GFS_comdirs.py b/tests/regression/compare_GFS_comdirs.py index 631b26c..1a0699c 100755 --- a/tests/regression/compare_GFS_comdirs.py +++ b/tests/regression/compare_GFS_comdirs.py @@ -349,7 +349,7 @@ def print_diff_files(dcmp): diff_tar_members = [] if len(zero_sized_files_list) > 0: for zero_sized_file in zero_sized_files_list: - diff_file.write('warning: this is a zero legth file: %s'%zero_sized_file) + diff_file.write('warning: this is a zero legth file: %s\n'%zero_sized_file) if 'master.grb2' in name: if not cmp_master_grb2( file1, file2 ): diff_file.write( 'grib2 file %s has data differences in directories %s and %s\n'%(name,file1_shortpath,file2_shortpath)) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index be60a4b..8188090 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -333,7 +333,7 @@ link_args='emc theia' idate='2017073118' edate='2017080100' -EXTRA_SETUP_STRING="--resdet 384 --resens 192 --nens 24 --gfs_cyc 4" +EXTRA_SETUP_STRING="--resdet 384 --resens 192 --nens 24 --gfs_cyc 1" COPY_WARM_ICS=${COPY_WARM_ICS:-'FALSE'} From f6c3151d7139ed461d15432af05d89bda03a610e Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Wed, 3 Apr 2019 18:32:21 +0000 Subject: [PATCH 454/487] removed charage return for reporging out zero sized files, added l4densvar=.false. as the default --- tests/regression/compare_GFS_comdirs.py | 5 +++-- tests/regression/fv3gfs_regression.sh | 2 ++ 2 files changed, 5 insertions(+), 2 deletions(-) diff --git a/tests/regression/compare_GFS_comdirs.py b/tests/regression/compare_GFS_comdirs.py index 1a0699c..0598d7c 100755 --- a/tests/regression/compare_GFS_comdirs.py +++ b/tests/regression/compare_GFS_comdirs.py @@ -537,8 +537,9 @@ def get_logger(): for name in files: file_name_found = pathlib.PurePath(path,name) if os.path.getsize( file_name_found ) == 0: - zero_sized_files_list.append(file_name_found) - logger.warning( "%s is a zero sized file "%file_name_found ) + if file_name_found[-4:] != '_run' + zero_sized_files_list.append(file_name_found) + logger.warning( "%s is a zero sized file "%file_name_found ) logger.info(logger_hdr+'total number of files in %s is %d'%(folder1,total_file_count_dir1)) logger.info(logger_hdr+'total number of files in %s is %d'%(folder2,total_file_count_dir2)) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index 8188090..33fb1cf 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -488,6 +488,8 @@ if [[ $CREATE_EXP == 'TRUE' ]]; then sed -i 's/USE_RADSTAT=\"NO\"/USE_RADSTAT=\"YES\"/' $exp_dir_fullpath/config.eobs sed -i 's/USE_RADSTAT=\"NO\"/USE_RADSTAT=\"YES\"/' $exp_dir_fullpath/config.anal log_message "INFO" "updated config.eobs and config.anal with USE_RADSTAT=YES" + sed -i 's/export l4densvar=\".true.\" /export l4densvar=\".false.\"' exp_dir_fullpath/config.base + log_message "INFO" "updated config.base to have the l4densvar=\'.false.\'" #sed -i 's/FHMAX_GFS_06=180/FHMAX_GFS_18=160' $exp_dir_fullpath/config.base #sed -i 's/FHMAX_GFS_12=180/FHMAX_GFS_18=160' $exp_dir_fullpath/config.base #sed -i 's/FHMAX_GFS_18=180/FHMAX_GFS_18=160' $exp_dir_fullpath/config.base From 27c6e6625c45c3616811ac82bf4850378a4a7cbd Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Thu, 4 Apr 2019 12:30:26 +0000 Subject: [PATCH 455/487] added INFO line for path of rocotorun for user edification --- tests/regression/fv3gfs_regression.sh | 1 + 1 file changed, 1 insertion(+) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index 33fb1cf..e498b99 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -168,6 +168,7 @@ if [[ -z ${rocotoruncmd} ]]; then fi rocotover=$($rocotoruncmd --version) +log_message "INFO" "rocotorun found here: $rocotoruncmd" log_message "INFO" "using rocoto version $rocotover" rocotostatcmd=$(which rocotostat) if [[ -z ${rocotostatcmd} ]]; then From 6ebfe8aec7689fcccd4bde731ee34178b1e03019 Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Thu, 4 Apr 2019 12:36:40 +0000 Subject: [PATCH 456/487] do not include file ending in _run to be counted as anoumously zero --- tests/regression/compare_GFS_comdirs.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/tests/regression/compare_GFS_comdirs.py b/tests/regression/compare_GFS_comdirs.py index 0598d7c..0e7dbf0 100755 --- a/tests/regression/compare_GFS_comdirs.py +++ b/tests/regression/compare_GFS_comdirs.py @@ -537,7 +537,7 @@ def get_logger(): for name in files: file_name_found = pathlib.PurePath(path,name) if os.path.getsize( file_name_found ) == 0: - if file_name_found[-4:] != '_run' + if file_name_found[-4:] != '_run': zero_sized_files_list.append(file_name_found) logger.warning( "%s is a zero sized file "%file_name_found ) From 9983e963d8cdcd8283232b0eea085848d2ac04c3 Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Thu, 4 Apr 2019 17:59:59 +0000 Subject: [PATCH 457/487] fixed bug with updating l4densvar to that it gets set to .false. --- tests/regression/fv3gfs_regression.sh | 10 ++++++---- 1 file changed, 6 insertions(+), 4 deletions(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index e498b99..fa09c95 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -369,8 +369,8 @@ fi echo -e "\nModel Workflow Configuration Settings" echo "======================================" -echo "idate : $idate" -echo "edate : $edate" +echo "IDATE : $idate" +echo "EDATE : $edate" echo "PSLOT : $pslot" echo "COMROT : $comrot" echo "CONFIG : $config_dir" @@ -486,11 +486,13 @@ if [[ $CREATE_EXP == 'TRUE' ]]; then log_message "CRITICAL" "The experment directory was not created correctly" fi + # Using Cathy T.'s case as defalut always when creating exp sed -i 's/USE_RADSTAT=\"NO\"/USE_RADSTAT=\"YES\"/' $exp_dir_fullpath/config.eobs sed -i 's/USE_RADSTAT=\"NO\"/USE_RADSTAT=\"YES\"/' $exp_dir_fullpath/config.anal log_message "INFO" "updated config.eobs and config.anal with USE_RADSTAT=YES" - sed -i 's/export l4densvar=\".true.\" /export l4densvar=\".false.\"' exp_dir_fullpath/config.base - log_message "INFO" "updated config.base to have the l4densvar=\'.false.\'" + sed -i 's/export l4densvar=\".true.\" /export l4densvar=\".false.\"' $exp_dir_fullpath/config.base + log_message "INFO" "updated config.base to have the l4densvar=\".false.\"" + #sed -i 's/FHMAX_GFS_06=180/FHMAX_GFS_18=160' $exp_dir_fullpath/config.base #sed -i 's/FHMAX_GFS_12=180/FHMAX_GFS_18=160' $exp_dir_fullpath/config.base #sed -i 's/FHMAX_GFS_18=180/FHMAX_GFS_18=160' $exp_dir_fullpath/config.base From 67d21d6ba22ff8a5d6495f6ac57b47fa9f1a56e5 Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Fri, 5 Apr 2019 12:41:01 +0000 Subject: [PATCH 458/487] fixed bug with compare_GFS_comdirs.py when trying to skip zero sized files that end in _run --- tests/regression/compare_GFS_comdirs.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/tests/regression/compare_GFS_comdirs.py b/tests/regression/compare_GFS_comdirs.py index 0e7dbf0..466e182 100755 --- a/tests/regression/compare_GFS_comdirs.py +++ b/tests/regression/compare_GFS_comdirs.py @@ -537,7 +537,7 @@ def get_logger(): for name in files: file_name_found = pathlib.PurePath(path,name) if os.path.getsize( file_name_found ) == 0: - if file_name_found[-4:] != '_run': + if file_name_found.name[-4:] != '_run': zero_sized_files_list.append(file_name_found) logger.warning( "%s is a zero sized file "%file_name_found ) From 25380879f05c459963c4a7e4eb79e591e1527cfb Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Fri, 5 Apr 2019 13:32:36 +0000 Subject: [PATCH 459/487] updating l4densvar=.false. by fv3gfs_regression.sh was still broken (now fixed) --- tests/regression/fv3gfs_regression.sh | 11 +++-------- 1 file changed, 3 insertions(+), 8 deletions(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index fa09c95..3d7d68a 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -487,17 +487,12 @@ if [[ $CREATE_EXP == 'TRUE' ]]; then fi # Using Cathy T.'s case as defalut always when creating exp - sed -i 's/USE_RADSTAT=\"NO\"/USE_RADSTAT=\"YES\"/' $exp_dir_fullpath/config.eobs - sed -i 's/USE_RADSTAT=\"NO\"/USE_RADSTAT=\"YES\"/' $exp_dir_fullpath/config.anal + sed -i 's/USE_RADSTAT=\"NO\"/USE_RADSTAT=\"YES\" # USE_RADSTAT set to YES by fv3gfs_regression.sh script/' $exp_dir_fullpath/config.eobs + sed -i 's/USE_RADSTAT=\"NO\"/USE_RADSTAT=\"YES\" # USE_RADSTAT set to YES by fv3gfs_regression.sh script/' $exp_dir_fullpath/config.anal log_message "INFO" "updated config.eobs and config.anal with USE_RADSTAT=YES" - sed -i 's/export l4densvar=\".true.\" /export l4densvar=\".false.\"' $exp_dir_fullpath/config.base + sed -i 's/export l4densvar=\".true.\"/export l4densvar=\".false.\" # l4densvar updated to be set to false by fv3gfs_regression.sh script/' $exp_dir_fullpath/config.base log_message "INFO" "updated config.base to have the l4densvar=\".false.\"" - #sed -i 's/FHMAX_GFS_06=180/FHMAX_GFS_18=160' $exp_dir_fullpath/config.base - #sed -i 's/FHMAX_GFS_12=180/FHMAX_GFS_18=160' $exp_dir_fullpath/config.base - #sed -i 's/FHMAX_GFS_18=180/FHMAX_GFS_18=160' $exp_dir_fullpath/config.base - #log_message "INFO" "updated config.base to have the GFS forecast length to be 160" - fi From 4cc6faebf6bf788926c6ad2ae8e75052ebc08767 Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Mon, 8 Apr 2019 20:18:54 +0000 Subject: [PATCH 460/487] fixed bug so that parm files a first modified before running setup_workflow.py --- tests/regression/fv3gfs_regression.sh | 27 +++++++++++++++++++-------- 1 file changed, 19 insertions(+), 8 deletions(-) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index 3d7d68a..b62a9e6 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -309,6 +309,12 @@ elif [[ $CASE == "master" ]]; then log_message "INFO" "using spcial case (master) so global-worfflow will be cloning from master" special_case_found="TRUE" fv3gfs_git_branch='master' + module unload slurm + log_message "INFO" "using spcial case (master) so module unload slurm was issued" +elif [[ $CASE == "baseline" ]]; then + log_message "INFO" "using spcial case (baseline) so module unload slrum is issued" + special_case_found="TRUE" + module unload slurm fi regressionID=${regressionID:-'test_run'} @@ -332,7 +338,7 @@ exp_dir_fullpath=${CHECKOUT_DIR}/${pslot} link_args='emc theia' idate='2017073118' -edate='2017080100' +edate='2017080106' EXTRA_SETUP_STRING="--resdet 384 --resens 192 --nens 24 --gfs_cyc 1" COPY_WARM_ICS=${COPY_WARM_ICS:-'FALSE'} @@ -478,6 +484,18 @@ if [[ $CREATE_EXP == 'TRUE' ]]; then yes | ${setup_expt} ${exp_setup_string} log_message "INFO" "setting up workflow: ${setup_workflow} --expdir $exp_dir_fullpath" + + # Using Cathy T.'s case as defalut always when creating exp + log_message "INTO" "Applying canned case configuration for exerment \'baseline\' which differs slightly from master branch" + sed -i 's/USE_RADSTAT=\"NO\"/USE_RADSTAT=\"YES\" # USE_RADSTAT set to YES by fv3gfs_regression.sh script/' $exp_dir_fullpath/config.eobs + + sed -i '/npe_gsi=$npe_anal/ i export USE_RADSTAT=\"YES\" # added by fv3gfs_regression.sh' $exp_dir_fullpath/config.anal + log_message "INFO" "updated config.eobs and config.anal with USE_RADSTAT=YES" + + sed -i 's/export l4densvar=\".true.\"/export l4densvar=\".false.\" # l4densvar updated to be set to false by fv3gfs_regression.sh script/' $exp_dir_fullpath/config.base + log_message "INFO" "updated config.base to have the l4densvar=\".false.\"" + + yes | ${setup_workflow} --expdir $exp_dir_fullpath if [[ -d $exp_dir_fullpath ]]; then @@ -486,13 +504,6 @@ if [[ $CREATE_EXP == 'TRUE' ]]; then log_message "CRITICAL" "The experment directory was not created correctly" fi - # Using Cathy T.'s case as defalut always when creating exp - sed -i 's/USE_RADSTAT=\"NO\"/USE_RADSTAT=\"YES\" # USE_RADSTAT set to YES by fv3gfs_regression.sh script/' $exp_dir_fullpath/config.eobs - sed -i 's/USE_RADSTAT=\"NO\"/USE_RADSTAT=\"YES\" # USE_RADSTAT set to YES by fv3gfs_regression.sh script/' $exp_dir_fullpath/config.anal - log_message "INFO" "updated config.eobs and config.anal with USE_RADSTAT=YES" - sed -i 's/export l4densvar=\".true.\"/export l4densvar=\".false.\" # l4densvar updated to be set to false by fv3gfs_regression.sh script/' $exp_dir_fullpath/config.base - log_message "INFO" "updated config.base to have the l4densvar=\".false.\"" - fi From e651316d6b468709a5367853276d1dba914d3f39 Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Tue, 9 Apr 2019 20:08:24 +0000 Subject: [PATCH 461/487] minor bugs --- tests/regression/compare_GFS_comdirs.py | 43 ++++++++++++++----------- tests/regression/fv3gfs_regression.sh | 6 ++-- 2 files changed, 27 insertions(+), 22 deletions(-) diff --git a/tests/regression/compare_GFS_comdirs.py b/tests/regression/compare_GFS_comdirs.py index 466e182..b52e34a 100755 --- a/tests/regression/compare_GFS_comdirs.py +++ b/tests/regression/compare_GFS_comdirs.py @@ -16,9 +16,9 @@ def get_args(): parser = argparse.ArgumentParser() #group = parser.add_mutually_exclusive_group(required=True) - parser.add_argument('--cmp_dirs',nargs=2,metavar=('COMROT_baseline','COMROT_testrun'),help='compare COMROT folders') - parser.add_argument('-ujf','--cmp_dirs_with_joblevel_file', nargs=1, metavar=('file_list.yaml'), help='(u)se stored (j)ob level (f)ile list when comparing COMROTs') - parser.add_argument('-cjf','--creat_jobslevel_file',nargs=3,metavar=('job_name','COMROT','file_list.yaml'),help='(c)reate (j)ob level (f)ile from output') + parser.add_argument('--cmp_dirs',nargs=2,metavar=('ROTDIR_baseline','ROTDIR_testrun'),help='compare ROTDIR folders') + parser.add_argument('-ujf','--cmp_dirs_with_joblevel_file', nargs=1, metavar=('file_list.yaml'), help='(u)se stored (j)ob level (f)ile list when comparing ROTDIRS') + parser.add_argument('-cjf','--creat_jobslevel_file',nargs=3,metavar=('job_name','ROTDIR','file_list.yaml'),help='(c)reate (j)ob level (f)ile from output') parser.add_argument('-f','--diff_list_file',dest="diff_list_file",help='name of file containing a list of differing files') parser.add_argument('-vt','--verbose_tar', help='include names of differing files witin tar files', action='store_true',default=False) @@ -344,8 +344,12 @@ def print_diff_files(dcmp): # logger.info(logger_hdr+'file %s not in yaml file'%name) file1 = os.path.join(dcmp.left,name); file2 = os.path.join(dcmp.right,name) - file1_shortpath = '/'+dcmp.left.replace(cwd,'').replace(fixed_dir_experment_name,'').lstrip('/') - file2_shortpath = '/'+dcmp.right.replace(cwd,'').replace(fixed_dir_experment_name,'').lstrip('/') + file1_shortpath = dcmp.left.replace(cwd,'').replace(fixed_dir_experment_name,'').lstrip('/') + file2_shortpath = dcmp.right.replace(cwd,'').replace(fixed_dir_experment_name,'').lstrip('/') + if '/' in file1_shortpath: + file1_shortpath = '/'+file1_shortpath + if '/' in file2_shortpath: + file2_shortpath = '/'+file2_shortpath diff_tar_members = [] if len(zero_sized_files_list) > 0: for zero_sized_file in zero_sized_files_list: @@ -433,10 +437,10 @@ def get_logger(): logger,logger_hdr = get_logger() args = get_args() - if 'REGRESSSION_COMROT_BASENAME' in os.environ: - fixed_dir_experment_name = environ.get('REGRESSSION_COMROT_BASENAME') + if 'REGRESSSION_ROTDIR_BASENAME' in os.environ: + fixed_dir_experment_name = environ.get('REGRESSSION_ROTDIR_BASENAME') else: - fixed_dir_experment_name = 'fv3gfs_regression_ROTDIRs' + fixed_dir_experment_name = 'fv3gfs_regression_ROTDIRS' using_file_list = False NCCMP='/gpfs/hps3/emc/nems/noscrub/emc.nemspara/FV3GFS_V0_RELEASE/util/nccmp' @@ -469,26 +473,26 @@ def get_logger(): if args.creat_jobslevel_file is not None: job_name = args.creat_jobslevel_file[0] - COMROT = args.creat_jobslevel_file[1] - COMROT_Path = Path( args.creat_jobslevel_file[1] ) - if not COMROT_Path.is_dir(): - logger.critical(logger_hdr+'COMROT %s is not a directory') + ROTDIR = args.creat_jobslevel_file[1] + ROTDIR_Path = Path( args.creat_jobslevel_file[1] ) + if not ROTDIR_Path.is_dir(): + logger.critical(logger_hdr+'ROTDIR %s is not a directory') sys.exit(-1) - COMROT = os.path.realpath( COMROT ) + ROTDIR = os.path.realpath( ROTDIR ) yaml_files_filename = os.path.realpath( args.creat_jobslevel_file[2] ) - logger.info(logger_hdr+'determining job level files for job %s in file %s from COMROT %s'%(job_name, os.path.basename(yaml_files_filename),COMROT)) - file_list_current = capture_files_dir( COMROT ) + logger.info(logger_hdr+'determining job level files for job %s in file %s from ROTDIR %s'%(job_name, os.path.basename(yaml_files_filename),ROTDIR)) + file_list_current = capture_files_dir( ROTDIR ) yaml_files_filename_Path = Path(yaml_files_filename) if yaml_files_filename_Path.is_file(): yaml_files_fptr = open( yaml_files_filename ) file_dic_list = yaml.load( yaml_files_fptr ) yaml_files_fptr.close() - if 'prior_COMROT' in file_dic_list: + if 'prior_ROTDIR' in file_dic_list: result = [] logger.info(logger_hdr+'prior out from last job found %s'%yaml_files_filename ) for file in file_list_current: - if file not in file_dic_list['prior_COMROT']: + if file not in file_dic_list['prior_ROTDIR']: result.append(file) file_dic_list[job_name] = result logger.info(logger_hdr+'%d files added from job %s'%( len(file_dic_list[job_name]), job_name )) @@ -496,7 +500,7 @@ def get_logger(): logger.info(logger_hdr+'no prior job found job, %d files added to list from job %s'%( len(file_list_current), job_name ) ) file_dic_list[job_name] = file_list_current - file_dic_list['prior_COMROT'] = file_list_current + file_dic_list['prior_ROTDIR'] = file_list_current logger.info(logger_hdr+'write out file %s'%yaml_files_filename ) with open(yaml_files_filename, 'w') as outfile: yaml.dump(file_dic_list, outfile, default_flow_style=False) @@ -537,7 +541,8 @@ def get_logger(): for name in files: file_name_found = pathlib.PurePath(path,name) if os.path.getsize( file_name_found ) == 0: - if file_name_found.name[-4:] != '_run': + #print( 'TEST SPLIT: %s'%file_name_found.name.split('_')[-1]) + if file_name_found.name.split('_')[-1] not in ('run','events'): zero_sized_files_list.append(file_name_found) logger.warning( "%s is a zero sized file "%file_name_found ) diff --git a/tests/regression/fv3gfs_regression.sh b/tests/regression/fv3gfs_regression.sh index b62a9e6..bd372f2 100755 --- a/tests/regression/fv3gfs_regression.sh +++ b/tests/regression/fv3gfs_regression.sh @@ -1,6 +1,6 @@ #!/bin/env bash -export REGRESSSION_COMROT_BASENAME='fv3gfs_regression_COMROTs' +export REGRESSSION_ROTDIR_BASENAME='fv3gfs_regression_ROTDIRS' usage () { echo -e "\033[1mUSAGE:\033[0m\n\t$0 [[baseline]] [[compare]] [[--non-interactive]]\n" @@ -329,7 +329,7 @@ config_dir=${CHECKOUT_DIR}/${checkout_dir_basename}/parm/config username=`echo ${USER} | tr '[:upper:]' '[:lower:]'` -comrot=${CHECKOUT_DIR}/${REGRESSSION_COMROT_BASENAME} +comrot=${CHECKOUT_DIR}/${REGRESSSION_ROTDIR_BASENAME} comrot_test_dir=${comrot}/${pslot} exp_dir_fullpath=${CHECKOUT_DIR}/${pslot} @@ -378,7 +378,7 @@ echo "======================================" echo "IDATE : $idate" echo "EDATE : $edate" echo "PSLOT : $pslot" -echo "COMROT : $comrot" +echo "ROTDIR : $comrot" echo "CONFIG : $config_dir" echo "ICDIR : $ICS_dir" echo "IDATE : $idate" From 37c609cce65e7f7e57c2e1f0d2588e1e73551330 Mon Sep 17 00:00:00 2001 From: "Terry.McGuinness" Date: Wed, 10 Apr 2019 17:18:09 +0000 Subject: [PATCH 462/487] added fix for when checking for zero size files to links with no file assiotated with it in compare_GFS_comdirs.py --- tests/regression/compare_GFS_comdirs.py | 14 +++++++------- 1 file changed, 7 insertions(+), 7 deletions(-) diff --git a/tests/regression/compare_GFS_comdirs.py b/tests/regression/compare_GFS_comdirs.py index b52e34a..77de65b 100755 --- a/tests/regression/compare_GFS_comdirs.py +++ b/tests/regression/compare_GFS_comdirs.py @@ -6,7 +6,7 @@ from pathlib import Path from pathlib import PurePath -egnore_file_list = ['*.grp*','*.log','*.log*','INPUT','logs','*.idx'] +egnore_file_list = ['.git','*.grp*','*.log','*.log*','INPUT','logs','*.idx'] def get_args(): import argparse @@ -539,12 +539,12 @@ def get_logger(): for folder in (folder1,folder2): for path, subdirs, files in os.walk(folder): for name in files: - file_name_found = pathlib.PurePath(path,name) - if os.path.getsize( file_name_found ) == 0: - #print( 'TEST SPLIT: %s'%file_name_found.name.split('_')[-1]) - if file_name_found.name.split('_')[-1] not in ('run','events'): - zero_sized_files_list.append(file_name_found) - logger.warning( "%s is a zero sized file "%file_name_found ) + if pathlib.Path(pathlib.PurePath(path,name)).exists(): + file_name_found = pathlib.PurePath(path,name) + if os.path.getsize( file_name_found ) == 0: + if file_name_found.name.split('_')[-1] not in ('run','events'): + zero_sized_files_list.append(file_name_found) + logger.warning( "%s is a zero sized file "%file_name_found ) logger.info(logger_hdr+'total number of files in %s is %d'%(folder1,total_file_count_dir1)) logger.info(logger_hdr+'total number of files in %s is %d'%(folder2,total_file_count_dir2)) From 5575171c19fa254fe36b1c56b2d275294cb19d5f Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Wed, 10 Apr 2019 21:31:56 -0400 Subject: [PATCH 463/487] edit output location of sandbox mode --- ecflow_main.py | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/ecflow_main.py b/ecflow_main.py index 8eca628..c7106af 100644 --- a/ecflow_main.py +++ b/ecflow_main.py @@ -8,8 +8,8 @@ sys.path.append(os.getcwd() + "/CROW") -os.environ['ECF_HOME'] = os.getcwd() -os.environ['ECF_ROOT'] = os.getcwd() +os.environ['ECF_HOME'] = os.getcwd(+ "../../") +os.environ['ECF_ROOT'] = os.getcwd(+ "../../") os.environ['ECF_HOST'] = "ldecflow1" os.environ['ECF_PORT'] = "32065" From 89d89a09e3c8956e8bbfdb954ed59f17e0c9481b Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Wed, 10 Apr 2019 23:25:25 -0400 Subject: [PATCH 464/487] minor bug fix --- ecflow_main.py | 4 ++-- eclipse_main.py | 4 ++-- 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/ecflow_main.py b/ecflow_main.py index c7106af..31ab217 100644 --- a/ecflow_main.py +++ b/ecflow_main.py @@ -8,8 +8,8 @@ sys.path.append(os.getcwd() + "/CROW") -os.environ['ECF_HOME'] = os.getcwd(+ "../../") -os.environ['ECF_ROOT'] = os.getcwd(+ "../../") +os.environ['ECF_HOME'] = os.getcwd()+ "../../" +os.environ['ECF_ROOT'] = os.getcwd()+ "../../" os.environ['ECF_HOST'] = "ldecflow1" os.environ['ECF_PORT'] = "32065" diff --git a/eclipse_main.py b/eclipse_main.py index 4230ad1..d54b35a 100644 --- a/eclipse_main.py +++ b/eclipse_main.py @@ -12,7 +12,7 @@ if __name__ == '__main__': # print("Hello world CROW!") - option1 = '-f' - casename = 'fv3q2fy19retro5-GFS@C768_ENKF@384+80MEM.yaml' + option1 = '-sf' + casename = 'tutorial_case' username = 'casetest1' worktools.setup_case([option1,casename,username]) From 54c7e6d27a8fa12148396875c74b7ed4ba664fec Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Thu, 11 Apr 2019 21:06:36 -0400 Subject: [PATCH 465/487] minor bug fix --- ecflow_main.py | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/ecflow_main.py b/ecflow_main.py index 31ab217..ecd4cd5 100644 --- a/ecflow_main.py +++ b/ecflow_main.py @@ -8,8 +8,8 @@ sys.path.append(os.getcwd() + "/CROW") -os.environ['ECF_HOME'] = os.getcwd()+ "../../" -os.environ['ECF_ROOT'] = os.getcwd()+ "../../" +os.environ['ECF_HOME'] = os.getcwd()+ "/../../" +os.environ['ECF_ROOT'] = os.getcwd()+ "/../../" os.environ['ECF_HOST'] = "ldecflow1" os.environ['ECF_PORT'] = "32065" @@ -20,7 +20,7 @@ import worktools; # Edit your writting directory and comment out the following line: -# output = '/Users/jiankuang/Documents/Eclipse_workspace//expdir/casetest1' +output = '/Users/jiankuang/Documents/Eclipse_workspace//expdir/casetest1' if __name__ == '__main__': print(os.getcwd()) From c565ff4595f1c51414297fbb4dcf5dd5617993c2 Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Fri, 12 Apr 2019 15:55:05 -0400 Subject: [PATCH 466/487] continue --- examples/ecflow/ecftest.py | 29 ++++++++++--------- examples/ecflow/ecftest.yaml | 10 ++++--- examples/real-workflow/workflow/setup_expt.py | 3 ++ 3 files changed, 25 insertions(+), 17 deletions(-) diff --git a/examples/ecflow/ecftest.py b/examples/ecflow/ecftest.py index 9588eb1..be8ff84 100755 --- a/examples/ecflow/ecftest.py +++ b/examples/ecflow/ecftest.py @@ -1,15 +1,18 @@ #! /usr/bin/env python3 f'This script requires Python 3.6 or newer.' -import os +import os, sys + +sys.path.append(os.getcwd() + '/../../') + from crow.metascheduler import to_ecflow from crow.config import from_file, Suite conf=from_file('ecftest.yaml') suite=Suite(conf.suite) -suite_defs, ecf_files = to_ecflow(suite) +ecflow_suite = to_ecflow(suite) -for defname in suite_defs: +for defname in ecflow_suite.suite_defs_by_file: #print(f'=== contents of suite def {defname}\n{suite_defs[defname]}') filename=defname print(filename) @@ -17,17 +20,17 @@ if dirname and not os.path.exists(dirname): os.makedirs(os.path.dirname(filename)) with open(filename,'wt') as fd: - fd.write(suite_defs[defname]['def']) + fd.write(ecflow_suite.suite_defs_by_file[defname][1]) -for setname in ecf_files: - print(f'ecf file set {setname}:\n') - for filename in ecf_files[setname]: - print(f' file {filename}') - dirname=os.path.dirname(filename) - if dirname and not os.path.exists(dirname): - os.makedirs(os.path.dirname(filename)) - with open(filename+".ecf",'wt') as fd: - fd.write(ecf_files[setname][filename]) +setname = ecflow_suite.ecf_file_set_paths['/'] +print(f'ecf file set {setname}:\n') +for filename in ecflow_suite.ecf_files['/']: + print(f' file {filename}') + dirname=os.path.dirname(filename) + if dirname and not os.path.exists(dirname): + os.makedirs(os.path.dirname(filename)) + with open(filename+".ecf",'wt') as fd: + fd.write(ecflow_suite.ecf_files['/'][filename]) #for line in ecf_files[setname][filename].splitlines(): #print(f' {line.rstrip()}') diff --git a/examples/ecflow/ecftest.yaml b/examples/ecflow/ecftest.yaml index a033ddc..781ffa9 100644 --- a/examples/ecflow/ecftest.yaml +++ b/examples/ecflow/ecftest.yaml @@ -46,10 +46,9 @@ accounting: queue: '%QUEUE%' project: GFS-T2O -ecf_file_template: &ecf_file_template !expand | +ecf_file_template: + &ecf_file_template !expand | #! /bin/sh - {sched.batch_accounting(doc.accounting,jobname=jobname,outerr=logfile) - }{sched.batch_resources(resources)} %include echo ${{JOBgfs}}/{J_JOB} %include @@ -81,6 +80,10 @@ suite: !Cycle edit ECF_SOME_OTHER_VAR 'abcd' edit QUEUE 'debug' + ecf_file_set: + disk_path: toy_ecflow + ECF_FILES: toy_ecflow + task0: !Task # Time: !timedelta +2:00:00 resources: *sample_shared_serial @@ -102,7 +105,6 @@ suite: !Cycle event manually_written_event ecf_file: !expand | #! /bin/sh - {sched.batch_accounting(doc.accounting,jobname=jobname)}{sched.batch_resources(resources)} %include echo ${{JOBgfs}}/{J_JOB} ecflow_client --event=some_event diff --git a/examples/real-workflow/workflow/setup_expt.py b/examples/real-workflow/workflow/setup_expt.py index cae75c6..2141dff 100755 --- a/examples/real-workflow/workflow/setup_expt.py +++ b/examples/real-workflow/workflow/setup_expt.py @@ -1,6 +1,9 @@ #! /usr/bin/env python3.6 import os, sys, logging + +sys.path.append(os.getcwd() + "/../../../") + import crow.config from crow.config import Platform import crow.metascheduler From b5ab299128d3fe423976ed5fed3b111d3e5f2dd5 Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Fri, 12 Apr 2019 16:14:52 -0400 Subject: [PATCH 467/487] continue --- examples/yaml-read-write/test.py | 5 ++++- 1 file changed, 4 insertions(+), 1 deletion(-) diff --git a/examples/yaml-read-write/test.py b/examples/yaml-read-write/test.py index 6b09323..59aae13 100755 --- a/examples/yaml-read-write/test.py +++ b/examples/yaml-read-write/test.py @@ -1,6 +1,9 @@ #! /usr/bin/env python3.6 -import sys +import os,sys + +sys.path.append(os.getcwd() + '/../../') + import crow.config toyml=crow.config.from_file('original.yaml') From 8f74bf52090e6a510fc382099f0c0ba21608543d Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Fri, 12 Apr 2019 16:46:54 -0400 Subject: [PATCH 468/487] toy yaml section, add sandbox mode to crow.config unit test --- examples/toy-yaml/example-config.py | 7 +++++-- examples/toy-yaml/platform.yml | 6 +++++- 2 files changed, 10 insertions(+), 3 deletions(-) diff --git a/examples/toy-yaml/example-config.py b/examples/toy-yaml/example-config.py index 0b33cd2..ff6a85f 100755 --- a/examples/toy-yaml/example-config.py +++ b/examples/toy-yaml/example-config.py @@ -1,8 +1,11 @@ #! /usr/bin/env python3.6 -## Simple test program for crow.config module +## Unit test program for crow.config module + +import sys, os + +sys.path.append(os.getcwd() + '/../../') -import sys import logging from datetime import timedelta import crow.config diff --git a/examples/toy-yaml/platform.yml b/examples/toy-yaml/platform.yml index 9807d7a..2c1c378 100644 --- a/examples/toy-yaml/platform.yml +++ b/examples/toy-yaml/platform.yml @@ -66,6 +66,10 @@ wcoss_ibm: &wcoss_ibm !Platform detect: !calc tools.isdir('/gpfs/hps') and tools.isfile('/etc/redhat-release') +sandbox: &sandbox !Platform + machine: sandbox + scrub: '../../../../../' + platform: !FirstTrue - do: *wcoss_cray when: !calc do.detect @@ -73,4 +77,4 @@ platform: !FirstTrue when: !calc do.detect - do: *wcoss_ibm when: !calc do.detect - - otherwise: !error This platform is unsupported or unknown. + - otherwise: *sandbox From 0bb7ccbb449eb671fd049b26e530fa5d089258c5 Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Tue, 16 Apr 2019 18:01:30 -0400 Subject: [PATCH 469/487] enable CROW to write Crontab document for rocoto --- rocoto_main.py | 4 ++-- worktools.py | 37 +++++++++++++++++++++++++++++++++++++ 2 files changed, 39 insertions(+), 2 deletions(-) diff --git a/rocoto_main.py b/rocoto_main.py index 66257ed..9e25e7e 100644 --- a/rocoto_main.py +++ b/rocoto_main.py @@ -6,8 +6,8 @@ import sys, os; -sys.path.append("/Users/jiankuang/Documents/Eclipse_workspace/ecfutils_007/CROW") +sys.path.append(os.getcwd()) import worktools ; if __name__ == '__main__': - worktools.make_rocoto_xml_for(os.getcwd() + '/expdir/casetest1') \ No newline at end of file + worktools.make_rocoto_xml_for('/export/emc-lw-jkuang/jkuang//expdir/test1') diff --git a/worktools.py b/worktools.py index 7f36f7f..91f393f 100644 --- a/worktools.py +++ b/worktools.py @@ -604,6 +604,43 @@ def make_rocoto_xml_for(yamldir): assert(suite.viewed._path) loudly_make_dir_if_missing(f'{conf.places.ROTDIR}/logs') make_rocoto_xml(suite,f'{yamldir}/workflow.xml') + create_crontab(conf) + +def create_crontab(conf,cronint=5): + ''' + Create crontab to execute rocotorun every cronint (5) minutes + ''' + + cronintstr = '*/%d * * * *' % cronint + rocotorunstr = '%s -d %s/workflow.db -w %s/workflow.xml' % ('rocotorun',conf.places.EXPDIR,conf.places.EXPDIR) + + # On WCOSS, rocoto module needs to be loaded everytime cron runs + if conf.platform.name in ['WCOSS']: + rocotoloadstr = '. /usrx/local/Modules/default/init/sh; module use -a /usrx/local/emc_rocoto/modulefiles; module load rocoto/20170119-master)' + rocotorunstr = '(%s %s)' % (rocotoloadstr, rocotorunstr) + + + if(conf.accounting.user_email == 'none'): + REPLYTO = "" + else: + REPLYTO = conf.accounting.user_email + + strings = [] + + strings.append('\n') + strings.append('#################### %s ####################\n' % conf.names.experiment) + strings.append('MAILTO="%s"\n' % REPLYTO) + strings.append('%s %s\n' % (cronintstr, rocotorunstr)) + strings.append('#################################################################\n') + strings.append('\n') + + cronfile = 'workflow.crontab' + fh = open(os.path.join(conf.places.EXPDIR, cronfile), 'w') + fh.write(''.join(strings)) + print(f'{fh.name}: Rocoto Crontab document created here.') + fh.close() + + return def setup_case_usage(why=None): sys.stderr.write(f'''USAGE: setup_case.py CASE_NAME EXPERIMENT_NAME\n From 236b5e85108b947c7817779d5df9ef0d8f79931e Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Thu, 18 Apr 2019 00:57:31 -0400 Subject: [PATCH 470/487] regression system for CROW package --- tests/regtest/regtest.py | 18 + tests/test_data/regtest/_expdir_main.yaml | 19 + tests/test_data/regtest/_main.yaml | 11 + tests/test_data/regtest/_sandbox.yaml | 185 +++++ tests/test_data/regtest/config/base.yaml | 211 +++++ tests/test_data/regtest/defaults/case.yaml | 121 +++ .../test_data/regtest/defaults/resources.yaml | 483 +++++++++++ .../test_data/regtest/defaults/settings.yaml | 51 ++ tests/test_data/regtest/regression_case.yaml | 16 + tests/test_data/regtest/runtime/_main.yaml | 6 + .../test_data/regtest/runtime/cycle_end.yaml | 41 + .../regtest/runtime/dump_waiter.yaml | 48 ++ .../regtest/runtime/experiment_include.yaml | 36 + .../regtest/runtime/make_next_cycles.yaml | 72 ++ .../regtest/runtime/post_manager.yaml | 28 + tests/test_data/regtest/runtime/rocoto.yaml | 2 + tests/test_data/regtest/runtime/suite.yaml | 198 +++++ tests/test_data/regtest/runtime/task.yaml | 327 ++++++++ tests/test_data/regtest/schema/case.yaml | 31 + tests/test_data/regtest/schema/settings.yaml | 143 ++++ tests/test_data/regtest/schema/task.yaml | 87 ++ tests/test_data/regtest/user.yaml | 38 + .../regtest/workflow/regression.yaml | 767 ++++++++++++++++++ 23 files changed, 2939 insertions(+) create mode 100644 tests/regtest/regtest.py create mode 100644 tests/test_data/regtest/_expdir_main.yaml create mode 100644 tests/test_data/regtest/_main.yaml create mode 100644 tests/test_data/regtest/_sandbox.yaml create mode 100644 tests/test_data/regtest/config/base.yaml create mode 100644 tests/test_data/regtest/defaults/case.yaml create mode 100644 tests/test_data/regtest/defaults/resources.yaml create mode 100644 tests/test_data/regtest/defaults/settings.yaml create mode 100644 tests/test_data/regtest/regression_case.yaml create mode 100644 tests/test_data/regtest/runtime/_main.yaml create mode 100644 tests/test_data/regtest/runtime/cycle_end.yaml create mode 100644 tests/test_data/regtest/runtime/dump_waiter.yaml create mode 100644 tests/test_data/regtest/runtime/experiment_include.yaml create mode 100644 tests/test_data/regtest/runtime/make_next_cycles.yaml create mode 100644 tests/test_data/regtest/runtime/post_manager.yaml create mode 100644 tests/test_data/regtest/runtime/rocoto.yaml create mode 100644 tests/test_data/regtest/runtime/suite.yaml create mode 100644 tests/test_data/regtest/runtime/task.yaml create mode 100644 tests/test_data/regtest/schema/case.yaml create mode 100644 tests/test_data/regtest/schema/settings.yaml create mode 100644 tests/test_data/regtest/schema/task.yaml create mode 100644 tests/test_data/regtest/user.yaml create mode 100644 tests/test_data/regtest/workflow/regression.yaml diff --git a/tests/regtest/regtest.py b/tests/regtest/regtest.py new file mode 100644 index 0000000..8eca441 --- /dev/null +++ b/tests/regtest/regtest.py @@ -0,0 +1,18 @@ +#!/usr/bin/env python3 + +import logging, os, io, sys, datetime, glob, shutil, subprocess, re, itertools, collections +from collections import OrderedDict +from copy import copy +from getopt import getopt +from contextlib import suppress + +YAML_DIRS_TO_COPY={ '../test_data/regtest/schema':'schema', + '../test_data/regtest/defaults':'defaults', + '../test_data/regtest/config':'config', + '../test_data/regtest/runtime':'runtime' } # important: no ending / +YAML_FILES_TO_COPY={ '../test_data/regtest/_expdir_main.yaml': '_main.yaml', + '../test_data/regtest/user.yaml': 'user.yaml' } + +os.chdir('../../') + + diff --git a/tests/test_data/regtest/_expdir_main.yaml b/tests/test_data/regtest/_expdir_main.yaml new file mode 100644 index 0000000..1ef81b9 --- /dev/null +++ b/tests/test_data/regtest/_expdir_main.yaml @@ -0,0 +1,19 @@ +# This file is copied into the experiment directory as _main.yaml. The +# Rocoto and ecFlow workflow generation scripts use it to decide what +# YAML files to read from the experiment directory + +#NOTE: If you update this file, you must also update worktools.py +# variables: YAML_DIRS_TO_COPY, YAML_FILES_TO_COPY, and +# function make_yaml_files + +include: + - names.yaml + - platform.yaml + - 'static*yaml' + - schema/ + - defaults/ + - case.yaml + - runtime/ + - workflow.yaml + - config/ + diff --git a/tests/test_data/regtest/_main.yaml b/tests/test_data/regtest/_main.yaml new file mode 100644 index 0000000..ce8a0a0 --- /dev/null +++ b/tests/test_data/regtest/_main.yaml @@ -0,0 +1,11 @@ +# NOTE: This file is only used during setup_case.sh to tell that +# script what YAML files to read when initializing the experiment +# directory. This file is NOT copied to the experiment directory; +# there, _expdir_main.yaml is used in its place. +include: + - 'static*yaml' + - user.yaml + - schema/ + - defaults/ + - runtime/ + diff --git a/tests/test_data/regtest/_sandbox.yaml b/tests/test_data/regtest/_sandbox.yaml new file mode 100644 index 0000000..fe75862 --- /dev/null +++ b/tests/test_data/regtest/_sandbox.yaml @@ -0,0 +1,185 @@ +# This file configures the workflow to run on the cray parts of WCOSS + +platform: !Platform + <<: *global_platform_common + + # Evaluate: this must be "false" to ensure disk space availability logic + # is not run unless this file is for the current platform. + Evaluate: false + + # name: the name of this platform; this must match what the underlying + # scripts expect. + name: sandbox + + # detect: this is a function that returns true iff the user is on GAEA + # and false otherwise + detect: True + + # skip_if_others_present: if this is true, and at least one other + # platform is detected with this flag set to false, then skip this + # platform + skip_if_others_present: true + + # public_release_ics: location of input conditions that have been + # prepared for the public release. + public_release_ics: /gpfs/hps3/emc/global/noscrub/emc.glopara/FV3GFS_V1_RELEASE/ICs + + # CHGRP_RSTPROD_COMMAND - this specifies the command to use to + # restrict access to NOAA "rstprod" data restriction class. + # This only used for observation processing, data assimilation, and + # data assimilation archiving, which are not in the public release. + CHGRP_RSTPROD_COMMAND: "chgrp rstprod" + + # NWPROD - location of the NCEP operational "nwprod" directory, which + # only has meaning on the NCEP WCOSS machines. It is used to get + # the paths to certain programs and scripts. + NWPROD: "/gpfs/hps/nco/ops/nwprod" + + # DMPDIR - location of the global dump data. This is used by the observation + # processing scripts, which are not included in the public release. + DMPDIR: !calc doc.user_places.PROJECT_DIR + #"/Users/jiankuang/Documents/Eclipse_workspace/ecfutils_007" + #DMPDIR: !FirstTrue + # - do: "/gpfs/gp1/emc/globaldump" + # when: !calc tools.isdir(do) + # - do: "/gpfs/tp1/emc/globaldump" + # when: !calc tools.isdir(do) + # - otherwise: !error "Cannot find globaldump directory." + + # RTMFIX - location of the CRTM fixed data files used by the GSI data + # assimilation. The data assimilation is not included in this public release + # so this path is unused. + RTMFIX: "$CRTM_FIX" + + # BASE_SVN - a directory maintained by emc global model developers + # that contains recent versions of source code and executables for + # various subversion repositories. This is used on some platforms + # to find executables for this workflow. + BASE_SVN: "/gpfs/hps3/emc/global/noscrub/emc.glopara/svn" + + # BASE_GIT - a directory maintained by emc global model developers + # that contains recent versions of source code and executables for + # various git repositories. This is used on some platforms to find + # executables for this workflow. + BASE_GIT: "/gpfs/hps3/emc/global/noscrub/emc.glopara/git" + + # config_base_extras - Additional configuration data to put in the + # config.base file + config_base_extras: "sandbox" + #config_base_extras: | + # if [ -d /gpfs/tp1 ]; then + # export SITE="LUNA" + # elif [ -d /gpfs/gp1 ]; then + # export SITE="SURGE" + # fi + + # Additional variables to send to Rocoto XML entities or ecflow edits. + metasched_more: !expand | + {metasched.defvar(doc.schedvar.exclusive_queue, doc.accounting.exclusive_partition.exclusive_queue)} + {metasched.defvar(doc.schedvar.shared_queue, doc.accounting.shared_partition.shared_queue)} + {metasched.defvar(doc.schedvar.service_queue, doc.accounting.service_partition.service_queue)} + {metasched.defvar(doc.schedvar.cpu_project, doc.accounting.cpu_project)} + + partitions: + Evaluate: false + default_exclusive: !calc doc.platform.partitions.sandbox + default_service: !calc doc.platform.partitions.sandbox + default_shared: !calc doc.platform.partitions.sandbox + sandbox: + <<: *global_partition_common + + # specification - string to specify to the batch system to + # request this partition. Not relevant for WCOSS Cray + specification: null + + # shared_accounting_ref - accounting settings for shared jobs + shared_accounting_ref: + queue: !calc metasched.varref(doc.schedvar.shared_queue) + project: !calc metasched.varref(doc.schedvar.cpu_project) + + # service_accounting_ref - accounting settings for service jobs (jobs + # that require tape or network access) + service_accounting_ref: + queue: !calc metasched.varref(doc.schedvar.service_queue) + project: !calc metasched.varref(doc.schedvar.cpu_project) + + # exclusive_accounting_ref - accounting settings for jobs that require + # exclusive access to a node. + exclusive_accounting_ref: + queue: !calc metasched.varref(doc.schedvar.exclusive_queue) + project: !calc metasched.varref(doc.schedvar.cpu_project) + + # Queues to use for each job type. This logic automatically + # switches between development queues on the backup machine and + # development queues on the production machine based on whether the + # /gpfs/hps2/ptmp is writable. + shared_queue: dev + service_queue: dev + exclusive_queue: dev + #shared_queue: !FirstTrue + # - when: !calc ( tools.can_write("/gpfs/hps2/ptmp") ) + # do: dev_shared + # - otherwise: devonprod_shared + #service_queue: dev_transfer + #exclusive_queue: !FirstTrue + # - when: !calc ( tools.can_write("/gpfs/hps2/ptmp") ) + # do: dev + # - otherwise: devonprod + + # Details about the scheduler on this cluster. + scheduler_settings: + scheduler_name: LSFAlps + parallelism_name: LSFAlps + node_type: generic + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + memory_per_node: !calc (64*1024) + + scheduler: !calc | + tools.get_scheduler(scheduler_settings.scheduler_name, scheduler_settings) + parallelism: !calc | + tools.get_parallelism(scheduler_settings.parallelism_name, scheduler_settings) + nodes: !calc | + tools.node_tool_for(scheduler_settings.node_type, scheduler_settings) + + # Path to mmlsquota, the program used to get GPFS disk usage information: + #mmlsquota: "/usr/lpp/mmfs/bin/mmlsquota" + + # Automatically detect the least used scrub area the user can access: + #least_used_ptmp: !Immediate + # - !FirstMax + # - do: /gpfs/hps3/ptmp + # when: !calc ( int(tools.can_write(do)) * tools.gpfs_gb(do,"hps3-ptmp","hps3",mmlsquota) ) + # message: Use {do} for long-term temp. + # - do: /gpfs/hps/ptmp + # when: !calc ( int(tools.can_write(do)) * tools.gpfs_gb(do,"hps-ptmp","hps",mmlsquota) ) + # message: Use {do} for long-term temp. + # - do: /gpfs/hps2/ptmp + # when: !calc ( int(tools.can_write(do)) * tools.gpfs_gb(do,"hps2-ptmp","hps2",mmlsquota) ) + # message: Use {do} for long-term temp. + #least_used_stmp: !Immediate + # - !FirstMax + # - do: /gpfs/hps/stmp + # when: !calc ( int(tools.can_write(do)) * tools.gpfs_gb(do,"hps-stmp","hps",mmlsquota) ) + # message: Use {do} for short-term temp. + # - do: /gpfs/hps2/stmp + # when: !calc ( int(tools.can_write(do)) * tools.gpfs_gb(do,"hps2-stmp","hps2",mmlsquota) ) + # message: Use {do} for short-term temp. + # - do: /gpfs/hps3/stmp + # when: !calc ( int(tools.can_write(do)) * tools.gpfs_gb(do,"hps3-stmp","hps3",mmlsquota) ) + # message: Use {do} for short-term temp. + + # long_term_temp - area for storage of data that must be passed + # between jobs or shared with programs external to this workflow. + long_term_temp: !calc doc.user_places.PROJECT_DIR + #!expand "{least_used_ptmp}/{tools.env('USER')}" + + # short_term_temp - area for data that is only needed within one job: + short_term_temp: !calc doc.user_places.PROJECT_DIR + #!expand "{least_used_stmp}/{tools.env('USER')}" + + # EXP_PARENT_DIR - Parent directory of the expdir (experiment directory) + EXP_PARENT_DIR: !calc doc.user_places.PROJECT_DIR + #!expand "{doc.user_places.PROJECT_DIR}/noscrub/{tools.env('USER')}" diff --git a/tests/test_data/regtest/config/base.yaml b/tests/test_data/regtest/config/base.yaml new file mode 100644 index 0000000..4cab3a4 --- /dev/null +++ b/tests/test_data/regtest/config/base.yaml @@ -0,0 +1,211 @@ +# This file is used to generate config.base, which the shell scripts +# process before any other files. The config.base sets global +# variables and default values that are needed throughout the +# scripting system. + +config_base: + filename: config.base + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.base ########## + # Common to all steps + + echo "BEGIN: config.base" + + # Machine environment + export machine="{doc.platform.name}" + + # EMC parallel or NCO production + export RUN_ENVIR="emc" + + # Account, queue, etc. + export ACCOUNT="{doc.accounting.cpu_project}" # This comes from user.yaml + export QUEUE="{doc.accounting.exclusive_partition.exclusive_queue}" + export QUEUE_ARCH="{doc.accounting.service_partition.service_queue}" + + # Project to use in mass store: + HPSS_PROJECT={doc.accounting.hpss_project} + + # Directories relative to installation areas: + export HOMEgfs="{doc.places.HOMEgfs}" + export PARMgfs=$HOMEgfs/parm + export FIXgfs=$HOMEgfs/fix + export USHgfs=$HOMEgfs/ush + export UTILgfs=$HOMEgfs/util + export EXECgfs=$HOMEgfs/exec + export SCRgfs=$HOMEgfs/scripts + + ######################################################################## + + # GLOBAL static environment parameters + export NWPROD="{doc.places.NWPROD}" + export DMPDIR="{doc.places.DMPDIR}" + export RTMFIX="{doc.places.RTMFIX}" + + export HOMEDIR="{doc.places.HOMEDIR}" + export STMP="{doc.places.SHORT_TERM_TEMP}/$USER" + export PTMP="{doc.places.LONG_TERM_TEMP}/$USER" + export NOSCRUB="{doc.places.NOSCRUB}" + + # Base directories for various builds + export BASE_GIT="{doc.places.BASE_GIT}" + export BASE_SVN="{doc.places.BASE_SVN}" + + # Utilities needed in the scripts (mostly post) + {doc.platform.config_base_extras} + + # Toggle to turn on/off GFS downstream processing. + export DO_BUFRSND="{tools.YES_NO(doc.downstream.DO_BUFRSND)}" # Run GFS_POSTSND + export DO_GEMPAK="{tools.YES_NO(doc.downstream.DO_GEMPAK)}" # Run GFS GEMPAK + export DO_AWIPS="{tools.YES_NO(doc.downstream.DO_AWIPS)}" # Run GFS AWIPS + + export REALTIME="{tools.YES_NO(doc.settings.REALTIME)}" + + #################################################### + # DO NOT ADD MACHINE DEPENDENT STUFF BELOW THIS LINE + # IF YOU HAVE TO MAKE MACHINE SPECIFIC CHANGES BELOW + # FEEL FREE TO MOVE THEM ABOVE THIS LINE TO KEEP IT + # CLEAR + #################################################### + # Build paths relative to $HOMEgfs + export HOMEgsi="$HOMEgfs" + export FIXgsi="{doc.places.get('FIXgsi','$HOMEgfs/fix/fix_gsi')}" + export HOMEfv3gfs="{doc.places.get('HOMEfv3gfs','$HOMEgfs/sorc/fv3gfs.fd')}" + export HOMEpost="{doc.places.get('HOMEpost','$HOMEgfs')}" + export HOMEobsproc_prep="{doc.places.get('HOMEobsproc_prep', '$BASE_GIT/obsproc/obsproc_prep_RB-5.2.0')}" + export HOMEobsproc_network="{doc.places.get('HOMEobsproc_network', '$BASE_GIT/obsproc/obsproc_global_RB-3.2.0')}" + export BASE_VERIF="{doc.places.get('BASE_VERIF', '$BASE_SVN/verif/global/tags/vsdb')}" + + # CONVENIENT utility scripts and other environment parameters + export NCP="/bin/cp -p" + export NMV="/bin/mv" + export NLN="/bin/ln -sf" + export VERBOSE="YES" + export KEEPDATA="NO" + export CHGRP_CMD="{doc.platform.CHGRP_RSTPROD_COMMAND}" + export NEMSIOGET="$HOMEgfs/exec/nemsio_get" + + # Machine environment, jobs, and other utility scripts + export BASE_ENV="$HOMEgfs/env" + export BASE_JOB="$HOMEgfs/jobs/rocoto" + + # EXPERIMENT specific environment parameters + export SDATE={tools.to_YMDH(doc.settings.SDATE)} + export EDATE={tools.to_YMDH(doc.settings.EDATE)} + export assim_freq={doc.data_assimilation.assim_freq} + export PSLOT="{doc.names.experiment}" + export EXPDIR="{doc.places.EXPDIR}" + export ROTDIR="{doc.places.ROTDIR}" + export ROTDIR_DUMP="{tools.YES_NO(doc.settings.ROTDIR_DUMP)}" + export DUMP_SUFFIX="{doc.settings.DUMP_SUFFIX}" + export RUNDIR="{doc.places.DATAROOT}" + export ARCDIR="$NOSCRUB/archive/$PSLOT" + export ICSDIR="{doc.places.ICSDIR}" + export ATARDIR="{doc.archiving.ATARDIR}" + + # Commonly defined parameters in JJOBS + export envir=${{envir:-"prod"}} + export NET="gfs" + export RUN=${{RUN:-${{CDUMP:-"gfs"}}}} + export jlogfile="${{ROTDIR}}/logs/jlogfile" + #export ERRSCRIPT=${{ERRSCRIPT:-'eval [[ $err = 0 ]]'}} + #export LOGSCRIPT=${{LOGSCRIPT:-""}} + export ERRSCRIPT=${{ERRSCRIPT:-"err_chk"}} + export LOGSCRIPT=${{LOGSCRIPT:-"startmsg"}} + export REDOUT="1>" + export REDERR="2>" + + export SENDECF="NO" + export SENDCOM="NO" + export SENDDBN="NO" + export SENDSDM="NO" + + # Adjusting COM paths for non-prod experiments + #export PDY=`expr $CDATE | cut -c1-8` + #export GDATE=`$NDATE -06 $CDATE` + #export PDY_m6hrs=`expr $GDATE | cut -c1-8` + #export COMINgfs="$COMROOThps/$NET/$envir/$RUN.$PDY" + #export COMINgfs_m6hrs="$COMROOThps/$NET/$envir/$RUN.$PDY_m6hrs" + #export COMIN="$ROTDIR/$CDUMP.$PDY/$cyc" + #export COMOUT="$ROTDIR/$CDUMP.$PDY/$cyc" + #export COMOUTwmo="$ROTDIR/$CDUMP.$PDY/$cyc/wmo" + + # Resolution specific parameters + export LEVS={doc.fv3_settings.LEVS} + export CASE="{doc.fv3_settings.CASE}" + export CASE_ENKF="{doc.fv3_enkf_settings.CASE}" + + # Surface cycle update frequency + if [ "$CDUMP" == "gdas" ] ; then + export FHCYC={doc.fv3_enkf_settings.FHCYC_GDAS} + elif [ "$CDUMP" == "gfs" ] ; then + export FHCYC={doc.fv3_enkf_settings.FHCYC_GFS} + fi + + # Output frequency of the forecast model (for cycling) + export FHMIN={doc.gfs_output_settings.FHMIN_GDAS} + export FHMAX={doc.gfs_output_settings.FHMAX_GDAS} + export FHOUT={doc.gfs_output_settings.FHOUT_GDAS} + + # GFS cycle info + export gfs_cyc={doc.settings.gfs_cyc} # 0: no GFS cycle, 1: 00Z only, 2: 00Z and 12Z only, 4: all 4 cycles. + + # GFS output and frequency + export FHMIN_GFS={doc.gfs_output_settings.FHMIN_GFS} + export FHMAX_GFS={doc.gfs_output_settings.FHMAX_GFS} + export FHOUT_GFS={doc.gfs_output_settings.FHOUT_GFS} + export FHMAX_HF_GFS={doc.gfs_output_settings.FHMAX_HF_GFS} + export FHOUT_HF_GFS={doc.gfs_output_settings.FHOUT_HF_GFS} + + # I/O QUILTING, true--use Write Component; false--use GFDL FMS + # if quilting=true, choose OUTPUT_GRID as cubed_sphere_grid in netcdf or gaussian_grid + # if gaussian_grid, set OUTPUT_FILE for nemsio or netcdf + export QUILTING="{tools.fort(doc.fv3_settings.QUILTING)}" + export OUTPUT_GRID="{doc.gfs_output_settings.OUTPUT_GRID}" + export OUTPUT_FILE="{doc.gfs_output_settings.OUTPUT_FILE_TYPE}" + + # Microphysics Options: 99-ZhaoCarr, 8-Thompson; 6-WSM6, 10-MG, 11-GFDL + export imp_physics={doc.fv3_settings.imp_physics} + + # Shared parameters + # Hybrid related + export DOHYBVAR="{tools.YES_NO(doc.data_assimilation.DOHYBVAR)}" + export NMEM_ENKF={doc.data_assimilation.NMEM_ENKF} + export SMOOTH_ENKF="{tools.YES_NO(doc.data_assimilation.SMOOTH_ENKF)}" + export l4densvar="{tools.fort(doc.data_assimilation.l4densvar)}" + export lwrite4danl="{tools.fort(doc.data_assimilation.lwrite4danl)}" + + # EnKF output frequency + if [ $DOHYBVAR = "YES" ]; then + export FHMIN_ENKF={doc.gfs_output_settings.FHMIN_ENKF} + export FHMAX_ENKF={doc.gfs_output_settings.FHMAX_ENKF} + export FHOUT_ENKF={doc.gfs_output_settings.FHOUT_ENKF} + if [ $l4densvar = ".true." ]; then + export FHOUT=1 + fi + fi + + # If YES, NSST is turned on in anal and/or fcst steps + export DONST="{tools.YES_NO(doc.fv3_settings.DONST)}" + if [ $DONST = "YES" ]; then export FNTSFA=" "; fi + + # The switch to apply SST elevation correction or not + export nst_anl={tools.fort(doc.fv3_settings.nst_anl)} + + # Analysis increments to zero in CALCINCEXEC + export INCREMENTS_TO_ZERO="{tools.join(doc.data_assimilation.INCREMENTS_TO_ZERO,',')}" + + # Archiving options + export DELETE_COM_IN_ARCHIVE_JOB={tools.YES_NO(doc.archiving.scrub_in_archive)} + export HPSSARCH={tools.YES_NO(doc.archiving.archive_to_hpss)} # save data to HPSS archive + export ARCH_CYC={doc.archiving.arch_cyc:02d} # Archive data at this cycle for warm_start capability. Cannot be 00 + export ARCH_WARMICFREQ={doc.archiving.arch_warmicfreq} # Archive frequency in days for warm_start capability + export ARCH_FCSTICFREQ={doc.archiving.arch_fcsticfreq} # Archive frequency in days for gdas and gfs forecast-only capability + + echo "END: config.base" + diff --git a/tests/test_data/regtest/defaults/case.yaml b/tests/test_data/regtest/defaults/case.yaml new file mode 100644 index 0000000..4a1c561 --- /dev/null +++ b/tests/test_data/regtest/defaults/case.yaml @@ -0,0 +1,121 @@ +# This file has the top-level logic to merge other YAML data +# structures into the document-level settings. It merges the contents +# of the case files, default files, platform file, and everywhere +# else, and applies any validation from the schema/ directory. + +fv3_settings: !Immediate + - !MergeMapping + - !calc doc.case.fv3_settings + - CDUMP: gfs + Template: *fv3_settings_template + - !calc doc.get('user_fv3_settings',{}) + +schedvar: !Immediate + - !MergeMapping + - !calc doc.case.get('schedvar',{}) + - Template: *schedvar_schema + - !calc doc.get('schedvar_defaults',{}) + +fv3_gfs_settings: !Immediate + - !MergeMapping + - !calc doc.case.fv3_settings + - CDUMP: gfs + Template: *fv3_settings_template + - !calc doc.case.get('fv3_gfs_settings',{}) + - !calc doc.get('user_fv3_gfs_settings',{}) + +fv3_enkf_settings: !Immediate + - !MergeMapping + - !calc doc.fv3_enkf_defaults + - !calc doc.case.fv3_settings + - CDUMP: gdas + Template: *fv3_settings_template + - !calc doc.case.get('fv3_enkf_settings',{}) + - !calc doc.get('user_fv3_enkf_settings',{}) + +fv3_gdas_settings: !Immediate + - !MergeMapping + - !calc doc.fv3_gfs_settings + - CDUMP: gdas + Template: *fv3_settings_template + - !calc doc.case.get('fv3_gdas_settings',{}) + - !calc doc.get('user_fv3_gfs_settings',{}) + +gfs_output_settings: !Immediate + - !MergeMapping + - !calc doc.gfs_output_settings_defaults + - { Template: *gfs_output_settings_template } + - !calc doc.case.get('gfs_output_settings',{}) + - !calc doc.get('user_gfs_output_settings',{}) + +data_assimilation: !Immediate + - !MergeMapping + - { Template: *data_assimilation_template } + - !calc doc.case.get('data_assimilation',{}) + - !calc doc.get('user_data_assimilation',{}) + +post: !Immediate + - !MergeMapping + - { Template: *post_schema } + - !calc doc.case.get('post',{}) + - !calc doc.get('user_post',{}) + +downstream: !Immediate + - !MergeMapping + - !calc doc.downstream_defaults + - { Template: *downstream_schema } + - !calc doc.case.get('downstream',{}) + - !calc doc.get('user_downstream',{}) + +places: !Immediate + - !MergeMapping + - Template: *places_schema + - !calc doc.default_places + - !calc doc.case.get('places',{}) + - !calc doc.user_places + +nsst: !Immediate + - !MergeMapping + - Template: *nsst_schema + - !calc doc.case.get('nsst',{}) + - !calc doc.get('user_nsst',{}) + +exclusive_resources: !calc doc.platform.partitions.default_exclusive.resources +shared_resources: !calc doc.platform.partitions.default_shared.resources +service_resources: !calc doc.platform.partitions.default_service.resources + +settings: !Immediate + - !MergeMapping + - Template: *settings_schema + - !calc doc.default_settings + - !calc doc.case.settings + - !calc doc.get('user_settings',{}) + - Template: *settings_schema + +archiving: !Immediate + - !MergeMapping + - Template: *archive_settings_template + - !calc doc.case.get('archiving',{}) + - !calc doc.get('user_archiving',{}) + +suite_overrides: !Immediate + - !AppendSequence + - !calc doc.platform.get('suite_overrides',[]) + - !calc doc.case.get('suite_overrides',[]) + - !calc doc.get('user_suite_overrides',[]) + +validate_me: + - fv3_settings + - fv3_gfs_settings + - fv3_enkf_settings + - fv3_gdas_settings + - gfs_output_settings + - data_assimilation + - post + - downstream + - settings + - places + - nsst + - archiving + - schedvar + diff --git a/tests/test_data/regtest/defaults/resources.yaml b/tests/test_data/regtest/defaults/resources.yaml new file mode 100644 index 0000000..852402d --- /dev/null +++ b/tests/test_data/regtest/defaults/resources.yaml @@ -0,0 +1,483 @@ +# This file specifies the resource requirements for all jobs. This +# includes jobs from the full DA-cycled workflow, as well as the jobs +# in the public release workflow. + +# Note on threads: +# max = use the largest number of threads possible for the platform, +# ranks, and processors per node +# null = do not specify threading settings. The underlying scripts +# and batch system will fill in settings. +# a number = use this many threads per MPI rank + +gfs_resource_table: !Select + select: !calc doc.fv3_gfs_settings.CASE + otherwise: !error "Unknown FV3 deterministic grid: {doc.fv3_gfs_settings.CASE}" + cases: + C192: + # ranks ppn wallclock threads MB_per_rank + prep: [ 12, 12, !timedelta "00:15:00", null, null ] + anal: [ 144, 6, !timedelta "01:30:00", max, null ] + gdaspost: [ 72, 12, !timedelta "00:30:00", 1, null ] + gfspost: [ 72, 12, !timedelta "00:10:00", 1, null ] + gdasvrfy: [ 1, 1, !timedelta "03:00:00", null, null ] + gfsvrfy: [ 5, 1, !timedelta "06:00:00", null, null ] + gdasfcst_wall: !timedelta "00:15:00" + gfsfcst_wall: !timedelta "06:00:00" + gdasfcst_ppn: 12 + gfsfcst_ppn: 12 + post_manager_wallclock_extra: !timedelta "00:15:00" + C384: + # ranks ppn wallclock threads MB_per_rank + prep: [ 12, 12, !timedelta "00:15:00", null, null ] + anal: [ 144, 6, !timedelta "01:30:00", max, null ] + gdaspost: [ 72, 12, !timedelta "00:30:00", 1, null ] + gfspost: [ 72, 12, !timedelta "00:10:00", 1, null ] + gdasvrfy: [ 1, 1, !timedelta "03:00:00", null, null ] + gfsvrfy: [ 5, 1, !timedelta "03:00:00", null, null ] + gdasfcst_wall: !timedelta "00:15:00" + gfsfcst_wall: !timedelta "06:00:00" + gdasfcst_ppn: 12 + gfsfcst_ppn: 12 + post_manager_wallclock_extra: !timedelta "00:15:00" + C768: + # ranks ppn wallclock threads MB_per_rank + prep: [ 4, 4, !timedelta "00:45:00", max, null ] + anal: [ 360, 6, !timedelta "05:30:00", max, 7000 ] + gdaspost: [ 84, 12, !timedelta "00:45:00", null, 3770 ] + gfspost: [ 84, 12, !timedelta "00:30:00", null, 3770 ] + gdasvrfy: [ 1, 1, !timedelta "03:00:00", null, null ] + gfsvrfy: [ 5, null, !timedelta "06:00:00", null, null ] + gdasfcst_mem_per_rank: 3.124e+3 + gfsfcst_mem_per_rank: 3.124e+3 + gdasfcst_wall: !timedelta "01:00:00" + gfsfcst_wall: !timedelta "06:00:00" + gdasfcst_ppn: 12 + gfsfcst_ppn: 12 + post_manager_wallclock_extra: !timedelta "00:15:00" + +enkf_resource_table: !Select + select: !calc doc.fv3_enkf_settings.CASE + otherwise: !error "Unknown FV3 ENKF grid: {doc.fv3_enkf_settings.CASE}" + cases: + C192: + # ranks ppn wallclock threads MB_per_rank + ecen: [ 84, 12, !timedelta "00:30:00", 2, null ] + eobs: [ 72, 6, !timedelta "00:45:00", 4, null ] + eomg: [ 72, 6, !timedelta "01:00:00", 2, null ] + eupd: [ 120, 12, !timedelta "00:30:00", 4, null ] + epos: [ 84, 12, !timedelta "00:30:00", 2, null ] + efcs_wall: !timedelta "01:00:00" + efcs_ppn: 12 + C384: + # ranks ppn wallclock threads MB_per_rank + eobs: [ 140, 14, !timedelta "00:30:00", max, 4.2e+3 ] + eomg: [ 140, 14, !timedelta "01:00:00", max, 4.0e+3 ] + eupd: [ 360, 4, !timedelta "00:30:00", max, 1.5e+3 ] + ecen: [ 80, 4, !timedelta "01:00:00", max, 15.7e+3 ] + epos: [ 80, 4, !timedelta "02:00:00", max, 8.6e+3 ] + efcs_wall: !timedelta "03:00:00" + efcs_ppn: 12 + C768: + # ranks ppn wallclock threads MB_per_rank + eobs: [ 144, 12, !timedelta "00:30:00", 2, null ] + eomg: [ 144, 12, !timedelta "01:00:00", 2, null ] + eupd: [ 240, 4, !timedelta "00:30:00", 4, null ] + ecen: [ 80, 4, !timedelta "01:00:00", 2, null ] + epos: [ 80, 3, !timedelta "02:00:00", 2, null ] + efcs_wall: !timedelta "03:00:00" + efcs_ppn: 12 + +default_resources: &default_resources + + # Constant resources; ones that do not change regardless of configuration. + run_dwn: !JobRequest + - mpi_ranks: 24 + OMP_NUM_THREADS: 1 + exe: placeholder + + run_awips_g2: !JobRequest + - batch_memory: "254M" + mpi_ranks: 2 + max_ppn: 2 + walltime: !timedelta "00:06:00" + exe: placeholder + + run_awips_20km_1p0: !JobRequest + - batch_memory: "254M" + mpi_ranks: 28 + max_ppn: 28 + walltime: !timedelta "00:06:00" + exe: placeholder + + + postsnd_walltime: !timedelta "04:00:00" + + run_postsnd: !JobRequest + - batch_memory: "254M" + mpi_ranks: 12 + max_ppn: 3 + walltime: !calc postsnd_walltime + exe: placeholder + + run_postsndcfp: !JobRequest + - batch_memory: "254M" + mpi_ranks: 10 + max_ppn: 3 + walltime: !timedelta "02:00:00" + exe: placeholder + + run_gempak: !JobRequest + - batch_memory: "254M" + mpi_ranks: 20 + max_ppn: 4 + walltime: !timedelta "02:00:00" + OMP_NUM_THREADS: 3 + exe: placeholder + + run_verfrad: !JobRequest + - batch_memory: "3072M" + mpi_ranks: 1 + max_ppn: 1 + exclusive: true + walltime: !timedelta "00:20:00" + exe: placeholder + + run_vminmon: !JobRequest + - batch_memory: "3072M" + mpi_ranks: 1 + max_ppn: 1 + exclusive: true + walltime: !timedelta "00:10:00" + exe: placeholder + + run_gfs_gempak: !JobRequest + - batch_memory: "3072M" + mpi_ranks: 28 + max_ppn: 4 + walltime: !timedelta "03:00:00" + OMP_NUM_THREADS: 3 + exe: placeholder + + run_gdas_gempak: !JobRequest + - batch_memory: "3072M" + mpi_ranks: 2 + max_ppn: 1 + walltime: !timedelta "00:03:00" + OMP_NUM_THREADS: 1 + exe: placeholder + + run_gfs_gempak_meta: !JobRequest # never used + - batch_memory: "3072M" + mpi_ranks: 28 + max_ppn: 14 + walltime: !timedelta "00:30:00" + exe: placeholder + + run_gdas_gempak: !JobRequest # never used + - batch_memory: "3072M" + walltime: !timedelta "00:03:00" + max_ppn: 1 + mpi_ranks: 2 + exe: placeholder + + run_gdas_gempak_meta_ncdc: !JobRequest + - batch_memory: "3072M" + walltime: !timedelta "00:30:00" + max_ppn: 1 + mpi_ranks: 2 + exe: placeholder + + run_one_node_downstream: !JobRequest + - batch_memory: "3072M" + walltime: !timedelta "00:30:00" + max_ppn: 1 + mpi_ranks: 1 + exe: placeholder + + run_big_downstream: !JobRequest + - batch_memory: "3072M" + walltime: !timedelta "00:30:00" + max_ppn: 1 + mpi_ranks: 2 + exe: placeholder + + run_small_downstream: !JobRequest + - batch_memory: "3072M" + walltime: !timedelta "00:02:00" + max_ppn: 2 + mpi_ranks: 2 + exe: placeholder + + run_npoess: !JobRequest + - batch_memory: "254M" + mpi_ranks: 1 + max_ppn: 1 + walltime: !timedelta "01:00:00" + OMP_NUM_THREADS: 1 + exe: placeholder + + run_bulls_navy: !JobRequest + - batch_memory: "3072M" + walltime: !timedelta "00:30:00" + max_ppn: 1 + mpi_ranks: 1 + exe: placeholder + + run_prepbufr: !JobRequest # never used + - batch_memory: "3072M" + walltime: !timedelta "00:15:00" + max_ppn: 4 + mpi_ranks: 4 + exe: placeholder + + run_fv3ic: !JobRequest + - batch_memory: "3072M" + mpi_ranks: 24 + max_ppn: 24 + walltime: !timedelta "00:30:00" + exe: placeholder + + run_dump_waiter: !JobRequest + - memory: "300M" + exe: placeholder + walltime: !FirstTrue + - when: !calc doc.settings.REALTIME + do: !timedelta "01:00:00" + - otherwise: !timedelta "00:05:00" + + run_make_next_cycles: !JobRequest + - memory: "600M" + exe: placeholder + walltime: !timedelta "00:15:00" + + run_one_hour_exclusive: !JobRequest # Placeholder for one node jobs + - memory: "300M" + exe: placeholder + mpi_ranks: 2 + walltime: !timedelta "00:02:00" + exclusive: true + + run_chgres: !JobRequest + - exe: time + OMP_NUM_THREADS: 12 + args: + - placeholder + + run_nothing: !JobRequest # Special placeholder for "do nothing" + - memory: "300M" + exe: placeholder + walltime: !timedelta "00:02:00" + exclusive: false + + run_getic: !JobRequest + - batch_memory: "3072M" + exclusive: false + mpi_ranks: 1 + walltime: !timedelta "06:00:00" + exe: placeholder + max_ppn: 1 + + run_arch: !JobRequest + - batch_memory: "3072M" + exclusive: false + mpi_ranks: 1 + walltime: !timedelta "06:00:00" + exe: placeholder + max_ppn: 1 + + run_earc: !JobRequest + - batch_memory: "3072M" + mpi_ranks: 1 + walltime: !timedelta "06:00:00" + exe: placeholder + max_ppn: 1 + exclusive: false + + run_sfc_prep: !JobRequest # never used + - memory: "1024M" + mpi_ranks: 1 + walltime: !timedelta "00:02:00" + exe: placeholder + max_ppn: 1 + + run_final: !JobRequest + - memory: "1024M" + mpi_ranks: 1 + walltime: !timedelta "00:01:00" + exe: placeholder + max_ppn: 1 + + # Calculated resources; ones that can be determined entirely from + # other variables throughout the document. + + run_gdasfcst: !JobRequest + - batch_memory: "1024M" + mpi_ranks: !calc >- + doc.fv3_gdas_settings.layout_x * + doc.fv3_gdas_settings.layout_y * 6 + + ( ( doc.fv3_gdas_settings.WRITE_GROUP * + doc.fv3_gdas_settings.WRTTASK_PER_GROUP ) + if doc.fv3_gdas_settings.QUILTING else 0 ) + max_ppn: !calc "doc.fv3_gdas_settings.get('fcst_max_ppn',None)" + walltime: !calc gdasfcst_walltime + OMP_NUM_THREADS: !calc doc.fv3_gdas_settings.fv3_threads + memory_per_rank: !calc doc.gfs_resource_table.get('gdasfcst_mem_per_rank',None) + + no_gdasfcst_remap: !JobRequest + - mpi_ranks: !calc (min(240,doc.default_resources.run_gdasfcst.total_ranks())) + OMP_NUM_THREADS: 2 + max_ppn: !calc (doc.accounting.exclusive_partition.nodes.max_ranks_per_node(doc.default_resources.run_gdasfcst[0])) + + remap_resource_template: &remap_resource_template + mpi_ranks: !calc >- + min(240,resources.total_ranks()) + OMP_NUM_THREADS: 2 + max_ppn: !calc partition.nodes.max_ranks_per_node(resources[0]) + + run_efcs: !JobRequest + - batch_memory: "254M" + mpi_ranks: !calc >- + doc.fv3_enkf_settings.layout_x * + doc.fv3_enkf_settings.layout_y * 6 + + ( ( doc.fv3_enkf_settings.WRITE_GROUP * + doc.fv3_enkf_settings.WRTTASK_PER_GROUP ) + if doc.fv3_enkf_settings.QUILTING else 0 ) + max_ppn: !calc "doc.fv3_enkf_settings.get('fcst_max_ppn',None)" + OMP_NUM_THREADS: !calc doc.fv3_enkf_settings.fv3_threads + walltime: !calc efcs_walltime + + efcs_walltime: !calc doc.enkf_resource_table.efcs_wall + gfsfcst_walltime: !calc doc.gfs_resource_table.gfsfcst_wall + gdasfcst_walltime: !calc doc.gfs_resource_table.gdasfcst_wall + + run_gfsfcst: !JobRequest + - batch_memory: "1024M" + mpi_ranks: !calc >- + doc.fv3_gfs_settings.layout_x * + doc.fv3_gfs_settings.layout_y * 6 + + ( ( doc.fv3_gfs_settings.WRITE_GROUP * + doc.fv3_gfs_settings.WRTTASK_PER_GROUP ) + if doc.fv3_gfs_settings.QUILTING else 0 ) + max_ppn: !calc "doc.fv3_gfs_settings.get('fcst_max_ppn',None)" + OMP_NUM_THREADS: !calc doc.fv3_gfs_settings.fv3_threads + walltime: !calc gfsfcst_walltime + memory_per_rank: !calc doc.gfs_resource_table.get('gfsfcst_mem_per_rank',None) + + fallback_run_gfsremap: !JobRequest + # Used to generate the config files if the gfs remap is not run in the workflow. + - mpi_ranks: !calc >- + min(240,doc.exclusive_resources.run_gfsfcst.total_ranks()) + OMP_NUM_THREADS: 2 + max_ppn: !calc >- + partition.nodes.max_ranks_per_node( + doc.exclusive_resources.run_gfsfcst[0]) + + run_gdas_post_manager: !JobRequest + - memory: "300M" + exe: placeholder + walltime: !calc doc.gfs_resource_table.gdasfcst_wall+doc.gfs_resource_table.post_manager_wallclock_extra + + run_gfs_post_manager: !JobRequest + - memory: "300M" + exe: placeholder + walltime: !calc doc.gfs_resource_table.gfsfcst_wall+doc.gfs_resource_table.post_manager_wallclock_extra + + run_ecen: !JobRequest + - batch_memory: "3072M" + exe: placeholder + mpi_ranks: !calc doc.enkf_resource_table.ecen[0] + max_ppn: !calc doc.enkf_resource_table.ecen[1] + walltime: !calc doc.enkf_resource_table.ecen[2] + OMP_NUM_THREADS: !calc doc.enkf_resource_table.ecen[3] + memory_per_rank: !calc doc.enkf_resource_table.ecen[4] + + run_eobs: !JobRequest + - batch_memory: "3072M" + exe: placeholder + mpi_ranks: !calc doc.enkf_resource_table.eobs[0] + max_ppn: !calc doc.enkf_resource_table.eobs[1] + walltime: !calc doc.enkf_resource_table.eobs[2] + OMP_NUM_THREADS: !calc doc.enkf_resource_table.eobs[3] + memory_per_rank: !calc doc.enkf_resource_table.eobs[4] + + run_eomg: !JobRequest + - batch_memory: "3072M" + exe: placeholder + mpi_ranks: !calc doc.enkf_resource_table.eomg[0] + max_ppn: !calc doc.enkf_resource_table.eomg[1] + walltime: !calc doc.enkf_resource_table.eomg[2] + OMP_NUM_THREADS: !calc doc.enkf_resource_table.eomg[3] + memory_per_rank: !calc doc.enkf_resource_table.eomg[4] + + run_eupd: !JobRequest + - batch_memory: "3072M" + exe: placeholder + mpi_ranks: !calc doc.enkf_resource_table.eupd[0] + max_ppn: !calc doc.enkf_resource_table.eupd[1] + walltime: !calc doc.enkf_resource_table.eupd[2] + OMP_NUM_THREADS: !calc doc.enkf_resource_table.eupd[3] + memory_per_rank: !calc doc.enkf_resource_table.eupd[4] + + run_epos: !JobRequest + - batch_memory: "254M" + exe: placeholder + mpi_ranks: !calc doc.enkf_resource_table.epos[0] + max_ppn: !calc doc.enkf_resource_table.epos[1] + walltime: !calc doc.enkf_resource_table.epos[2] + OMP_NUM_THREADS: !calc doc.enkf_resource_table.epos[3] + memory_per_rank: !calc doc.enkf_resource_table.epos[4] + + run_prep: !JobRequest + - batch_memory: "3072M" + exe: placeholder + mpi_ranks: !calc doc.gfs_resource_table.prep[0] + max_ppn: !calc doc.gfs_resource_table.prep[1] + walltime: !calc doc.gfs_resource_table.prep[2] + OMP_NUM_THREADS: !calc doc.gfs_resource_table.prep[3] + memory_per_rank: !calc doc.gfs_resource_table.prep[4] + + run_anal: !JobRequest + - batch_memory: "3072M" + exe: placeholder + mpi_ranks: !calc doc.gfs_resource_table.anal[0] + max_ppn: !calc doc.gfs_resource_table.anal[1] + walltime: !calc doc.gfs_resource_table.anal[2] + OMP_NUM_THREADS: !calc doc.gfs_resource_table.anal[3] + memory_per_rank: !calc doc.gfs_resource_table.anal[4] + + run_gdaspost: !JobRequest + - batch_memory: "3072M" + exe: placeholder + mpi_ranks: !calc doc.gfs_resource_table.gdaspost[0] + max_ppn: !calc doc.gfs_resource_table.gdaspost[1] + walltime: !calc doc.gfs_resource_table.gdaspost[2] + OMP_NUM_THREADS: !calc doc.gfs_resource_table.gdaspost[3] + memory_per_rank: !calc doc.gfs_resource_table.gdaspost[4] + + run_gfspost: !JobRequest + - batch_memory: "3072M" + exe: placeholder + mpi_ranks: !calc doc.gfs_resource_table.gfspost[0] + max_ppn: !calc doc.gfs_resource_table.gfspost[1] + walltime: !calc doc.gfs_resource_table.gfspost[2] + OMP_NUM_THREADS: !calc doc.gfs_resource_table.gfspost[3] + memory_per_rank: !calc doc.gfs_resource_table.gfspost[4] + + run_gfsvrfy: !JobRequest + - compute_memory: "16384M" + batch_memory: "3072M" + exe: placeholder + mpi_ranks: !calc doc.gfs_resource_table.gfsvrfy[0] + max_ppn: !calc doc.gfs_resource_table.gfsvrfy[1] + walltime: !calc doc.gfs_resource_table.gfsvrfy[2] + OMP_NUM_THREADS: !calc doc.gfs_resource_table.gfsvrfy[3] + + run_gdasvrfy: !JobRequest + - compute_memory: "16384M" + batch_memory: "3072M" + exe: placeholder + mpi_ranks: !calc doc.gfs_resource_table.gdasvrfy[0] + max_ppn: !calc doc.gfs_resource_table.gdasvrfy[1] + walltime: !calc doc.gfs_resource_table.gdasvrfy[2] + OMP_NUM_THREADS: !calc doc.gfs_resource_table.gdasvrfy[3] + diff --git a/tests/test_data/regtest/defaults/settings.yaml b/tests/test_data/regtest/defaults/settings.yaml new file mode 100644 index 0000000..4383000 --- /dev/null +++ b/tests/test_data/regtest/defaults/settings.yaml @@ -0,0 +1,51 @@ +# Do not change this file unless you know what you're doing. It sets +# default values for the "settings" section. You probably want to +# override the settings in the case file instead. + +default_settings: &default_settings + ecf_log_path: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + # in four cycle mode ,the log name is the last path component, + # with the leading "j" removed. + do: !calc ( '%COM%/output/%ENVIR%/today/' + task_path_list[-1][1:] + '_%CYC%.o%J' ) + - otherwise: !calc ( '%COM%/logs/%PDY%%CYC%/'+ task_path_var + '.log.%J') + + # rocoto_log_path: sets the stdout/stderr log path for batch jobs + # when using Rocoto. This is inserted in the tag of the + # task's tag. + rocoto_log_path: !calc ("&LOG_DIR;/@Y@m@d@H/"+task_path_var+".log") + + # REALTIME and realtime - an alias that should be removed once we're + # sure it is consistent among the yaml files: + REALTIME: !calc realtime + + four_cycle_mode: NO + nco_mode: NO + + ROTDIR_DUMP: NO + + # awips setting + NAWIPSGRP: 21 + + mkdir_before_running_ecflow: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + take: null + - otherwise: !expand '{doc.places.ROTDIR}/logs/%Y%m%d%H' + + ecflow_rocoto_cdate_workaround: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + take: | + # When sourcing config files, the $PDY must be set: + datedir=/tmp/date.$$.$RANDOM + mkdir -p "$datedir" + pushd "$datedir" + export cyc="%CYC%" + export cycle="t%CYC%z" + setpdy.sh + . ./PDY + set -u + export CDATE="$PDY$cyc" + set +u + popd + rm -rf "$datedir" + - otherwise: '# date variables will be set by header file.' diff --git a/tests/test_data/regtest/regression_case.yaml b/tests/test_data/regtest/regression_case.yaml new file mode 100644 index 0000000..73ed262 --- /dev/null +++ b/tests/test_data/regtest/regression_case.yaml @@ -0,0 +1,16 @@ +case: + fv3_settings: + CASE: C192 + LEVS: 65 + + places: + workflow_file: workflow/cycled_gfs.yaml + + settings: + SDATE: 2016-02-10t00:00:00 + EDATE: 2016-02-12t00:00:00 + + DUMP_SUFFIX: "p" + run_gsi: No + chgres_and_convert_ics: No + gfs_cyc: 4 # run gfs every cycle diff --git a/tests/test_data/regtest/runtime/_main.yaml b/tests/test_data/regtest/runtime/_main.yaml new file mode 100644 index 0000000..1338819 --- /dev/null +++ b/tests/test_data/regtest/runtime/_main.yaml @@ -0,0 +1,6 @@ +include: + - rocoto.yaml + - task.yaml + - experiment_include.yaml + - suite.yaml + - "*.yaml" diff --git a/tests/test_data/regtest/runtime/cycle_end.yaml b/tests/test_data/regtest/runtime/cycle_end.yaml new file mode 100644 index 0000000..546b215 --- /dev/null +++ b/tests/test_data/regtest/runtime/cycle_end.yaml @@ -0,0 +1,41 @@ +cycle_end_task: !Task &cycle_end_task + <<: *shared_task_template + ecflow_def: !expand | + edit ECF_PASS 'FREE' + + ecf_file: | + #! /bin/sh + which ecflow_client + export ECF_PASS=%ECF_PASS% + export ECF_RID=%ECF_RID% + export ECF_HOST=%ECF_HOST% + export ECF_NAME=%ECF_NAME% + ecflow_client --complete + echo Cycle complete at $( date ) + + Disable: !calc ( not doc.settings.four_cycle_mode or not metasched.type=="ecflow" ) + + ecflow_def: !FirstTrue + - when: !calc suite.Clock.now.strftime("%H")=='00' + take: | + edit ECF_JOB_CMD '%ECF_JOB% 1> %ECF_JOBOUT% 2>&1' + edit ECF_KILL_CMD 'kill -15 %ECF_RID%' + time 23:00 + - when: !calc suite.Clock.now.strftime("%H")=='06' + take: | + edit ECF_JOB_CMD '%ECF_JOB% 1> %ECF_JOBOUT% 2>&1' + edit ECF_KILL_CMD 'kill -15 %ECF_RID%' + time 23:01 + - when: !calc suite.Clock.now.strftime("%H")=='12' + take: | + edit ECF_JOB_CMD '%ECF_JOB% 1> %ECF_JOBOUT% 2>&1' + edit ECF_KILL_CMD 'kill -15 %ECF_RID%' + time 11:00 + - when: !calc suite.Clock.now.strftime("%H")=='18' + take: | + edit ECF_JOB_CMD '%ECF_JOB% 1> %ECF_JOBOUT% 2>&1' + edit ECF_KILL_CMD 'kill -15 %ECF_RID%' + time 11:01 + - otherwise: !error "cycle_end only works for hours 0, 6, 12, and 18" + + resources: !calc partition.resources.run_nothing diff --git a/tests/test_data/regtest/runtime/dump_waiter.yaml b/tests/test_data/regtest/runtime/dump_waiter.yaml new file mode 100644 index 0000000..f14929d --- /dev/null +++ b/tests/test_data/regtest/runtime/dump_waiter.yaml @@ -0,0 +1,48 @@ +dump_waiter: &dump_waiter_task !Task + <<: *exclusive_task_template + manual: | + The dump_waiter task is a special job needed to run this + workflow outside of the production suite. It handles the + external dependency on the dump and the associated + (approximate) time dependency. + In ecflow: + The dump_waiter is started when the cycle is allowed to + begin, and waits for the dump to be available. It sets + the "updated_status" event when the dump is available. + In Rocoto: + The dump_waiter is never run; it is defined so that the + updated_status data event can be used as a data dependency + in the prep job. + + Disable: !calc metasched.type=='rocoto' + + resources: !ref partition.resources.run_dump_waiter + + updated_status: !DataEvent + file: !expand >- + {doc.places.DMPDIR}/@Y@m@d@H/{up.CDUMP}/{up.CDUMP}.t@Hz.updated.status.tm00.bufr_d + + ecflow_WAITFILE: !expand '%DUMPDIR%/${{PDY}}%CYC%/{CDUMP}/{CDUMP}.t%CYC%z.updated.status.tm00.bufr_d' + + # The ecflow_command is dumped into the ecf file where the + # J-Job would normally be called. This job is never run by + # Rocoto, so we don't need the equivalent Rocoto code. + ecflow_command: !expand | + workdir=/tmp/$USER.dump_waiter.$$.$RANDOM + mkdir -p "$workdir" + cd "$workdir" + export cycle="t${{cyc}}z" + export jlogfile=${{jlogfile:-$COMROOT/logs/jlogfiles/jlogfile.${{job}}.${{pid}}}} + export job=${{job:-"anal"}} + setpdy.sh + source ./PDY + WAITFILE={ecflow_WAITFILE} + while [[ ! -s "$WAITFILE" ]] ; do + echo "$WAITFILE: nope" + sleep 37 + done + env | grep ECF_ + echo "$WAITFILE: yup" + ecflow_client --event updated_status + cd / + rm -rf "$workdir" diff --git a/tests/test_data/regtest/runtime/experiment_include.yaml b/tests/test_data/regtest/runtime/experiment_include.yaml new file mode 100644 index 0000000..7db4a38 --- /dev/null +++ b/tests/test_data/regtest/runtime/experiment_include.yaml @@ -0,0 +1,36 @@ +ecf_include_experiment: + + # Generates the experiment-XXX.h file that is loaded by the ecf + # files. See the ecf_model_include variable in runtime/task.yaml + + # filename: name of the model-ver.h to make: + filename: !expand "experiment-{doc.names.experiment}.h" + + # disable: if True, this file will not be generated: + disable: False # Maybe should be "true" for NCO? + + # content: what goes in the file + content: !expand | + # These are development overrides. They are intended to set + # variables that would otherwise be set to operational values, and + # cause your workflow to fail. + + # EMC experiment configuration file + export HOMEgfs="{doc.places.HOMEgfs}" + export HOMEobsproc_global="{doc.places.HOMEobsproc_network}" + export HOMEobsproc_network="{doc.places.HOMEobsproc_network}" + export HOMEobsproc_prep="{doc.places.HOMEobsproc_prep}" + export HOMEgfs="{doc.places.HOMEgfs}" + export DATAROOT="{doc.places.DATAROOT}" + export jlogfile="{doc.places.ROTDIR}/jlogfile" + export COMROOT="{doc.places.ROTDIR}" + export CDUMP=$RUN + export cycle=t%CYC%z + export EXPDIR="{doc.places.EXPDIR}" + {date_vars} + + # Send in the CDATE if we're not in four cycle mode: + date_vars: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + take: '' + - otherwise: "export CDATE=%PDY%%CYC%" diff --git a/tests/test_data/regtest/runtime/make_next_cycles.yaml b/tests/test_data/regtest/runtime/make_next_cycles.yaml new file mode 100644 index 0000000..ed4c49d --- /dev/null +++ b/tests/test_data/regtest/runtime/make_next_cycles.yaml @@ -0,0 +1,72 @@ +make_next_cycles: &make_next_cycles_task !Task + <<: *exclusive_task_template + manual: | + The make_next_cycles task generates and begins the suites for the + next few cycles in the workflow. This job is only used when running + in ecflow. + + Disable: !calc metasched.type=='rocoto' + + resources: !ref partition.resources.run_make_next_cycles + + Trigger: !Depend gdas + + CDUMP: gdas # useless but required + + # The batch_job_command is dumped into the ecf file where the + # J-Job would normally be called. This job is never run by + # Rocoto, so Rocoto never uses this code block. + ecflow_command: !expand | + workdir=/tmp/$USER.dump_waiter.$$.$RANDOM + mkdir -p "$workdir" + cd "$workdir" + if [[ "$ECF_ROOT" == X ]] ; then + export ECF_ROOT=$( cd "$ECF_HOME" ; cd .. ; pwd -P ) + fi + + export PDY=%PDY% + export CYC=%CYC% + export cycle=t%CYC%z + setpdy.sh + source ./PDY + + module load {doc.settings.prod_util_module} + module load {doc.settings.ecflow_module} + + export WORKFLOW_FIRST_CYCLE=%WORKFLOW_FIRST_CYCLE:1970010100% + export WORKFLOW_LAST_CYCLE=%WORKFLOW_LAST_CYCLE:ETERNITY% + export WORKFLOW_CYCLES_TO_GENERATE=%WORKFLOW_CYCLES_TO_GENERATE:5% + export WORKFLOW_CROW_HOME=%WORKFLOW_CROW_HOME% + export WORKFLOW_EXPDIR=%WORKFLOW_EXPDIR% + export ECF_HOME=%ECF_HOME% + export ECF_ROOT=%ECF_ROOT:X% + export ECF_OUT=%ECF_OUT% + export ECF_PORT=%ECF_PORT% + export ECF_HOST=%ECF_HOST% + + set -uex + + # Decide the range of cycles to start + first_cycle=$( $NDATE +6 "%PDY%%CYC%" ) + + if [[ "${{WORKFLOW_LAST_CYCLE:-ETERNITY}}" != ETERNITY && + "$first_cycle" -gt "$WORKFLOW_LAST_CYCLE" ]] ; then + postmsg "Last cycle reached. Not starting any new cycles." + fi + + last_cycle=$first_cycle + for istart in $( seq 1 5 ) ; do + if [[ "${{WORKFLOW_LAST_CYCLE:-ETERNITY}}" != ETERNITY && + "$last_cycle" -gt "$WORKFLOW_LAST_CYCLE" ]] ; then + break + fi + last_cycle=$( $NDATE +6 "$last_cycle" ) + done + + cd "$WORKFLOW_CROW_HOME" + postmsg "Start cycles $first_cycle through $last_cycle." + ./update_ecflow_workflow.sh -v "$WORKFLOW_EXPDIR" "$first_cycle" "$last_cycle" + + + cd / + rm -rf "$workdir" diff --git a/tests/test_data/regtest/runtime/post_manager.yaml b/tests/test_data/regtest/runtime/post_manager.yaml new file mode 100644 index 0000000..59c8585 --- /dev/null +++ b/tests/test_data/regtest/runtime/post_manager.yaml @@ -0,0 +1,28 @@ +# This file contains extra shell script contents of the ecf file for +# the post_manager job. That is the job in the workflow that watches +# for post output files to show up, and sets ecflow events every time +# it sees a new file. + +post_manager_job_contents: &post_manager_job_contents !expand | + fhrs='anl {tools.join(up.Dimensions.fhr," ")}' + CDATE=%PDY%%CYC% + for fid in $fhrs ; do + if [[ "$fid" == anl ]] ; then + fhr3=000 + event=release_postanl + else + fhr3=$( printf %%03d $fid ) + fhr2=$( printf %%02d $fid ) + event=release_post$fhr2 + fi + + file=%COM%/$CDUMP.%PDY%/%CYC%/$CDUMP.t%CYC%z.logf$fhr3.nemsio + + while [[ ! -s "$file" ]] ; do + echo "$file: nope" + sleep 33 + done + echo "$file: yup" + ecflow_client --event "$event" + done + echo "done" diff --git a/tests/test_data/regtest/runtime/rocoto.yaml b/tests/test_data/regtest/runtime/rocoto.yaml new file mode 100644 index 0000000..b43389f --- /dev/null +++ b/tests/test_data/regtest/runtime/rocoto.yaml @@ -0,0 +1,2 @@ +# This file is not used yet. Rocoto settings reside elsewhere in the +# runtime/ and workflow/ directories. diff --git a/tests/test_data/regtest/runtime/suite.yaml b/tests/test_data/regtest/runtime/suite.yaml new file mode 100644 index 0000000..e8a2573 --- /dev/null +++ b/tests/test_data/regtest/runtime/suite.yaml @@ -0,0 +1,198 @@ +# This file contains convenient variables to include in workflow +# suites in the workflow/ directory. Most of these variables are +# specific to the cycled workflow which is not in this release. + +# gfs_clock_1 - run GFS only at 0 UTC daily +gfs_clock_1: !Clock + start: !calc tools.day_of(suite.Clock.start+suite.Clock.step) + step: !timedelta "24:00:00" + +# gfs_clock_2 - run GFS only at 0 UTC and 12 UTC daily +gfs_clock_2: !Clock + start: !calc tools.day_of(suite.Clock.start+suite.Clock.step) + step: !timedelta "12:00:00" + +# gfs_clock_4 - run GFS every cycle +gfs_clock_4: !Clock + start: !calc suite.Clock.start+suite.Clock.step + step: !timedelta "06:00:00" + +# cycled_suite_alarms - this is used to define which cycles various +# jobs run in. In the workflow suite definition, jobs may have an +# AlarmName defined. That AlarmName refers to one of the clocks in +# this section. +cycled_suite_alarms: &cycled_suite_alarms + # first - first cycle only + first: !Clock + start: !calc suite.Clock.start + end: !calc suite.Clock.start + step: !calc suite.Clock.step + + # gdas - cycles for which the GDAS is run + gdas: !Clock + start: !calc suite.Clock.start + end: !calc suite.Clock.end + step: !calc suite.Clock.step + + # gfs - cycles for which the gfs is run + gfs: !FirstTrue + - when: !calc doc.settings.gfs_cyc == 0 + do: !Clock # required but ignored because gfs is disabled + start: !calc suite.Clock.start + end: !calc suite.Clock.end + step: !calc suite.Clock.step + - when: !calc doc.settings.gfs_cyc == 1 + do: !calc suite.Clock.for_alarm(doc.gfs_clock_1) + - when: !calc doc.settings.gfs_cyc == 2 + do: !calc suite.Clock.for_alarm(doc.gfs_clock_2) + - when: !calc doc.settings.gfs_cyc == 4 + do: !calc suite.Clock.for_alarm(doc.gfs_clock_4) + - otherwise: !error Unknown gfs clock settings {doc.settings.gfs_cyc} + + gfs_00_12: !calc suite.Clock.for_alarm(doc.gfs_clock_2) + + +# suite_defaults - this is inherited by the actual suite definitions +# It sets defaults for various variables that are generally not overridden +suite_defaults: &suite_defaults + # Clock - the list of cycles to run + Clock: !Clock + # NEED TO FIX FOR LESS FREQUENT GFS + start: !calc doc.settings.SDATE + end: !calc doc.settings.EDATE + step: !timedelta "6:00:00" + + Overrides: + allowed: [ 'partition', 'resources', 'rocoto_command', 'rocoto_log_path', + 'accounting', 'ecflow_command', 'max_tries', 'resources_remap', 'ecf_log_path', + 'Rocoto', 'ecf_file' ] + rules: !calc doc.suite_overrides + + ecf_file_set: + disk_path: !expand "{doc.places.ECF_ROOT}/scripts/{doc.names.experiment}" + ECF_FILES: !expand "{doc.places.ECF_ROOT}/scripts/{doc.names.experiment}" + + # ecFlow - definition of variables specific to ecFlow suite generation + ecFlow: + # suite_def_filename - file to receive the suite definition + suite_def_filename: !expand "{doc.places.ECF_ROOT}/defs/{doc.names.experiment}/{suite.ecFlow.suite_name}.def" + + # suite_name - name of the suite (the top-level node in the suite definition) + suite_name: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + do: !expand "{doc.names.experiment}%H" + - otherwise: !expand "{doc.names.experiment}_%Y%m%d%H" + + # dates_in_time_dependencies - flag that controls whether ecflow + # suite definition "date" triggers are to be defined. + dates_in_time_dependencies: !calc (not doc.settings.four_cycle_mode) + + # write_cycles/analyze_cycles: Use these to generate a subset of + # the cycles in ecFlow. Make sure analyze_cycles starts at least + # one cycle before write_cycles so that dependencies are + # processed. These are overridden by update_ecflow_workflow.py + # during its execution. + + # write_cycles: !Clock + # start: 2018-01-02T00:00:00 + # end: 2018-01-02T18:00:00 + # step: !timedelta "6:00:00" + # analyze_cycles: !Clock + # start: 2018-01-02T00:00:00 + # end: 2018-01-02T18:00:00 + # step: !timedelta "6:00:00" + + # ecflow_cycling_logic - used to switch between NCO-style suite + # definitions, with four suites total (one per synoptic time) and + # development-style (one suite per cycle). + ecflow_cycling_logic: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + do: "repeat day 1" + - otherwise: !expand | + autocancel 5 + edit PDY '{tools.strftime(suite.Clock.now,"%Y%m%d")}' + + # Rocoto - contains settings specific to Rocoto workflow generation + Rocoto: &Rocoto + # max_tries - maximum number of times to run a job before giving + # up. Set to 1 to disable automatic resubmission of jobs. + max_tries: !calc doc.settings.max_job_tries + + # workflow_xml - the contents of this variable are written to the Rocoto XML file. + workflow_xml: !expand | + + + + + + + + + + + + + {tools.indent(doc.platform.metasched_more," ")} + ]> + + &COM;/logs/@Y@m@d@H/rocoto.log + {to_rocoto.make_time_xml(indent=1)} + {to_rocoto.make_task_xml(indent=1)} + + + # before_suite_def - when generating an ecFlow suite, the contents + # of this variable are written before anything else in the suite + # definition. The totality limit line sets an external dependency + # on a limit that has the effect of throttling the number of jobs + # the entire ecFlow server can run at a time. + before_suite_def: !FirstTrue + - when: doc.settings.ecflow_totality_limit + do: "extern /totality_limit:TOTALITY" + - otherwise: "" + + ecflow_COM_edit: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + take: !calc doc.places.COMROOT + - otherwise: !calc doc.places.ROTDIR + + ecflow_def: !expand | + {ecflow_cycling_logic} + edit ECF_TRIES '{doc.settings.max_job_tries}' + # edit ECF_HOME '{doc.places.ECF_HOME}' + edit CYC '{tools.strftime(suite.Clock.now,"%H")}' + edit MACHINE '{doc.accounting.ecflow_machine}' + edit ENVIR 'prod' + edit ECF_OUT '{doc.places.ECF_OUT}' + edit ECF_LOG '{doc.places.ECF_ROOT}/ecf.log' + edit E 'j' + edit ECF_INCLUDE '{doc.places.ECF_INCLUDE}' + edit COM '{ecflow_COM_edit}' + + {"clock real" if doc.settings.ecflow_real_clock else ""} + {"clock virtual" if doc.settings.ecflow_virtual_clock else ""} + {"clock hybrid" if doc.settings.ecflow_hybrid_clock else ""} + {common_metasched_vars} + {doc.platform.metasched_more} + {emc_overrides} + + emc_overrides: !FirstTrue + - when: !calc doc.settings.nco_mode + take: '' + - otherwise: !expand | + # EMC overrides. Should remove before implementation: + edit DATAROOT '{doc.places.DATAROOT}' + edit NWROOT '{tools.dirname(doc.places.HOMEgfs)}' + + {"inlimit /totality_limit:TOTALITY" if doc.settings.ecflow_totality_limit else ""} + + # common_metasched_vars - variables to write to both the ecFlow + # suite definitions ("edit" variables) and Rocoto XML (XML + # entities). The conversion to text is done by the + # CROW/crow/metascheduler/ python package. + + common_metasched_vars: '' + diff --git a/tests/test_data/regtest/runtime/task.yaml b/tests/test_data/regtest/runtime/task.yaml new file mode 100644 index 0000000..d3b0967 --- /dev/null +++ b/tests/test_data/regtest/runtime/task.yaml @@ -0,0 +1,327 @@ +# This file defines defaults for tasks in the suite definitions. +# Individual tasks in the suite may override some settings + +eoln: "\n" +parm_config_source_line: "source $HOMEgfs/parm/config/config.%s" +expdir_config_source_line: "source $EXPDIR/parm/config/config.%s" + +task_template: &task_template + + # Template - specifies a schema that is used to validate the variables in this scope. + Template: *task_schema + + # Together, accounting and default_accounting set the accounting + # information, such as queues, projects, and partitions. + + default_accounting: {} + accounting: {} + + # partition_specification - this is passed into the + # rocoto_accounting and batch_accounting functions to set the + # partition to request (-l partition=) if needed. + partition_specification: !calc | + {'partition':partition.get('specification',None)} + + # rocoto_load_modules - commands to run before passing control to + # the j-jobs (jobs/ directory) in Rocoto: + rocoto_load_modules: !expand >- + {doc.platform.get("rocoto_load_modules_extra","")} + source $HOMEgfs/ush/load_fv3gfs_modules.sh {task_type} ; + module list + + # rocoto_command - command rocoto executes to pass control to the + # j-job (jobs/ directory) for this task. + rocoto_command: !expand >- + {rocoto_load_modules} ; + {rocoto_config_source} ; + {J_JOB_PATH}/{J_JOB} + + config_list: [ base ] + + rocoto_config_source: !FirstTrue + - when: !calc not config_list + take: "" + - otherwise: !calc '" ; ".join([ "source $EXPDIR/config.%s"%(x,) for x in config_list ])' + + ecflow_config_source: !FirstTrue + - when: !calc not config_list + take: "" + - when: !calc doc.settings.four_cycle_mode + take: !expand | + export HOMEgfs=${{HOMEgfs:-${{NWROOT:?}}/gfs.${{gfs_ver:?"###FATAL ERROR gfs_ver is not set"}}}} + {doc.eoln.join([ "source $HOMEgfs/parm/config/config.%s"%(x,) for x in config_list ])} + - otherwise: !calc | + doc.eoln.join([ "source $EXPDIR/config.%s"%(x,) for x in config_list ]) + + # ecflow_command - command ecFlow executes from the ecf file to pass + # control to the j-job (jobs/ directory) for this task. + ecflow_command: !expand "{J_JOB_PATH}/{J_JOB}" + + J_JOB_PATH: '$HOMEgfs/jobs' + + # rocoto_log_path: contents of the entry of the which + # sets the stdout and stderr log path in the Rocoto XML. + rocoto_log_path: !ref doc.settings.rocoto_log_path + + # ecflow_log_path: Used in the #BSUB or #PBS lines to specify the + # path. Usually will include %VARS% for the ecflow server to parse. + ecflow_log_path: !ref doc.settings.ecf_log_path + + # Rocoto - contents of the Rocoto tag for this task, + # excluding the tag, which is automatically generated + # in CROW/crow/metascheduler/rocoto.py + Rocoto: !expand | + sh -c '{rocoto_command}' + {partition.scheduler.rocoto_accounting( + partition_specification,default_accounting,accounting, + jobname=task_path_var, + outerr=rocoto_log_path, + partition=partition.specification)} + {partition.scheduler.rocoto_resources(resources)} + CDATE@Y@m@d@H + PDY@Y@m@d + cyc@H + EXPDIR&EXPDIR; + CDUMP{CDUMP} + RUN_ENVIRemc + DATAROOT&DATAROOT; + HOMEgfs{metasched.varref(doc.schedvar.script_home)} + HOMEobsproc_network{metasched.varref(doc.schedvar.obsproc_network_home)} + HOMEobsproc_global{metasched.varref(doc.schedvar.obsproc_network_home)} + HOMEobsproc_prep{metasched.varref(doc.schedvar.obsproc_prep_home)} + job{task_path_list[-1]}_@H + {rocoto_more_vars} + {rocoto_platform_vars} + + # rocoto_platform_vars - allows the platform definition file + # (platforms/*.yaml) to add tags to the Rocoto + # definition. + rocoto_platform_vars: !calc " doc.platform.get('rocoto_platform_vars','') " + + # RUN - Used in ecf files to specify whether the task is gfs or gdas + RUN: !calc task_path_list[0] + + # CDUMP - alias for RUN expected by development scripts + CDUMP: !calc RUN + + # more_vars - Additional environment variables to pass to the j-job + # through the Rocoto XML or ecFlow ecf files. This variable is only used + # if the task does not override the ecf_more_exports, rocoto_more_vars, + # or ecflow_def_more_vars variables. + more_vars: {} + + # ecf_more_exports - additional shell "export" statements to include in + # this task's ecf file. + ecf_more_exports: !calc | + "\n".join([ f"export {K}=%{V}%\n" for K,V in more_vars.items() ]) + + # rocoto_more_vars - additional variables to set in the Rocoto tag's + # elements. + rocoto_more_vars: !calc | + "\n".join([ metasched.defenvar(K,this[V]) for K,V in more_vars.items() ]) + + # ecflow_def_more_vars - additional variables to set in the ecflow + # suite definition "edit" statements for this task. This is only + # used if the task does not override ecflow_def. + ecflow_def_more_vars: !calc | + "\n".join([ metasched.defenvar(V,this[V]) for K,V in more_vars.items() ]) + + # ecflow_def - the contents of this variable are sent into the task + # definition in the ecflow suite definition file. The + # CROW/crow/metascheduler/ecflow.py copies this variable's contents + ecflow_def: !calc ecflow_def_more_vars + + # ecf_model_include - Name of the ecflow %include file with + # model-specific settings. This is model_ver.h in production or the + # header for the user's experiment. Make sure this matches + # doc.ecf_include_experiment.filename. + ecf_model_include: !FirstTrue + - when: !calc doc.settings.nco_mode + take: '%include ' + - when: !calc doc.settings.use_nco_ecflow_headers + take: | + export NWROOT=%NWROOT% # EMC override + export DATAROOT=%DATAROOT% # EMC override + %include + - otherwise: !calc ecf_experiment_overrides + + ecf_experiment_overrides: !FirstTrue + - when: !calc doc.settings.use_nco_ecflow_headers + take: '' + - otherwise: !expand "%include " + + # ecf_file - the contents of this variable are written to the ecf + # file for each task by the CROW/crow/metascheduler/ecflow.py + # python module. + ecf_file: !expand | + #! /bin/sh + {ecf_batch_resources} + %include + %include <{doc.accounting.ecflow_header}> + + set -x + + {ecf_resource_more} + + export model=%model:gfs% + export NET=%NET:gfs% + export RUN=%RUN% + + {ecf_model_include} + + ############################################################ + # Load modules + ############################################################ + #. $MODULESHOME/init/sh + {ecf_module_commands} + {ecf_after_module_commands} + + ############################################################ + # WCOSS environment settings + ############################################################ + {ecf_experiment_overrides} + {ecf_environment_settings} + + ############################################################ + export cyc=%CYC% + {ecflow_config_source} + {ecf_more_exports} + {ecflow_command} + + %include + %manual + {ecf_manual} + %end + + # ecf_manual - contents of the manual entry for this task in ecflow + ecf_manual: '' + + # ecf_module_commands - these commands are sent to the ecf file for + # this task to load any modules that are required for the job + # ecf_module_commands: !ref doc.settings.ecf_module_commands + ecf_module_commands: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + take: !calc doc.platform.four_cycle_mode_modules + - otherwise: !expand |- + source "$HOMEgfs/ush/load_fv3gfs_modules.sh" {task_type} + + # ecf_after_module_commands - intended to list the module commands, + # and recover from their consequences. For example, "module purge" + # clears the ecflow module, and "module load ecflow" clears the + # ECF_PORT. + ecf_after_module_commands: !FirstTrue + - when: !calc doc.settings.nco_mode + take: | + module list + + # Synonyms expected by scripts: + export CDUMP="$RUN" + export ROTDIR="$COMROOT" + - when: !calc doc.settings.four_cycle_mode + take: | + module list + export ECF_PORT=%ECF_PORT% # workaround for bug in ecflow module + + export cycle=t%CYC%z + export jlogfile=/%COM%/logs/jlogfile + export EXPDIR=${EXPDIR:-$HOMEgfs/parm/config} # where to get config files + + # Development overrides + export DATAROOT=%DATAROOT% + export COMROOT=/%COM% + + # Development synonyms + export CDUMP="$RUN" + export ROTDIR="$COMROOT" + - otherwise: !expand | + module load {doc.settings.ecflow_module} + module list + export ECF_PORT=%ECF_PORT% # workaround for ecflow module bug + + ecf_environment_settings: !FirstTrue + - when: !calc config_list + take: !calc doc.settings.ecflow_rocoto_cdate_workaround + - otherwise: "# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here." + + # ecf_log_path: sets the stdout/stderr log path for the job + ecf_log_path: !ref doc.settings.ecf_log_path + + ecf_job_name: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + take: !expand '%E%{task_path_list[-1][1:]}_%CYC%' + - otherwise: !calc task_path_var + + # ecf_batch_resources - generates batch card settings for the ecflow ecf file + ecf_batch_resources: !FirstTrue + - when: !calc doc.settings.nco_mode + take: !expand "{partition.scheduler.batch_accounting(partition_specification,default_accounting,accounting,jobname=ecf_job_name,outerr=ecf_log_path)}{partition.scheduler.batch_resources(resources)}#BSUB -cwd /tmp" + - otherwise: !expand "{partition.scheduler.batch_accounting(partition_specification,default_accounting,accounting,jobname=ecf_job_name,outerr=ecf_log_path)}{partition.scheduler.batch_resources(resources)}" + + # ecf_resource_more - generates the ntasks, ptile, and threads variables + # in cases where they are needed + ecf_resource_more: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + take: '' + - otherwise: !expand |- + {ecf_maybe_ntasks} + {ecf_maybe_ptile} + {ecf_maybe_threads} + + # ecf_maybe_ntasks - generates the ntasks variable for any MPI jobs' + # ecf files, which specifies the number of MPI ranks. + ecf_maybe_ntasks: !FirstTrue + - when: !calc resources.total_ranks()>0 + do: !expand 'export ntasks={resources.total_ranks()}' + - otherwise: "# No MPI in use, so I am not setting $ntasks." + + # ecf_maybe_threads - generates the ntasks variable for any OpenMP + # jobs' ecf files. This variable specifies the number of OpenMP threads + ecf_maybe_threads: !FirstTrue + - when: !calc resources.has_threads() + do: !expand 'export threads={resources[0]["OMP_NUM_THREADS"]}' + - otherwise: "# No OpenMP in use, so I am not setting $threads" + + # ecf_maybe_ptile - generates the ptile variable if this is an + # OpenMP or MPI program. The ptile variable specifies the number of + # MPI ranks per node. + ecf_maybe_ptile: !FirstTrue + - when: !calc resources.has_threads() or resources.total_ranks()>0 + do: !expand 'export ptile={partition.nodes.max_ranks_per_node(resources[0])}' + - otherwise: "# Neither OpenMP nor MPI are in use, so I am not setting $ptile" + +# shared_task_template - a convenient alias to define a task that has +# the shared_accounting and passes "shared" to the +# load_fv3gfs_modules.sh +shared_task_template: &shared_task_template + <<: *task_template + partition: !calc doc.accounting.shared_partition + default_accounting: !calc partition.shared_accounting_ref + J_JOB: !expand '{task_path_list[-1].upper()}' + task_type: shared + +# service_task_template - a convenient alias to define a task that has +# the service_accounting and passes "service" to the +# load_fv3gfs_modules.sh +service_task_template: &service_task_template + <<: *task_template + partition: !calc doc.accounting.service_partition + default_accounting: !calc partition.service_accounting_ref + J_JOB: !expand '{task_path_list[-1].upper()}' + task_type: service + +# exclusive_task_template - a convenient alias to define a task that has +# the exclusive_accounting and passes "exclusive" to the +# load_fv3gfs_modules.sh +exclusive_task_template: &exclusive_task_template + <<: *task_template + partition: !calc doc.accounting.exclusive_partition + default_accounting: !calc partition.exclusive_accounting_ref + J_JOB: !expand '{task_path_list[-1].upper()}' + task_type: exclusive + +# exclusive_task_template - a convenient alias for the special case of +# an exclusive_accounting job that runs a forecast. These jobs must +# pass "forecast" to the load_fv3gfs_modules.sh. +forecast_task_template: &forecast_task_template + <<: *exclusive_task_template + task_type: forecast diff --git a/tests/test_data/regtest/schema/case.yaml b/tests/test_data/regtest/schema/case.yaml new file mode 100644 index 0000000..3ee39bb --- /dev/null +++ b/tests/test_data/regtest/schema/case.yaml @@ -0,0 +1,31 @@ +case_template: !Template &case_template + gfs_cyc: + type: int + default: 2 + allowed: [ 0, 1, 2, 4 ] + description: | + When to run GFS forecast. Data assimilation is run for every + cycle regardless of these values + * 0: no GFS cycle + * 1: 00Z only + * 2: 00Z and 12Z only + * 4: all 4 cycles (0, 6, 12, 18 Z) + + SDATE: + type: datetime + description: | + First cycle to run. In the cycled workflow, this is a + "half-cycle" in that it only runs the parts of the workflow that + do not require a prior cycle. The first "real" cycle is the + following cycle. + + EDATE: + type: datetime + description: Last cycle to run GDAS. + + experiment_name: + type: string + default: !calc doc.experiment_name + description: | + User-defined experiment name; should be a-z followed by alphanumeric. + Formerly known as PSLOT. diff --git a/tests/test_data/regtest/schema/settings.yaml b/tests/test_data/regtest/schema/settings.yaml new file mode 100644 index 0000000..e6ed15d --- /dev/null +++ b/tests/test_data/regtest/schema/settings.yaml @@ -0,0 +1,143 @@ +settings_schema: !Template &settings_schema + + dev_safeguards: + type: bool + default: true + description: "Add backup triggers in workflow to handle scheduling delays, such as the forecast finishing any posts start. Only turn this off for NCO operational deliveries." + + realtime: + type: bool + default: false + description: "Simulation of an event that is currently happening (ie.: a forecast)" + + run_vrfy_jobs: + type: bool + default: true + description: "Run the EMC product generation jobs." + + four_cycle_mode: + type: bool + description: "Enable NCO-like four cycle suite. Requires a special setup for your ecFlow server, and a prepared directory structure designed to look like NCEP production. Do not use unless you know what you're doing." + default: false + if_present: !FirstTrue + - when: !calc four_cycle_mode + take: !FirstTrue + - when: !calc ( doc.names.experiment in [ "prod", "para", "test" ] ) + message: !expand "Running four cycle mode with envir={doc.names.experiment}" + take: null + - otherwise: !error "In four cycle mode, the experiment must be prod, para, or test, not {doc.names.experiment}." + - otherwise: null + +# ecf_module_commands: +# type: str +# default: !FirstTrue +# - when: !calc four_cycle_mode +# take: !calc doc.platform.four_cycle_mode_modules +# - otherwise: !expand | +# source "$HOMEgfs/ush/load_fv3gfs_modules.sh" {task_type} + + rocoto_cycle_throttle: + type: int + description: "Maximum number of cycles active at once in a Rocoto workflow" + default: 2 + + rocoto_task_throttle: + type: int + description: "Maximum number of tasks active (queued/running) at once in a Rocoto workflow" + default: 5 + + use_nco_ecflow_headers: + type: bool + description: "Use the NCO model-ver.h instead of experiment-specific paths. This will cause you to use the scripts and code in NCEP Operational areas instead of your own scripts and code. Only use this option if you know what you're doing." + default: !calc four_cycle_mode + + prod_util_module: + type: str + default: !calc doc.platform.get("prod_util_module","prod_util") + description: Name of the unix modulefile to load to obtain NCEP production shell utilities. + + ecflow_module: + type: str + default: !calc doc.platform.get("ecflow_module","ecflow") + description: Name of the unix modulefile to load to obtain ecflow_client. + + ecflow_real_clock: + type: bool + default: no + description: the ecflow suite definition should specify a real clock + + ecflow_virtual_clock: + type: bool + default: no + description: the ecflow suite definition should specify a virtual clock + + ecflow_hybrid_clock: + type: bool + default: no + description: the ecflow suite definition should specify a hybrid clock + + ecflow_totality_limit: + type: bool + default: yes + description: 'Place the entire suite in the "/totality_limit:TOTALITY" limit so that the server can limit the total number of jobs running.' + + run_gsi: + type: bool + description: "Run Gridpoint Statistical Interpolation" + + run_enkf: + type: bool + description: "Enable Ensemble Kalman Filter" + default: !calc run_gsi + + chgres_and_convert_ics: + type: bool + description: "Run the fv3ic job, which changes restart file resolution and converts legacy GFS GSM restart files to FV3 restart files." + + max_job_tries: + type: int + default: 1 + description: "Number of times to try running a job. Set to 1 for no retries." + + IC_CDUMP: + type: string + allowed: [ gfs, gdas ] + optional: true + description: Get initial conditions from gfs or gdas + + gfs_cyc: + type: int + default: 4 + allowed: [ 0, 1, 2, 4 ] + description: | + When to run GFS forecast. Data assimilation is run for every + cycle regardless of these values + * 0: no GFS cycle + * 1: 00Z only + * 2: 00Z and 12Z only + * 4: all 4 cycles (0, 6, 12, 18 Z) + + SDATE: + type: datetime + description: | + First cycle to run. In the cycled workflow, this is a + "half-cycle" in that it only runs the parts of the workflow that + do not require a prior cycle. The first "real" cycle is the + following cycle. + + EDATE: + type: datetime + description: Last cycle to run GDAS. + + ics_from: + type: string + default: opsgfs + allowed: [ opsgfs, pargfs ] + description: initial conditions from opsgfs or pargfs + if_present: !Template + parexp: + type: string + default: prnemsrn + HPSS_PAR_PATH: + type: string + default: !expand "/5year/NCEPDEV/emc-global/emc.glopara/WCOSS_C/{parexp}" diff --git a/tests/test_data/regtest/schema/task.yaml b/tests/test_data/regtest/schema/task.yaml new file mode 100644 index 0000000..71676ae --- /dev/null +++ b/tests/test_data/regtest/schema/task.yaml @@ -0,0 +1,87 @@ +task_schema: &task_schema !Template + + Rocoto: + description: >- + XML to insert in the task definition, excluding the task tag + itself, and the dependencies. + type: string + stages: [ execution ] + + ecf_file: + description: >- + Contents of the ecf file that will be run for this task + type: string + stages: [ execution ] + + rocoto_more_vars: + description: >- + Additional text to include after other envar tags in Rocoto task + definition. This is referenced by the Rocoto variable. + type: string + default: "" + stages: [ execution ] + + ecflow_command: + description: >- + Command to execute for this task when run in ecflow. This is + inserted into the ecf file. + type: string + stages: [ execution ] + + rocoto_command: + description: >- + Command to execute for this task when run in rocoto. This is + inserted into the rocoto command tag for the task. + type: string + stages: [ execution ] + + more_vars: + description: >- + List of variables that should be added to Rocoto envar blocks, + ecflow suite definition edits, and ecf file exports. Overriding + ecflow_def will prevent this from being passed through as edits + in the suite definition. + type: string list + stages: [ execution ] + + ecf_environment_settings: + description: "Tuning variables like KMP_AFFINITY and OMP_STACKSIZE." + type: string + default: "" + stages: [ execution ] + + ecf_module_commands: + description: >- + Any "module" commands: module load, module switch, etc. + type: string + default: "" + stages: [ execution ] + + ecf_resource_more: + description: >- + Generates additional resource specification variables such as + ntasks, threads, and ptile. + type: string + default: "" + stages: [ execution ] + + ecf_maybe_ntasks: + description: "Sets the $ntasks variable (number of MPI ranks) if needed" + type: string + default: "" + stages: [ execution ] + + ecf_maybe_threads: + description: "Sets the $threads variable (number of OpenMP threads) if needed" + type: string + default: "" + stages: [ execution ] + + ecf_maybe_ptile: + description: >- + Sets the $ptile variable (maximum number of MPI ranks per node) if needed + type: string + default: "" + stages: [ execution ] + + diff --git a/tests/test_data/regtest/user.yaml b/tests/test_data/regtest/user.yaml new file mode 100644 index 0000000..344e645 --- /dev/null +++ b/tests/test_data/regtest/user.yaml @@ -0,0 +1,38 @@ +user_places: &user_places + PROJECT_DIR: !error Please select a project directory. + # ie. /scratch4/NCEPDEV/ocean + + # Override scrub areas here. Mandatory on Jet. + # LONG_TERM_TEMP: !expand "/lfs3/projects/hfv3gfs/{tools.env('USER')}/scrub" + # SHORT_TERM_TEMP: !expand "/lfs3/projects/hfv3gfs/{tools.env('USER')}/scrub" + + # Some other interesting directories: + + # COM directory: + # ROTDIR: !expand "/path/to/some/scrub/area/{tools.env('USER')}/comrot/{doc.names.experiment}" + + # Scrub area for individual jobs: + # DATAROOT: !expand "/path/to/some/scrub/area/RUNDIRS/{doc.names.experiment}" + + # Input conditions. This should usually be specified in the case file: + # ICSDIR: !expand "/path/to/your/FV3ICS" + +accounting: &accounting + # Project for CPU accounting. + user_email: none # only when you want automatic status report + cpu_project: !error What accounting code do I use to submit jobs? # ie.: global + hpss_project: !error Where do I put data on HPSS? # ie.: emc-global + ecflow_machine: venus # only relevant on WCOSS using ecFlow + ecflow_header: envir-p3.h + + # Choose the partition used for each job type. Default is set in + # the platform yaml files. + shared_partition: !calc doc.platform.partitions.default_shared + exclusive_partition: !calc doc.platform.partitions.default_exclusive + service_partition: !calc doc.platform.partitions.default_service + +# Optional. Override settings in suite. This example would use +# tjet:ujet for running the forecast jobs on Jet: +# user_suite_overrides: +# - Search: '**/forecast/*_forecast*' +# partition: !calc doc.platform.partitions.tjet_or_ujet diff --git a/tests/test_data/regtest/workflow/regression.yaml b/tests/test_data/regtest/workflow/regression.yaml new file mode 100644 index 0000000..9a86d57 --- /dev/null +++ b/tests/test_data/regtest/workflow/regression.yaml @@ -0,0 +1,767 @@ +suite: !Cycle + <<: *suite_defaults + + Alarms: + <<: *cycled_suite_alarms + + ###################################################################### + ## GDAS FAMILY ####################################################### + ###################################################################### + + gdas: !Family + RUN: gdas + ecflow_def: "edit RUN 'gdas'" + + jgdas_emc_dump_waiter: !Task + <<: *dump_waiter_task + Disable: !calc metasched.type != 'ecflow' + + #Time: !FirstTrue + # - when: !calc doc.settings.four_cycle_mode + # do: !timedelta +5:50:00 + # - otherwise: null + + realtime_logic: !FirstTrue + - when: !calc doc.settings.realtime + do: "edit ECF_TRIES 72" + - otherwise: "" + ecflow_def: !expand | + {realtime_logic} + edit DUMPDIR '{doc.places.DMPDIR}' + Trigger: !Depend forecast.at('-6:00:00') + + dump: !Family + ecflow_def: "# NCO will need to add NCO dump job here" + Dummy: true ## <-- Node is not implemented yet + Trigger: !Depend forecast.at('-6:00:00') + jgdas_ics: !Task + <<: *exclusive_task_template + release_gdas00_ics: !DataEvent {file="/dev/null"} + resources: !calc partition.resources.run_one_hour_exclusive + + #jgdas_dump_post: !Task + # Trigger: !Depend jgdas_dump + # release_sfcprep: !DataEvent {file="/dev/null"} + # release_gdas00_dump_alert: !DataEvent {file="/dev/null"} + # ecf_file: *ecf_file_template + # resources: !calc partition.resources.run_nothing + # accounting: *exclusive_accounting + # J_JOB: nothing + + jgdas_tropcy_qc_reloc: !Task + <<: *exclusive_task_template + Trigger: !Depend jgdas_dump + Time: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + do: !timedelta +5:45:00 + - otherwise: null + resources: !calc partition.resources.run_one_hour_exclusive + + #Replaced by emc version of dump job + #This dump job should be using NCO version when delivery to NCO + jgdas_dump: !Task + <<: *exclusive_task_template + release_sfcprep: !DataEvent {file="/dev/null"} + Time: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + do: !timedelta +5:50:00 + - otherwise: null + resources: !calc partition.resources.run_one_hour_exclusive + #endfamily dump + + prep: !Family + Trigger: !Depend | + (jgdas_emc_dump_waiter.updated_status | dump.jgdas_dump.release_sfcprep ) & up.gdas.forecast.at('-6:00:00') + Complete: !Depend ( ~ suite.has_cycle('-6:00:00') ) + jgdas_emcsfc_sfc_prep: !Task +# Disable: !calc not doc.data_assimilation.DO_EMCSFC + <<: *exclusive_task_template + Trigger: !Depend 'up.dump.jgdas_dump.release_sfcprep | up.jgdas_emc_dump_waiter.updated_status' + ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround + resources: !calc partition.resources.run_prep + config_list: [ base, prep, prepbufr ] + J_JOB: JGLOBAL_EMCSFC_SFC_PREP + + jgdas_prep: !Task + <<: *exclusive_task_template + Trigger: !Depend ( ( up.dump.jgdas_dump & up.jgdas_emc_dump_waiter ) & up.up.gdas.post.at('-6:00:00') ) + ecflow_def: + edit model 'obsproc_global' + resources: !calc partition.resources.run_prep + ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround + J_JOB: JGLOBAL_PREP + model: obsproc_global # for four cycle mode + J_JOB_PATH: '$HOMEobsproc_global/jobs' + config_list: [ base, prep, prepbufr ] + + jgdas_tropcy_cp: !Task + <<: *exclusive_task_template + Dummy: True + Trigger: !Depend prep.jgdas_prep + resources: !calc partition.resources.run_one_hour_exclusive + J_JOB: rocoto/tropcy_cp.sh + ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround + + analysis: !Family + Complete: !Depend ( ~ suite.has_cycle('-6:00:00') ) + jgdas_analysis_high: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.prep.jgdas_prep & up.prep.jgdas_emcsfc_sfc_prep & up.enkf.post.at('-6:00:00') ) + #release_fcst: !DataEvent {file="/dev/null"} + resources: !calc partition.resources.run_anal + J_JOB: JGLOBAL_ANALYSIS + + forecast: !Family + jgdas_forecast_high: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.analysis.jgdas_analysis_high & up.enkf.innovate ) | ~ suite.has_cycle('-6:00:00') + resources_remap: !JobRequest [ { <<: *remap_resource_template } ] + #release_fcst: !DataEvent {file="/dev/null"} + resources: !calc partition.resources.run_gdasfcst + J_JOB: JGLOBAL_FORECAST + + post_processing: !Family + Disable: !calc not doc.downstream.DO_POST_PROCESSING + Complete: !Depend ~ suite.has_cycle('-6:00:00') + bulletins: !Family + Disable: !calc not doc.downstream.DO_BULLETINS + jgdas_bulls_navy: !Task + <<: *exclusive_task_template + Dummy: True # job is broken + AlarmName: gfs_00_12 + Trigger: !Depend ( up.up.prep.jgdas_prep ) + resources: !calc partition.resources.run_bulls_navy + J_JOB: JGDAS_BULLS_NAVY + + gempak: !Family + Disable: !calc not doc.downstream.DO_GEMPAK + Complete: !Depend ~ suite.has_cycle('-6:00:00') + jgdas_gempak: !Task + <<: *exclusive_task_template + resources: !calc partition.resources.run_gdas_gempak + J_JOB: JGDAS_GEMPAK + Trigger: !Depend '( up.post if doc.settings.dev_safeguards else up.forecast.jgdas_forecast_high )' + + jgdas_gempak_meta_ncdc: !Task + <<: *exclusive_task_template + resources: !calc partition.resources.run_gdas_gempak_meta_ncdc + Trigger: !Depend jgdas_gempak + J_JOB: JGDAS_GEMPAK_META_NCDC + + #endfamily gempak + + post: !TaskArray + RUN: !calc up.RUN + Dimensions: + fhr: !calc doc.gfs_output_settings.gdas_forecast_hours + post_manager_el: !TaskElement + <<: *exclusive_task_template + RUN: !calc up.RUN + Trigger: !FirstTrue + - when: !calc not doc.settings.dev_safeguards + take: !Depend ( up.forecast.is_running() ) + - otherwise: !Depend "up.forecast.is_running() | up.forecast" + Disable: !calc metasched.type=='rocoto' + Foreach: [] + J_JOB: JGLOBAL_POST_MANAGER + Name: jgdas_post_manager + resources: !calc partition.resources.run_gdas_post_manager + release_postanl: !DataEvent + file: !expand >- + {metasched.varref("COM")}/gdas.{metasched.datestring("%Y%m%d/%H/")}gdas.t{metasched.datestring("%H")}z.logf000.nemsio + release_post_fhr: !DataEventElement + Name: !expand "release_post{dimval.fhr:03d}" + Foreach: [ fhr ] + file: !expand > + {metasched.varref("COM")}/gdas.{metasched.datestring("%Y%m%d/%H/")}gdas.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio + # NOTE: the above files must match the logic in scripts/exglobal_pmgr.sh.ecf + + # The post_anl has to be a !TaskElement to ensure it shows up between + # the post manager and forecast hour posts: + jgdas_post_anl_el: !TaskElement + <<: *exclusive_task_template + Foreach: [] + FHR: 'anl' + Name: jgdas_post_anl + Trigger: !FirstTrue + - when: !calc not doc.settings.dev_safeguards + take: !Depend jgdas_post_manager.release_postanl + - otherwise: !Depend jgdas_post_manager.release_postanl | up.forecast + release_pgrb2_anl: !DataEvent {file="/dev/null"} + resources: !calc partition.resources.run_gdaspost + ecflow_def: !expand "edit FHR '{FHR}'" + J_JOB: JGLOBAL_NCEPPOST + ecflow_command: !expand | + export post_times=%FHR% FHRLST=%FHR% FHRGRP=%FHR% + $HOMEgfs/jobs/{J_JOB} + rocoto_command: !expand >- + {rocoto_load_modules} ; + /usr/bin/env FHRGRP={FHR} post_times={FHR} FHRLST={FHR} &HOMEgfs;/jobs/{J_JOB} + + jgdas_post_fhr_el: !TaskElement + <<: *exclusive_task_template + Foreach: [ fhr ] + resources: !calc partition.resources.run_gdaspost + Name: !expand jgdas_post_f{dimval.fhr:03d} + FHR: !expand '{dimval.fhr:03d}' + J_JOB: JGLOBAL_NCEPPOST + ecflow_def: !expand "edit FHR '{FHR}'" + ecflow_command: !expand | + export post_times=%FHR% FHRLST=%FHR% FHRGRP=%FHR% + $HOMEgfs/jobs/{J_JOB} + rocoto_command: !expand >- + {rocoto_load_modules} ; + /usr/bin/env FHRGRP={FHR} post_times={FHR} FHRLST={FHR} &HOMEgfs;/jobs/{J_JOB} + Trigger: !FirstTrue + - when: !calc not doc.settings.dev_safeguards + take: !Depend jgdas_post_manager.depend("release_post{F:03d}",F=[dimval.fhr]) + - otherwise: !Depend jgdas_post_manager.depend("release_post{F:03d}",F=[dimval.fhr]) | up.forecast + #endfamily post + + jgdas_emc_vrfy: !Task + <<: *exclusive_task_template + Disable: !calc not doc.settings.run_vrfy_jobs + Complete: !Depend ( ~ suite.has_cycle('-6:00:00') ) + Trigger: !Depend post + resources: !calc partition.resources.run_gdasvrfy + ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround + J_JOB: rocoto/vrfy.sh + ecf_module_commands: "# vrfy.sh will load modules instead" + + enkf: !Family + jgdas_enkf_select_obs: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.prep.jgdas_prep & post.at('-6:00:00') & up.jgdas_tropcy_cp ) + resources: !calc partition.resources.run_eobs + Complete: !Depend ~ suite.has_cycle('-6:00:00') + J_JOB: JGLOBAL_ENKF_SELECT_OBS + + innovate: !TaskArray + Trigger: !Depend jgdas_enkf_select_obs + Complete: !Depend ~ suite.has_cycle('-6:00:00') + Dimensions: + groupid: !calc tools.seq(1,doc.data_assimilation.ENKF_INNOVATE_GROUPS,1) + jgdas_enkf_innovate_obs_grp: !TaskElement + <<: *exclusive_task_template + Foreach: [ groupid ] + Name: !expand "jgdas_enkf_innovate_obs_grp{dimval.groupid}" + resources: !calc partition.resources.run_eomg + J_JOB: JGLOBAL_ENKF_INNOVATE_OBS + ENSGRP: !expand "{dimval.groupid:02d}" + # Lin wants ENSGRP to be outside the ecflow suite definition: + #rocoto_command: !expand "/usr/bin/env ENSGRP={ENSGRP} &HOMEgfs;/jobs/{J_JOB}" + rocoto_command: !expand >- + {rocoto_load_modules} ; + /usr/bin/env ENSGRP={ENSGRP} &HOMEgfs;/jobs/{J_JOB} + ecflow_command: !expand | + export ENSGRP={ENSGRP} + $HOMEgfs/jobs/{J_JOB} + + jgdas_enkf_update: !Task + <<: *exclusive_task_template + Trigger: !Depend innovate + ecflow_def: "edit ECF_PASS 'FREE'" + Complete: !Depend ~ suite.has_cycle('-6:00:00') + resources: !calc partition.resources.run_eupd + J_JOB: JGLOBAL_ENKF_UPDATE + + jgdas_enkf_inflate_recenter: !Task + <<: *exclusive_task_template + Trigger: !Depend ( jgdas_enkf_update & up.analysis.jgdas_analysis_high ) + resources: !calc partition.resources.run_ecen + J_JOB: JGDAS_ENKF_RECENTER + Complete: !Depend ~ suite.has_cycle('-6:00:00') + + forecast: !TaskArray + + Trigger: !Depend up.enkf.jgdas_enkf_inflate_recenter | ~ suite.has_cycle('-6:00:00') + Dimensions: + groupid: !calc tools.seq(1,doc.data_assimilation.ENKF_FORECAST_GROUPS,1) + jgdas_enkf_fcst_grp: !TaskElement + <<: *exclusive_task_template + Foreach: [ groupid ] + Name: !expand "jgdas_enkf_fcst_grp{dimval.groupid}" + resources: !calc partition.resources.run_efcs + J_JOB: JGDAS_ENKF_FCST + ENSGRP: !expand "{dimval.groupid:02d}" + + # Lin wants ENSGRP to be outside the ecflow suite definition: + #rocoto_command: !expand "/usr/bin/env ENSGRP={ENSGRP} &HOMEgfs;/jobs/{J_JOB}" + rocoto_command: !expand >- + {rocoto_load_modules} ; + /usr/bin/env ENSGRP={ENSGRP} &HOMEgfs;/jobs/{J_JOB} + ecflow_command: !expand | + export ENSGRP={ENSGRP} + $HOMEgfs/jobs/{J_JOB} + +# Create epos task array +# export FHRLST="f003 f004 f005 f006 f007 f008 f009" +# fhrlst=$(echo $FHRLST | sed -e 's/_/ /g; s/f/ /g; s/,/ /g') +# FHMIN_EPOS=$fhr +# FHMAX_EPOS=$fhr +# FHOUT_EPOS=$fhr +# job=epos${fhr} + post: !TaskArray + Trigger: !Depend forecast + Dimensions: + fhr: !calc doc.gfs_output_settings.enkf_epos_fhr + jgdas_enkf_post_fhr: !TaskElement + <<: *exclusive_task_template + Foreach: [ fhr ] + Name: !expand "jgdas_enkf_post_f{dimval.fhr:03d}" + FHMIN_EPOS: !expand '{dimval.fhr:03d}' + FHMAX_EPOS: !expand '{dimval.fhr:03d}' + FHOUT_EPOS: !expand '{dimval.fhr:03d}' + ecflow_command: !expand | + export FHMIN_EPOS={FHMIN_EPOS} FHMAX_EPOS={FHMAX_EPOS} FHOUT_EPOS={FHOUT_EPOS} + $HOMEgfs/jobs/{J_JOB} + rocoto_command: !expand >- + {rocoto_load_modules} ; + /usr/bin/env FHMIN_EPOS={FHMIN_EPOS} FHMAX_EPOS={FHMAX_EPOS} FHOUT_EPOS={FHOUT_EPOS} &HOMEgfs;/jobs/{J_JOB} + resources: !calc partition.resources.run_epos + J_JOB: JGDAS_ENKF_POST + + #endfamily enkf + #endfamily gdas + + ###################################################################### + ## GFS FAMILY ######################################################## + ###################################################################### + + gfs: !Family + Disable: !calc doc.settings.gfs_cyc==0 + Complete: !Depend ~ suite.has_cycle('-6:00:00') + AlarmName: gfs + RUN: 'gfs' + ecflow_def: "edit RUN 'gfs'" + + jgfs_emc_dump_waiter: !Task + <<: *dump_waiter_task + Disable: !calc metasched.type != 'ecflow' + realtime_logic: !FirstTrue + - when: !calc doc.settings.realtime + do: "edit ECF_TRIES 72" + - otherwise: "" + #Time: !FirstTrue + # - when: !calc doc.settings.four_cycle_mode + # do: !timedelta +2:47:00 + # - otherwise: null + ecflow_def: !expand | + {realtime_logic} + edit DUMPDIR '{doc.places.DMPDIR}' + Trigger: !Depend up.gdas.forecast.at('-6:00:00') + + dump: !Family + Trigger: !Depend up.gdas.forecast.at('-6:00:00') + Complete: !Depend ~ suite.has_cycle('-6:00:00') + Dummy: true ## <-- Node is not implemented yet + ecflow_def: "# NCO will need to add NCO dump job here" + jgfs_tropcy_qc_reloc: !Task + <<: *exclusive_task_template + Trigger: !Depend jgfs_dump + jtwc_bull_email: !DataEvent {file="/dev/null"} + resources: !calc partition.resources.run_one_hour_exclusive + Time: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + do: !timedelta +2:41:00 + - otherwise: null + + jgfs_dump: !Task + <<: *exclusive_task_template + ecflow_def: '#NCO needs to replace this with the real dump job' + release_sfcprep: !DataEvent {file="/dev/null"} + resources: !calc partition.resources.run_one_hour_exclusive + Time: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + do: !timedelta +2:47:00 + - otherwise: null + + prep: !Family + Trigger: !Depend ( ( jgfs_emc_dump_waiter.updated_status | dump.jgfs_dump.release_sfcprep ) & up.gdas.forecast.at('-6:00:00') ) + Complete: !Depend ~ suite.has_cycle('-6:00:00') + jgfs_emcsfc_sfc_prep: !Task +# Disable: !calc not doc.data_assimilation.DO_EMCSFC + <<: *exclusive_task_template + Trigger: !Depend 'up.dump.jgfs_dump.release_sfcprep | up.jgfs_emc_dump_waiter.updated_status' + resources: !calc partition.resources.run_sfc_prep + J_JOB: JGLOBAL_EMCSFC_SFC_PREP + ecf_environment_settings: !expand | + {doc.settings.ecflow_rocoto_cdate_workaround} + export DATAROOT="$DATAROOT.$job" + config_list: [ base, prep, prepbufr ] + + jgfs_prep: !Task + <<: *exclusive_task_template + ecflow_def: + edit model 'obsproc_global' + Trigger: !Depend up.dump + resources: !calc partition.resources.run_prep + ecf_environment_settings: !expand | + {doc.settings.ecflow_rocoto_cdate_workaround} + export DATAROOT="$DATAROOT.$job" + J_JOB: JGLOBAL_PREP + model: obsproc_global # for four cycle mode + J_JOB_PATH: '$HOMEobsproc_global/jobs' + config_list: [ base, prep, prepbufr ] + + jgfs_tropcy_cp: !Task + <<: *exclusive_task_template + Dummy: True + Trigger: !Depend prep.jgfs_prep + resources: !calc partition.resources.run_one_hour_exclusive + ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround + J_JOB: rocoto/tropcy_cp.sh + + jgfs_analysis: !Task + <<: *exclusive_task_template + Trigger: !Depend ( prep.jgfs_prep & prep.jgfs_emcsfc_sfc_prep & up.gdas.enkf.post.at('-6:00:00') ) + resources: !calc partition.resources.run_anal + J_JOB: JGLOBAL_ANALYSIS + Complete: !Depend ~ suite.has_cycle('-6:00:00') + + forecast: !Family + Complete: !Depend ~ suite.has_cycle('-6:00:00') + jgfs_forecast_high: !Task + <<: *exclusive_task_template + Trigger: !Depend up.jgfs_analysis + resources: !calc partition.resources.run_gfsfcst + J_JOB: JGLOBAL_FORECAST + resources_remap: !JobRequest [ { <<: *remap_resource_template } ] + + post: !TaskArray + RUN: !calc up.RUN + Dimensions: + fhr: !calc doc.gfs_output_settings.gfs_forecast_hours + jgfs_post_manager_el: !TaskElement + <<: *exclusive_task_template + RUN: !calc up.RUN + Disable: !calc metasched.type == 'rocoto' + Trigger: !FirstTrue + - when: !calc not doc.settings.dev_safeguards + take: !Depend "up.forecast.is_running()" + - otherwise: !Depend "up.forecast.is_running() | up.forecast" + Foreach: [ ] + J_JOB: JGLOBAL_POST_MANAGER + Name: jgfs_post_manager + resources: !calc partition.resources.run_gfs_post_manager + release_postanl: !DataEvent + file: !expand >- + {metasched.varref("COM")}/gfs.{metasched.datestring("%Y%m%d/%H/")}gfs.t{metasched.datestring("%H")}z.logf000.nemsio + release_post_fhr: !DataEventElement + Name: !expand "release_post{dimval.fhr:03d}" + Foreach: [ fhr ] + file: !expand >- + {metasched.varref("COM")}/gfs.{metasched.datestring("%Y%m%d/%H/")}gfs.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio + # NOTE: the above files must match post_manager.yaml + + jgfs_post_anl_el: !TaskElement + <<: *exclusive_task_template + Foreach: [] + FHR: anl + Name: jgfs_post_anl + Trigger: !FirstTrue + - when: !calc doc.settings.dev_safeguards + take: !Depend jgfs_post_manager.release_postanl + - otherwise: !Depend jgfs_post_manager.release_postanl | up.forecast + + ecflow_def: !expand "edit FHR '{FHR}'" + release_pgrb2_anl: !DataEvent {file="/dev/null"} + resources: !calc partition.resources.run_gfspost + J_JOB: JGLOBAL_NCEPPOST + ecflow_command: !expand | + export post_times=%FHR% FHRLST=%FHR% FHRGRP=%FHR% + $HOMEgfs/jobs/{J_JOB} + rocoto_command: !expand >- + {rocoto_load_modules} ; + /usr/bin/env post_times=anl &HOMEgfs;/jobs/{J_JOB} + + jgfs_post_fhr_el: !TaskElement + <<: *exclusive_task_template + Foreach: [ fhr ] + resources: !calc partition.resources.run_gfspost + Name: !expand jgfs_post_f{dimval.fhr:03d} + FHR: !expand "{dimval.fhr:03d}" + J_JOB: JGLOBAL_NCEPPOST + Trigger: !FirstTrue + - when: !calc not doc.settings.dev_safeguards + take: !Depend jgfs_post_manager.depend("release_post{F:03d}",F=[dimval.fhr]) + - otherwise: !Depend jgfs_post_manager.depend("release_post{F:03d}",F=[dimval.fhr]) | up.forecast + ecflow_def: !expand "edit FHR '{FHR}'" + ecflow_command: !expand | + export post_times=%FHR% FHRLST=%FHR% FHRGRP=%FHR% + $HOMEgfs/jobs/{J_JOB} + rocoto_command: !expand >- + {rocoto_load_modules} ; + /usr/bin/env post_times={FHR} FHRLST={FHR} FHRGRP={FHR} &HOMEgfs;/jobs/{J_JOB} + + jgfs_pgrb2_spec_post: !FirstTrue + - when: !calc max(doc.gfs_output_settings.gfs_forecast_hours)>=384 + do: !Task + <<: *exclusive_task_template + Dummy: true ## <-- Node is not implemented yet + Trigger: !Depend ( jgfs_post_f336 & jgfs_post_f348 & jgfs_post_f360 & jgfs_post_f372 & jgfs_post_f384 ) + resources: !calc partition.resources.run_gfspost + - otherwise: null + #endfamily post + + post_processing: !Family + Disable: !calc not doc.downstream.DO_POST_PROCESSING + fax: !Family + Disable: !calc not doc.downstream.DO_FAX + jgfs_fax_f000: !Task + <<: *fax_task_template + FCSTHR: '00' + Trigger: !Depend ( up.up.post.jgfs_post_f000 & up.up.post.jgfs_post_anl ) + + jgfs_fax_anl: !Task + <<: *fax_task_template + FCSTHR: 'anl' + Trigger: !Depend up.up.post.jgfs_post_anl + + jgfs_fax_wafs_f012: !Task + <<: *fax_wafs_task_template + FCSTHR: '12' + fhr_list: '06 12' + Trigger: !Depend up.up.post.jgfs_post_f012 + + jgfs_fax_wafs_f024: !Task + <<: *fax_wafs_task_template + FCSTHR: '24' + fhr_list: '18 24' + Trigger: !Depend up.up.post.jgfs_post_f024 + + jgfs_fax_wafs_f036: !Task + <<: *fax_wafs_task_template + FCSTHR: '36' + fhr_list: '30 36' + Trigger: !Depend up.up.post.jgfs_post_f036 + + grib_wafs: !TaskArray + Disable: !calc not doc.downstream.DO_WAFS + Dimensions: + fhr: !calc tools.seq(0,doc.gfs_output_settings.wafs_last_hour,6) + jgfs_wafs: !TaskElement + <<: *exclusive_task_template + Name: !expand 'jgfs_wafs_f{dimval.fhr:03d}' + resources: !ref partition.resources.run_one_node_downstream + Trigger: !FirstTrue + - when: !calc dimval.fhr == 0 + do: !Depend ( up.up.post.jgfs_post_f000 & up.up.post.jgfs_post_f120 & up.grib2_wafs.jgfs_wafs_grib2 ) + - otherwise: !Depend >- + up.up.post.depend("jgfs_post_f{N:03d}",N=[ dimval.fhr ]) + & up.grib_wafs.depend("jgfs_wafs_f{N:03d}",N=[ dimval.fhr-6 ]) + ecflow_command: !expand | + export fcsthrs=%FCSTHR% + $HOMEgfs/jobs/{J_JOB} + FCSTHR: !expand '{dimval.fhr:02d}' + J_JOB: JGFS_WAFS + more_vars: { fcsthrs: FCSTHR } + config_list: [ base, wafs ] + + bufr_sounding: !Family + Disable: !calc not doc.downstream.DO_BUFRSND + jgfs_postsnd: !Task + <<: *exclusive_task_template + Trigger: !Depend up.up.post.jgfs_post_f144 + resources: !calc partition.resources.run_postsnd + + bulletins: !Family + Disable: !calc not doc.downstream.DO_BULLETINS + jgfs_fbwind: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.up.post.jgfs_post_f006 & up.up.post.jgfs_post_f012 & up.up.post.jgfs_post_f024 ) + resources: !calc partition.resources.run_small_downstream + + grib2_wafs: !Family + Disable: !calc not doc.downstream.DO_WAFS + jgfs_wafs_grib2: !Task + <<: *exclusive_task_template + Trigger: !Depend up.up.post.jgfs_post_f000 + resources: !calc partition.resources.run_one_node_downstream + config_list: [ base, wafs ] + + jgfs_wafs_blending: !Task + <<: *exclusive_task_template + Trigger: !Depend jgfs_wafs_grib2 + Time: !FirstTrue + - when: !calc not doc.settings.dev_safeguards + do: !timedelta +4:33:00 + - otherwise: null + resources: !calc partition.resources.run_one_node_downstream + config_list: [ base, wafs ] + + jgfs_wafs_gcip: !Task + <<: *exclusive_task_template + Trigger: !Depend up.up.post.jgfs_post_f003 + resources: !calc partition.resources.run_one_node_downstream + config_list: [ base, wafs_gcip ] + J_JOB: JGFS_WAFS_GCIP + + awips_20km_1p0: !TaskArray + Disable: !calc not doc.downstream.DO_AWIPS + Dimensions: + fhr: !calc " sorted(list(set(doc.gfs_output_settings.gfs_forecast_hours)&set(doc.gfs_output_settings.awips_20km_1p0_hours))) " + # tasks every 6 hours till f240 + jgfs_awips: !TaskElement + <<: *exclusive_task_template + Foreach: [ fhr ] + Name: !expand "jgfs_awips_f{dimval.fhr:03d}" + ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround + Trigger: !Depend up.up.post.depend('jgfs_post_f{N:03d}',N=dimval.fhr) + resources: !calc partition.resources.run_awips_20km_1p0 + TRDRUN: !calc ('YES' if (dimval.fhr==0 or dimval.fhr%6!=0 or dimval.fhr>=84) else 'NO') + J_JOB: JGFS_AWIPS_20KM_1P0DEG + FHR: !expand '{dimval.fhr:03d}' + more_vars: { fcsthrs: FHR } + + awips_g2: !TaskArray + Disable: !calc not doc.downstream.DO_AWIPS + Dimensions: + fhr: !calc " sorted(list(set(doc.gfs_output_settings.gfs_forecast_hours)&set(doc.gfs_output_settings.awips_g2_hours))) " + jgfs_awips: !TaskElement + <<: *exclusive_task_template + Foreach: [ fhr ] + Name: !expand "jgfs_awips_g2_f{dimval.fhr:03d}" + Trigger: !Depend up.up.post.depend('jgfs_post_f{N:03d}',N=dimval.fhr) + resources: !calc partition.resources.run_awips_g2 + FHR: !expand '{dimval.fhr:03d}' + J_JOB: JGFS_AWIPS_G2 + more_vars: { fcsthrs: FHR } + #endfamily post_processing + + gempak: !Family + Disable: !calc not doc.downstream.DO_GEMPAK + jgfs_gempak: !Task + <<: *exclusive_task_template + resources: !calc partition.resources.run_gfs_gempak + Trigger: !Depend up.jgfs_analysis + + jgfs_gempak_meta: !Task + <<: *exclusive_task_template + Dummy: True # job is broken + Trigger: !Depend up.jgfs_analysis + resources: !calc partition.resources.run_gfs_gempak + + jgfs_pgrb2_spec_npoess: !Task + <<: *exclusive_task_template + Trigger: !Depend 'up.post.jgfs_post_anl.is_running() | up.post.jgfs_post_anl' + resources: !calc partition.resources.run_npoess + + jgfs_pgrb2_spec_gempak: !Task + <<: *exclusive_task_template + Trigger: !Depend jgfs_pgrb2_spec_npoess + resources: !calc partition.resources.run_big_downstream + + jgfs_gempak_ncdc_upapgif: !Task # NOTE: twelve-hourly + <<: *exclusive_task_template + Dummy: True # job is broken + Trigger: !Depend 'jgfs_gempak.is_running() | jgfs_gempak' + resources: !calc partition.resources.run_one_node_downstream + + jgfs_emc_vrfy: !Task + <<: *exclusive_task_template + Trigger: !Depend post + Disable: !calc not doc.settings.run_vrfy_jobs + resources: !calc partition.resources.run_gfsvrfy + J_JOB: rocoto/vrfy.sh + ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround + ecf_module_commands: "# vrfy.sh will load modules instead" + + #endfamily gempak + #endfamily gfs + + ###################################################################### + ## ARCHIVE FAMILY #################################################### + ###################################################################### + + archive: !Family + Disable: !calc not doc.archiving.archive_to_hpss + ecflow_def: + edit ECF_TRIES '3' + jgdas_archive: !Task + <<: *service_task_template + AlarmName: gdas + Trigger: !Depend up.gdas + #Trigger: !Depend up.gdas.jgdas_emc_vrfy + resources: !calc partition.resources.run_arch + Disable: !calc not doc.archiving.archive_to_hpss + ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround + J_JOB: rocoto/arch.sh + ecf_module_commands: "# arch.sh will load modules instead" + RUN: gdas + ecflow_def: !FirstTrue + - when: !calc not doc.settings.four_cycle_mode + take: "edit RUN 'gdas'" + - otherwise: | + time +00:10 + edit RUN 'gdas' + + jgdas_enkf_archive: !TaskArray + ecflow_def: "edit RUN 'gdas'" + AlarmName: gdas + Trigger: !Depend up.gdas.enkf.post + Dimensions: + groupid: !calc tools.seq(0,doc.data_assimilation.ENKF_ARCHIVE_GROUPS,1) + grp: !TaskElement + <<: *service_task_template + resources: !calc partition.resources.run_arch + ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround + J_JOB: rocoto/earc.sh + ecf_module_commands: "# arch.sh will load modules instead" + Foreach: [ groupid ] + Name: !expand "jgdas_enkf_archive_grp{dimval.groupid:02d}" + RUN: gdas + ENSGRP: !expand '{dimval.groupid:02d}' + more_vars: { ENSGRP: ENSGRP } + ecflow_def: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + take: !expand "edit ENSGRP '{ENSGRP}'" + - otherwise: !expand | + time +00:10 + edit ENSGRP '{ENSGRP}' + + jgfs_archive: !Task + <<: *service_task_template + Disable: !calc doc.settings.gfs_cyc == 0 + Complete: !Depend ~ suite.has_cycle('-6:00:00') + AlarmName: gfs + Trigger: !Depend up.gfs + resources: !calc partition.resources.run_arch + ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround + J_JOB: rocoto/arch.sh + ecf_module_commands: "# arch.sh will load modules instead" + RUN: gfs + ecflow_def: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + take: "edit RUN 'gfs'" + - otherwise: | + time +00:10 + edit RUN 'gfs' + + ###################################################################### + ## CYCLE COMPLETION TASKS ############################################ + ###################################################################### + + cycle_end: *cycle_end_task + + make_next_cycles: !Task + <<: *make_next_cycles_task + Disable: !calc ( not metasched.type=="ecflow" or doc.settings.four_cycle_mode ) + Trigger: !Depend gdas + ecflow_def: !expand | + # These variables are used by the make_next_cycles job to generate + # suites for later cycles once earlier cycles have finished. + edit WORKFLOW_FIRST_CYCLE '{tools.strftime(suite.Clock.start,"%Y%m%d%H")}' + edit WORKFLOW_LAST_CYCLE '{tools.strftime(suite.Clock.end,"%Y%m%d%H")}' + edit WORKFLOW_CYCLES_TO_GENERATE '5' + edit WORKFLOW_CROW_HOME '{doc.static_locations.initial_directory}' + edit WORKFLOW_EXPDIR '{doc.places.EXPDIR}' + edit RUN 'gdas' # useless but required + + final: !Task + <<: *service_task_template + Disable: !calc not metasched.type=="rocoto" + resources: !calc partition.resources.run_nothing + rocoto_command: /bin/true + RUN: gfs # useless but required + From 4442bc8a201e542b75b60c041db7538abf3f3722 Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Thu, 18 Apr 2019 20:22:07 -0400 Subject: [PATCH 471/487] clean up --- worktools.py | 7 ++----- 1 file changed, 2 insertions(+), 5 deletions(-) diff --git a/worktools.py b/worktools.py index 91f393f..9e3f981 100644 --- a/worktools.py +++ b/worktools.py @@ -8,8 +8,6 @@ from contextlib import suppress logger=logging.getLogger('crow.model.fv3gfs') -os.chdir('../') - YAML_DIRS_TO_COPY={ '../schema':'schema', '../defaults':'defaults', '../config':'config', @@ -17,8 +15,6 @@ YAML_FILES_TO_COPY={ '../_expdir_main.yaml': '_main.yaml', '../user.yaml': 'user.yaml' } -os.chdir('CROW/') - try: import crow except ImportError as ie: @@ -94,7 +90,8 @@ def find_available_platforms(platdir): def sandbox_platforms(platdir): available={} - plat=from_file('../user.yaml',f'{platdir}/_common.yaml',f'{platdir}/_sandbox.yaml') +# plat=from_file('../user.yaml',f'{platdir}/_common.yaml',f'{platdir}/_sandbox.yaml') + plat=from_file(f'{platdir}/_common.yaml',f'{platdir}/_sandbox.yaml') available[plat.platform.name]=plat return available From 23dcd4e6126e6473014dc0706a88e4b5f4f857d4 Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Thu, 18 Apr 2019 20:41:52 -0400 Subject: [PATCH 472/487] intermidiate modification of CROW regression test --- ecflow_main.py | 4 +- .../regtest/regression_case.yaml | 0 tests/regtest/regtest.py | 64 +++++- tests/test_data/regtest/_sandbox.yaml | 185 ------------------ tests/test_data/regtest/defaults/case.yaml | 82 +------- tests/test_data/regtest/schema/case.yaml | 22 +-- tests/test_data/regtest/schema/settings.yaml | 76 ------- tests/test_data/regtest/schema/task.yaml | 28 --- tests/test_data/regtest/user.yaml | 30 +-- 9 files changed, 79 insertions(+), 412 deletions(-) rename tests/{test_data => }/regtest/regression_case.yaml (100%) delete mode 100644 tests/test_data/regtest/_sandbox.yaml diff --git a/ecflow_main.py b/ecflow_main.py index ecd4cd5..35f493f 100644 --- a/ecflow_main.py +++ b/ecflow_main.py @@ -20,8 +20,8 @@ import worktools; # Edit your writting directory and comment out the following line: -output = '/Users/jiankuang/Documents/Eclipse_workspace//expdir/casetest1' +output = '/Users/jiankuang/Documents/Eclipse_workspace//expdir/test' if __name__ == '__main__': print(os.getcwd()) - worktools.make_ecflow_files_for_cycles(output,'2015112500','2015112506') + worktools.make_ecflow_files_for_cycles(output,'2018112800','2018112900') diff --git a/tests/test_data/regtest/regression_case.yaml b/tests/regtest/regression_case.yaml similarity index 100% rename from tests/test_data/regtest/regression_case.yaml rename to tests/regtest/regression_case.yaml diff --git a/tests/regtest/regtest.py b/tests/regtest/regtest.py index 8eca441..6ed56d0 100644 --- a/tests/regtest/regtest.py +++ b/tests/regtest/regtest.py @@ -6,6 +6,15 @@ from getopt import getopt from contextlib import suppress +sys.path.append(os.getcwd() + "/../../") + +import crow +import crow.tools, crow.config +from crow.metascheduler import to_ecflow, to_rocoto, to_dummy +from crow.config import from_dir, Suite, from_file, to_yaml +from crow.tools import Clock +import worktools as wt + YAML_DIRS_TO_COPY={ '../test_data/regtest/schema':'schema', '../test_data/regtest/defaults':'defaults', '../test_data/regtest/config':'config', @@ -13,6 +22,59 @@ YAML_FILES_TO_COPY={ '../test_data/regtest/_expdir_main.yaml': '_main.yaml', '../test_data/regtest/user.yaml': 'user.yaml' } -os.chdir('../../') +def reg_case_setup(): + + crow.set_superdebug(True) # superdebug on + force=True # Force rewrite + skip_comrot=False # Not skip comrot + force_platform_rewrite=True # Overwrite platform every time + + case_name='regression_case' + experiment_name='regtest_tmp' + + valid_platforms=wt.sandbox_platforms("../test_data/regtest/platforms/") + platdoc = wt.select_platform(None,valid_platforms) + + EXPDIR = wt.make_yaml_files_in_expdir( + os.path.abspath('../'),case_name,experiment_name,platdoc,force, + skip_comrot,force_platform_rewrite) + + doc=wt.from_dir(EXPDIR,validation_stage='setup') + suite=Suite(doc.suite) + wt.to_dummy(suite) + suite_doc=suite._globals()['doc'] + wt.make_config_files_in_expdir(suite_doc,EXPDIR) + + wt.create_COMROT(doc,force) + + print() + print(f'CROW Regression Case set up completed') + print() + print(f' YAML files: {EXPDIR}') + print(f' Config files: {EXPDIR}') + print(f' COM directory: {doc.places.ROTDIR}') + print() + print('Now you should make a workflow:') + print() + print(f' Rocoto: ./make_rocoto_xml_for.sh {EXPDIR}') + print(f' ecFlow: ./make_ecflow_files_for.sh -v {EXPDIR} SDATE EDATE') + print() + + +def reg_ecflow(): + return(0) + +def reg_rocoto(): + return(0) + +def reg_compare(): + return(0) +if __name__ == '__main__': + print(f'CROW Regression Case begins') + reg_case_setup() + reg_ecflow() + reg_rocoto() + reg_compare() + print(os.getcwd()) \ No newline at end of file diff --git a/tests/test_data/regtest/_sandbox.yaml b/tests/test_data/regtest/_sandbox.yaml deleted file mode 100644 index fe75862..0000000 --- a/tests/test_data/regtest/_sandbox.yaml +++ /dev/null @@ -1,185 +0,0 @@ -# This file configures the workflow to run on the cray parts of WCOSS - -platform: !Platform - <<: *global_platform_common - - # Evaluate: this must be "false" to ensure disk space availability logic - # is not run unless this file is for the current platform. - Evaluate: false - - # name: the name of this platform; this must match what the underlying - # scripts expect. - name: sandbox - - # detect: this is a function that returns true iff the user is on GAEA - # and false otherwise - detect: True - - # skip_if_others_present: if this is true, and at least one other - # platform is detected with this flag set to false, then skip this - # platform - skip_if_others_present: true - - # public_release_ics: location of input conditions that have been - # prepared for the public release. - public_release_ics: /gpfs/hps3/emc/global/noscrub/emc.glopara/FV3GFS_V1_RELEASE/ICs - - # CHGRP_RSTPROD_COMMAND - this specifies the command to use to - # restrict access to NOAA "rstprod" data restriction class. - # This only used for observation processing, data assimilation, and - # data assimilation archiving, which are not in the public release. - CHGRP_RSTPROD_COMMAND: "chgrp rstprod" - - # NWPROD - location of the NCEP operational "nwprod" directory, which - # only has meaning on the NCEP WCOSS machines. It is used to get - # the paths to certain programs and scripts. - NWPROD: "/gpfs/hps/nco/ops/nwprod" - - # DMPDIR - location of the global dump data. This is used by the observation - # processing scripts, which are not included in the public release. - DMPDIR: !calc doc.user_places.PROJECT_DIR - #"/Users/jiankuang/Documents/Eclipse_workspace/ecfutils_007" - #DMPDIR: !FirstTrue - # - do: "/gpfs/gp1/emc/globaldump" - # when: !calc tools.isdir(do) - # - do: "/gpfs/tp1/emc/globaldump" - # when: !calc tools.isdir(do) - # - otherwise: !error "Cannot find globaldump directory." - - # RTMFIX - location of the CRTM fixed data files used by the GSI data - # assimilation. The data assimilation is not included in this public release - # so this path is unused. - RTMFIX: "$CRTM_FIX" - - # BASE_SVN - a directory maintained by emc global model developers - # that contains recent versions of source code and executables for - # various subversion repositories. This is used on some platforms - # to find executables for this workflow. - BASE_SVN: "/gpfs/hps3/emc/global/noscrub/emc.glopara/svn" - - # BASE_GIT - a directory maintained by emc global model developers - # that contains recent versions of source code and executables for - # various git repositories. This is used on some platforms to find - # executables for this workflow. - BASE_GIT: "/gpfs/hps3/emc/global/noscrub/emc.glopara/git" - - # config_base_extras - Additional configuration data to put in the - # config.base file - config_base_extras: "sandbox" - #config_base_extras: | - # if [ -d /gpfs/tp1 ]; then - # export SITE="LUNA" - # elif [ -d /gpfs/gp1 ]; then - # export SITE="SURGE" - # fi - - # Additional variables to send to Rocoto XML entities or ecflow edits. - metasched_more: !expand | - {metasched.defvar(doc.schedvar.exclusive_queue, doc.accounting.exclusive_partition.exclusive_queue)} - {metasched.defvar(doc.schedvar.shared_queue, doc.accounting.shared_partition.shared_queue)} - {metasched.defvar(doc.schedvar.service_queue, doc.accounting.service_partition.service_queue)} - {metasched.defvar(doc.schedvar.cpu_project, doc.accounting.cpu_project)} - - partitions: - Evaluate: false - default_exclusive: !calc doc.platform.partitions.sandbox - default_service: !calc doc.platform.partitions.sandbox - default_shared: !calc doc.platform.partitions.sandbox - sandbox: - <<: *global_partition_common - - # specification - string to specify to the batch system to - # request this partition. Not relevant for WCOSS Cray - specification: null - - # shared_accounting_ref - accounting settings for shared jobs - shared_accounting_ref: - queue: !calc metasched.varref(doc.schedvar.shared_queue) - project: !calc metasched.varref(doc.schedvar.cpu_project) - - # service_accounting_ref - accounting settings for service jobs (jobs - # that require tape or network access) - service_accounting_ref: - queue: !calc metasched.varref(doc.schedvar.service_queue) - project: !calc metasched.varref(doc.schedvar.cpu_project) - - # exclusive_accounting_ref - accounting settings for jobs that require - # exclusive access to a node. - exclusive_accounting_ref: - queue: !calc metasched.varref(doc.schedvar.exclusive_queue) - project: !calc metasched.varref(doc.schedvar.cpu_project) - - # Queues to use for each job type. This logic automatically - # switches between development queues on the backup machine and - # development queues on the production machine based on whether the - # /gpfs/hps2/ptmp is writable. - shared_queue: dev - service_queue: dev - exclusive_queue: dev - #shared_queue: !FirstTrue - # - when: !calc ( tools.can_write("/gpfs/hps2/ptmp") ) - # do: dev_shared - # - otherwise: devonprod_shared - #service_queue: dev_transfer - #exclusive_queue: !FirstTrue - # - when: !calc ( tools.can_write("/gpfs/hps2/ptmp") ) - # do: dev - # - otherwise: devonprod - - # Details about the scheduler on this cluster. - scheduler_settings: - scheduler_name: LSFAlps - parallelism_name: LSFAlps - node_type: generic - physical_cores_per_node: 24 - logical_cpus_per_core: 2 - hyperthreading_allowed: true - indent_text: " " - memory_per_node: !calc (64*1024) - - scheduler: !calc | - tools.get_scheduler(scheduler_settings.scheduler_name, scheduler_settings) - parallelism: !calc | - tools.get_parallelism(scheduler_settings.parallelism_name, scheduler_settings) - nodes: !calc | - tools.node_tool_for(scheduler_settings.node_type, scheduler_settings) - - # Path to mmlsquota, the program used to get GPFS disk usage information: - #mmlsquota: "/usr/lpp/mmfs/bin/mmlsquota" - - # Automatically detect the least used scrub area the user can access: - #least_used_ptmp: !Immediate - # - !FirstMax - # - do: /gpfs/hps3/ptmp - # when: !calc ( int(tools.can_write(do)) * tools.gpfs_gb(do,"hps3-ptmp","hps3",mmlsquota) ) - # message: Use {do} for long-term temp. - # - do: /gpfs/hps/ptmp - # when: !calc ( int(tools.can_write(do)) * tools.gpfs_gb(do,"hps-ptmp","hps",mmlsquota) ) - # message: Use {do} for long-term temp. - # - do: /gpfs/hps2/ptmp - # when: !calc ( int(tools.can_write(do)) * tools.gpfs_gb(do,"hps2-ptmp","hps2",mmlsquota) ) - # message: Use {do} for long-term temp. - #least_used_stmp: !Immediate - # - !FirstMax - # - do: /gpfs/hps/stmp - # when: !calc ( int(tools.can_write(do)) * tools.gpfs_gb(do,"hps-stmp","hps",mmlsquota) ) - # message: Use {do} for short-term temp. - # - do: /gpfs/hps2/stmp - # when: !calc ( int(tools.can_write(do)) * tools.gpfs_gb(do,"hps2-stmp","hps2",mmlsquota) ) - # message: Use {do} for short-term temp. - # - do: /gpfs/hps3/stmp - # when: !calc ( int(tools.can_write(do)) * tools.gpfs_gb(do,"hps3-stmp","hps3",mmlsquota) ) - # message: Use {do} for short-term temp. - - # long_term_temp - area for storage of data that must be passed - # between jobs or shared with programs external to this workflow. - long_term_temp: !calc doc.user_places.PROJECT_DIR - #!expand "{least_used_ptmp}/{tools.env('USER')}" - - # short_term_temp - area for data that is only needed within one job: - short_term_temp: !calc doc.user_places.PROJECT_DIR - #!expand "{least_used_stmp}/{tools.env('USER')}" - - # EXP_PARENT_DIR - Parent directory of the expdir (experiment directory) - EXP_PARENT_DIR: !calc doc.user_places.PROJECT_DIR - #!expand "{doc.user_places.PROJECT_DIR}/noscrub/{tools.env('USER')}" diff --git a/tests/test_data/regtest/defaults/case.yaml b/tests/test_data/regtest/defaults/case.yaml index 4a1c561..f66dd4d 100644 --- a/tests/test_data/regtest/defaults/case.yaml +++ b/tests/test_data/regtest/defaults/case.yaml @@ -3,82 +3,16 @@ # of the case files, default files, platform file, and everywhere # else, and applies any validation from the schema/ directory. -fv3_settings: !Immediate +base_settings: !Immediate - !MergeMapping - - !calc doc.case.fv3_settings - - CDUMP: gfs - Template: *fv3_settings_template - - !calc doc.get('user_fv3_settings',{}) - -schedvar: !Immediate - - !MergeMapping - - !calc doc.case.get('schedvar',{}) - - Template: *schedvar_schema - - !calc doc.get('schedvar_defaults',{}) - -fv3_gfs_settings: !Immediate - - !MergeMapping - - !calc doc.case.fv3_settings - - CDUMP: gfs - Template: *fv3_settings_template - - !calc doc.case.get('fv3_gfs_settings',{}) - - !calc doc.get('user_fv3_gfs_settings',{}) - -fv3_enkf_settings: !Immediate - - !MergeMapping - - !calc doc.fv3_enkf_defaults - - !calc doc.case.fv3_settings - - CDUMP: gdas - Template: *fv3_settings_template - - !calc doc.case.get('fv3_enkf_settings',{}) - - !calc doc.get('user_fv3_enkf_settings',{}) - -fv3_gdas_settings: !Immediate - - !MergeMapping - - !calc doc.fv3_gfs_settings - - CDUMP: gdas - Template: *fv3_settings_template - - !calc doc.case.get('fv3_gdas_settings',{}) - - !calc doc.get('user_fv3_gfs_settings',{}) - -gfs_output_settings: !Immediate - - !MergeMapping - - !calc doc.gfs_output_settings_defaults - - { Template: *gfs_output_settings_template } - - !calc doc.case.get('gfs_output_settings',{}) - - !calc doc.get('user_gfs_output_settings',{}) - -data_assimilation: !Immediate - - !MergeMapping - - { Template: *data_assimilation_template } - - !calc doc.case.get('data_assimilation',{}) - - !calc doc.get('user_data_assimilation',{}) - -post: !Immediate - - !MergeMapping - - { Template: *post_schema } - - !calc doc.case.get('post',{}) - - !calc doc.get('user_post',{}) - -downstream: !Immediate - - !MergeMapping - - !calc doc.downstream_defaults - - { Template: *downstream_schema } - - !calc doc.case.get('downstream',{}) - - !calc doc.get('user_downstream',{}) + - Template: *settings_schema + - !calc doc.get('user_settings',{}) places: !Immediate - !MergeMapping - - Template: *places_schema - !calc doc.default_places - !calc doc.case.get('places',{}) - !calc doc.user_places - -nsst: !Immediate - - !MergeMapping - - Template: *nsst_schema - - !calc doc.case.get('nsst',{}) - - !calc doc.get('user_nsst',{}) exclusive_resources: !calc doc.platform.partitions.default_exclusive.resources shared_resources: !calc doc.platform.partitions.default_shared.resources @@ -90,13 +24,6 @@ settings: !Immediate - !calc doc.default_settings - !calc doc.case.settings - !calc doc.get('user_settings',{}) - - Template: *settings_schema - -archiving: !Immediate - - !MergeMapping - - Template: *archive_settings_template - - !calc doc.case.get('archiving',{}) - - !calc doc.get('user_archiving',{}) suite_overrides: !Immediate - !AppendSequence @@ -106,9 +33,6 @@ suite_overrides: !Immediate validate_me: - fv3_settings - - fv3_gfs_settings - - fv3_enkf_settings - - fv3_gdas_settings - gfs_output_settings - data_assimilation - post diff --git a/tests/test_data/regtest/schema/case.yaml b/tests/test_data/regtest/schema/case.yaml index 3ee39bb..c528e45 100644 --- a/tests/test_data/regtest/schema/case.yaml +++ b/tests/test_data/regtest/schema/case.yaml @@ -1,31 +1,25 @@ case_template: !Template &case_template - gfs_cyc: + cycle_type: type: int default: 2 - allowed: [ 0, 1, 2, 4 ] + allowed: [ 0, 1, 2 ] description: | - When to run GFS forecast. Data assimilation is run for every - cycle regardless of these values - * 0: no GFS cycle - * 1: 00Z only - * 2: 00Z and 12Z only - * 4: all 4 cycles (0, 6, 12, 18 Z) + This section describes what it means for allowed values + * 0: dry run + * 1: Run type 1 + * 2: Run type 2 SDATE: type: datetime description: | - First cycle to run. In the cycled workflow, this is a - "half-cycle" in that it only runs the parts of the workflow that - do not require a prior cycle. The first "real" cycle is the - following cycle. + First cycle to run. EDATE: type: datetime - description: Last cycle to run GDAS. + description: Last cycle to run. experiment_name: type: string default: !calc doc.experiment_name description: | User-defined experiment name; should be a-z followed by alphanumeric. - Formerly known as PSLOT. diff --git a/tests/test_data/regtest/schema/settings.yaml b/tests/test_data/regtest/schema/settings.yaml index e6ed15d..9a12e15 100644 --- a/tests/test_data/regtest/schema/settings.yaml +++ b/tests/test_data/regtest/schema/settings.yaml @@ -1,86 +1,20 @@ settings_schema: !Template &settings_schema - dev_safeguards: - type: bool - default: true - description: "Add backup triggers in workflow to handle scheduling delays, such as the forecast finishing any posts start. Only turn this off for NCO operational deliveries." - realtime: type: bool default: false description: "Simulation of an event that is currently happening (ie.: a forecast)" - run_vrfy_jobs: - type: bool - default: true - description: "Run the EMC product generation jobs." - - four_cycle_mode: - type: bool - description: "Enable NCO-like four cycle suite. Requires a special setup for your ecFlow server, and a prepared directory structure designed to look like NCEP production. Do not use unless you know what you're doing." - default: false - if_present: !FirstTrue - - when: !calc four_cycle_mode - take: !FirstTrue - - when: !calc ( doc.names.experiment in [ "prod", "para", "test" ] ) - message: !expand "Running four cycle mode with envir={doc.names.experiment}" - take: null - - otherwise: !error "In four cycle mode, the experiment must be prod, para, or test, not {doc.names.experiment}." - - otherwise: null - -# ecf_module_commands: -# type: str -# default: !FirstTrue -# - when: !calc four_cycle_mode -# take: !calc doc.platform.four_cycle_mode_modules -# - otherwise: !expand | -# source "$HOMEgfs/ush/load_fv3gfs_modules.sh" {task_type} - - rocoto_cycle_throttle: - type: int - description: "Maximum number of cycles active at once in a Rocoto workflow" - default: 2 - rocoto_task_throttle: type: int description: "Maximum number of tasks active (queued/running) at once in a Rocoto workflow" default: 5 - use_nco_ecflow_headers: - type: bool - description: "Use the NCO model-ver.h instead of experiment-specific paths. This will cause you to use the scripts and code in NCEP Operational areas instead of your own scripts and code. Only use this option if you know what you're doing." - default: !calc four_cycle_mode - - prod_util_module: - type: str - default: !calc doc.platform.get("prod_util_module","prod_util") - description: Name of the unix modulefile to load to obtain NCEP production shell utilities. - - ecflow_module: - type: str - default: !calc doc.platform.get("ecflow_module","ecflow") - description: Name of the unix modulefile to load to obtain ecflow_client. - ecflow_real_clock: type: bool default: no description: the ecflow suite definition should specify a real clock - ecflow_virtual_clock: - type: bool - default: no - description: the ecflow suite definition should specify a virtual clock - - ecflow_hybrid_clock: - type: bool - default: no - description: the ecflow suite definition should specify a hybrid clock - - ecflow_totality_limit: - type: bool - default: yes - description: 'Place the entire suite in the "/totality_limit:TOTALITY" limit so that the server can limit the total number of jobs running.' - run_gsi: type: bool description: "Run Gridpoint Statistical Interpolation" @@ -90,21 +24,11 @@ settings_schema: !Template &settings_schema description: "Enable Ensemble Kalman Filter" default: !calc run_gsi - chgres_and_convert_ics: - type: bool - description: "Run the fv3ic job, which changes restart file resolution and converts legacy GFS GSM restart files to FV3 restart files." - max_job_tries: type: int default: 1 description: "Number of times to try running a job. Set to 1 for no retries." - IC_CDUMP: - type: string - allowed: [ gfs, gdas ] - optional: true - description: Get initial conditions from gfs or gdas - gfs_cyc: type: int default: 4 diff --git a/tests/test_data/regtest/schema/task.yaml b/tests/test_data/regtest/schema/task.yaml index 71676ae..c7d6d48 100644 --- a/tests/test_data/regtest/schema/task.yaml +++ b/tests/test_data/regtest/schema/task.yaml @@ -35,15 +35,6 @@ task_schema: &task_schema !Template type: string stages: [ execution ] - more_vars: - description: >- - List of variables that should be added to Rocoto envar blocks, - ecflow suite definition edits, and ecf file exports. Overriding - ecflow_def will prevent this from being passed through as edits - in the suite definition. - type: string list - stages: [ execution ] - ecf_environment_settings: description: "Tuning variables like KMP_AFFINITY and OMP_STACKSIZE." type: string @@ -65,23 +56,4 @@ task_schema: &task_schema !Template default: "" stages: [ execution ] - ecf_maybe_ntasks: - description: "Sets the $ntasks variable (number of MPI ranks) if needed" - type: string - default: "" - stages: [ execution ] - - ecf_maybe_threads: - description: "Sets the $threads variable (number of OpenMP threads) if needed" - type: string - default: "" - stages: [ execution ] - - ecf_maybe_ptile: - description: >- - Sets the $ptile variable (maximum number of MPI ranks per node) if needed - type: string - default: "" - stages: [ execution ] - diff --git a/tests/test_data/regtest/user.yaml b/tests/test_data/regtest/user.yaml index 344e645..24c1b02 100644 --- a/tests/test_data/regtest/user.yaml +++ b/tests/test_data/regtest/user.yaml @@ -1,38 +1,14 @@ user_places: &user_places - PROJECT_DIR: !error Please select a project directory. - # ie. /scratch4/NCEPDEV/ocean - - # Override scrub areas here. Mandatory on Jet. - # LONG_TERM_TEMP: !expand "/lfs3/projects/hfv3gfs/{tools.env('USER')}/scrub" - # SHORT_TERM_TEMP: !expand "/lfs3/projects/hfv3gfs/{tools.env('USER')}/scrub" - - # Some other interesting directories: - - # COM directory: - # ROTDIR: !expand "/path/to/some/scrub/area/{tools.env('USER')}/comrot/{doc.names.experiment}" - - # Scrub area for individual jobs: - # DATAROOT: !expand "/path/to/some/scrub/area/RUNDIRS/{doc.names.experiment}" - - # Input conditions. This should usually be specified in the case file: - # ICSDIR: !expand "/path/to/your/FV3ICS" + PROJECT_DIR: /Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/ #!error Please select a project directory. accounting: &accounting # Project for CPU accounting. user_email: none # only when you want automatic status report - cpu_project: !error What accounting code do I use to submit jobs? # ie.: global - hpss_project: !error Where do I put data on HPSS? # ie.: emc-global + cpu_project: none #!error What accounting code do I use to submit jobs? # ie.: global + hpss_project: none #!error Where do I put data on HPSS? # ie.: emc-global ecflow_machine: venus # only relevant on WCOSS using ecFlow ecflow_header: envir-p3.h - # Choose the partition used for each job type. Default is set in - # the platform yaml files. shared_partition: !calc doc.platform.partitions.default_shared exclusive_partition: !calc doc.platform.partitions.default_exclusive service_partition: !calc doc.platform.partitions.default_service - -# Optional. Override settings in suite. This example would use -# tjet:ujet for running the forecast jobs on Jet: -# user_suite_overrides: -# - Search: '**/forecast/*_forecast*' -# partition: !calc doc.platform.partitions.tjet_or_ujet From 1639966f6d58cb64ba2231c4de47d62a4a42957e Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Thu, 18 Apr 2019 22:47:22 -0400 Subject: [PATCH 473/487] bring YAML_DIRS_TO_COPY and YAML_FILES_TO_COPY into function setup_case() --- tests/regtest/regtest.py | 42 ++++++---- tests/test_data/regtest/defaults/case.yaml | 81 +++++++++++++++++++- tests/test_data/regtest/schema/case.yaml | 22 ++++-- tests/test_data/regtest/schema/settings.yaml | 76 ++++++++++++++++++ tests/test_data/regtest/schema/task.yaml | 28 +++++++ worktools.py | 25 +++--- 6 files changed, 237 insertions(+), 37 deletions(-) diff --git a/tests/regtest/regtest.py b/tests/regtest/regtest.py index 6ed56d0..13fe72d 100644 --- a/tests/regtest/regtest.py +++ b/tests/regtest/regtest.py @@ -5,6 +5,7 @@ from copy import copy from getopt import getopt from contextlib import suppress +logger=logging.getLogger('crow.model.fv3gfs') sys.path.append(os.getcwd() + "/../../") @@ -12,19 +13,30 @@ import crow.tools, crow.config from crow.metascheduler import to_ecflow, to_rocoto, to_dummy from crow.config import from_dir, Suite, from_file, to_yaml -from crow.tools import Clock -import worktools as wt +from crow.tools import Clock #import worktools as wt + +from worktools import loudly_make_dir_if_missing +from worktools import loudly_make_symlink +from worktools import make_parent_dir, find_available_platforms, sandbox_platforms +from worktools import select_platform, create_COMROT, find_case_yaml_file_for +from worktools import read_yaml_suite, make_config_files_in_expdir +from worktools import make_yaml_files_in_expdir, make_clocks_for_cycle_range +from worktools import generate_ecflow_suite_in_memory, make_ecflow_job_and_out_directories +from worktools import make_log_directories, write_ecflow_suite_to_disk +from worktools import get_target_dir_and_check_ecflow_env, check_or_populate_ecf_include +from worktools import create_new_ecflow_workflow, update_existing_ecflow_workflow +from worktools import load_ecflow_suites, begin_ecflow_suites, make_rocoto_xml -YAML_DIRS_TO_COPY={ '../test_data/regtest/schema':'schema', +def reg_case_setup(): + + YAML_DIRS_TO_COPY={ '../test_data/regtest/schema':'schema', '../test_data/regtest/defaults':'defaults', '../test_data/regtest/config':'config', '../test_data/regtest/runtime':'runtime' } # important: no ending / -YAML_FILES_TO_COPY={ '../test_data/regtest/_expdir_main.yaml': '_main.yaml', + YAML_FILES_TO_COPY={ '../test_data/regtest/_expdir_main.yaml': '_main.yaml', '../test_data/regtest/user.yaml': 'user.yaml' } - -def reg_case_setup(): - + logger.setLevel(logging.INFO) crow.set_superdebug(True) # superdebug on force=True # Force rewrite skip_comrot=False # Not skip comrot @@ -33,20 +45,20 @@ def reg_case_setup(): case_name='regression_case' experiment_name='regtest_tmp' - valid_platforms=wt.sandbox_platforms("../test_data/regtest/platforms/") - platdoc = wt.select_platform(None,valid_platforms) + valid_platforms=sandbox_platforms("../test_data/regtest/platforms/") + platdoc = select_platform(None,valid_platforms) - EXPDIR = wt.make_yaml_files_in_expdir( - os.path.abspath('../'),case_name,experiment_name,platdoc,force, + EXPDIR = make_yaml_files_in_expdir( + os.path.abspath('../test_data/regtest/'),YAML_DIRS_TO_COPY,YAML_FILES_TO_COPY,case_name,experiment_name,platdoc,force, skip_comrot,force_platform_rewrite) - doc=wt.from_dir(EXPDIR,validation_stage='setup') + doc=from_dir(EXPDIR,validation_stage='setup') suite=Suite(doc.suite) - wt.to_dummy(suite) + to_dummy(suite) suite_doc=suite._globals()['doc'] - wt.make_config_files_in_expdir(suite_doc,EXPDIR) + make_config_files_in_expdir(suite_doc,EXPDIR) - wt.create_COMROT(doc,force) + create_COMROT(doc,force) print() print(f'CROW Regression Case set up completed') diff --git a/tests/test_data/regtest/defaults/case.yaml b/tests/test_data/regtest/defaults/case.yaml index f66dd4d..b9ff131 100644 --- a/tests/test_data/regtest/defaults/case.yaml +++ b/tests/test_data/regtest/defaults/case.yaml @@ -3,16 +3,82 @@ # of the case files, default files, platform file, and everywhere # else, and applies any validation from the schema/ directory. -base_settings: !Immediate +fv3_settings: !Immediate - !MergeMapping - - Template: *settings_schema - - !calc doc.get('user_settings',{}) + - !calc doc.case.fv3_settings + - CDUMP: gfs + Template: *fv3_settings_template + - !calc doc.get('user_fv3_settings',{}) + +schedvar: !Immediate + - !MergeMapping + - !calc doc.case.get('schedvar',{}) + - Template: *schedvar_schema + - !calc doc.get('schedvar_defaults',{}) + +fv3_gfs_settings: !Immediate + - !MergeMapping + - !calc doc.case.fv3_settings + - CDUMP: gfs + Template: *fv3_settings_template + - !calc doc.case.get('fv3_gfs_settings',{}) + - !calc doc.get('user_fv3_gfs_settings',{}) + +fv3_enkf_settings: !Immediate + - !MergeMapping + - !calc doc.fv3_enkf_defaults + - !calc doc.case.fv3_settings + - CDUMP: gdas + Template: *fv3_settings_template + - !calc doc.case.get('fv3_enkf_settings',{}) + - !calc doc.get('user_fv3_enkf_settings',{}) + +fv3_gdas_settings: !Immediate + - !MergeMapping + - !calc doc.fv3_gfs_settings + - CDUMP: gdas + Template: *fv3_settings_template + - !calc doc.case.get('fv3_gdas_settings',{}) + - !calc doc.get('user_fv3_gfs_settings',{}) + +gfs_output_settings: !Immediate + - !MergeMapping + - !calc doc.gfs_output_settings_defaults + - { Template: *gfs_output_settings_template } + - !calc doc.case.get('gfs_output_settings',{}) + - !calc doc.get('user_gfs_output_settings',{}) + +data_assimilation: !Immediate + - !MergeMapping + - { Template: *data_assimilation_template } + - !calc doc.case.get('data_assimilation',{}) + - !calc doc.get('user_data_assimilation',{}) + +post: !Immediate + - !MergeMapping + - { Template: *post_schema } + - !calc doc.case.get('post',{}) + - !calc doc.get('user_post',{}) + +downstream: !Immediate + - !MergeMapping + - !calc doc.downstream_defaults + - { Template: *downstream_schema } + - !calc doc.case.get('downstream',{}) + - !calc doc.get('user_downstream',{}) places: !Immediate - !MergeMapping + - Template: *places_schema - !calc doc.default_places - !calc doc.case.get('places',{}) - !calc doc.user_places + +nsst: !Immediate + - !MergeMapping + - Template: *nsst_schema + - !calc doc.case.get('nsst',{}) + - !calc doc.get('user_nsst',{}) exclusive_resources: !calc doc.platform.partitions.default_exclusive.resources shared_resources: !calc doc.platform.partitions.default_shared.resources @@ -24,6 +90,12 @@ settings: !Immediate - !calc doc.default_settings - !calc doc.case.settings - !calc doc.get('user_settings',{}) + +archiving: !Immediate + - !MergeMapping + - Template: *archive_settings_template + - !calc doc.case.get('archiving',{}) + - !calc doc.get('user_archiving',{}) suite_overrides: !Immediate - !AppendSequence @@ -33,6 +105,9 @@ suite_overrides: !Immediate validate_me: - fv3_settings + - fv3_gfs_settings + - fv3_enkf_settings + - fv3_gdas_settings - gfs_output_settings - data_assimilation - post diff --git a/tests/test_data/regtest/schema/case.yaml b/tests/test_data/regtest/schema/case.yaml index c528e45..3ee39bb 100644 --- a/tests/test_data/regtest/schema/case.yaml +++ b/tests/test_data/regtest/schema/case.yaml @@ -1,25 +1,31 @@ case_template: !Template &case_template - cycle_type: + gfs_cyc: type: int default: 2 - allowed: [ 0, 1, 2 ] + allowed: [ 0, 1, 2, 4 ] description: | - This section describes what it means for allowed values - * 0: dry run - * 1: Run type 1 - * 2: Run type 2 + When to run GFS forecast. Data assimilation is run for every + cycle regardless of these values + * 0: no GFS cycle + * 1: 00Z only + * 2: 00Z and 12Z only + * 4: all 4 cycles (0, 6, 12, 18 Z) SDATE: type: datetime description: | - First cycle to run. + First cycle to run. In the cycled workflow, this is a + "half-cycle" in that it only runs the parts of the workflow that + do not require a prior cycle. The first "real" cycle is the + following cycle. EDATE: type: datetime - description: Last cycle to run. + description: Last cycle to run GDAS. experiment_name: type: string default: !calc doc.experiment_name description: | User-defined experiment name; should be a-z followed by alphanumeric. + Formerly known as PSLOT. diff --git a/tests/test_data/regtest/schema/settings.yaml b/tests/test_data/regtest/schema/settings.yaml index 9a12e15..e6ed15d 100644 --- a/tests/test_data/regtest/schema/settings.yaml +++ b/tests/test_data/regtest/schema/settings.yaml @@ -1,20 +1,86 @@ settings_schema: !Template &settings_schema + dev_safeguards: + type: bool + default: true + description: "Add backup triggers in workflow to handle scheduling delays, such as the forecast finishing any posts start. Only turn this off for NCO operational deliveries." + realtime: type: bool default: false description: "Simulation of an event that is currently happening (ie.: a forecast)" + run_vrfy_jobs: + type: bool + default: true + description: "Run the EMC product generation jobs." + + four_cycle_mode: + type: bool + description: "Enable NCO-like four cycle suite. Requires a special setup for your ecFlow server, and a prepared directory structure designed to look like NCEP production. Do not use unless you know what you're doing." + default: false + if_present: !FirstTrue + - when: !calc four_cycle_mode + take: !FirstTrue + - when: !calc ( doc.names.experiment in [ "prod", "para", "test" ] ) + message: !expand "Running four cycle mode with envir={doc.names.experiment}" + take: null + - otherwise: !error "In four cycle mode, the experiment must be prod, para, or test, not {doc.names.experiment}." + - otherwise: null + +# ecf_module_commands: +# type: str +# default: !FirstTrue +# - when: !calc four_cycle_mode +# take: !calc doc.platform.four_cycle_mode_modules +# - otherwise: !expand | +# source "$HOMEgfs/ush/load_fv3gfs_modules.sh" {task_type} + + rocoto_cycle_throttle: + type: int + description: "Maximum number of cycles active at once in a Rocoto workflow" + default: 2 + rocoto_task_throttle: type: int description: "Maximum number of tasks active (queued/running) at once in a Rocoto workflow" default: 5 + use_nco_ecflow_headers: + type: bool + description: "Use the NCO model-ver.h instead of experiment-specific paths. This will cause you to use the scripts and code in NCEP Operational areas instead of your own scripts and code. Only use this option if you know what you're doing." + default: !calc four_cycle_mode + + prod_util_module: + type: str + default: !calc doc.platform.get("prod_util_module","prod_util") + description: Name of the unix modulefile to load to obtain NCEP production shell utilities. + + ecflow_module: + type: str + default: !calc doc.platform.get("ecflow_module","ecflow") + description: Name of the unix modulefile to load to obtain ecflow_client. + ecflow_real_clock: type: bool default: no description: the ecflow suite definition should specify a real clock + ecflow_virtual_clock: + type: bool + default: no + description: the ecflow suite definition should specify a virtual clock + + ecflow_hybrid_clock: + type: bool + default: no + description: the ecflow suite definition should specify a hybrid clock + + ecflow_totality_limit: + type: bool + default: yes + description: 'Place the entire suite in the "/totality_limit:TOTALITY" limit so that the server can limit the total number of jobs running.' + run_gsi: type: bool description: "Run Gridpoint Statistical Interpolation" @@ -24,11 +90,21 @@ settings_schema: !Template &settings_schema description: "Enable Ensemble Kalman Filter" default: !calc run_gsi + chgres_and_convert_ics: + type: bool + description: "Run the fv3ic job, which changes restart file resolution and converts legacy GFS GSM restart files to FV3 restart files." + max_job_tries: type: int default: 1 description: "Number of times to try running a job. Set to 1 for no retries." + IC_CDUMP: + type: string + allowed: [ gfs, gdas ] + optional: true + description: Get initial conditions from gfs or gdas + gfs_cyc: type: int default: 4 diff --git a/tests/test_data/regtest/schema/task.yaml b/tests/test_data/regtest/schema/task.yaml index c7d6d48..71676ae 100644 --- a/tests/test_data/regtest/schema/task.yaml +++ b/tests/test_data/regtest/schema/task.yaml @@ -35,6 +35,15 @@ task_schema: &task_schema !Template type: string stages: [ execution ] + more_vars: + description: >- + List of variables that should be added to Rocoto envar blocks, + ecflow suite definition edits, and ecf file exports. Overriding + ecflow_def will prevent this from being passed through as edits + in the suite definition. + type: string list + stages: [ execution ] + ecf_environment_settings: description: "Tuning variables like KMP_AFFINITY and OMP_STACKSIZE." type: string @@ -56,4 +65,23 @@ task_schema: &task_schema !Template default: "" stages: [ execution ] + ecf_maybe_ntasks: + description: "Sets the $ntasks variable (number of MPI ranks) if needed" + type: string + default: "" + stages: [ execution ] + + ecf_maybe_threads: + description: "Sets the $threads variable (number of OpenMP threads) if needed" + type: string + default: "" + stages: [ execution ] + + ecf_maybe_ptile: + description: >- + Sets the $ptile variable (maximum number of MPI ranks per node) if needed + type: string + default: "" + stages: [ execution ] + diff --git a/worktools.py b/worktools.py index 9e3f981..f2d5c27 100644 --- a/worktools.py +++ b/worktools.py @@ -8,13 +8,6 @@ from contextlib import suppress logger=logging.getLogger('crow.model.fv3gfs') -YAML_DIRS_TO_COPY={ '../schema':'schema', - '../defaults':'defaults', - '../config':'config', - '../runtime':'runtime' } # important: no ending / -YAML_FILES_TO_COPY={ '../_expdir_main.yaml': '_main.yaml', - '../user.yaml': 'user.yaml' } - try: import crow except ImportError as ie: @@ -214,7 +207,7 @@ def make_config_files_in_expdir(doc,expdir): with open(filename,'wt') as fd: fd.write(content) -def make_yaml_files_in_expdir(srcdir,case_name,experiment_name,platdoc,force,skip_comrot,force_platform_rewrite): +def make_yaml_files_in_expdir(srcdir,YAML_DIRS_TO_COPY,YAML_FILES_TO_COPY,case_name,experiment_name,platdoc,force,skip_comrot,force_platform_rewrite): logger.info(f'{srcdir}: get yaml files from here') logger.info(f'{case_name}: use this case') @@ -282,6 +275,8 @@ def make_yaml_files_in_expdir(srcdir,case_name,experiment_name,platdoc,force,ski with open(f'{tgtdir}/names.yaml','wt') as fd: fd.write(names_yaml) + + if redo and os.path.exists(f'{tgtdir}/platform.yaml') and not force_platform_rewrite: logger.warning('I am NOT replacing platform.yaml. This is a safeguard to prevent automatic scrub space detection from switching scrub spaces mid-workflow.') logger.warning('You must edit platform.yaml manually or use -F to force me to overwrite platform.yaml. Using -F on a running workflow is inadvisable.') @@ -292,17 +287,18 @@ def make_yaml_files_in_expdir(srcdir,case_name,experiment_name,platdoc,force,ski logger.info(f'{tgtdir}/platform.yaml: write platform logic') with open(f'{tgtdir}/platform.yaml','wt') as fd: fd.write(platform_yaml) - + logger.info(f'{case_file}: use this case file') shutil.copy2(case_file,os.path.join(tgtdir,'case.yaml')) logger.info(f'{workflow_file}: use this workflow file') shutil.copy2(workflow_file,os.path.join(tgtdir,'workflow.yaml')) - + for srcfile,tgtbase in itertools.chain( iter(YAML_DIRS_TO_COPY.items()), iter(YAML_FILES_TO_COPY.items())): tgtfile=os.path.join(tgtdir,tgtbase) + print(srcfile) if os.path.isdir(srcfile): logger.info(f'{srcfile}: copy yaml directory tree to {tgtfile}') if os.path.exists(tgtfile): @@ -652,6 +648,13 @@ def setup_case_usage(why=None): def setup_case(command_line_arguments): options,positionals=getopt(command_line_arguments,'sdvfcp:DF') options=dict(options) + + YAML_DIRS_TO_COPY={ '../schema':'schema', + '../defaults':'defaults', + '../config':'config', + '../runtime':'runtime' } # important: no ending / + YAML_FILES_TO_COPY={ '../_expdir_main.yaml': '_main.yaml', + '../user.yaml': 'user.yaml' } init_logging('-v' in options,'-d' in options or '-D' in options) @@ -693,7 +696,7 @@ def setup_case(command_line_arguments): logger.info(f'{platdoc.platform.name}: selected this platform.') EXPDIR = make_yaml_files_in_expdir( - os.path.abspath('../'),case_name,experiment_name,platdoc,force, + os.path.abspath('../'),YAML_DIRS_TO_COPY,YAML_FILES_TO_COPY,case_name,experiment_name,platdoc,force, skip_comrot,force_platform_rewrite) doc=from_dir(EXPDIR,validation_stage='setup') From 06a6c3bce8fd68fc37a8e704f0760992b234f23f Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Fri, 19 Apr 2019 00:31:28 -0400 Subject: [PATCH 474/487] remove 2 places of hard-coded path to user.yaml under worktools.py and replace them with generic path --- tests/regtest/regtest.py | 8 +++++--- tests/test_data/regtest/user.yaml | 27 ++++++++++++++++++++++++++- worktools.py | 15 ++++++++------- 3 files changed, 39 insertions(+), 11 deletions(-) diff --git a/tests/regtest/regtest.py b/tests/regtest/regtest.py index 13fe72d..f1a0f36 100644 --- a/tests/regtest/regtest.py +++ b/tests/regtest/regtest.py @@ -39,13 +39,15 @@ def reg_case_setup(): logger.setLevel(logging.INFO) crow.set_superdebug(True) # superdebug on force=True # Force rewrite - skip_comrot=False # Not skip comrot + skip_comrot=False # Not skip comrot force_platform_rewrite=True # Overwrite platform every time case_name='regression_case' experiment_name='regtest_tmp' - - valid_platforms=sandbox_platforms("../test_data/regtest/platforms/") + + userfile = list(YAML_FILES_TO_COPY.keys())[list(YAML_FILES_TO_COPY.values()).index('user.yaml')] + + valid_platforms=sandbox_platforms(userfile,"../test_data/regtest/platforms/") platdoc = select_platform(None,valid_platforms) EXPDIR = make_yaml_files_in_expdir( diff --git a/tests/test_data/regtest/user.yaml b/tests/test_data/regtest/user.yaml index 24c1b02..b6aaea2 100644 --- a/tests/test_data/regtest/user.yaml +++ b/tests/test_data/regtest/user.yaml @@ -1,5 +1,22 @@ user_places: &user_places - PROJECT_DIR: /Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/ #!error Please select a project directory. + PROJECT_DIR: /Users/jiankuang/Documents + # !error Please select a project directory. + # ie. /scratch4/NCEPDEV/ocean + + # Override scrub areas here. Mandatory on Jet. + # LONG_TERM_TEMP: !expand "/lfs3/projects/hfv3gfs/{tools.env('USER')}/scrub" + # SHORT_TERM_TEMP: !expand "/lfs3/projects/hfv3gfs/{tools.env('USER')}/scrub" + + # Some other interesting directories: + + # COM directory: + # ROTDIR: !expand "/path/to/some/scrub/area/{tools.env('USER')}/comrot/{doc.names.experiment}" + + # Scrub area for individual jobs: + # DATAROOT: !expand "/path/to/some/scrub/area/RUNDIRS/{doc.names.experiment}" + + # Input conditions. This should usually be specified in the case file: + # ICSDIR: !expand "/path/to/your/FV3ICS" accounting: &accounting # Project for CPU accounting. @@ -9,6 +26,14 @@ accounting: &accounting ecflow_machine: venus # only relevant on WCOSS using ecFlow ecflow_header: envir-p3.h + # Choose the partition used for each job type. Default is set in + # the platform yaml files. shared_partition: !calc doc.platform.partitions.default_shared exclusive_partition: !calc doc.platform.partitions.default_exclusive service_partition: !calc doc.platform.partitions.default_service + +# Optional. Override settings in suite. This example would use +# tjet:ujet for running the forecast jobs on Jet: +# user_suite_overrides: +# - Search: '**/forecast/*_forecast*' +# partition: !calc doc.platform.partitions.tjet_or_ujet diff --git a/worktools.py b/worktools.py index f2d5c27..f938c90 100644 --- a/worktools.py +++ b/worktools.py @@ -81,10 +81,10 @@ def find_available_platforms(platdir): # All platforms "can be skipped" so skip none: return matches -def sandbox_platforms(platdir): +def sandbox_platforms(userfile,platdir): available={} -# plat=from_file('../user.yaml',f'{platdir}/_common.yaml',f'{platdir}/_sandbox.yaml') - plat=from_file(f'{platdir}/_common.yaml',f'{platdir}/_sandbox.yaml') + plat=from_file(userfile,f'{platdir}/_common.yaml',f'{platdir}/_sandbox.yaml') +# plat=from_file(f'{platdir}/_common.yaml',f'{platdir}/_sandbox.yaml') available[plat.platform.name]=plat return available @@ -298,7 +298,6 @@ def make_yaml_files_in_expdir(srcdir,YAML_DIRS_TO_COPY,YAML_FILES_TO_COPY,case_n iter(YAML_DIRS_TO_COPY.items()), iter(YAML_FILES_TO_COPY.items())): tgtfile=os.path.join(tgtdir,tgtbase) - print(srcfile) if os.path.isdir(srcfile): logger.info(f'{srcfile}: copy yaml directory tree to {tgtfile}') if os.path.exists(tgtfile): @@ -680,14 +679,16 @@ def setup_case(command_line_arguments): 'alphanumeric and start with a letter.') exit(1) - if not os.path.exists('../user.yaml'): + userfile = list(YAML_FILES_TO_COPY.keys())[list(YAML_FILES_TO_COPY.values()).index('user.yaml')] + + if not os.path.exists(userfile): logger.error('You did not create user.yaml!') logger.error('Copy user.yaml.default to user.yaml and edit.') exit(1) - + requested_platform=options.get('-p',None) if sandbox: - valid_platforms=sandbox_platforms("../platforms/") + valid_platforms=sandbox_platforms(userfile,"../platforms/") platdoc = select_platform(requested_platform,valid_platforms) else: valid_platforms=find_available_platforms("../platforms/") From 0157574eae8360298ac54fb0e168c80592772ff2 Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Sun, 21 Apr 2019 22:15:24 -0400 Subject: [PATCH 475/487] finished regression test beta v0; remove external variable ECFNET_INCLUDE in worktool.py comment: it will make the program more portable by bringing external variables internal. generic project_dir path for regression test purpose all CROW product could be put under a scratch place within CROW for regression test purpose. No hard-coded path. --- tests/regtest/regtest.py | 75 +- tests/test_data/regtest/config/aliases.yaml | 205 +++++ tests/test_data/regtest/config/anal.yaml | 91 +++ tests/test_data/regtest/config/arch.yaml | 32 + tests/test_data/regtest/config/awips.yaml | 30 + .../test_data/regtest/config/bulls_navy.yaml | 27 + tests/test_data/regtest/config/earc.yaml | 24 + tests/test_data/regtest/config/ecen.yaml | 27 + tests/test_data/regtest/config/efcs.yaml | 60 ++ tests/test_data/regtest/config/emcsfc.yaml | 25 + tests/test_data/regtest/config/eobs.yaml | 39 + tests/test_data/regtest/config/epos.yaml | 31 + tests/test_data/regtest/config/eupd.yaml | 29 + tests/test_data/regtest/config/fax.yaml | 27 + tests/test_data/regtest/config/fax_wafs.yaml | 38 + tests/test_data/regtest/config/fbwind.yaml | 23 + tests/test_data/regtest/config/fcst.yaml | 125 +++ tests/test_data/regtest/config/fv3.yaml | 87 ++ tests/test_data/regtest/config/fv3ic.yaml | 25 + tests/test_data/regtest/config/gempak.yaml | 32 + .../test_data/regtest/config/gempak_meta.yaml | 31 + .../regtest/config/gempak_meta_ncdc.yaml | 32 + .../regtest/config/gempak_ncdc_upapgif.yaml | 32 + tests/test_data/regtest/config/getic.yaml | 31 + tests/test_data/regtest/config/nsst.yaml | 50 ++ .../regtest/config/pgrb2_spec_npoess.yaml | 28 + tests/test_data/regtest/config/post.yaml | 66 ++ tests/test_data/regtest/config/postsnd.yaml | 41 + tests/test_data/regtest/config/prep.yaml | 125 +++ tests/test_data/regtest/config/prepbufr.yaml | 37 + tests/test_data/regtest/config/relocate.yaml | 50 ++ tests/test_data/regtest/config/resources.yaml | 146 ++++ tests/test_data/regtest/config/vrfy.yaml | 232 ++++++ tests/test_data/regtest/config/wafs.yaml | 38 + tests/test_data/regtest/config/wafs_gcip.yaml | 33 + .../regtest/defaults/downstream.yaml | 20 + .../test_data/regtest/defaults/fv3_enkf.yaml | 40 + .../regtest/defaults/gfs_output_settings.yaml | 7 + tests/test_data/regtest/defaults/places.yaml | 27 + .../regtest_tmp/regtest_tmp_2016021000.def | 140 ++++ .../regtest/include/experiment-regtest_tmp.h | 17 + .../test_data/regtest/platforms/_common.yaml | 15 + .../test_data/regtest/platforms/_sandbox.yaml | 185 +++++ tests/test_data/regtest/platforms/gaea.yaml | 214 +++++ tests/test_data/regtest/platforms/jet.yaml | 268 ++++++ tests/test_data/regtest/platforms/theia.yaml | 154 ++++ .../regtest/platforms/wcoss_cray.yaml | 180 ++++ .../regtest/platforms/wcoss_dell_p3.yaml | 223 +++++ tests/test_data/regtest/runtime/fax.yaml | 41 + .../test_data/regtest/schema/accounting.yaml | 16 + tests/test_data/regtest/schema/archiving.yaml | 45 + tests/test_data/regtest/schema/chgres.yaml | 10 + .../regtest/schema/data_assimilation.yaml | 117 +++ .../test_data/regtest/schema/downstream.yaml | 103 +++ tests/test_data/regtest/schema/fv3.yaml | 307 +++++++ tests/test_data/regtest/schema/nsst.yaml | 36 + tests/test_data/regtest/schema/output.yaml | 89 ++ tests/test_data/regtest/schema/places.yaml | 67 ++ tests/test_data/regtest/schema/post.yaml | 25 + tests/test_data/regtest/schema/varnames.yaml | 57 ++ tests/test_data/regtest/settings.yaml | 143 ++++ tests/test_data/regtest/static/locations.yaml | 9 + tests/test_data/regtest/user.yaml | 2 +- .../regtest/workflow/cycled_gfs.yaml | 767 ++++++++++++++++++ .../regtest/workflow/free_forecast_gfs.yaml | 131 +++ tests/test_data/regtest/workflow/nco.yaml | 597 ++++++++++++++ .../regtest/workflow/public_release_v1.yaml | 127 +++ worktools.py | 4 +- 68 files changed, 6186 insertions(+), 21 deletions(-) create mode 100644 tests/test_data/regtest/config/aliases.yaml create mode 100644 tests/test_data/regtest/config/anal.yaml create mode 100644 tests/test_data/regtest/config/arch.yaml create mode 100644 tests/test_data/regtest/config/awips.yaml create mode 100644 tests/test_data/regtest/config/bulls_navy.yaml create mode 100644 tests/test_data/regtest/config/earc.yaml create mode 100644 tests/test_data/regtest/config/ecen.yaml create mode 100644 tests/test_data/regtest/config/efcs.yaml create mode 100644 tests/test_data/regtest/config/emcsfc.yaml create mode 100644 tests/test_data/regtest/config/eobs.yaml create mode 100644 tests/test_data/regtest/config/epos.yaml create mode 100644 tests/test_data/regtest/config/eupd.yaml create mode 100644 tests/test_data/regtest/config/fax.yaml create mode 100644 tests/test_data/regtest/config/fax_wafs.yaml create mode 100644 tests/test_data/regtest/config/fbwind.yaml create mode 100644 tests/test_data/regtest/config/fcst.yaml create mode 100644 tests/test_data/regtest/config/fv3.yaml create mode 100644 tests/test_data/regtest/config/fv3ic.yaml create mode 100644 tests/test_data/regtest/config/gempak.yaml create mode 100644 tests/test_data/regtest/config/gempak_meta.yaml create mode 100644 tests/test_data/regtest/config/gempak_meta_ncdc.yaml create mode 100644 tests/test_data/regtest/config/gempak_ncdc_upapgif.yaml create mode 100644 tests/test_data/regtest/config/getic.yaml create mode 100644 tests/test_data/regtest/config/nsst.yaml create mode 100644 tests/test_data/regtest/config/pgrb2_spec_npoess.yaml create mode 100644 tests/test_data/regtest/config/post.yaml create mode 100644 tests/test_data/regtest/config/postsnd.yaml create mode 100644 tests/test_data/regtest/config/prep.yaml create mode 100644 tests/test_data/regtest/config/prepbufr.yaml create mode 100644 tests/test_data/regtest/config/relocate.yaml create mode 100644 tests/test_data/regtest/config/resources.yaml create mode 100644 tests/test_data/regtest/config/vrfy.yaml create mode 100644 tests/test_data/regtest/config/wafs.yaml create mode 100644 tests/test_data/regtest/config/wafs_gcip.yaml create mode 100644 tests/test_data/regtest/defaults/downstream.yaml create mode 100644 tests/test_data/regtest/defaults/fv3_enkf.yaml create mode 100644 tests/test_data/regtest/defaults/gfs_output_settings.yaml create mode 100644 tests/test_data/regtest/defaults/places.yaml create mode 100644 tests/test_data/regtest/defs/regtest_tmp/regtest_tmp_2016021000.def create mode 100644 tests/test_data/regtest/include/experiment-regtest_tmp.h create mode 100644 tests/test_data/regtest/platforms/_common.yaml create mode 100644 tests/test_data/regtest/platforms/_sandbox.yaml create mode 100644 tests/test_data/regtest/platforms/gaea.yaml create mode 100644 tests/test_data/regtest/platforms/jet.yaml create mode 100644 tests/test_data/regtest/platforms/theia.yaml create mode 100644 tests/test_data/regtest/platforms/wcoss_cray.yaml create mode 100644 tests/test_data/regtest/platforms/wcoss_dell_p3.yaml create mode 100644 tests/test_data/regtest/runtime/fax.yaml create mode 100644 tests/test_data/regtest/schema/accounting.yaml create mode 100644 tests/test_data/regtest/schema/archiving.yaml create mode 100644 tests/test_data/regtest/schema/chgres.yaml create mode 100644 tests/test_data/regtest/schema/data_assimilation.yaml create mode 100644 tests/test_data/regtest/schema/downstream.yaml create mode 100644 tests/test_data/regtest/schema/fv3.yaml create mode 100644 tests/test_data/regtest/schema/nsst.yaml create mode 100644 tests/test_data/regtest/schema/output.yaml create mode 100644 tests/test_data/regtest/schema/places.yaml create mode 100644 tests/test_data/regtest/schema/post.yaml create mode 100644 tests/test_data/regtest/schema/varnames.yaml create mode 100644 tests/test_data/regtest/settings.yaml create mode 100644 tests/test_data/regtest/static/locations.yaml create mode 100644 tests/test_data/regtest/workflow/cycled_gfs.yaml create mode 100644 tests/test_data/regtest/workflow/free_forecast_gfs.yaml create mode 100644 tests/test_data/regtest/workflow/nco.yaml create mode 100644 tests/test_data/regtest/workflow/public_release_v1.yaml diff --git a/tests/regtest/regtest.py b/tests/regtest/regtest.py index f1a0f36..893b5e0 100644 --- a/tests/regtest/regtest.py +++ b/tests/regtest/regtest.py @@ -26,18 +26,12 @@ from worktools import get_target_dir_and_check_ecflow_env, check_or_populate_ecf_include from worktools import create_new_ecflow_workflow, update_existing_ecflow_workflow from worktools import load_ecflow_suites, begin_ecflow_suites, make_rocoto_xml +from worktools import create_crontab -def reg_case_setup(): +def reg_case_setup(YAML_DIRS_TO_COPY, YAML_FILES_TO_COPY): - YAML_DIRS_TO_COPY={ '../test_data/regtest/schema':'schema', - '../test_data/regtest/defaults':'defaults', - '../test_data/regtest/config':'config', - '../test_data/regtest/runtime':'runtime' } # important: no ending / - YAML_FILES_TO_COPY={ '../test_data/regtest/_expdir_main.yaml': '_main.yaml', - '../test_data/regtest/user.yaml': 'user.yaml' } - logger.setLevel(logging.INFO) - crow.set_superdebug(True) # superdebug on + crow.set_superdebug(True) # superdebugging on force=True # Force rewrite skip_comrot=False # Not skip comrot force_platform_rewrite=True # Overwrite platform every time @@ -74,21 +68,66 @@ def reg_case_setup(): print(f' Rocoto: ./make_rocoto_xml_for.sh {EXPDIR}') print(f' ecFlow: ./make_ecflow_files_for.sh -v {EXPDIR} SDATE EDATE') print() - - -def reg_ecflow(): + return EXPDIR + +def reg_ecflow(yamldir,first_cycle_str,last_cycle_str): + ECF_HOME=os.getcwd()+ "/../test_data/regtest" # Pseudo link place to ECF_HOME + conf,suite=read_yaml_suite(yamldir) + loudly_make_dir_if_missing(f'{conf.places.ROTDIR}/logs') + + first_cycle=datetime.datetime.strptime(first_cycle_str,'%Y%m%d%H') + first_cycle=max(suite.Clock.start,first_cycle) + + last_cycle=datetime.datetime.strptime(last_cycle_str,'%Y%m%d%H') + last_cycle=max(first_cycle,min(suite.Clock.end,last_cycle)) + + ecflow_suite, first_cycle, last_cycle = generate_ecflow_suite_in_memory( + suite,first_cycle,last_cycle,2) + defdir=conf.places.ecflow_def_dir + ECF_OUT=conf.places.ECF_OUT + check_or_populate_ecf_include(conf) + make_log_directories(conf,suite,first_cycle,last_cycle) + make_ecflow_job_and_out_directories(ECF_HOME, ECF_OUT, ecflow_suite) + written_suite_defs = write_ecflow_suite_to_disk( + defdir, ECF_HOME, ecflow_suite) return(0) -def reg_rocoto(): +def reg_rocoto(yamldir): + conf,suite=read_yaml_suite(yamldir) + workflow_xml=conf.places.get('rocoto_workflow_xml',f'{yamldir}/workflow.xml') + assert(suite.viewed._path) + loudly_make_dir_if_missing(f'{conf.places.ROTDIR}/logs') + make_rocoto_xml(suite,f'{yamldir}/workflow.xml') + create_crontab(conf) return(0) def reg_compare(): return(0) if __name__ == '__main__': - print(f'CROW Regression Case begins') - reg_case_setup() - reg_ecflow() - reg_rocoto() + + os.environ['ECF_HOME'] = os.getcwd()+ "/../test_data/regtest" + os.environ['ECF_ROOT'] = os.getcwd()+ "/../test_data/regtest" + os.environ['ECF_HOST'] = "ldecflow1" + os.environ['ECF_PORT'] = "32065" + + if(os.path.isfile(os.getcwd()+ "/../test_data/head.h")): + os.remove(os.getcwd()+ "/../test_data/head.h") + os.remove(os.getcwd()+ "/../test_data/tail.h") + os.remove(os.getcwd()+ "/../test_data/envir-xc40") + + YAML_DIRS_TO_COPY={ '../test_data/regtest/schema':'schema', + '../test_data/regtest/defaults':'defaults', + '../test_data/regtest/config':'config', + '../test_data/regtest/runtime':'runtime' } # important: no ending / + YAML_FILES_TO_COPY={ '../test_data/regtest/_expdir_main.yaml': '_main.yaml', + '../test_data/regtest/user.yaml': 'user.yaml' } + + + print(f'CROW Regression Test begins') + EXPDIR = reg_case_setup(YAML_DIRS_TO_COPY, YAML_FILES_TO_COPY) + print(EXPDIR) + reg_ecflow(EXPDIR,'2015112800','2015112900') + reg_rocoto(EXPDIR) reg_compare() - print(os.getcwd()) \ No newline at end of file + print(f'CROW Regression Test passed') diff --git a/tests/test_data/regtest/config/aliases.yaml b/tests/test_data/regtest/config/aliases.yaml new file mode 100644 index 0000000..c345a8b --- /dev/null +++ b/tests/test_data/regtest/config/aliases.yaml @@ -0,0 +1,205 @@ + +# This file is used to generate the thread and processor information +# for the various config files. Such information must be in the +# config files even if it is not used, and must match any actual +# resources in the suite definition. Hence, if a free forecast is +# requested, the GDAS and ENKF resource requirements must be +# available. If the GFS forecast is in tJet and GDAS forecast is in +# xJet, then they must be appropriate for 12-core and 24-core nodes, +# respectively. + +aliases: + alias_template: &alias_template + resources: !FirstTrue + - when: !calc flag + do: !calc task.resources + - otherwise: !calc alt_resources + partition: !FirstTrue + - when: !calc flag + do: !calc task.partition + - otherwise: !calc alt_partition + nth: !calc partition.nodes.omp_threads_for(resources[0] if len(resources)<2 else resources[1]) + npe: !calc resources.total_ranks() + npe_node: !calc partition.nodes.max_ranks_per_node(resources[0] if len(resources)<2 else resources[1]) + + alias_exclusive: &alias_exclusive + <<: *alias_template + alt_partition: !calc doc.accounting.exclusive_partition + + alias_remap: &alias_remap + <<: *alias_template + alt_partition: !calc doc.accounting.exclusive_partition + resources: !FirstTrue + - when: !calc flag + do: !calc task.resources_remap + - otherwise: !calc alt_resources + + prep: + <<: *alias_exclusive + flag: !calc ( 'prep' in doc.suite.gfs ) + task: !calc doc.suite.gfs.prep.jgfs_prep + alt_resources: !calc doc.exclusive_resources.run_prep + + epos: + <<: *alias_exclusive + flag: !calc ( 'gdas' in doc.suite and 'enkf' in doc.suite.gdas ) + task: !calc doc.suite.gdas.enkf.post.jgdas_enkf_post_f006 + alt_resources: !calc doc.exclusive_resources.run_epos + + anal: + <<: *alias_exclusive + flag: !calc ( 'gdas' in doc.suite and 'analysis' in doc.suite.gdas ) + task: !calc doc.suite.gdas.analysis.jgdas_analysis_high + alt_resources: !calc doc.exclusive_resources.run_anal + + gfsvrfy: + <<: *alias_exclusive + flag: !calc ( 'gfs' in doc.suite and 'jgfs_emc_vrfy' in doc.suite.gfs ) + task: !calc doc.suite.gfs.jgfs_emc_vrfy + alt_resources: !calc doc.exclusive_resources.run_gfsvrfy + + gdasvrfy: + <<: *alias_exclusive + flag: !calc ( 'gdas' in doc.suite and 'jgdas_emc_vrfy' in doc.suite.gdas ) + task: !calc doc.suite.gdas.jgdas_emc_vrfy + alt_resources: !calc doc.exclusive_resources.run_gdasvrfy + + eobs: + <<: *alias_exclusive + flag: !calc ( 'gdas' in doc.suite ) + task: !calc doc.suite.gdas.enkf.jgdas_enkf_select_obs + alt_resources: !calc doc.exclusive_resources.run_eobs + + gdasfcst: + <<: *alias_exclusive + flag: !calc ( 'gdas' in doc.suite ) + task: !calc doc.suite.gdas.forecast.jgdas_forecast_high + alt_resources: !calc doc.exclusive_resources.run_gfsfcst + + gfsfcst: + <<: *alias_exclusive + flag: !calc ( 'gfs' in doc.suite ) + task: !calc doc.suite.gfs.forecast.jgfs_forecast_high + alt_resources: !calc doc.exclusive_resources.run_efcs + + gdasremap: + <<: *alias_remap + flag: !calc ( 'gdas' in doc.suite ) + task: !calc doc.suite.gdas.forecast.jgdas_forecast_high + alt_resources: !calc doc.default_resources.no_gdasfcst_remap + + gfsremap: + <<: *alias_remap + flag: !calc ( 'gfs' in doc.suite ) + task: !calc doc.suite.gfs.forecast.jgfs_forecast_high + alt_resources: !error doc.exclusive_resources.run_gfsremap + + gdaspost: + <<: *alias_exclusive + flag: !calc ( 'gdas' in doc.suite ) + task: !calc doc.suite.gdas.post.jgdas_post_f000 + alt_resources: !calc doc.exclusive_resources.run_gdaspost + + gfspost: + <<: *alias_exclusive + flag: !calc ( 'gfs' in doc.suite ) + task: !calc doc.suite.gfs.post.jgfs_post_anl + alt_resources: !calc doc.exclusive_resources.run_gfspost + + efcs: + <<: *alias_exclusive + flag: !calc ( 'gdas' in doc.suite and 'enkf' in doc.suite.gdas ) + task: !calc doc.suite.gdas.enkf.forecast.jgdas_enkf_fcst_grp1 + alt_resources: !calc doc.exclusive_resources.run_efcs + + eupd: + <<: *alias_exclusive + flag: !calc ( 'gdas' in doc.suite and 'enkf' in doc.suite.gdas ) + task: !calc doc.suite.gdas.enkf.jgdas_enkf_update + alt_resources: !calc doc.exclusive_resources.run_eupd + + eomg: + <<: *alias_exclusive + flag: !calc ( 'gdas' in doc.suite and 'enkf' in doc.suite.gdas ) + task: !calc doc.suite.gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp + alt_resources: !calc doc.exclusive_resources.run_eomg + + ecen: + <<: *alias_exclusive + flag: !calc ( 'gdas' in doc.suite and 'enkf' in doc.suite.gdas ) + task: !calc doc.suite.gdas.enkf.jgdas_enkf_inflate_recenter + alt_resources: !calc doc.exclusive_resources.run_ecen + + dwn: + <<: *alias_exclusive + flag: false + alt_resources: !calc doc.exclusive_resources.run_dwn + + fv3ic: + <<: *alias_exclusive + flag: !calc ( 'ics' in doc.suite and 'jgfs_emc_fv3ic' in doc.suite.ics ) + task: !calc doc.suite.ics.jgfs_emc_fv3ic + alt_resources: !calc doc.exclusive_resources.run_fv3ic + + getic: + <<: *alias_exclusive + flag: !calc ( 'ics' in doc.suite and 'jgfs_emc_getics' in doc.suite.ics ) + task: !calc doc.suite.ics.jgfs_emc_getics + alt_resources: !calc doc.service_resources.run_getic + + earc: + <<: *alias_exclusive + flag: !calc ( 'archive' in doc.suite and 'jgfs_enkf_archive' in doc.suite.archive ) + task: !calc doc.suite.archive.jgdas_enkf_archive.grp + alt_resources: !calc doc.service_resources.run_earc + + arch: + <<: *alias_exclusive + flag: !calc ( 'archive' in doc.suite ) + task: !calc doc.suite.archive.jgfs_archive + alt_resources: !calc doc.service_resources.run_arch + + ###################################################################### + + # PLACEHOLDERS - replace when jobs exist + + ###################################################################### + + prepbufr: + <<: *alias_exclusive + flag: false + alt_resources: !calc doc.exclusive_resources.run_prepbufr + + awips_g2: + <<: *alias_exclusive + flag: !calc ('gfs' in doc.suite and 'awips_g2' in doc.suite.gfs) + task: doc.suite.awips_g2.jgfs_awips_g2_f000 + alt_resources: !calc doc.exclusive_resources.run_awips_g2 + + awips_20km_1p0: + <<: *alias_exclusive + flag: !calc ('gfs' in doc.suite and 'awips_20km_1p0' in doc.suite.gfs) + task: doc.suite.awips_20km_1p0.jgfs_awips_f000 + alt_resources: !calc doc.exclusive_resources.run_awips_20km_1p0 + + postsnd: + <<: *alias_exclusive + flag: false + alt_resources: !calc doc.exclusive_resources.run_postsnd + + postsndcfp: + <<: *alias_exclusive + flag: false + alt_resources: !calc doc.exclusive_resources.run_postsndcfp + + gfs_gempak: + <<: *alias_exclusive + flag: false + alt_resources: !calc doc.exclusive_resources.run_gfs_gempak + + gdas_gempak: + <<: *alias_exclusive + flag: false + alt_resources: !calc doc.exclusive_resources.run_gdas_gempak + + diff --git a/tests/test_data/regtest/config/anal.yaml b/tests/test_data/regtest/config/anal.yaml new file mode 100644 index 0000000..1353dba --- /dev/null +++ b/tests/test_data/regtest/config/anal.yaml @@ -0,0 +1,91 @@ +# This file is used to generate config.anal, which would be used to +# run the GFS analysis. The scripts and code for the analysis are not +# provided in this release, so this config file is ignored. + +config_anal: + filename: config.anal + content: !expand | + #!/bin/ksh -x + + ########## config.anal ########## + # Analysis specific + + echo "BEGIN: config.anal" + + # Get task specific resources + . $EXPDIR/config.resources anal + + if [ $DONST = "YES" ]; then + . $EXPDIR/config.nsst + fi + + if [[ "$CDUMP" = "gfs" ]] ; then + export USE_RADSTAT="NO" # This can be only used when bias correction is not-zero. + export GENDIAG="NO" + export SETUP='diag_rad=.false.,diag_pcp=.false.,diag_conv=.false.,diag_ozone=.false.,write_diag(3)=.false.,' + export DIAG_TARBALL="NO" + fi + + export ANALYSISSH="$HOMEgsi/scripts/exglobal_analysis_fv3gfs.sh.ecf" + export npe_gsi=$npe_anal + + export GSNDBF=/dev/null + export AMSREBF=/dev/null + export SSMITBF=/dev/null + export AMSR2BF=/dev/null + + export REALTIME="{tools.YES_NO(doc.settings.REALTIME)}" # Run GFS AWIPS + + # Set CONVINFO and SATINFO for retrospective parallels + if [ $REALTIME = "NO" ]; then + + # Set CONVINFO + if [[ "$CDATE" -ge "2018053012" ]]; then + export CONVINFO=$FIXgsi/fv3_historical/global_convinfo.txt.2018053012 + elif [[ "$CDATE" -ge "2018022818" ]]; then + export CONVINFO=$FIXgsi/fv3_historical/global_convinfo.txt.2018022818 + elif [[ "$CDATE" -ge "2018010512" ]]; then + export CONVINFO=$FIXgsi/fv3_historical/global_convinfo.txt.2018010512 + elif [[ "$CDATE" -ge "2017071912" ]]; then + export CONVINFO=$FIXgsi/fv3_historical/global_convinfo.txt.2017071912 + elif [[ "$CDATE" -ge "2016031512" ]]; then + export CONVINFO=$FIXgsi/fv3_historical/global_convinfo.txt.2016031512 + elif [[ "$CDATE" -ge "2014041400" ]]; then + export CONVINFO=$FIXgsi/fv3_historical/global_convinfo.txt.2014041400 + else + echo "WARNING: No CONVINFO for $CDATE" + fi + + # Set SATINFO + if [[ "$CDATE" -ge "2018053012" ]]; then + export SATINFO=$FIXgsi/fv3_historical/global_satinfo.txt.2018053012 + elif [[ "$CDATE" -ge "2018021212" ]]; then + export SATINFO=$FIXgsi/fv3_historical/global_satinfo.txt.2018021212 + elif [[ "$CDATE" -ge "2017103118" ]]; then + export SATINFO=$FIXgsi/fv3_historical/global_satinfo.txt.2017103118 + elif [[ "$CDATE" -ge "2017031612" ]]; then + export SATINFO=$FIXgsi/fv3_historical/global_satinfo.txt.2017031612 + elif [[ "$CDATE" -ge "2017030812" ]]; then + export SATINFO=$FIXgsi/fv3_historical/global_satinfo.txt.2017030812 + elif [[ "$CDATE" -ge "2016110812" ]]; then + export SATINFO=$FIXgsi/fv3_historical/global_satinfo.txt.2016110812 + elif [[ "$CDATE" -ge "2016090912" ]]; then + export SATINFO=$FIXgsi/fv3_historical/global_satinfo.txt.2016090912 + elif [[ "$CDATE" -ge "2016020312" ]]; then + export SATINFO=$FIXgsi/fv3_historical/global_satinfo.txt.2016020312 + elif [[ "$CDATE" -ge "2016011912" ]]; then + export SATINFO=$FIXgsi/fv3_historical/global_satinfo.txt.2016011912 + elif [[ "$CDATE" -ge "2015111012" ]]; then + export SATINFO=$FIXgsi/fv3_historical/global_satinfo.txt.2015111012 + elif [[ "$CDATE" -ge "2015100118" ]]; then + export SATINFO=$FIXgsi/fv3_historical/global_satinfo.txt.2015100118 + elif [[ "$CDATE" -ge "2015070218" ]]; then + export SATINFO=$FIXgsi/fv3_historical/global_satinfo.txt.2015070218 + elif [[ "$CDATE" -ge "2015011412" ]]; then + export SATINFO=$FIXgsi/fv3_historical/global_satinfo.txt.2015011412 + else + echo "WARNING: No SATINFO for $CDATE" + fi + fi + + echo "END: config.anal" diff --git a/tests/test_data/regtest/config/arch.yaml b/tests/test_data/regtest/config/arch.yaml new file mode 100644 index 0000000..9d725e7 --- /dev/null +++ b/tests/test_data/regtest/config/arch.yaml @@ -0,0 +1,32 @@ +# This file is used to generate config.arch, which would be used to +# control archiving of model output. The scripts and code for +# archiving are not provided in this release, so this config file is +# ignored. + +config_arch: + filename: config.arch + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.arch ########## + # Archive specific + + echo "BEGIN: config.arch" + + # Get task specific resources + . $EXPDIR/config.resources arch + + #--online archive of nemsio files for fit2obs verification + export FITSARC="{tools.YES_NO(doc.archiving.copy_fit2obs_files)}" + export FHMAX_FITS=132 + if [ $FHMAX_FITS -gt $FHMAX_GFS ]; then export FHMAX_FITS=$FHMAX_GFS; fi + + #--starting and ending hours of previous cycles to be removed from rotating directory + export RMOLDSTD={doc.archiving.scrub_in_archive_start} + export RMOLDEND={doc.archiving.scrub_in_archive_end} + + echo "END: config.arch" diff --git a/tests/test_data/regtest/config/awips.yaml b/tests/test_data/regtest/config/awips.yaml new file mode 100644 index 0000000..b4c3ab0 --- /dev/null +++ b/tests/test_data/regtest/config/awips.yaml @@ -0,0 +1,30 @@ +# This file is used to generate config.awips, which has settings for the GFS awips step + +config_awips: + filename: config.awips + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.awips ########## + # GFS awips step specific + + echo "BEGIN: config.awips" + + export SENDCOM="YES" + + export AWIPS20SH=$HOMEgfs/jobs/JGFS_AWIPS_20KM_1P0DEG + export AWIPSG2SH=$HOMEgfs/jobs/JGFS_AWIPS_G2 + + export COMIN="$ROTDIR/$CDUMP.$PDY/$cyc" + export COMOUT="$ROTDIR/$CDUMP.$PDY/$cyc" + export COMOUTwmo="$COMOUT/wmo" + export PCOM="$COMOUT/wmo" + + # No. of concurrent awips jobs + export NAWIPSGRP={doc.settings.NAWIPSGRP} + + echo "END: config.awips" diff --git a/tests/test_data/regtest/config/bulls_navy.yaml b/tests/test_data/regtest/config/bulls_navy.yaml new file mode 100644 index 0000000..a113e07 --- /dev/null +++ b/tests/test_data/regtest/config/bulls_navy.yaml @@ -0,0 +1,27 @@ +# This file is used to generate config.bulls_navy + +config_bulls_navy: + filename: config.bulls_navy + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.bulls_navy ########## + echo "BEGIN: config.bulls_navy" + + export SENDCOM="YES" + export SENDDBN="YES" + + export PDY=`expr $CDATE | cut -c1-8` + export MDATE=`$NDATE -24 $CDATE` + export PDYm1=`expr $MDATE | cut -c1-8` + export COMIN="$COMROOThps/$NET/$envir/$RUN.$PDY" + export COMINm1="$COMROOThps/$NET/$envir/$RUN.$PDYm1" + export COMOUT=$ROTDIR/$RUN.$PDY/$cyc + export COMOUTwmo=$ROTDIR/$RUN.$PDY/$cyc/wmo + + echo "END: config.bulls_navy" + diff --git a/tests/test_data/regtest/config/earc.yaml b/tests/test_data/regtest/config/earc.yaml new file mode 100644 index 0000000..fd9024e --- /dev/null +++ b/tests/test_data/regtest/config/earc.yaml @@ -0,0 +1,24 @@ +# This file is used to generate config.earc, which controls archiving +# of ESMF member data. The scripts that do that archiving are not in +# this public release, so this file is ignored. +config_earc: + filename: config.earc + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.earc ########## + # Ensemble archive specific + + echo "BEGIN: config.earc" + + # Get task specific resources + . $EXPDIR/config.resources earc + + export NMEM_EARCGRP={doc.data_assimilation.NMEM_EARCGRP} + + echo "END: config.earc" + diff --git a/tests/test_data/regtest/config/ecen.yaml b/tests/test_data/regtest/config/ecen.yaml new file mode 100644 index 0000000..dd557c7 --- /dev/null +++ b/tests/test_data/regtest/config/ecen.yaml @@ -0,0 +1,27 @@ +# This file is used to generate config.ecen, which would be used to +# control ensemble recentering. The scripts and code for that are not +# part of this public release, so this file is ignored. + +config_ecen: + filename: config.ecen + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.ecen ########## + # Ensemble recentering specific + + echo "BEGIN: config.ecen" + + # Get task specific resources + . $EXPDIR/config.resources ecen + + export ENKFRECENSH="$HOMEgsi/scripts/exglobal_enkf_recenter_fv3gfs.sh.ecf" + + export CHGRESEXEC="$HOMEgfs/exec/chgres_recenter.exe" + + echo "END: config.ecen" + diff --git a/tests/test_data/regtest/config/efcs.yaml b/tests/test_data/regtest/config/efcs.yaml new file mode 100644 index 0000000..c2be2c2 --- /dev/null +++ b/tests/test_data/regtest/config/efcs.yaml @@ -0,0 +1,60 @@ +# This file is used to generate config.efcs, which would be used to +# control the ENKF forecast jobs. The scripts and code for the ENKF +# are not provided in this release, so this config file is ignored. + +config_efcs: + filename: config.efcs + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.efcs ########## + # Ensemble forecast specific, dependency: config.fcst + + echo "BEGIN: config.efcs" + + # Source model specific information that is resolution dependent + . $EXPDIR/config.fv3 enkf + + # Get task specific resources + . $EXPDIR/config.resources efcs + + export npe_fv3=$npe_efcs + + export ENKFFCSTSH="$HOMEgsi/scripts/exglobal_enkf_fcst_fv3gfs.sh.ecf" + export NMEM_EFCSGRP={doc.data_assimilation.NMEM_EFCSGRP} + export RERUN_EFCSGRP="{tools.YES_NO(doc.data_assimilation.RERUN_EFCSGRP)}" + + # Stochastic physics parameters (only for ensemble forecasts) + export DO_SKEB="{tools.YES_NO(doc.fv3_enkf_settings.DO_SKEB)}" + export SKEB={doc.fv3_enkf_settings.SKEB} + export SKEB_TAU={doc.fv3_enkf_settings.SKEB_TAU} + export SKEB_LSCALE={doc.fv3_enkf_settings.SKEB_LSCALE} + export SKEBNORM={doc.fv3_enkf_settings.SKEBNORM} + export DO_SHUM="{tools.YES_NO(doc.fv3_enkf_settings.DO_SHUM)}" + export SHUM={doc.fv3_enkf_settings.SHUM} + export SHUM_TAU={doc.fv3_enkf_settings.SHUM_TAU} + export SHUM_LSCALE={doc.fv3_enkf_settings.SHUM_LSCALE} + export DO_SPPT="{tools.YES_NO(doc.fv3_enkf_settings.DO_SPPT)}" + export SPPT={doc.fv3_enkf_settings.SPPT} + export SPPT_TAU={doc.fv3_enkf_settings.SPPT_TAU} + export SPPT_LSCALE={doc.fv3_enkf_settings.SPPT_LSCALE} + export SPPT_LOGIT="{tools.fort(doc.fv3_enkf_settings.SPPT_LOGIT)}" + export SPPT_SFCLIMIT="{tools.fort(doc.fv3_enkf_settings.SPPT_SFCLIMIT)}" + + if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then + export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_da" + else + export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_da_orig" + fi + + # FV3 model namelist parameters to over-ride + export restart_interval={doc.fv3_enkf_settings.restart_interval} + export k_split={doc.fv3_enkf_settings.k_split} # model is unstable with k_split=2, n_split=6 and stochastic physics + export n_split={doc.fv3_enkf_settings.n_split} # make the model stable with k_split=1, n_split=12 + + echo "END: config.efcs" + diff --git a/tests/test_data/regtest/config/emcsfc.yaml b/tests/test_data/regtest/config/emcsfc.yaml new file mode 100644 index 0000000..53fc055 --- /dev/null +++ b/tests/test_data/regtest/config/emcsfc.yaml @@ -0,0 +1,25 @@ +# This file is used to generate config.emcsfc + +config_emcsfc: + filename: config.emcsfc + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.emcsfc ########## + echo "BEGIN: config.emcsfc" + + export SENDCOM="YES" + + export PDY=`expr $CDATE | cut -c1-8` + export GDATE=`$NDATE -06 $CDATE` + export PDY_m6hrs=`expr $GDATE | cut -c1-8` + export COMINgfs="$COMROOThps/$NET/$envir/$RUN.$PDY" + export COMINgfs_m6hrs="$COMROOThps/$NET/$envir/$RUN.$PDY_m6hrs" + export COMOUT="$ROTDIR/$CDUMP.$PDY/$cyc" + + echo "END: config.emcsfc" + diff --git a/tests/test_data/regtest/config/eobs.yaml b/tests/test_data/regtest/config/eobs.yaml new file mode 100644 index 0000000..6f8c7ee --- /dev/null +++ b/tests/test_data/regtest/config/eobs.yaml @@ -0,0 +1,39 @@ +# This file is used to generate config.eobs, which would be used to +# control the ensemble observation innovation. The code and scripts +# for that are not part of this public release, so this file is +# ignored. + +config_eobs: + filename: config.eobs + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.eobs config.eomg ########## + # Ensemble innovation specific, dependency config.anal + + echo "BEGIN: config.eobs" + + # Get task specific resources + . $EXPDIR/config.resources eobs + + export INVOBSSH="$HOMEgsi/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" + export ENKFINVOBSSH="$HOMEgsi/scripts/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" + export NMEM_EOMGGRP={doc.data_assimilation.NMEM_EOMGGRP} + export RERUN_EOMGGRP="{tools.YES_NO(doc.data_assimilation.RERUN_EOMGGRP)}" + export npe_gsi=$npe_eobs + #export nth_anal={doc.aliases.eobs.nth} + + # GSI namelist options related to observer for EnKF + export OBSINPUT_INVOBS="dmesh(1)=225.0,dmesh(2)=225.0" + export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" + + export USE_RADSTAT="NO" # This can be only used when bias correction is non-zero. + # Diagnostic files must be created for EnKF + export GENDIAG="{tools.YES_NO(doc.data_assimilation.GENDIAG)}" + + echo "END: config.eobs" + diff --git a/tests/test_data/regtest/config/epos.yaml b/tests/test_data/regtest/config/epos.yaml new file mode 100644 index 0000000..90be116 --- /dev/null +++ b/tests/test_data/regtest/config/epos.yaml @@ -0,0 +1,31 @@ +# This file is used to generate config.epos, which would be used to +# control the ENKF post-processing. The scripts and code for the ENKF +# are not provided in this release, so this config file is ignored. + +config_epos: + filename: config.epos + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.epos ########## + # Ensemble post processing specific + + echo "BEGIN: config.epos" + + # Get task specific resources + . $EXPDIR/config.resources epos + + export ENKFPOSTSH="$HOMEgsi/scripts/exglobal_enkf_post_fv3gfs.sh.ecf" + + # No. of concurrent epos jobs [1 implies sequential] + export NEPOSGRP={doc.data_assimilation.NEPOSGRP} + if [ $l4densvar = ".false." ]; then + export NEPOSGRP=3 + fi + + echo "END: config.epos" + diff --git a/tests/test_data/regtest/config/eupd.yaml b/tests/test_data/regtest/config/eupd.yaml new file mode 100644 index 0000000..31206c2 --- /dev/null +++ b/tests/test_data/regtest/config/eupd.yaml @@ -0,0 +1,29 @@ +# This file is used to generate config.eupd, which would be used to +# control the ENKF member updating scripts. The scripts and code for +# the ENKF are not provided in this release, so this config file is +# ignored. + +config_eupd: + filename: config.eupd + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.eupd ########## + # Ensemble update specific, dependency config.anal + + echo "BEGIN: config.eupd" + + # Get task specific resources + . $EXPDIR/config.resources eupd + + export ENKFUPDSH="$HOMEgsi/scripts/exglobal_enkf_update_fv3gfs.sh.ecf" + export npe_enkf=$npe_eupd + + export NAM_ENKF="{doc.data_assimilation.NAM_ENKF}" + + echo "END: config.eupd" + diff --git a/tests/test_data/regtest/config/fax.yaml b/tests/test_data/regtest/config/fax.yaml new file mode 100644 index 0000000..219e11a --- /dev/null +++ b/tests/test_data/regtest/config/fax.yaml @@ -0,0 +1,27 @@ +# This file is used to generate config.fax, which has settings for the GFS fax step + +config_fax: + filename: config.fax + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.fax ########## + # GFS fax step specific + + echo "BEGIN: config.fax" + + module load NCL/6.4.0 + module load bufr_dumplist/1.5.0 + module load dumpjb/4.0.0 + + export SENDCOM="YES" + + export COMIN="$ROTDIR/$CDUMP.$PDY/$cyc" + export COMOUT="$ROTDIR/$CDUMP.$PDY/$cyc" + export COMOUTwmo="$COMOUT/wmo" + + echo "END: config.fax" diff --git a/tests/test_data/regtest/config/fax_wafs.yaml b/tests/test_data/regtest/config/fax_wafs.yaml new file mode 100644 index 0000000..66b38b6 --- /dev/null +++ b/tests/test_data/regtest/config/fax_wafs.yaml @@ -0,0 +1,38 @@ +# This file is used to generate config.fax_wafs, which has settings for the GFS wafs step + +config_fax_wafs: + filename: config.fax_wafs + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.fax_wafs ########## + # GFS wafs step specific + + echo "BEGIN: config.fax_wafs" + + export SENDCOM="YES" + + export FIXgfs=$HOMEgfs/gempak/fix + export USHgfs=$HOMEgfs/gempak/ush + export PARMgfs=$HOMEgfs/parm/wafs + + export COMIN="$ROTDIR/$CDUMP.$PDY/$cyc" + export COMOUT="$ROTDIR/$CDUMP.$PDY/$cyc" + export COMOUTwmo="$COMOUT/wmo" + export PCOM="$COMOUT/wmo" + + export DATAROOT="$RUNDIR/$CDATE/$CDUMP" + export DATA="$DATAROOT/$job" + + ########################################## + ## Source machine runtime environment + ########################################### + . $HOMEgfs/env/{doc.platform.name}.env wafs + status=$? + [[ $status -ne 0 ]] && exit $status + + echo "END: config.fax_wafs" diff --git a/tests/test_data/regtest/config/fbwind.yaml b/tests/test_data/regtest/config/fbwind.yaml new file mode 100644 index 0000000..963dacc --- /dev/null +++ b/tests/test_data/regtest/config/fbwind.yaml @@ -0,0 +1,23 @@ +# This file is used to generate config.fbwind, which has settings for the GFS fbwind step + +config_fbwind: + filename: config.fbwind + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.fbwind ########## + # GFS fbwind step specific + + echo "BEGIN: config.fbwind" + + export SENDCOM="YES" + + export COMIN="$ROTDIR/$CDUMP.$PDY/$cyc" + export COMOUT="$ROTDIR/$CDUMP.$PDY/$cyc" + export COMOUTwmo="$COMOUT/wmo" + + echo "END: config.fbwind" diff --git a/tests/test_data/regtest/config/fcst.yaml b/tests/test_data/regtest/config/fcst.yaml new file mode 100644 index 0000000..0f4939a --- /dev/null +++ b/tests/test_data/regtest/config/fcst.yaml @@ -0,0 +1,125 @@ +# This file is used to generate config.fcst, which is one of two files +# used to control the forecast job. The other file is config.fv3. +# This file sets physics, dynamics, and I/O options. + +config_fcst: + filename: config.fcst + more_exports_for_microphys: !FirstTrue + - when: !calc ( doc.fv3_settings.imp_physics==11 ) + do: !expand | + export hord_mt_nh_nonmono={doc.fv3_settings.hord_mt_nh_nonmono} + export hord_xx_nh_nonmono={doc.fv3_settings.hord_xx_nh_nonmono} + export vtdm4_nh_nonmono={doc.fv3_settings.vtdm4_nh_nonmono} + export nord={doc.fv3_settings.nord} + export dddmp={doc.fv3_settings.dddmp} + export d4_bg={doc.fv3_settings.d4_bg} + - otherwise: "" + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.fcst ########## + # Forecast specific + + echo "BEGIN: config.fcst" + + # Source model specific information that is resolution dependent + . $EXPDIR/config.fv3 $CDUMP + + # Get task specific resources + . $EXPDIR/config.resources fcst + + if [ $DONST = "YES" ]; then + . $EXPDIR/config.nsst + fi + + export FORECASTSH="$HOMEgfs/scripts/exglobal_fcst_nemsfv3gfs.sh" + #export FCSTEXECDIR="$HOMEfv3gfs/NEMS/exe" + export FCSTEXECDIR="$HOMEgfs/exec" + export FCSTEXEC="global_fv3gfs.x" + export npe_fv3=$npe_fcst # This is model resolution dependent, see note above + + # Model configuration + export TYPE="{doc.fv3_settings.nh_type}" + export MONO="{doc.fv3_settings.MONO}" + + # Use stratosphere h2o physics + export h2o_phys="{tools.fort(doc.fv3_settings.h2o_phys)}" + + # Options of stratosphere O3 physics reaction coefficients + export new_o3forc="{tools.YES_NO(doc.fv3_settings_template.new_o3force)}" + + # Microphysics configuration + export dnats={doc.fv3_settings.dnats} + export cal_pre="{tools.fort(doc.fv3_settings.cal_pre)}" + export do_sat_adj="{tools.fort(doc.fv3_settings.do_sat_adj)}" + export random_clds="{tools.fort(doc.fv3_settings.random_clds)}" + #export cnvcld="{tools.fort(doc.fv3_settings.cnvcld)}" + export ncld={doc.fv3_settings.ncld} + export FIELD_TABLE="{doc.fv3_settings.FIELD_TABLE}" + export nwat={doc.fv3_settings.nwat} + {more_exports_for_microphys} + + #--------------------------------------------------------------------- + + + # Disable the use of coupler.res; get model start time from model_configure + export USE_COUPLER_RES="NO" + + if [[ "$CDUMP" == "gdas" ]] ; then # GDAS cycle specific parameters + + # Variables used in DA cycling + if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then + export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_da" + else + export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_da_orig" + fi + + # Write restart files at next assimilation time + export restart_interval=6 + export npe_remap={doc.aliases.gdasremap.npe} + export nth_remap={doc.aliases.gdasremap.nth} + + export hord_xx_nh_nonmono="{doc.fv3_gdas_settings.hord_xx_nh_nonmono}" + export hord_mt_nh_nonmono="{doc.fv3_gdas_settings.hord_mt_nh_nonmono}" + + elif [[ "$CDUMP" == "gfs" ]] ; then # GFS cycle specific parameters + + # Write more variables to output + if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then + export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table" + else + export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_orig" + fi + export npe_remap={doc.aliases.gfsremap.npe} + export nth_remap={doc.aliases.gfsremap.nth} + + export hord_xx_nh_nonmono="{doc.fv3_gfs_settings.hord_xx_nh_nonmono}" + export hord_mt_nh_nonmono="{doc.fv3_gfs_settings.hord_mt_nh_nonmono}" + + fi + + # Regrid tiles to global Gaussian grid in NEMSIO + export REGRID_NEMSIO_SH="$HOMEgfs/ush/fv3gfs_regrid_nemsio.sh" + if [ $DONST = YES ]; then + export REGRID_NEMSIO_TBL="$HOMEgfs/parm/parm_fv3diag/variable_table_da.txt" + else + export REGRID_NEMSIO_TBL="$HOMEgfs/parm/parm_fv3diag/variable_table_da_nonsst.txt" + fi + + # Remap tiles to global latlon grid in NetCDF + export REMAPSH="$HOMEgfs/ush/fv3gfs_remap.sh" + export master_grid="{doc.post.master_grid}" # 1deg 0p5deg 0p25deg 0p125deg etc + + # Global latlon NetCDF to nemsio utility parameters + export NC2NEMSIOSH="$HOMEgfs/ush/fv3gfs_nc2nemsio.sh" + + # Remember config.efcs will over-ride these values for ensemble forecasts + # if these variables are re-defined there. + # Otherwise, the ensemble forecast will inherit from config.fcst + + echo "END: config.fcst" + diff --git a/tests/test_data/regtest/config/fv3.yaml b/tests/test_data/regtest/config/fv3.yaml new file mode 100644 index 0000000..632cf7e --- /dev/null +++ b/tests/test_data/regtest/config/fv3.yaml @@ -0,0 +1,87 @@ +# This file is used to generate config.fv3, which is one of two files +# used to control the forecast job. The other file is config.fcst. +# This file sets task geometry, domain decomposition, and I/O server +# options. + +config_fv3: + filename: config.fv3 + enkf_cdmbgwd_settings: !FirstTrue + - when: !calc ( "cdmbgwd" in doc.fv3_enkf_settings ) + do: !expand "export cdmbgwd={doc.fv3_enkf_settings.cdmbgwd}" + - otherwise: "# not setting cdmbgwd" + gfs_cdmbgwd_settings: !FirstTrue + - when: !calc ( "cdmbgwd" in doc.fv3_gfs_settings ) + do: !expand "export cdmbgwd={doc.fv3_gfs_settings.cdmbgwd}" + - otherwise: "# not setting cdmbgwd" + gdas_cdmbgwd_settings: !FirstTrue + - when: !calc ( "cdmbgwd" in doc.fv3_gdas_settings ) + do: !expand "export cdmbgwd={doc.fv3_gdas_settings.cdmbgwd}" + - otherwise: "# not setting cdmbgwd" + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.fv3 ########## + # FV3 model resolution specific parameters + # e.g. time-step, processor layout, physics and dynamics parameters + # This config sets default variables for FV3 for a given resolution + # User can over-ride after sourcing this config file + + if [ $# -ne 1 ]; then + + echo "Must specify a forecast mode to set variables!" + echo "argument can be any one of the following:" + echo "EFCS GFS GDAS (upper- or lower-case is okay)" + err_exit "Missing mandatory argument to config.fv3" + exit 1 + + fi + + forecast_mode=$( echo "$1" | tr a-z A-Z ) + + echo "BEGIN: config.fv3 for $forecast_mode" + + case "$forecast_mode" in + ENKF) + export DELTIM={doc.fv3_enkf_settings.DELTIM} + export layout_x={doc.fv3_enkf_settings.layout_x} + export layout_y={doc.fv3_enkf_settings.layout_y} + export WRITE_GROUP={doc.fv3_enkf_settings.WRITE_GROUP} + export WRTTASK_PER_GROUP={doc.fv3_enkf_settings.WRTTASK_PER_GROUP} + export WRTIOBUF={doc.fv3_enkf_settings.WRTIOBUF} + export nth_fv3={doc.aliases.efcs.nth} + export npe_node_fcst={doc.aliases.efcs.npe_node} + {enkf_cdmbgwd_settings} + ;; + GFS) + export DELTIM={doc.fv3_gfs_settings.DELTIM} + export layout_x={doc.fv3_gfs_settings.layout_x} + export layout_y={doc.fv3_gfs_settings.layout_y} + export WRITE_GROUP={doc.fv3_gfs_settings.WRITE_GROUP} + export WRTTASK_PER_GROUP={doc.fv3_gfs_settings.WRTTASK_PER_GROUP} + export WRTIOBUF={doc.fv3_gfs_settings.WRTIOBUF} + export nth_fv3={doc.aliases.gfsfcst.nth} + export npe_node_fcst={doc.aliases.gfsfcst.npe_node} + {gfs_cdmbgwd_settings} + ;; + GDAS) + export DELTIM={doc.fv3_gdas_settings.DELTIM} + export layout_x={doc.fv3_gdas_settings.layout_x} + export layout_y={doc.fv3_gdas_settings.layout_y} + export WRITE_GROUP={doc.fv3_gdas_settings.WRITE_GROUP} + export WRTTASK_PER_GROUP={doc.fv3_gdas_settings.WRTTASK_PER_GROUP} + export WRTIOBUF={doc.fv3_gdas_settings.WRTIOBUF} + export nth_fv3={doc.aliases.gdasfcst.nth} + export npe_node_fcst={doc.aliases.gdasfcst.npe_node} + {gdas_cdmbgwd_settings} + ;; + *) + err_exit "Specify GFS, GDAS, or EFCS when calling config.fv3.in" + exit 2 + esac + + echo "END: config.fv3 for $forecast_mode" + diff --git a/tests/test_data/regtest/config/fv3ic.yaml b/tests/test_data/regtest/config/fv3ic.yaml new file mode 100644 index 0000000..0fed5c4 --- /dev/null +++ b/tests/test_data/regtest/config/fv3ic.yaml @@ -0,0 +1,25 @@ +# This file is used to generate config.fv3ic, which controls the +# chgres. That is the program that converts Global Spectral Model +# analysis files to Finite Volume 3 input conditions. + +config_fv3ic: + filename: config.fv3ic + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.fv3ic ########## + # Convert GFS initial conditions into FV3 initial conditions + + echo "BEGIN: config.fv3ic" + + # Task and thread configuration + export wtime_fv3ic="00:30:00" + export npe_fv3ic={doc.aliases.fv3ic.npe} + export npe_node_fv3ic={doc.aliases.fv3ic.npe} + + echo "END: config.fv3ic" + diff --git a/tests/test_data/regtest/config/gempak.yaml b/tests/test_data/regtest/config/gempak.yaml new file mode 100644 index 0000000..ac7346c --- /dev/null +++ b/tests/test_data/regtest/config/gempak.yaml @@ -0,0 +1,32 @@ +# This file is used to generate config.gempak, which has settings for the GFS gempak step + +config_gempak: + filename: config.gempak + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.gempak ########## + # GFS gempak step specific + + echo "BEGIN: config.gempak" + + # Get task specific resources + . $EXPDIR/config.resources gempak + + export SENDCOM="YES" + + export GEMPAKSH=$HOMEgfs/jobs/JGFS_GEMPAK + export FIXgfs=$HOMEgfs/gempak/fix + export USHgfs=$HOMEgfs/gempak/ush + export FIXgempak=$HOMEgfs/gempak/fix + export USHgempak=$HOMEgfs/gempak/ush + + export COMIN=$ROTDIR/$RUN.$PDY/$cyc + export COMOUT=$ROTDIR/$RUN.$PDY/$cyc/nawips + export COMINgempak="$ROTDIR" + + echo "END: config.gempak" diff --git a/tests/test_data/regtest/config/gempak_meta.yaml b/tests/test_data/regtest/config/gempak_meta.yaml new file mode 100644 index 0000000..7549581 --- /dev/null +++ b/tests/test_data/regtest/config/gempak_meta.yaml @@ -0,0 +1,31 @@ +# This file is used to generate config.gempak_meta, which has settings for the GFS gempak_meta step + +config_gempak_meta: + filename: config.gempak_meta + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.gempak_meta ########## + # GFS gempak_meta step specific + + echo "BEGIN: config.gempak_meta" + + # Get task specific resources + . $EXPDIR/config.resources gempak + + export SENDCOM="YES" + + export FIXgfs=$HOMEgfs/gempak/fix + export USHgfs=$HOMEgfs/gempak/ush + export FIXgempak=$HOMEgfs/gempak/fix + export USHgempak=$HOMEgfs/gempak/ush + + export COMIN=$ROTDIR/$RUN.$PDY/$cyc/nawips + export COMOUT=$ROTDIR/$RUN.$PDY/$cyc/nawips/meta + export COMINgempak="$ROTDIR" + + echo "END: config.gempak_meta" diff --git a/tests/test_data/regtest/config/gempak_meta_ncdc.yaml b/tests/test_data/regtest/config/gempak_meta_ncdc.yaml new file mode 100644 index 0000000..c2de20e --- /dev/null +++ b/tests/test_data/regtest/config/gempak_meta_ncdc.yaml @@ -0,0 +1,32 @@ +# This file is used to generate config.gempak_meta_ncdc, which has settings for the GFS gempak_meta_ncdc step + +config_gempak_meta_ncdc: + filename: config.gempak_meta_ncdc + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.gempak_meta_ncdc ########## + # GFS gempak_meta_ncdc step specific + + echo "BEGIN: config.gempak_meta_ncdc" + + # Get task specific resources + . $EXPDIR/config.resources gempak + + export SENDCOM="YES" + + export FIXgfs=$HOMEgfs/gempak/fix + export USHgfs=$HOMEgfs/gempak/ush + export FIXgempak=$HOMEgfs/gempak/fix + export USHgempak=$HOMEgfs/gempak/ush + + export COMIN=$ROTDIR/$RUN.$PDY/$cyc/nawips + export COMINgdas=$ROTDIR/$RUN + export COMOUT=$ROTDIR/$RUN.$PDY/$cyc + export COMOUTncdc=$ROTDIR/$RUN.$PDY/$cyc + + echo "END: config.gempak_meta_ncdc" diff --git a/tests/test_data/regtest/config/gempak_ncdc_upapgif.yaml b/tests/test_data/regtest/config/gempak_ncdc_upapgif.yaml new file mode 100644 index 0000000..c8114a7 --- /dev/null +++ b/tests/test_data/regtest/config/gempak_ncdc_upapgif.yaml @@ -0,0 +1,32 @@ +# This file is used to generate config.gempak_ncdc_upapgif, which has settings for the GFS gempak_ncdc_upapgif step + +config_gempak_ncdc_upapgif: + filename: config.gempak_ncdc_upapgif + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.gempak_ncdc_upapgif ########## + # GFS gempak_ncdc_upapgif step specific + + echo "BEGIN: config.gempak_ncdc_upapgif" + + # Get task specific resources + . $EXPDIR/config.resources gempak + + export SENDCOM="YES" + + export FIXgfs=$HOMEgfs/gempak/fix + export USHgfs=$HOMEgfs/gempak/ush + export FIXgempak=$NWROOTp1/gempak/fix + export USHgempak=$HOMEgfs/gempak/ush + + export COMIN=$ROTDIR/$RUN.$PDY/$cyc/nawips + export COMINgfs="$COMROOThps/$NET/$envir/$RUN.$PDY" + export COMOUT=$ROTDIR/$RUN.$PDY/$cyc + export COMOUTwmo="$COMOUT/wmo" + + echo "END: config.gempak_ncdc_upapgif" diff --git a/tests/test_data/regtest/config/getic.yaml b/tests/test_data/regtest/config/getic.yaml new file mode 100644 index 0000000..00d1fc2 --- /dev/null +++ b/tests/test_data/regtest/config/getic.yaml @@ -0,0 +1,31 @@ +# This file is used to generate config.getic, which is used to control +# the logic that pulls input data from HPSS. + +config_getic: + filename: config.getic + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.getic ########## + # Fetching GFS initial conditions specific + + echo "BEGIN: config.getic" + + # Get task specific resources + . $EXPDIR/config.resources getic + + # We should just be supporting the OPSGFS only + export ics_from="{doc.settings.ics_from}" # initial conditions from opsgfs or pargfs + + # Provide a parallel experiment name and path to HPSS archive + if [ $ics_from = "pargfs" ]; then + export parexp="{doc.settings.parexp}" + export HPSS_PAR_PATH="{doc.places.HPSS_PAR_PATH}" + fi + + echo "END: config.getic" + diff --git a/tests/test_data/regtest/config/nsst.yaml b/tests/test_data/regtest/config/nsst.yaml new file mode 100644 index 0000000..d37114b --- /dev/null +++ b/tests/test_data/regtest/config/nsst.yaml @@ -0,0 +1,50 @@ +# This file is used to generate config.nsst, which would be used to +# control NSST settings. Specifically, it controls the nstf_name +# option in the gfs physics namelist (&gfs_physics_nml) + +config_nsst: + filename: config.nsst + nst_spinup_logic: !FirstTrue + - when: !calc doc.nsst.get("NST_SPINUP","") + do: !expand "export NST_SPINUP=$NST_SPINUP" + - otherwise: | + export NST_SPINUP=0 + #if [[ "$CDATE" = $SDATE ]]; then + # export NST_SPINUP=1 + #fi + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.nsst ########## + # NSST specific + + echo "BEGIN: config.nsst" + + # NSST parameters contained within nstf_name + + # nstf_name(1) : NST_MODEL (NSST Model) : 0 = OFF, 1 = ON but uncoupled, 2 = ON and coupled + export NST_MODEL={doc.nsst.NST_MODEL} + + # nstf_name(2) : NST_SPINUP : 0 = OFF, 1 = ON, + {nst_spinup_logic} + + # nstf_name(3) : NST_RESV (Reserved, NSST Analysis) : 0 = OFF, 1 = ON + export NST_RESV={doc.nsst.NST_RESV} + + # nstf_name(4,5) : ZSEA1, ZSEA2 the two depths to apply vertical average (bias correction) + export ZSEA1={doc.nsst.ZSEA1} + export ZSEA2={doc.nsst.ZSEA2} + + export NST_GSI={doc.nsst.NST_GSI} # default 0: No NST info at all; + # 1: Input NST info but not used in GSI; + # 2: Input NST info, used in CRTM simulation, no Tr analysis + # 3: Input NST info, used in both CRTM simulation and Tr analysis + export NSTINFO={doc.nsst.NSTINFO} # number of elements added in obs. data array (default = 0) + if [ $NST_GSI -gt 0 ]; then export NSTINFO=4; fi + + echo "END: config.nsst" + diff --git a/tests/test_data/regtest/config/pgrb2_spec_npoess.yaml b/tests/test_data/regtest/config/pgrb2_spec_npoess.yaml new file mode 100644 index 0000000..78f95e3 --- /dev/null +++ b/tests/test_data/regtest/config/pgrb2_spec_npoess.yaml @@ -0,0 +1,28 @@ +# This file is used to generate config.pgrb2_spec_npoess, which has settings for the GFS pgrb2_spec_npoess step + +config_pgrb2_spec_npoess: + filename: config.pgrb2_spec_npoess + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.pgrb2_spec_npoess ########## + # GFS pgrb2_spec_npoess step specific + + echo "BEGIN: config.pgrb2_spec_npoess" + + export SENDCOM="YES" + + export GEMPAKSH=$HOMEgfs/jobs/JGFS_GEMPAK + export FIXgfs=$HOMEgfs/gempak/fix + export USHgfs=$HOMEgfs/gempak/ush + export FIXgempak=$HOMEgfs/gempak/fix + export USHgempak=$HOMEgfs/gempak/ush + + export COMIN=$ROTDIR/$RUN.$PDY/$cyc + export COMOUT=$ROTDIR/$RUN.$PDY/$cyc + + echo "END: config.pgrb2_spec_npoess" diff --git a/tests/test_data/regtest/config/post.yaml b/tests/test_data/regtest/config/post.yaml new file mode 100644 index 0000000..8486900 --- /dev/null +++ b/tests/test_data/regtest/config/post.yaml @@ -0,0 +1,66 @@ +# This file is used to generate config.post, which is used to control +# the deterministic atmospheric post processing. + +config_post: + filename: config.post + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.post ########## + # Post specific + + echo "BEGIN: config.post" + + # Get task specific resources + . $EXPDIR/config.resources post + + # Convert nemsio files to grib files using post job + #------------------------------------------- + + # No. of concurrent post jobs [0 implies sequential] + export NPOSTGRP={doc.post.NPOSTGRP} + + # Post driver job that calls gfs_nceppost.sh and downstream jobs + export POSTJJOBSH="$HOMEpost/jobs/JGLOBAL_NCEPPOST" + export GFSDOWNSH="$HOMEpost/ush/fv3gfs_downstream_nems.sh" + export GFSDWNSH="$HOMEpost/ush/fv3gfs_dwn_nems.sh" + + export POSTGPSH="$HOMEpost/ush/gfs_nceppost.sh" + export POSTGPEXEC="$HOMEpost/exec/gfs_ncep_post" + export GOESF={tools.YES_NO(doc.post.GOESF)} # goes image + export GTGF={tools.YES_NO(doc.post.GTGF)} # gtg icing product + export FLXF={tools.YES_NO(doc.post.FLXF)} # grib2 flux file written by post + export PGB1F={tools.YES_NO(doc.post.PGB1F)} + if [ $RUN_ENVIR = "nco" ]; then + export PGB1F=NO + export GTGF=YES + fi + + if [[ "$CDUMP" == gdas ]] ; then + export nth_postgp={doc.aliases.gdaspost.nth} + else + export nth_postgp={doc.aliases.gfspost.nth} + fi + export GFS_DOWNSTREAM={tools.YES_NO(doc.post.GFS_DOWNSTREAM)} + export downset={doc.post.downset} + export npe_dwn={doc.aliases.dwn.npe} + + export GRIBVERSION='grib2' + export SENDCOM="YES" + + if [ $machine = "WCOSS_C" ] ; then + export CRTM_FIX=/gpfs/hps/nco/ops/nwprod/lib/crtm/v2.2.5/fix + elif [ $machine = "WCOSS_DELL_P3" ] ; then + export CRTM_FIX=/gpfs/dell1/nco/ops/nwprod/lib/crtm/v2.2.5/fix + elif [ $machine = "THEIA" ] ; then + export CRTM_FIX=/scratch3/NCEPDEV/nceplibs/dev/crtm/v2.2.3/fix + else + echo "UPP using CRTM_FIX = $CRTM_FIX" + fi + + echo "END: config.post" + diff --git a/tests/test_data/regtest/config/postsnd.yaml b/tests/test_data/regtest/config/postsnd.yaml new file mode 100644 index 0000000..848d202 --- /dev/null +++ b/tests/test_data/regtest/config/postsnd.yaml @@ -0,0 +1,41 @@ +# This file is used to generate config.postsnd, which has settings for the GFS postsnd step + +config_postsnd: + filename: config.postsnd + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.postsnd ########## + # GFS bufr sounding step specific + + echo "BEGIN: config.postsnd" + + # Get task specific resources + . $EXPDIR/config.resources postsnd + + export CDATEm1=$($NDATE -24 $CDATE) + export PDYm1=$(echo $CDATEm1 | cut -c1-8) + export COMIN="$ROTDIR/$CDUMP.$PDY/$cyc" + export DATAROOT="$RUNDIR/$CDATE/$CDUMP/postsnd" + + export job="jgfs_postsnd_$cyc" + export jlogfile="$ROTDIR/logs/$CDATE/$job.log" + export DATA="$DATAROOT/$job" + export SENDCOM="YES" + export SENDDBN="YES" + export HOMEbufrsnd=$HOMEgfs + export COMOUT="$ROTDIR/$CDUMP.$PDY/$cyc" + export pcom="$COMOUT/wmo" + export COMAWP="$COMOUT/nawips" + + export POSTSNDSH=$HOMEgfs/jobs/JGFS_POSTSND + + if [ $machine = "JET" ]; then + export POSTSNDSH=$HOMEgfs/jobs/JGFS_POSTSND_JET + fi + + echo "END: config.postsnd" diff --git a/tests/test_data/regtest/config/prep.yaml b/tests/test_data/regtest/config/prep.yaml new file mode 100644 index 0000000..886871f --- /dev/null +++ b/tests/test_data/regtest/config/prep.yaml @@ -0,0 +1,125 @@ +# This file is used to generate config.prep, which controls +# observation pre-processing. The output of this is sent into the GFS +# or GDAS analysis. The observation processing system is not part of +# this public release, so this file is ignored. + +config_prep: + filename: config.prep + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.prep ########## + # Prep step specific + + echo "BEGIN: config.prep" + + # Get task specific resources + . $EXPDIR/config.resources prep + + # Source machine runtime environment + . $BASE_ENV/{doc.platform.name}.env prep + status=$? + [[ $status -ne 0 ]] && exit $status + + export DO_MAKEPREPBUFR="{tools.YES_NO(doc.data_assimilation.DO_MAKEPREPBUFR)}" # if NO, will copy prepbufr from globaldump + + # Relocation and syndata QC + export PROCESS_TROPCY="{tools.YES_NO(doc.data_assimilation.PROCESS_TROPCY)}" + [[ $RUN_ENVIR == "nco" && $envir == "prod" ]] && export PROCESS_TROPCY="YES" + export DO_RELOCATE="{tools.YES_NO(doc.data_assimilation.DO_RELOCATE)}" + export TROPCYQCRELOSH="$HOMEgfs/scripts/extropcy_qc_reloc.sh.ecf" + export SENDCOM="YES" + + export HOMERELO=$HOMEgfs + export EXECRELO=${{HOMERELO}}/exec + export FIXRELO=${{HOMERELO}}/fix/fix_am + export USHRELO=${{HOMERELO}}/ush + + export cycle="t\"$cyc\"z" + export OPREFIX="{doc.data_assimilation.OPREFIX}" + export COMOUT="$ROTDIR/$CDUMP.$PDY/$cyc" + [[ ! -d $COMOUT ]] && mkdir -p $COMOUT + + export COMIN_OBS={doc.data_assimilation.COMIN_OBS} + export COMSP=$COMIN_OBS/$OPREFIX + + ############################################################### + # If ROTDIR_DUMP=YES, copy dump files to rotdir + if [ $ROTDIR_DUMP = "YES" ]; then + $HOMEgfs/ush/getdump.sh $CDATE $CDUMP {doc.data_assimilation.COM_OBS}/$CDATE/$CDUMP$DUMP_SUFFIX $COMOUT + status=$? + [[ $status -ne 0 ]] && exit $status + + # Ensure previous cycle gdas dumps are available (used by cycle & downstream) + GDATE=$($NDATE -$assim_freq $CDATE) + gPDY=$(echo $GDATE | cut -c1-8) + gcyc=$(echo $GDATE | cut -c9-10) + GDUMP=gdas + gCOMOUT="$ROTDIR/$GDUMP.$gPDY/$gcyc" + if [ ! -s $gCOMOUT/$GDUMP.t\$gcyc\z.updated.status.tm00.bufr_d ]; then + $HOMEgfs/ush/getdump.sh $GDATE $GDUMP {doc.data_assimilation.COM_OBS}/$GDATE/$GDUMP$DUMP_SUFFIX $gCOMOUT + status=$? + [[ $status -ne 0 ]] && exit $status + fi + fi + + ############################################################### + # For running real-time parallels on WCOSS_C, execute tropcy_qc and + # copy files from operational syndata directory to a local directory. + # Otherwise, copy existing tcvital data from globaldump. + + if [ $PROCESS_TROPCY = "YES" ]; then + + export ARCHSYNDNCO=$COMROOTp1/arch/prod/syndat + if [ $RUN_ENVIR != "nco" ]; then + export ARCHSYND=$ROTDIR/syndat + if [ ! -d $ARCHSYND ]; then mkdir -p $ARCHSYND; fi + if [ ! -s $ARCHSYND/syndat_akavit ]; then + for file in syndat_akavit syndat_dateck syndat_stmcat.scr syndat_stmcat syndat_sthisto syndat_sthista ; do + cp $ARCHSYNDNCO/$file $ARCHSYND/. + done + fi + fi + + [[ $ROTDIR_DUMP = "YES" ]] && rm $COMOUT/{doc.data_assimilation.OPREFIX}syndata.tcvitals.tm00 + + $HOMEgfs/jobs/JGLOBAL_TROPCY_QC_RELOC + status=$? + [[ $status -ne 0 ]] && exit $status + + else + [[ $ROTDIR_DUMP = "NO" ]] && cp $COMIN_OBS/{doc.data_assimilation.OPREFIX}syndata.tcvitals.tm00 $COMOUT/ + fi + + ############################################################### + # Generate prepbufr files from dumps or copy from OPS + if [ $DO_MAKEPREPBUFR = "YES" ]; then + if [ $ROTDIR_DUMP = "YES" ]; then + rm $COMOUT/{doc.data_assimilation.OPREFIX}prepbufr + rm $COMOUT/{doc.data_assimilation.OPREFIX}prepbufr.acft_profiles + rm $COMOUT/{doc.data_assimilation.OPREFIX}nsstbufr + fi + export job="j\"$CDUMP\"_prep_\"$cyc + export DATAROOT="$RUNDIR/$CDATE/$CDUMP/prepbufr" + export COMIN="$ROTDIR/$CDUMP.$PDY/$cyc" + export COMINgdas="$ROTDIR/gdas.$PDY/$cyc" + export COMINgfs="$ROTDIR/gfs.$PDY/$cyc" + + $HOMEobsproc_network/jobs/JGLOBAL_PREP + status=$? + [[ $status -ne 0 ]] && exit $status + + else + if [ $ROTDIR_DUMP = "NO" ]; then + $NCP $COMIN_OBS/{doc.data_assimilation.OPREFIX}prepbufr $COMOUT/{doc.data_assimilation.OPREFIX}prepbufr + $NCP $COMIN_OBS/{doc.data_assimilation.OPREFIX}prepbufr.acft_profiles $COMOUT/{doc.data_assimilation.OPREFIX}prepbufr.acft_profiles + [[ $DONST = "YES" ]] && $NCP $COMIN_OBS/{doc.data_assimilation.OPREFIX}nsstbufr $COMOUT/{doc.data_assimilation.OPREFIX}nsstbufr + fi + fi + + echo "END: config.prep" + diff --git a/tests/test_data/regtest/config/prepbufr.yaml b/tests/test_data/regtest/config/prepbufr.yaml new file mode 100644 index 0000000..4e086a6 --- /dev/null +++ b/tests/test_data/regtest/config/prepbufr.yaml @@ -0,0 +1,37 @@ +# This file is used to generate config.prepbufr, which is used to +# generate the prepbuf file that contains some of the observations +# sent into the GFS and GDAS analysis. The observation processing +# suite is not included in this release, so this file is ignored. + +config_prepbufr: + filename: config.prepbufr + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.prepbufr ########## + # PREPBUFR specific configuration + + echo "BEGIN: config.prepbufr" + + # Get task specific resources + . $EXPDIR/config.resources prepbufr + + # Set variables + #------------------------------ + if [ $machine = "THEIA" ]; then + #------------------------------ + + export GESROOT=/scratch4/NCEPDEV/rstprod/nwges/prod # set by module prod_envir on WCOSS_C + + elif [ $machine = "JET" ]; then + + export GESROOT=/mnt/lfs3/projects/hfv3gfs/glopara/nwges/prod # this is a dummy dir + + fi + + echo "END: config.prepbufr" + diff --git a/tests/test_data/regtest/config/relocate.yaml b/tests/test_data/regtest/config/relocate.yaml new file mode 100644 index 0000000..52ef9dd --- /dev/null +++ b/tests/test_data/regtest/config/relocate.yaml @@ -0,0 +1,50 @@ +# This file is used to generate config.relocate, which controls +# observation pre-processing. The output of this is sent into the GFS +# or GDAS analysis. The observation processing system is not part of +# this public release, so this file is ignored. + +config_relocate: + filename: config.relocate + content: !expand | + #!/bin/ksh -x + + ########## config.relocate ########## + # Prep step specific + + echo "BEGIN: config.relocate" + + set -x + # Relocation and syndata QC + export PROCESS_TROPCY="{tools.YES_NO(doc.data_assimilation.PROCESS_TROPCY)}" + [[ $RUN_ENVIR == "nco" && $envir == "prod" ]] && export PROCESS_TROPCY="YES" + export DO_RELOCATE="{tools.YES_NO(doc.data_assimilation.DO_RELOCATE)}" + export TROPCYQCRELOSH="$HOMEgfs/scripts/extropcy_qc_reloc.sh.ecf" + export SENDCOM="YES" + + export HOMERELO=$HOMEgfs + export EXECRELO=${{HOMERELO}}/exec + export FIXRELO=${{HOMERELO}}/fix/fix_am + export USHRELO=${{HOMERELO}}/ush + + export cycle="t\"$cyc\"z" + export OPREFIX="{doc.data_assimilation.OPREFIX}" + + ################################### + # Only used when DO_RELOCATE=YES + # ignore them for now + ################################### + #export machine=${{machine:-WCOSS_C}} + #if [ $machine = WCOSS_C ] ; then + # export APRNGETTX="time aprun -q -j1 -n1 -N1 -d1 -cc depth" + # export APRNRELOC="time aprun -q -j1 -n7 -N1 -d24 -cc depth " + # export APRNSYNDX="time aprun -q -j1 -n1 -N1 -d1 -cc depth" + #fi + + # If PROCESS_TROPCY=NO, copy over tcvitals files to COMROT + if [ $PROCESS_TROPCY = "NO" ]; then + cp $DMPDIR/$CDATE/$CDUMP/{doc.data_assimilation.OPREFIX}syndata.tcvitals.tm00 $COMOUT/. + fi + set +x + + echo "END: config.relocate" + diff --git a/tests/test_data/regtest/config/resources.yaml b/tests/test_data/regtest/config/resources.yaml new file mode 100644 index 0000000..8566cdb --- /dev/null +++ b/tests/test_data/regtest/config/resources.yaml @@ -0,0 +1,146 @@ +# This file is used to tell the underlying scripts how many threads or +# MPI ranks are used for various executed programs. Many of the +# programs listed here are for the full workflow, such as analysis or +# ENKF jobs. Hence, only a few of these are used. Specifically, the +# ones in the post, fcst, and getic sections of the large "if" block. + +config_resources: + filename: "config.resources" + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.resources ########## + # Set resource information for job tasks + # e.g. walltime, node, cores per node, memory etc. + + if [ $# -ne 1 ]; then + + echo "Must specify an input task argument to set resource variables!" + echo "argument can be any one of the following:" + echo "anal fcst post vrfy arch" + echo "eobs eomg eupd ecen efcs epos earc" + echo "postsnd awips gempak" + exit 1 + + fi + + step=$1 + + echo "BEGIN: config.resources" + + if [[ "$step" == "prep" ]] ; then + export npe_prep={doc.aliases.prep.npe} + export npe_node_prep={doc.aliases.prep.npe_node} + + elif [[ "$step" == "prepbufr" ]] ; then + export npe_prepbufr={doc.aliases.prepbufr.npe} + export npe_node_prepbufr={doc.aliases.prepbufr.npe_node} + + elif [[ "$step" == "anal" ]] ; then + export npe_anal={doc.aliases.anal.npe} + export npe_node_anal={doc.aliases.anal.npe_node} + export nth_anal={doc.aliases.anal.nth} + + elif [[ "$step" == "fcst" ]] ; then + if [[ "${{CDUMP:-}}" == gdas ]] ; then + export npe_fcst={doc.aliases.gdasfcst.npe} + export npe_node_fcst={doc.aliases.gdasfcst.npe_node} + else + export npe_fcst_gfs={doc.aliases.gfsfcst.npe} + export npe_fcst={doc.aliases.gfsfcst.npe} + export npe_node_fcst={doc.aliases.gfsfcst.npe_node} + fi + + elif [[ "$step" == "post" ]] ; then + if [[ "${{CDUMP:-}}" == gdas ]] ; then + export npe_post={doc.aliases.gdaspost.npe} + export npe_node_post={doc.aliases.gdaspost.npe_node} + else + export npe_post={doc.aliases.gfspost.npe} + export npe_node_post={doc.aliases.gfspost.npe_node} + fi + + elif [[ "$step" == "vrfy" ]] ; then + export npe_vrfy={doc.aliases.gdasvrfy.npe} + export npe_node_vrfy={doc.aliases.gdasvrfy.npe_node} + export npe_vrfy_gfs={doc.aliases.gfsvrfy.npe} + export npe_node_vrfy_gfs={doc.aliases.gfsvrfy.npe_node} + + elif [[ "$step" == "arch" ]] ; then + export npe_arch={doc.aliases.arch.npe} + export npe_node_arch={doc.aliases.arch.npe_node} + + elif [[ "$step" == "earc" ]] ; then + export npe_earc={doc.aliases.earc.npe} + export npe_node_earc={doc.aliases.earc.npe_node} + + elif [[ "$step" == "getic" ]] ; then + export npe_getic={doc.aliases.getic.npe} + export npe_node_getic={doc.aliases.getic.npe_node} + + elif [[ "$step" == "eobs" ]] ; then + export npe_eobs={doc.aliases.eobs.npe} + export npe_node_eobs={doc.aliases.eobs.npe_node} + export nth_eobs={doc.aliases.eobs.nth} + + elif [[ "$step" == "eomg" ]] ; then + export npe_eobs={doc.aliases.eomg.npe} + export npe_node_eobs={doc.aliases.eomg.npe_node} + export nth_eobs={doc.aliases.eomg.nth} + + elif [[ "$step" == "eupd" ]] ; then + export npe_eupd={doc.aliases.eupd.npe} + export npe_node_eupd={doc.aliases.eupd.npe_node} + export nth_eupd={doc.aliases.eupd.nth} + + elif [[ "$step" == "ecen" ]] ; then + export npe_ecen={doc.aliases.ecen.npe} + export npe_node_ecen={doc.aliases.ecen.npe_node} + export nth_ecen={doc.aliases.ecen.nth} + export nth_cycle=$nth_ecen + + elif [[ "$step" == "efcs" ]] ; then + export npe_efcs={doc.aliases.efcs.npe} + export npe_node_efcs={doc.aliases.efcs.npe_node} + export nth_efcs={doc.aliases.efcs.nth} + + elif [[ "$step" == "epos" ]] ; then + export npe_epos={doc.aliases.epos.npe} + export npe_node_epos={doc.aliases.epos.npe_node} + export nth_epos={doc.aliases.epos.nth} + + elif [[ "$step" == "awips_20km_1p0" ]] ; then + export npe_awips={doc.aliases.awips_20km_1p0.npe} + export npe_node_awips={doc.aliases.awips_20km_1p0.npe_node} + + elif [[ "$step" == "awips_g2" ]] ; then + export npe_awips={doc.aliases.awips_g2.npe} + export npe_node_awips={doc.aliases.awips_g2.npe_node} + + elif [[ "$step" == "postsnd" ]] ; then + export npe_postsnd={doc.aliases.postsnd.npe} + export npe_node_postsnd={doc.aliases.postsnd.npe_node} + export npe_postsndcfp={doc.aliases.postsndcfp.npe} + export npe_node_postsndcfp={doc.aliases.postsndcfp.npe_node} + + elif [[ "$step" == "gempak" ]] ; then + if [[ "$CDUMP" == gdas ]] ; then + export npe_gempak={doc.aliases.gdas_gempak.npe} + export npe_node_gempak={doc.aliases.gdas_gempak.npe_node} + else + export npe_gempak={doc.aliases.gfs_gempak.npe} + export npe_node_gempak={doc.aliases.gfs_gempak.npe_node} + fi + + else + + echo "Invalid step = $step, ABORT!" + exit 2 + + fi + + echo "END: config.resources" diff --git a/tests/test_data/regtest/config/vrfy.yaml b/tests/test_data/regtest/config/vrfy.yaml new file mode 100644 index 0000000..d7a1dd9 --- /dev/null +++ b/tests/test_data/regtest/config/vrfy.yaml @@ -0,0 +1,232 @@ +# This file is used to generate config.vrfy which runs some of the +# post-processing and the VSDB. That functionality is not included in +# this release, so this file is ignored. + +config_vrfy: + filename: config.vrfy + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.vrfy ########## + # Verification step specific + + echo "BEGIN: config.vrfy" + + # Get task specific resources + . $EXPDIR/config.resources vrfy + + export VDUMP="{doc.downstream.VDUMP}" # verifying dump + export CDUMPFCST="{doc.downstream.CDUMPFCST}" # Fit-to-obs with GDAS/GFS prepbufr + export CDFNL="{doc.downstream.CDFNL}" # Scores verification against GDAS/GFS analysis + + export MKPGB4PRCP="{tools.YES_NO(doc.downstream.MKPGB4PRCP)}" # make 0.25-deg pgb files in ARCDIR for precip verification + export VRFYFITS="{tools.YES_NO(doc.downstream.VRFYFITS)}" # Fit to observations + export VSDB_STEP1="{tools.YES_NO(doc.downstream.VSDB_STEP1)}" # populate VSDB database + export VSDB_STEP2="{tools.YES_NO(doc.downstream.VSDB_STEP2)}" + export VRFYG2OBS="{tools.YES_NO(doc.downstream.VRFYG2OBS)}" # Grid to observations, see note below if turning ON + export VRFYPRCP="{tools.YES_NO(doc.downstream.VRFYPRCP)}" # Precip threat scores + export VRFYRAD="{tools.YES_NO(doc.downstream.VRFYRAD)}" # Radiance data assimilation monitoring + export VRFYOZN="{tools.YES_NO(doc.downstream.VRFYOZN)}" # Ozone data assimilation monitoring + export VRFYMINMON="{tools.YES_NO(doc.downstream.VRFYMINMON)}" # GSI minimization monitoring + export VRFYTRAK="{tools.YES_NO(doc.downstream.VRFYTRAK)}" # Hurricane track verification + export VRFYGENESIS="{tools.YES_NO(doc.downstream.VRFYGENESIS)}" # Cyclone genesis verification + export RUNMOS="{tools.YES_NO(doc.downstream.RUNMOS)}" # whether to run entire MOS package + + #------------------------------------------------- + # Fit to Observations + #------------------------------------------------- + + if [ $VRFYFITS = "YES" ]; then + + export PRVT=$HOMEgfs/fix/fix_gsi/prepobs_errtable.global + export HYBLEVS=$HOMEgfs/fix/fix_am/global_hyblev.l65.txt + export CUE2RUN=$QUEUE + + if [ $machine = "WCOSS_C" ]; then + export fitdir="$BASE_SVN/verif/global/parafits.fv3nems/batrun" + export PREPQFITSH="$fitdir/subfits_cray_nems" + elif [ $machine = "WCOSS_DELL_P3" ]; then + export fitdir="$BASE_SVN/verif/global/parafits.fv3nems/batrun" + export PREPQFITSH="$fitdir/subfits_dell_nems" + elif [ $machine = "THEIA" ]; then + export fitdir="$BASE_SVN/verif/global/parafits.fv3nems/batrun" + export PREPQFITSH="$fitdir/subfits_theia_nems" + elif [ $machine = "JET" ]; then + export fitdir="$BASE_GIT/verif/global/parafits.fv3nems.dell/batrun" + export PREPQFITSH="$fitdir/subfits_jet_nems" + fi + + fi + + + #---------------------------------------------------------- + # VSDB STEP1, Verify Precipipation and Grid To Obs options + #---------------------------------------------------------- + # All these call $VSDBSH + + if [[ "$CDUMP" = "gfs" ]] ; then + ddd=`echo $CDATE |cut -c 1-8` + #if [ $ddd -eq 5 -o $ddd -eq 10 ]; then export VSDB_STEP2 = "YES" ;fi + + if [ $VSDB_STEP1 = "YES" -o $VSDB_STEP2 = "YES" -o $VRFYPRCP = "YES" -o $VRFYG2OBS = "YES" ]; then + export BACKDATEVSDB=24 # execute vsdbjob for the previous day + export VBACKUP_PRCP=24 # back up for QPF verification data + export vsdbsave="$NOSCRUB/archive/vsdb_data" # place to save vsdb database + export vsdbhome=$BASE_VERIF # location of global verification scripts + export VSDBSH="$vsdbhome/vsdbjob.sh" # VSDB job script + export vlength=$FHMAX_GFS # verification length + export vhr_rain=$FHMAX_GFS # verification length for precip + export ftyplist="pgbq" # verif. files used for computing QPF ETS scores + export ptyplist="PRATE" # precip types in GRIB: PRATE or APCP + export anltype="gfs" # default=gfs, analysis type (gfs or gdas) for verification + export rain_bucket=6 # prate in pgb files is 6-hr accumulated + + export VSDB_START_DATE="$SDATE" # starting date for vsdb maps + export webhost="emcrzdm.ncep.noaa.gov" # webhost(rzdm) computer + export webhostid="$USER" # webhost(rzdm) user name + export SEND2WEB="NO" # whether or not to send maps to webhost + export WEBDIR="/home/people/emc/www/htdocs/gmb/${{webhostid}}/vsdb/$PSLOT" + export mdlist="gfs $PSLOT " # exps (up to 10) to compare in maps + fi + fi + + + #---------------------------------------------------------- + # Minimization, Radiance and Ozone Monitoring + #---------------------------------------------------------- + + if [ $VRFYRAD = "YES" -o $VRFYMINMON = "YES" -o $VRFYOZN = "YES" ]; then + + export envir="para" + + # Radiance Monitoring + if [[ "$VRFYRAD" == "YES" && "$CDUMP" == "$CDFNL" ]] ; then + + export RADMON_SUFFIX=$PSLOT + export TANKverf="$NOSCRUB/monitor/radmon" + if [ $machine = "WCOSS_C" -o $machine = "WCOSS_DELL_P3" -o $machine = "THEIA" -o $machine = "JET" ]; then + export VRFYRADSH="$HOMEgfs/jobs/JGDAS_VERFRAD" + else + echo "WARNING: Radiance monitoring is not enabled on $machine!" + export VRFYRAD="NO" + fi + + fi + + # Minimization Monitoring + if [[ "$VRFYMINMON" = "YES" ]] ; then + + export MINMON_SUFFIX=$PSLOT + export M_TANKverf="$NOSCRUB/monitor/minmon" + if [ $machine = "WCOSS_C" -o $machine = "WCOSS_DELL_P3" -o $machine = "THEIA" -o $machine = "JET" ]] ; then + if [[ "$CDUMP" = "gdas" ]] ; then + export VRFYMINSH="$HOMEgfs/jobs/JGDAS_VMINMON" + elif [[ "$CDUMP" = "gfs" ]] ; then + export VRFYMINSH="$HOMEgfs/jobs/JGFS_VMINMON" + fi + else + echo "WARNING: Minimization monitoring is not enabled on $machine!" + export VRFYMINMON="NO" + fi + + fi + + # Ozone Monitoring + if [[ "$VRFYOZN" == "YES" && "$CDUMP" == "$CDFNL" ]] ; then + + export HOMEgfs_ozn="$HOMEgfs" + export OZNMON_SUFFIX=$PSLOT + export TANKverf_ozn="$NOSCRUB/monitor/oznmon" + if [ $machine = "WCOSS_C" -o $machine = "WCOSS_DELL_P3" -o $machine = "THEIA" -o $machine = "JET" ]; then + export VRFYOZNSH="$HOMEgfs/jobs/JGDAS_VERFOZN" + else + echo "WARNING: Ozone monitoring is not enabled on $machine!" + export VRFYOZN="NO" + fi + + fi + + fi + + + #------------------------------------------------- + # Cyclone genesis and cyclone track verification + #------------------------------------------------- + + if [ $machine = "WCOSS_C" ] ; then + export ens_tracker_ver=v1.1.13.1 + export HOMEens_tracker=/gpfs/hps3/emc/global/noscrub/emc.glopara/git/ens_tracker.${{ens_tracker_ver}} + elif [ $machine = "WCOSS_DELL_P3" ] ; then + export ens_tracker_ver=v1.1.13.1 + export HOMEens_tracker=/gpfs/dell2/emc/modeling/noscrub/emc.glopara/git/ens_tracker.${{ens_tracker_ver}} + elif [ $machine = "THEIA" ] ; then + export ens_tracker_ver=v2.0.1 + export HOMEens_tracker=/scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17/ens_tracker.${{ens_tracker_ver}} + elif [ $machine = "JET" ]; then + export ens_tracker_ver=v1.1.13.2 + export HOMEens_tracker=/mnt/lfs3/projects/hfv3gfs/glopara/git/tracker/ens_tracker.${{ens_tracker_ver}} + else + echo "WARNING: Genesis and cyclone verifications are not enabled on $machine!" + export VRFYGENESIS="NO" + export VRFYTRAK="NO" + fi + + + if [ "$VRFYTRAK" = "YES" ]; then + + export TRACKERSH="$HOMEgfs/jobs/JGFS_CYCLONE_TRACKER" + if [ $CDUMP = "gdas" ]; then + export FHOUT_CYCLONE={doc.downstream.FHOUT_CYCLONE_GDAS} + export FHMAX_CYCLONE=$FHMAX + else + export FHOUT_CYCLONE={doc.downstream.FHOUT_CYCLONE_GFS} + export FHMAX_CYCLONE=$(( FHMAX_GFS<240 ? FHMAX_GFS : 240 )) + fi + if [ $machine = "THEIA" ]; then + export COMROOTp1="/scratch4/NCEPDEV/rstprod/com" + export COMINsyn="$COMROOTp1/arch/prod/syndat" + elif [ $machine = "JET" ]; then + export TRACKERSH="$HOMEens_tracker/jobs/JGFS_CYCLONE_TRACKER" + export COMINsyn="/mnt/lfs3/projects/hwrf-data/hwrf-input/SYNDAT" + fi + fi + + + if [[ "$VRFYGENESIS" == "YES" && "$CDUMP" == "gfs" ]]; then + + if [ $machine = "WCOSS_C" -o $machine = "WCOSS_DELL_P3" ] ; then + export GENESISSH="$HOMEgfs/jobs/JGFS_CYCLONE_GENESIS" + elif [ $machine = "THEIA" ] ; then + export GENESISSH="$HOMEens_tracker/gfs_genesis_para_fv3gfs.sh" + export UTILROOT="/scratch4/NCEPDEV/global/save/glopara/nwpara/prod_util.v1.0.15" + export GETTX_GEN="$NWROOTGENESIS/ens_tracker.v2.0.1/exec/gettrk_gen_g2_theia" + elif [ $machine = "JET" ] ; then + export GENESISSH="$HOMEens_tracker/jobs/JGFS_CYCLONE_GENESIS" + export UTILROOT="/lfs3/projects/hwrf-vd/soft/prod_util-1.0.18" + export GETTX_GEN="$HOMEens_tracker/exec/gettrk_gen_g2" + else + echo "WARNING: Genesis verification is not enabled on $machine!" + export VRFYGENESIS="NO" + export GENESISSH="" + fi + fi + + if [[ "$RUNMOS" == "YES" && "$CDUMP" == "gfs" ]]; then + + if [ $machine = "WCOSS_C" ] ; then + export RUNGFSMOSSH="$HOMEgfs/scripts/run_gfsmos_master.sh.cray" + elif [ $machine = "WCOSS_DELL_P3" ] ; then + export RUNGFSMOSSH="$HOMEgfs/scripts/run_gfsmos_master.sh.dell" + else + echo "WARNING: MOS package is not enabled on $machine!" + export RUNMOS="NO" + export RUNGFSMOSSH="" + fi + fi + + echo "END: config.vrfy" + diff --git a/tests/test_data/regtest/config/wafs.yaml b/tests/test_data/regtest/config/wafs.yaml new file mode 100644 index 0000000..bc96330 --- /dev/null +++ b/tests/test_data/regtest/config/wafs.yaml @@ -0,0 +1,38 @@ +# This file is used to generate config.wafs, which has settings for the GFS wafs step + +config_wafs: + filename: config.wafs + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.wafs ########## + # GFS wafs step specific + + echo "BEGIN: config.wafs" + + export SENDCOM="YES" + + export FIXgfs=$HOMEgfs/fix/wafs + export USHgfs=$HOMEgfs/gempak/ush + export PARMgfs=$HOMEgfs/parm/wafs + + export COMIN="$ROTDIR/$CDUMP.$PDY/$cyc" + export COMOUT="$ROTDIR/$CDUMP.$PDY/$cyc" + export COMOUTwmo="$COMOUT/wmo" + export PCOM="$COMOUT/wmo" + + export DATAROOT="$RUNDIR/$CDATE/$CDUMP" + export DATA="$DATAROOT/$job" + + ########################################## + ## Source machine runtime environment + ########################################### + . $HOMEgfs/env/{doc.platform.name}.env wafs + status=$? + [[ $status -ne 0 ]] && exit $status + + echo "END: config.wafs" diff --git a/tests/test_data/regtest/config/wafs_gcip.yaml b/tests/test_data/regtest/config/wafs_gcip.yaml new file mode 100644 index 0000000..09c9bda --- /dev/null +++ b/tests/test_data/regtest/config/wafs_gcip.yaml @@ -0,0 +1,33 @@ +# This file is used to generate config.wafs_gcip, which has settings for the GFS wafs_gcip step + +config_wafs_gcip: + filename: config.wafs_gcip + content: !expand | + #!/bin/ksh -x + + # This file is automatically generated from the YAML-based system + # in ecf/ecfutils/. Any changes will be overwritten if + # setup_case.sh is rerun. + + ########## config.wafs_gcip ########## + # GFS wafs_gcip step specific + + echo "BEGIN: config.wafs_gcip" + + export SENDCOM="YES" + + export COMIN="$ROTDIR/$CDUMP.$PDY/$cyc" + export COMINgfs="$ROTDIR/gfs.$PDY/$cyc" + export COMOUT="$ROTDIR/$CDUMP.$PDY/$cyc" + export TMPDIR=$STMP + export DATAROOT="$RUNDIR/$CDATE/$CDUMP" + export DATA="$DATAROOT/$job" + + ########################################## + ## Source machine runtime environment + ########################################### + . $HOMEgfs/env/{doc.platform.name}.env wafs + status=$? + [[ $status -ne 0 ]] && exit $status + + echo "END: config.wafs_gcip" diff --git a/tests/test_data/regtest/defaults/downstream.yaml b/tests/test_data/regtest/defaults/downstream.yaml new file mode 100644 index 0000000..d9ba91a --- /dev/null +++ b/tests/test_data/regtest/defaults/downstream.yaml @@ -0,0 +1,20 @@ +downstream_defaults: &downstream_defaults + DO_POST_PROCESSING: NO + DO_BUFRSND: NO + DO_GEMPAK: NO + DO_AWIPS: NO + DO_FAX: NO + DO_WAFS: NO + DO_BULLETINS: NO + VRFYFITS: NO + VSDB_STEP1: NO + VSDB_STEP2: NO + VRFYG2OBS: NO + VRFYPRCP: NO + VRFYRAD: NO + VRFYOZN: NO + VRFYMINMON: NO + VRFYTRAK: NO + VRFYGENESIS: NO + RUNMOS: NO + RMOLDSTD: 144 diff --git a/tests/test_data/regtest/defaults/fv3_enkf.yaml b/tests/test_data/regtest/defaults/fv3_enkf.yaml new file mode 100644 index 0000000..2303b53 --- /dev/null +++ b/tests/test_data/regtest/defaults/fv3_enkf.yaml @@ -0,0 +1,40 @@ +# This file is used to configure the ENKF-related config files. The +# ENKF is not included in this release, so these settings are not +# needed. However, they do need to be set to valid values because +# there is validation of the fv3_enkf_settings variables elsewhere in +# the YAML documents. + +fv3_enkf_defaults: &fv3_enkf_defaults + FHSWR: 3600. + FHLWR: 3600. + IEMS: 1 + ISOL: 2 + IAER: 111 + ICO2: 2 + dspheat: YES + shal_cnv: NO + FHZER: 6 + FHCYC: 1 + restart_interval: 6 + FHMAX: 6 + +# Stochastic physics parameters (only for ensemble forecasts) + DO_SKEB: NO + SKEB: -999. + SKEB_TAU: 21600. + SKEB_LSCALE: 500000. + SKEBNORM: 1 + DO_SHUM: YES + SHUM: 0.006 + SHUM_TAU: 21600. + SHUM_LSCALE: 500000. + DO_SPPT: YES + SPPT: 0.5 + SPPT_TAU: 21600. + SPPT_LSCALE: 500000. + SPPT_LOGIT: YES + SPPT_SFCLIMIT: YES + + k_split: 1 + n_split: 12 + consv_te: 1. diff --git a/tests/test_data/regtest/defaults/gfs_output_settings.yaml b/tests/test_data/regtest/defaults/gfs_output_settings.yaml new file mode 100644 index 0000000..7b04d97 --- /dev/null +++ b/tests/test_data/regtest/defaults/gfs_output_settings.yaml @@ -0,0 +1,7 @@ +# This file sets default values for the GFS output settings. See +# schema/output.yaml for documentation. + +gfs_output_settings_defaults: &gfs_output_settings_defaults + FHMAX_GFS: 384 + FHOUT_GFS: 3 + OUTPUT_GRID: gaussian_grid diff --git a/tests/test_data/regtest/defaults/places.yaml b/tests/test_data/regtest/defaults/places.yaml new file mode 100644 index 0000000..7f0d633 --- /dev/null +++ b/tests/test_data/regtest/defaults/places.yaml @@ -0,0 +1,27 @@ +# This file sets default values for data and executable locations. +# See the schema/places.yaml for details. +default_places: &default_places + PROJECT_DIR: !error Please select a project directory. + HOMEgfs: !calc doc.static_locations.HOMEgfs + HOMEcrow: !calc tools.crow_install_dir() + NWPROD: !calc doc.platform.NWPROD + DMPDIR: !calc doc.platform.DMPDIR + RTMFIX: !calc doc.platform.RTMFIX + + EXPDIR: !expand "{doc.platform.EXP_PARENT_DIR}/expdir/{doc.names.experiment}" + ROTDIR: !expand "{LONG_TERM_TEMP}/comrot/{doc.names.experiment}" + ICSDIR: !expand "{ROTDIR}/FV3ICS" + DATAROOT: !expand "{SHORT_TERM_TEMP}/RUNDIRS/{doc.names.experiment}" + SHORT_TERM_TEMP: !calc doc.platform.short_term_temp + LONG_TERM_TEMP: !calc doc.platform.long_term_temp +# SHORT_TERM_TEMP: !error GOT HERE +# LONG_TERM_TEMP: !error GOT HERE TOO + + ECF_ROOT: !calc tools.env("ECF_ROOT","/dev/null/ECF_ROOT") + ECF_HOME: !calc tools.env("ECF_HOME","/dev/null/ECF_HOME") + ecflow_def_dir: !expand '{ECF_ROOT}/defs' + ECF_INCLUDE: !expand '{ECF_ROOT}/include' + ECF_OUT: !expand '{ECF_ROOT}/output' + + YAMLDIR: !calc EXPDIR + rocoto_workflow_xml: !expand '{YAMLDIR}/workflow.xml' diff --git a/tests/test_data/regtest/defs/regtest_tmp/regtest_tmp_2016021000.def b/tests/test_data/regtest/defs/regtest_tmp/regtest_tmp_2016021000.def new file mode 100644 index 0000000..e8242f5 --- /dev/null +++ b/tests/test_data/regtest/defs/regtest_tmp/regtest_tmp_2016021000.def @@ -0,0 +1,140 @@ +extern /totality_limit:TOTALITY +suite regtest_tmp_2016021000 + autocancel 5 + edit PDY '20160210' + + edit ECF_TRIES '1' + # edit ECF_HOME '/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/regtest/../test_data/regtest' + edit CYC '00' + edit MACHINE 'venus' + edit ENVIR 'prod' + edit ECF_OUT '/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/regtest/../test_data/regtest/output' + edit ECF_LOG '/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/regtest/../test_data/regtest/ecf.log' + edit E 'j' + edit ECF_INCLUDE '/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/regtest/../test_data/regtest/include' + edit COM '/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/comrot/regtest_tmp' + + + + + + edit QUEUE 'dev' + edit QUEUESHARED 'dev' + edit QUEUESERV 'dev' + edit CPU_PROJECT 'none' + + # EMC overrides. Should remove before implementation: + edit DATAROOT '/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/RUNDIRS/regtest_tmp' + edit NWROOT '/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow' + + inlimit /totality_limit:TOTALITY + + edit ECF_FILES '/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/regtest/../test_data/regtest/scripts/regtest_tmp' + family gdas # /regtest_tmp_2016021000/gdas + edit RUN 'gdas' + task jgdas_tropcy_cp + edit ECF_DUMMY_TASK '' + defstatus complete + family forecast # /regtest_tmp_2016021000/gdas/forecast + task jgdas_forecast_high + endfamily # /regtest_tmp_2016021000/gdas/forecast + family post # /regtest_tmp_2016021000/gdas/post + task jgdas_post_manager + trigger ../forecast == active or ../forecast == complete + event 1 release_postanl + event 2 release_post000 + event 3 release_post003 + event 4 release_post006 + event 5 release_post009 + task jgdas_post_anl + edit FHR 'anl' + trigger ./jgdas_post_manager:release_postanl or ../forecast == complete + event 1 release_pgrb2_anl + task jgdas_post_f000 + edit FHR '000' + trigger ./jgdas_post_manager:release_post000 or ../forecast == complete + task jgdas_post_f003 + edit FHR '003' + trigger ./jgdas_post_manager:release_post003 or ../forecast == complete + task jgdas_post_f006 + edit FHR '006' + trigger ./jgdas_post_manager:release_post006 or ../forecast == complete + task jgdas_post_f009 + edit FHR '009' + trigger ./jgdas_post_manager:release_post009 or ../forecast == complete + endfamily # /regtest_tmp_2016021000/gdas/post + family enkf # /regtest_tmp_2016021000/gdas/enkf + family forecast # /regtest_tmp_2016021000/gdas/enkf/forecast + task jgdas_enkf_fcst_grp1 + task jgdas_enkf_fcst_grp2 + task jgdas_enkf_fcst_grp3 + task jgdas_enkf_fcst_grp4 + task jgdas_enkf_fcst_grp5 + task jgdas_enkf_fcst_grp6 + task jgdas_enkf_fcst_grp7 + task jgdas_enkf_fcst_grp8 + endfamily # /regtest_tmp_2016021000/gdas/enkf/forecast + family post # /regtest_tmp_2016021000/gdas/enkf/post + trigger ./forecast == complete + task jgdas_enkf_post_f003 + task jgdas_enkf_post_f004 + task jgdas_enkf_post_f005 + task jgdas_enkf_post_f006 + task jgdas_enkf_post_f007 + task jgdas_enkf_post_f008 + task jgdas_enkf_post_f009 + endfamily # /regtest_tmp_2016021000/gdas/enkf/post + endfamily # /regtest_tmp_2016021000/gdas/enkf + endfamily # /regtest_tmp_2016021000/gdas + family archive # /regtest_tmp_2016021000/archive + edit ECF_TRIES '3' + task jgdas_archive + edit RUN 'gdas' + trigger ../gdas == complete + family jgdas_enkf_archive # /regtest_tmp_2016021000/archive/jgdas_enkf_archive + edit RUN 'gdas' + trigger ../gdas/enkf/post == complete + task jgdas_enkf_archive_grp00 + time +00:10 + edit ENSGRP '00' + task jgdas_enkf_archive_grp01 + time +00:10 + edit ENSGRP '01' + task jgdas_enkf_archive_grp02 + time +00:10 + edit ENSGRP '02' + task jgdas_enkf_archive_grp03 + time +00:10 + edit ENSGRP '03' + task jgdas_enkf_archive_grp04 + time +00:10 + edit ENSGRP '04' + task jgdas_enkf_archive_grp05 + time +00:10 + edit ENSGRP '05' + task jgdas_enkf_archive_grp06 + time +00:10 + edit ENSGRP '06' + task jgdas_enkf_archive_grp07 + time +00:10 + edit ENSGRP '07' + task jgdas_enkf_archive_grp08 + time +00:10 + edit ENSGRP '08' + endfamily # /regtest_tmp_2016021000/archive/jgdas_enkf_archive + endfamily # /regtest_tmp_2016021000/archive + task cycle_end + edit ECF_JOB_CMD '%ECF_JOB% 1> %ECF_JOBOUT% 2>&1' + edit ECF_KILL_CMD 'kill -15 %ECF_RID%' + time 23:00 + task make_next_cycles + # These variables are used by the make_next_cycles job to generate + # suites for later cycles once earlier cycles have finished. + edit WORKFLOW_FIRST_CYCLE '2016021000' + edit WORKFLOW_LAST_CYCLE '2016021200' + edit WORKFLOW_CYCLES_TO_GENERATE '5' + edit WORKFLOW_CROW_HOME '/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/regtest' + edit WORKFLOW_EXPDIR '/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/expdir/regtest_tmp' + edit RUN 'gdas' # useless but required + trigger ./gdas == complete +endsuite # /regtest_tmp_2016021000 diff --git a/tests/test_data/regtest/include/experiment-regtest_tmp.h b/tests/test_data/regtest/include/experiment-regtest_tmp.h new file mode 100644 index 0000000..a3fbe90 --- /dev/null +++ b/tests/test_data/regtest/include/experiment-regtest_tmp.h @@ -0,0 +1,17 @@ +# These are development overrides. They are intended to set +# variables that would otherwise be set to operational values, and +# cause your workflow to fail. + +# EMC experiment configuration file +export HOMEgfs="/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW" +export HOMEobsproc_global="/gpfs/hps3/emc/global/noscrub/emc.glopara/git/obsproc/obsproc_global_RB-3.2.0" +export HOMEobsproc_network="/gpfs/hps3/emc/global/noscrub/emc.glopara/git/obsproc/obsproc_global_RB-3.2.0" +export HOMEobsproc_prep="/gpfs/hps3/emc/global/noscrub/emc.glopara/git/obsproc/obsproc_prep_RB-5.2.0" +export HOMEgfs="/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW" +export DATAROOT="/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/RUNDIRS/regtest_tmp" +export jlogfile="/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/comrot/regtest_tmp/jlogfile" +export COMROOT="/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/comrot/regtest_tmp" +export CDUMP=$RUN +export cycle=t%CYC%z +export EXPDIR="/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/expdir/regtest_tmp" +export CDATE=%PDY%%CYC% diff --git a/tests/test_data/regtest/platforms/_common.yaml b/tests/test_data/regtest/platforms/_common.yaml new file mode 100644 index 0000000..9e65051 --- /dev/null +++ b/tests/test_data/regtest/platforms/_common.yaml @@ -0,0 +1,15 @@ +platform_common: &global_platform_common + Evaluate: False + default_resources: {} + +partition_common: &global_partition_common + Evaluate: False + resources: !MergeMapping + - !calc doc.default_resources + - !calc doc.platform.default_resources + - !calc default_resources + - !calc doc.case.get('resources',{}) + - !calc doc.get('user_resources',{}) + + default_resources: {} + diff --git a/tests/test_data/regtest/platforms/_sandbox.yaml b/tests/test_data/regtest/platforms/_sandbox.yaml new file mode 100644 index 0000000..fe75862 --- /dev/null +++ b/tests/test_data/regtest/platforms/_sandbox.yaml @@ -0,0 +1,185 @@ +# This file configures the workflow to run on the cray parts of WCOSS + +platform: !Platform + <<: *global_platform_common + + # Evaluate: this must be "false" to ensure disk space availability logic + # is not run unless this file is for the current platform. + Evaluate: false + + # name: the name of this platform; this must match what the underlying + # scripts expect. + name: sandbox + + # detect: this is a function that returns true iff the user is on GAEA + # and false otherwise + detect: True + + # skip_if_others_present: if this is true, and at least one other + # platform is detected with this flag set to false, then skip this + # platform + skip_if_others_present: true + + # public_release_ics: location of input conditions that have been + # prepared for the public release. + public_release_ics: /gpfs/hps3/emc/global/noscrub/emc.glopara/FV3GFS_V1_RELEASE/ICs + + # CHGRP_RSTPROD_COMMAND - this specifies the command to use to + # restrict access to NOAA "rstprod" data restriction class. + # This only used for observation processing, data assimilation, and + # data assimilation archiving, which are not in the public release. + CHGRP_RSTPROD_COMMAND: "chgrp rstprod" + + # NWPROD - location of the NCEP operational "nwprod" directory, which + # only has meaning on the NCEP WCOSS machines. It is used to get + # the paths to certain programs and scripts. + NWPROD: "/gpfs/hps/nco/ops/nwprod" + + # DMPDIR - location of the global dump data. This is used by the observation + # processing scripts, which are not included in the public release. + DMPDIR: !calc doc.user_places.PROJECT_DIR + #"/Users/jiankuang/Documents/Eclipse_workspace/ecfutils_007" + #DMPDIR: !FirstTrue + # - do: "/gpfs/gp1/emc/globaldump" + # when: !calc tools.isdir(do) + # - do: "/gpfs/tp1/emc/globaldump" + # when: !calc tools.isdir(do) + # - otherwise: !error "Cannot find globaldump directory." + + # RTMFIX - location of the CRTM fixed data files used by the GSI data + # assimilation. The data assimilation is not included in this public release + # so this path is unused. + RTMFIX: "$CRTM_FIX" + + # BASE_SVN - a directory maintained by emc global model developers + # that contains recent versions of source code and executables for + # various subversion repositories. This is used on some platforms + # to find executables for this workflow. + BASE_SVN: "/gpfs/hps3/emc/global/noscrub/emc.glopara/svn" + + # BASE_GIT - a directory maintained by emc global model developers + # that contains recent versions of source code and executables for + # various git repositories. This is used on some platforms to find + # executables for this workflow. + BASE_GIT: "/gpfs/hps3/emc/global/noscrub/emc.glopara/git" + + # config_base_extras - Additional configuration data to put in the + # config.base file + config_base_extras: "sandbox" + #config_base_extras: | + # if [ -d /gpfs/tp1 ]; then + # export SITE="LUNA" + # elif [ -d /gpfs/gp1 ]; then + # export SITE="SURGE" + # fi + + # Additional variables to send to Rocoto XML entities or ecflow edits. + metasched_more: !expand | + {metasched.defvar(doc.schedvar.exclusive_queue, doc.accounting.exclusive_partition.exclusive_queue)} + {metasched.defvar(doc.schedvar.shared_queue, doc.accounting.shared_partition.shared_queue)} + {metasched.defvar(doc.schedvar.service_queue, doc.accounting.service_partition.service_queue)} + {metasched.defvar(doc.schedvar.cpu_project, doc.accounting.cpu_project)} + + partitions: + Evaluate: false + default_exclusive: !calc doc.platform.partitions.sandbox + default_service: !calc doc.platform.partitions.sandbox + default_shared: !calc doc.platform.partitions.sandbox + sandbox: + <<: *global_partition_common + + # specification - string to specify to the batch system to + # request this partition. Not relevant for WCOSS Cray + specification: null + + # shared_accounting_ref - accounting settings for shared jobs + shared_accounting_ref: + queue: !calc metasched.varref(doc.schedvar.shared_queue) + project: !calc metasched.varref(doc.schedvar.cpu_project) + + # service_accounting_ref - accounting settings for service jobs (jobs + # that require tape or network access) + service_accounting_ref: + queue: !calc metasched.varref(doc.schedvar.service_queue) + project: !calc metasched.varref(doc.schedvar.cpu_project) + + # exclusive_accounting_ref - accounting settings for jobs that require + # exclusive access to a node. + exclusive_accounting_ref: + queue: !calc metasched.varref(doc.schedvar.exclusive_queue) + project: !calc metasched.varref(doc.schedvar.cpu_project) + + # Queues to use for each job type. This logic automatically + # switches between development queues on the backup machine and + # development queues on the production machine based on whether the + # /gpfs/hps2/ptmp is writable. + shared_queue: dev + service_queue: dev + exclusive_queue: dev + #shared_queue: !FirstTrue + # - when: !calc ( tools.can_write("/gpfs/hps2/ptmp") ) + # do: dev_shared + # - otherwise: devonprod_shared + #service_queue: dev_transfer + #exclusive_queue: !FirstTrue + # - when: !calc ( tools.can_write("/gpfs/hps2/ptmp") ) + # do: dev + # - otherwise: devonprod + + # Details about the scheduler on this cluster. + scheduler_settings: + scheduler_name: LSFAlps + parallelism_name: LSFAlps + node_type: generic + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + memory_per_node: !calc (64*1024) + + scheduler: !calc | + tools.get_scheduler(scheduler_settings.scheduler_name, scheduler_settings) + parallelism: !calc | + tools.get_parallelism(scheduler_settings.parallelism_name, scheduler_settings) + nodes: !calc | + tools.node_tool_for(scheduler_settings.node_type, scheduler_settings) + + # Path to mmlsquota, the program used to get GPFS disk usage information: + #mmlsquota: "/usr/lpp/mmfs/bin/mmlsquota" + + # Automatically detect the least used scrub area the user can access: + #least_used_ptmp: !Immediate + # - !FirstMax + # - do: /gpfs/hps3/ptmp + # when: !calc ( int(tools.can_write(do)) * tools.gpfs_gb(do,"hps3-ptmp","hps3",mmlsquota) ) + # message: Use {do} for long-term temp. + # - do: /gpfs/hps/ptmp + # when: !calc ( int(tools.can_write(do)) * tools.gpfs_gb(do,"hps-ptmp","hps",mmlsquota) ) + # message: Use {do} for long-term temp. + # - do: /gpfs/hps2/ptmp + # when: !calc ( int(tools.can_write(do)) * tools.gpfs_gb(do,"hps2-ptmp","hps2",mmlsquota) ) + # message: Use {do} for long-term temp. + #least_used_stmp: !Immediate + # - !FirstMax + # - do: /gpfs/hps/stmp + # when: !calc ( int(tools.can_write(do)) * tools.gpfs_gb(do,"hps-stmp","hps",mmlsquota) ) + # message: Use {do} for short-term temp. + # - do: /gpfs/hps2/stmp + # when: !calc ( int(tools.can_write(do)) * tools.gpfs_gb(do,"hps2-stmp","hps2",mmlsquota) ) + # message: Use {do} for short-term temp. + # - do: /gpfs/hps3/stmp + # when: !calc ( int(tools.can_write(do)) * tools.gpfs_gb(do,"hps3-stmp","hps3",mmlsquota) ) + # message: Use {do} for short-term temp. + + # long_term_temp - area for storage of data that must be passed + # between jobs or shared with programs external to this workflow. + long_term_temp: !calc doc.user_places.PROJECT_DIR + #!expand "{least_used_ptmp}/{tools.env('USER')}" + + # short_term_temp - area for data that is only needed within one job: + short_term_temp: !calc doc.user_places.PROJECT_DIR + #!expand "{least_used_stmp}/{tools.env('USER')}" + + # EXP_PARENT_DIR - Parent directory of the expdir (experiment directory) + EXP_PARENT_DIR: !calc doc.user_places.PROJECT_DIR + #!expand "{doc.user_places.PROJECT_DIR}/noscrub/{tools.env('USER')}" diff --git a/tests/test_data/regtest/platforms/gaea.yaml b/tests/test_data/regtest/platforms/gaea.yaml new file mode 100644 index 0000000..d86cc8d --- /dev/null +++ b/tests/test_data/regtest/platforms/gaea.yaml @@ -0,0 +1,214 @@ +# This file configures the workflow to run on GAEA. + +platform: !Platform + <<: *global_platform_common + + # Evaluate: this must be "false" to ensure disk space availability logic + # is not run unless this file is for the current platform. + Evaluate: false + + # name: the name of this platform; this must match what the underlying + # scripts expect. + name: GAEA + + # detect: this is a function that returns true iff the user is on GAEA + # and false otherwise + detect: !calc tools.isdir("/lustre/f1") + + # public_release_ics: location of input conditions that have been + # prepared for the public release. + public_release_ics: /lustre/f1/pdata/ncep_shared/FV3GFS_V1_RELEASE/ICs + + # CHGRP_RSTPROD_COMMAND - this specifies the command to use to + # restrict access to NOAA "rstprod" data restriction class. + # This only used for observation processing, data assimilation, and + # data assimilation archiving, which are not in the public release. + CHGRP_RSTPROD_COMMAND: "chgrp rstprod" + + # NWPROD - location of the NCEP operational "nwprod" directory, which + # only has meaning on the NCEP WCOSS machines. It is used to get + # the paths to certain programs and scripts. + NWPROD: "//dev/null/global/save/glopara/nwpara" + + # DMPDIR - location of the global dump data. This is used by the observation + # processing scripts, which are not included in the public release. + DMPDIR: "/dev/null/global/noscrub/dump" + + # RTMFIX - location of the CRTM fixed data files used by the GSI data + # assimilation. The data assimilation is not included in this public release + # so this path is unused. + RTMFIX: "/dev/null/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" + + # BASE_SVN - a directory maintained by emc global model developers + # that contains recent versions of source code and executables for + # various repositories. This is used on some platforms to find + # executables for this workflow. + BASE_SVN: "/dev/null/global/save/glopara/svn" + + # BASE_GIT - a directory maintained by emc global model developers + # that contains recent versions of source code and executables for + # various git repositories. This is used on some platforms to find + # executables for this workflow. + BASE_GIT: "/dev/null/global/save/glopara/git" + + # rocoto_platform_vars - additional variables sent to the rocoto xml + # file for each job to set platform-specific batch card settings. + rocoto_platform_vars: | + + -S /bin/bash + + # config_base_extras - Additional configuration data to put in the + # config.base file + config_base_extras: | + export POSTGRB2TBL=$PARMgfs/g2tmpl-1.5.0/params_grib2_tbl_new + export WGRIB2="aprun -n 1 -j 1 -N 1 -d 1 -cc depth $EXECgfs/wgrib2" + + partition_common: &partition_common + <<: *global_partition_common + # Settings common to all partitions of this machine + + # shared_accounting_ref - accounting settings for shared jobs + shared_accounting_ref: + queue: !calc metasched.varref(doc.schedvar.shared_queue) + project: !calc metasched.varref(doc.schedvar.cpu_project) + + # service_accounting_ref - accounting settings for service jobs (jobs + # that require tape or network access) + service_accounting_ref: + queue: !calc metasched.varref(doc.schedvar.service_queue) + project: !calc metasched.varref(doc.schedvar.cpu_project) + + # exclusive_accounting_ref - accounting settings for jobs that require + # exclusive access to a node. + exclusive_accounting_ref: + queue: !calc metasched.varref(doc.schedvar.exclusive_queue) + project: !calc metasched.varref(doc.schedvar.cpu_project) + + # Queues to use for each job type + shared_queue: batch + service_queue: rdtn + exclusive_queue: batch + + # Generate the actual Python objects for the scheduler, parallelism, + # and nodes: + scheduler: !calc | + tools.get_scheduler(scheduler_settings.scheduler_name, scheduler_settings) + parallelism: !calc | + tools.get_parallelism(scheduler_settings.parallelism_name, scheduler_settings) + nodes: !calc | + tools.node_tool_for(scheduler_settings.node_type, scheduler_settings) + + partitions: + Evaluate: false + c4: + <<: *partition_common + specification: c4 + # Details about the scheduler on this cluster. + scheduler_settings: + scheduler_name: MoabAlps + parallelism_name: AprunCrayMPI + node_type: generic + physical_cores_per_node: 36 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + memory_per_node: !calc (64*1024) + + es: + <<: *partition_common + specification: es + # Details about the scheduler on this cluster. + scheduler_settings: + scheduler_name: MoabAlps + parallelism_name: AprunCrayMPI + node_type: generic + physical_cores_per_node: 2 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + + c3: + <<: *partition_common + specification: c3 + # Details about the scheduler on this cluster. + scheduler_settings: + scheduler_name: MoabAlps + parallelism_name: AprunCrayMPI + node_type: generic + physical_cores_per_node: 32 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + memory_per_node: !calc (64*1024) + + c3_or_c4: + <<: *partition_common + specification: 'c3:c4' + # Details about the scheduler on this cluster. + scheduler_settings: &c4_scheduler_settings + scheduler_name: MoabAlps + parallelism_name: AprunCrayMPI + node_type: generic + physical_cores_per_node: 32 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + memory_per_node: !calc (64*1024) + + # Default partitions for each common job type: + default_shared: !calc doc.platform.partitions.c3_or_c4 + default_exclusive: !calc doc.platform.partitions.c3_or_c4 + default_service: !calc doc.platform.partitions.es + + # Additional variables to send to Rocoto XML entities or ecflow edits. + metasched_more: !expand | + {metasched.defvar(doc.schedvar.exclusive_queue, doc.accounting.exclusive_partition.exclusive_queue)} + {metasched.defvar(doc.schedvar.shared_queue, doc.accounting.shared_partition.shared_queue)} + {metasched.defvar(doc.schedvar.service_queue, doc.accounting.service_partition.service_queue)} + {metasched.defvar(doc.schedvar.cpu_project, doc.accounting.cpu_project)} + {metasched.defvar(doc.schedvar.service_partition, "es")} + + # Automatically detect the least used scrub area the user can access: + user_scrub_area: !Immediate + - !FirstTrue + - do: /lustre/f1 + when: !calc tools.can_write(do) + - do: /lustre/f1/ncep + when: !calc tools.can_write(do) + - do: /lustre/f1/oar.esrl.rocoto + when: !calc tools.can_write(do) + - do: /lustre/f1/oar.gfdl.bgrp-account + when: !calc tools.can_write(do) + - do: /lustre/f1/oar.gfdl.ccsp-users + when: !calc tools.can_write(do) + - do: /lustre/f1/oar.gfdl.cm3 + when: !calc tools.can_write(do) + - do: /lustre/f1/oar.gfdl.cmip6 + when: !calc tools.can_write(do) + - do: /lustre/f1/oar.gfdl.decp + when: !calc tools.can_write(do) + - do: /lustre/f1/oar.gfdl.esm2g + when: !calc tools.can_write(do) + - do: /lustre/f1/oar.gfdl.esm2m + when: !calc tools.can_write(do) + - do: /lustre/f1/oar.gfdl.fre_test + when: !calc tools.can_write(do) + - do: /lustre/f1/oar.gfdl.hrao + when: !calc tools.can_write(do) + - do: /lustre/f1/oar.gfdl.ogrp-account + when: !calc tools.can_write(do) + - do: /lustre/f1/oar.gfdl.ssam + when: !calc tools.can_write(do) + - otherwise: !error "Cannot find project area. Please set manually." + + # long_term_temp - area for storage of data that must be passed + # between jobs or shared with programs external to this workflow. + long_term_temp: !expand "{user_scrub_area}/{tools.env('USER')}" + + # short_term_temp - area for data that is only needed within one job: + short_term_temp: !expand "{user_scrub_area}/{tools.env('USER')}" + + # EXP_PARENT_DIR - Parent directory of the expdir (experiment directory) + EXP_PARENT_DIR: !expand "{doc.user_places.PROJECT_DIR}/{tools.env('USER')}" diff --git a/tests/test_data/regtest/platforms/jet.yaml b/tests/test_data/regtest/platforms/jet.yaml new file mode 100644 index 0000000..afd1cd0 --- /dev/null +++ b/tests/test_data/regtest/platforms/jet.yaml @@ -0,0 +1,268 @@ +# This file configures the workflow to run on the xJet partition of Jet + +platform: !Platform + <<: *global_platform_common + + # Evaluate: this must be "false" to ensure disk space availability logic + # is not run unless this file is for the current platform. + Evaluate: false + + # name: the name of this platform; this must match what the underlying + # scripts expect. Note that the name does not specify the jet partition. + name: JET + + # detect: this is a function that returns true iff the user is on GAEA + # and false otherwise + detect: !calc tools.isdir("/lfs3") + + # public_release_ics: location of input conditions that have been + # prepared for the public release. + public_release_ics: /lfs3/projects/hfv3gfs/Samuel.Trahan/FV3GFS_V1_RELEASE/ICs + + # CHGRP_RSTPROD_COMMAND - this specifies the command to use to + # restrict access to NOAA "rstprod" data restriction class. + # This only used for observation processing, data assimilation, and + # data assimilation archiving, which are not in the public release. + CHGRP_RSTPROD_COMMAND: "chgrp rstprod" + + # NWPROD - location of the NCEP operational "nwprod" directory, which + # only has meaning on the NCEP WCOSS machines. It is used to get + # the paths to certain programs and scripts. + NWPROD: "/lfs3/projects/hfv3gfs/nwprod" + + # DMPDIR - location of the global dump data. This is used by the observation + # processing scripts, which are not included in the public release. + DMPDIR: "/mnt/lfs3/projects/hfv3gfs/glopara/noscrub/dump" + + # RTMFIX - location of the CRTM fixed data files used by the GSI data + # assimilation. The data assimilation is not included in this public release + # so this path is unused. + RTMFIX: "/mnt/lfs3/projects/hfv3gfs/gwv/ljtjet/lib/crtm/v2.2.5/fix" + + # BASE_SVN - a directory maintained by emc global model developers + # that contains recent versions of source code and executables for + # various repositories. This is used on some platforms to find + # executables for this workflow. + BASE_SVN: "/dev/null/global/save/glopara/svn" + + # BASE_GIT - a directory maintained by emc global model developers + # that contains recent versions of source code and executables for + # various git repositories. This is used on some platforms to find + # executables for this workflow. + BASE_GIT: "/mnt/lfs3/projects/hfv3gfs/glopara/git" + + # config_base_extras - Additional configuration data to put in the + # config.base file + config_base_extras: | + export POSTGRB2TBL=/lfs3/projects/hfv3gfs/glopara/nwpara/lib/g2tmpl/v1.5.0/src/params_grib2_tbl_new + export NDATE="$NWPROD/util/exec/ndate" + export NHOUR="$NWPROD/util/exec/nhour" + export WGRIB="$NWPROD/util/exec/wgrib" + export WGRIB2="$NWPROD/util/exec/wgrib2" + export COPYGB="$NWPROD/util/exec/copygb" + export COPYGB2="$NWPROD/util/exec/copygb2" + export GRBINDEX="$NWPROD/util/exec/grbindex" + export GRB2INDEX="$NWPROD/util/exec/grb2index" + export GRBINDEX2="$NWPROD/util/exec/grb2index" + export CNVGRIB="$NWPROD/util/exec/cnvgrib" + export CNVGRIB21_GFS="$NWPROD/util/exec/cnvgrib" + + # A CFP wrapper is installed as part of the "mpiserial" module on Jet. + export USE_CFP=YES + + # Additional variables to send to Rocoto XML entities or ecflow edits. + metasched_more: !expand | + {metasched.defvar(doc.schedvar.exclusive_queue, doc.accounting.exclusive_partition.exclusive_queue)} + {metasched.defvar(doc.schedvar.shared_queue, doc.accounting.shared_partition.shared_queue)} + {metasched.defvar(doc.schedvar.service_queue, doc.accounting.service_partition.service_queue)} + {metasched.defvar(doc.schedvar.cpu_project, doc.accounting.cpu_project)} + + # long_term_temp - area for storage of data that must be passed + # between jobs or shared with programs external to this workflow. + long_term_temp: !expand "{doc.user_places.PROJECT_DIR}/{tools.env('USER')}/scrub" + + # short_term_temp - area for data that is only needed within one job: + short_term_temp: !calc long_term_temp + + # EXP_PARENT_DIR - Parent directory of the expdir (experiment directory) + EXP_PARENT_DIR: !expand "{doc.user_places.PROJECT_DIR}/{tools.env('USER')}/noscrub" + + # NOSCRUB_DIR - directory for data that needs to be retained for an + # indefinite amount of time after the simulation ends. + NOSCRUB_DIR: !expand "{doc.places.PROJECT_DIR}/{tools.env('USER')}/noscrub" + + # SAVE_DIR - directory for small, important, files that should be + # backed up to tape. + SAVE_DIR: !expand "{doc.places.PROJECT_DIR}/{tools.env('USER')}/save" + + ###################################################################### + + # Jet partitions: + + partition_common: &partition_common + <<: *global_partition_common + # shared_accounting_ref - accounting settings for shared jobs + shared_accounting_ref: + queue: !calc metasched.varref(doc.schedvar.shared_queue) + project: !calc metasched.varref(doc.schedvar.cpu_project) + partition: !calc metasched.varref(doc.schedvar.partition) + + # service_accounting_ref - accounting settings for service jobs (jobs + # that require tape or network access) + service_accounting_ref: + queue: !calc metasched.varref(doc.schedvar.service_queue) + project: !calc metasched.varref(doc.schedvar.cpu_project) + partition: service + + # exclusive_accounting_ref - accounting settings for jobs that require + # exclusive access to a node. + exclusive_accounting_ref: + queue: !calc metasched.varref(doc.schedvar.exclusive_queue) + project: !calc metasched.varref(doc.schedvar.cpu_project) + partition: !calc metasched.varref(doc.schedvar.partition) + + # Queues to use for each job type + shared_queue: batch + service_queue: service + exclusive_queue: batch + + scheduler: !calc | + tools.get_scheduler(scheduler_settings.scheduler_name, scheduler_settings) + parallelism: !calc | + tools.get_parallelism(scheduler_settings.parallelism_name, scheduler_settings) + nodes: !calc | + tools.node_tool_for(scheduler_settings.node_type, scheduler_settings) + + partitions: + Evaluate: false + + tjet_or_wherever: + <<: *partition_common + specification: "tjet:ujet:sjet:vjet:xjet" + scheduler_settings: + scheduler_name: MoabTorque + parallelism_name: HydraIMPI + node_type: generic + physical_cores_per_node: 12 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + memory_per_node: !calc (23.5*1024) + + bigmem: + <<: *partition_common + # Details about the scheduler on this cluster. + specification: bigmem + scheduler_settings: + scheduler_name: MoabTorque + parallelism_name: HydraIMPI + node_type: generic + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + memory_per_node: !calc (250*1024) + + xjet: + <<: *partition_common + # Details about the scheduler on this cluster. + specification: xjet + scheduler_settings: + scheduler_name: MoabTorque + parallelism_name: HydraIMPI + node_type: generic + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + memory_per_node: !calc (63.5*1024) + + vjet: + <<: *partition_common + # Details about the scheduler on this cluster. + specification: "vjet" + scheduler_settings: + scheduler_name: MoabTorque + parallelism_name: HydraIMPI + node_type: generic + physical_cores_per_node: 16 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + memory_per_node: !calc (63.5*1024) + + sjet: + <<: *partition_common + # Details about the scheduler on this cluster. + specification: "sjet" + scheduler_settings: + scheduler_name: MoabTorque + parallelism_name: HydraIMPI + node_type: generic + physical_cores_per_node: 16 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + memory_per_node: !calc (31.5*1024) + + sjet_or_vjet: + <<: *partition_common + # Details about the scheduler on this cluster. + specification: "sjet:vjet" + scheduler_settings: + scheduler_name: MoabTorque + parallelism_name: HydraIMPI + node_type: generic + physical_cores_per_node: 16 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + memory_per_node: !calc (31.5*1024) + + service: + <<: *partition_common + # Details about the scheduler on this cluster. + specification: "service" + scheduler_settings: + scheduler_name: MoabTorque + parallelism_name: HydraIMPI + node_type: generic + physical_cores_per_node: 2 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + memory_per_node: !calc (24*1024) + + tjet_or_ujet: + <<: *partition_common + # Details about the scheduler on this cluster. + specification: "tjet:ujet" + scheduler_settings: + scheduler_name: MoabTorque + parallelism_name: HydraIMPI + node_type: generic + physical_cores_per_node: 12 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + memory_per_node: !calc (23.5*1024) + + bigmem: + <<: *partition_common + # Details about the scheduler on this cluster. + specification: "bigmem" + scheduler_settings: + scheduler_name: MoabTorque + parallelism_name: HydraIMPI + node_type: generic + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + memory_per_node: !calc (251.5*1024) + + #default_shared: !calc doc.platform.partitions.xjet + #default_exclusive: !calc doc.platform.partitions.xjet + default_shared: !calc doc.platform.partitions.xjet + default_exclusive: !calc doc.platform.partitions.xjet + default_service: !calc doc.platform.partitions.service diff --git a/tests/test_data/regtest/platforms/theia.yaml b/tests/test_data/regtest/platforms/theia.yaml new file mode 100644 index 0000000..67fbc3a --- /dev/null +++ b/tests/test_data/regtest/platforms/theia.yaml @@ -0,0 +1,154 @@ +# This file configures the workflow to run on Theia + +platform: !Platform + <<: *global_platform_common + + # Evaluate: this must be "false" to ensure disk space availability logic + # is not run unless this file is for the current platform. + Evaluate: false + + # name: the name of this platform; this must match what the underlying + # scripts expect. + name: THEIA + + # detect: this is a function that returns true iff the user is on GAEA + # and false otherwise + detect: !calc tools.isdir("/scratch4") and tools.isdir("/scratch3") + + # public_release_ics: location of input conditions that have been + # prepared for the public release. + public_release_ics: /scratch4/NCEPDEV/global/noscrub/glopara/FV3GFS_V1_RELEASE/ICs + + # CHGRP_RSTPROD_COMMAND - this specifies the command to use to + # restrict access to NOAA "rstprod" data restriction class. + # This only used for observation processing, data assimilation, and + # data assimilation archiving, which are not in the public release. + CHGRP_RSTPROD_COMMAND: "chgrp rstprod" + + # NWPROD - location of the NCEP operational "nwprod" directory, which + # only has meaning on the NCEP WCOSS machines. It is used to get + # the paths to certain programs and scripts. + NWPROD: "/scratch4/NCEPDEV/global/save/glopara/nwpara" + + # DMPDIR - location of the global dump data. This is used by the observation + # processing scripts, which are not included in the public release. + DMPDIR: "/scratch4/NCEPDEV/global/noscrub/dump" + + # RTMFIX - location of the CRTM fixed data files used by the GSI data + # assimilation. The data assimilation is not included in this public release + # so this path is unused. + RTMFIX: "/scratch4/NCEPDEV/da/save/Michael.Lueken/nwprod/lib/crtm/2.2.3/fix_update" + + # BASE_SVN - a directory maintained by emc global model developers + # that contains recent versions of source code and executables for + # various repositories. This is used on some platforms to find + # executables for this workflow. + BASE_SVN: "/scratch4/NCEPDEV/global/save/glopara/svn" + + # BASE_GIT - a directory maintained by emc global model developers + # that contains recent versions of source code and executables for + # various git repositories. This is used on some platforms to find + # executables for this workflow. + BASE_GIT: "/scratch4/NCEPDEV/global/save/glopara/git" + + # config_base_extras - Additional configuration data to put in the + # config.base file. On Theia, we use this to specify the location + # of some programs. + config_base_extras: !expand | + export NDATE="$NWPROD/util/exec/ndate" + export NHOUR="$NWPROD/util/exec/nhour" + export WGRIB="$NWPROD/util/exec/wgrib" + export WGRIB2="/scratch3/NCEPDEV/nwprod/utils/wgrib2.v2.0.6c/wgrib2/wgrib2" + export COPYGB="$NWPROD/util/exec/copygb" + export COPYGB2="$NWPROD/util/exec/copygb2" + export GRBINDEX="$NWPROD/util/exec/grbindex" + export GRB2INDEX="$NWPROD/util/exec/grb2index" + export GRBINDEX2="$NWPROD/util/exec/grb2index" + export CNVGRIB="/apps/cnvgrib/1.4.0/bin/cnvgrib" + export CNVGRIB21_GFS="/apps/cnvgrib/1.4.0/bin/cnvgrib" + export POSTGRB2TBL="/scratch3/NCEPDEV/nwprod/lib/sorc/g2tmpl/params_grib2_tbl_new" + + # Additional variables to send to Rocoto XML entities or ecflow edits. + metasched_more: !expand | + {metasched.defvar(doc.schedvar.exclusive_queue, doc.accounting.exclusive_partition.exclusive_queue)} + {metasched.defvar(doc.schedvar.shared_queue, doc.accounting.shared_partition.shared_queue)} + {metasched.defvar(doc.schedvar.service_queue, doc.accounting.service_partition.service_queue)} + {metasched.defvar(doc.schedvar.cpu_project, doc.accounting.cpu_project)} + + partitions: + Evaluate: false + default_shared: !calc doc.platform.partitions.theia + default_exclusive: !calc doc.platform.partitions.theia + default_service: !calc doc.platform.partitions.theia + theia: + <<: *global_partition_common + specification: null + # shared_accounting_ref - accounting settings for shared jobs + shared_accounting_ref: + queue: !calc metasched.varref(doc.schedvar.shared_queue) + project: !calc metasched.varref(doc.schedvar.cpu_project) + + # service_accounting_ref - accounting settings for service jobs (jobs + # that require tape or network access) + service_accounting_ref: + queue: !calc metasched.varref(doc.schedvar.service_queue) + project: !calc metasched.varref(doc.schedvar.cpu_project) + + # exclusive_accounting_ref - accounting settings for jobs that require + # exclusive access to a node. + exclusive_accounting_ref: + queue: !calc metasched.varref(doc.schedvar.exclusive_queue) + project: !calc metasched.varref(doc.schedvar.cpu_project) + + # Queues to use for each job type + shared_queue: batch + service_queue: service + exclusive_queue: batch + + # Details about the scheduler on this cluster. + scheduler_settings: &scheduler_settings + scheduler_name: MoabTorque + parallelism_name: HydraIMPI + node_type: generic + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + memory_per_node: !calc (64*1024) + + # Generate the actual Python objects for the scheduler, parallelism, + # and nodes: + scheduler: !calc | + tools.get_scheduler(scheduler_settings.scheduler_name, scheduler_settings) + parallelism: !calc | + tools.get_parallelism(scheduler_settings.parallelism_name, scheduler_settings) + nodes: !calc | + tools.node_tool_for(scheduler_settings.node_type, scheduler_settings) + + # Path to pan_df, the program used to get Panasas disk usage information: + pan_df: pan_df + + # Automatically detect the least used scrub area the user can access: + least_used_temp: !Immediate + - !FirstMax + - do: /scratch3/NCEPDEV/stmp1 + when: !calc ( int(tools.can_write(do)) and tools.panasas_gb(do) ) + - do: /scratch3/NCEPDEV/stmp2 + when: !calc ( int(tools.can_write(do)) and tools.panasas_gb(do) ) + - do: /scratch4/NCEPDEV/stmp3 + when: !calc ( int(tools.can_write(do)) and tools.panasas_gb(do) ) + - do: /scratch4/NCEPDEV/stmp4 + when: !calc ( int(tools.can_write(do)) and tools.panasas_gb(do) ) + - do: !expand "{doc.user_places.PROJECT_DIR}/scrub" + when: !calc tools.panasas_gb(do)/4 + message: "{do}: use project directory as scrub space" + + # long_term_temp - area for storage of data that must be passed + # between jobs or shared with programs external to this workflow. + long_term_temp: !expand "{doc.platform.least_used_temp}/{tools.env('USER')}" + + # short_term_temp - area for data that is only needed within one job: + short_term_temp: !expand "{doc.platform.least_used_temp}/{tools.env('USER')}" + + # EXP_PARENT_DIR - Parent directory of the expdir (experiment directory) + EXP_PARENT_DIR: !expand "{doc.user_places.PROJECT_DIR}/noscrub/{tools.env('USER')}" diff --git a/tests/test_data/regtest/platforms/wcoss_cray.yaml b/tests/test_data/regtest/platforms/wcoss_cray.yaml new file mode 100644 index 0000000..50b30bf --- /dev/null +++ b/tests/test_data/regtest/platforms/wcoss_cray.yaml @@ -0,0 +1,180 @@ +# This file configures the workflow to run on the cray parts of WCOSS + +platform: !Platform + <<: *global_platform_common + + # Evaluate: this must be "false" to ensure disk space availability logic + # is not run unless this file is for the current platform. + Evaluate: false + + # name: the name of this platform; this must match what the underlying + # scripts expect. + name: WCOSS_C + + # detect: this is a function that returns true iff the user is on + # WCOSS and false otherwise. Note that all WCOSSes are detected + # from all WCOSSes. + detect: !calc ( tools.isdir("/usrx/local") and tools.isdir("/gpfs") ) + + # public_release_ics: location of input conditions that have been + # prepared for the public release. + public_release_ics: /gpfs/hps3/emc/global/noscrub/emc.glopara/FV3GFS_V1_RELEASE/ICs + + # CHGRP_RSTPROD_COMMAND - this specifies the command to use to + # restrict access to NOAA "rstprod" data restriction class. + # This only used for observation processing, data assimilation, and + # data assimilation archiving, which are not in the public release. + CHGRP_RSTPROD_COMMAND: "chgrp rstprod" + + # NWPROD - location of the NCEP operational "nwprod" directory, which + # only has meaning on the NCEP WCOSS machines. It is used to get + # the paths to certain programs and scripts. + NWPROD: "/gpfs/hps/nco/ops/nwprod" + + # DMPDIR - location of the global dump data. This is used by the observation + # processing scripts, which are not included in the public release. + DMPDIR: !FirstTrue + - do: "/gpfs/gp1/emc/globaldump" + when: !calc tools.isdir(do) + - do: "/gpfs/tp1/emc/globaldump" + when: !calc tools.isdir(do) + - otherwise: !error "Cannot find globaldump directory." + + # RTMFIX - location of the CRTM fixed data files used by the GSI data + # assimilation. The data assimilation is not included in this public release + # so this path is unused. + RTMFIX: "$CRTM_FIX" + + # BASE_SVN - a directory maintained by emc global model developers + # that contains recent versions of source code and executables for + # various subversion repositories. This is used on some platforms + # to find executables for this workflow. + BASE_SVN: "/gpfs/hps3/emc/global/noscrub/emc.glopara/svn" + + # BASE_GIT - a directory maintained by emc global model developers + # that contains recent versions of source code and executables for + # various git repositories. This is used on some platforms to find + # executables for this workflow. + BASE_GIT: "/gpfs/hps3/emc/global/noscrub/emc.glopara/git" + + # config_base_extras - Additional configuration data to put in the + # config.base file + config_base_extras: | + if [ -d /gpfs/tp1 ]; then + export SITE="LUNA" + elif [ -d /gpfs/gp1 ]; then + export SITE="SURGE" + fi + + # Additional variables to send to Rocoto XML entities or ecflow edits. + metasched_more: !expand | + + {metasched.defvar(doc.schedvar.exclusive_queue, doc.accounting.exclusive_partition.exclusive_queue)} + {metasched.defvar(doc.schedvar.shared_queue, doc.accounting.shared_partition.shared_queue)} + {metasched.defvar(doc.schedvar.service_queue, doc.accounting.service_partition.service_queue)} + {metasched.defvar(doc.schedvar.cpu_project, doc.accounting.cpu_project)} + + partitions: + Evaluate: false + default_exclusive: !calc doc.platform.partitions.wcoss_cray + default_service: !calc doc.platform.partitions.wcoss_cray + default_shared: !calc doc.platform.partitions.wcoss_cray + wcoss_cray: + <<: *global_partition_common + + # specification - string to specify to the batch system to + # request this partition. Not relevant for WCOSS Cray + specification: null + + # shared_accounting_ref - accounting settings for shared jobs + shared_accounting_ref: + queue: !calc metasched.varref(doc.schedvar.shared_queue) + project: !calc metasched.varref(doc.schedvar.cpu_project) + + # service_accounting_ref - accounting settings for service jobs (jobs + # that require tape or network access) + service_accounting_ref: + queue: !calc metasched.varref(doc.schedvar.service_queue) + project: !calc metasched.varref(doc.schedvar.cpu_project) + + # exclusive_accounting_ref - accounting settings for jobs that require + # exclusive access to a node. + exclusive_accounting_ref: + queue: !calc metasched.varref(doc.schedvar.exclusive_queue) + project: !calc metasched.varref(doc.schedvar.cpu_project) + + # Queues to use for each job type. This logic automatically + # switches between development queues on the backup machine and + # development queues on the production machine based on whether the + # /gpfs/hps2/ptmp is writable. + shared_queue: !FirstTrue + - when: !calc ( tools.can_write("/gpfs/hps2/ptmp") ) + do: dev_shared + - otherwise: devonprod_shared + service_queue: dev_transfer + exclusive_queue: !FirstTrue + - when: !calc ( tools.can_write("/gpfs/hps2/ptmp") ) + do: dev + - otherwise: devonprod + + # Details about the scheduler on this cluster. + scheduler_settings: + scheduler_name: LSFAlps + parallelism_name: LSFAlps + node_type: generic + physical_cores_per_node: 24 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + memory_per_node: !calc (64*1024) + + scheduler: !calc | + tools.get_scheduler(scheduler_settings.scheduler_name, scheduler_settings) + parallelism: !calc | + tools.get_parallelism(scheduler_settings.parallelism_name, scheduler_settings) + nodes: !calc | + tools.node_tool_for(scheduler_settings.node_type, scheduler_settings) + + # Path to mmlsquota, the program used to get GPFS disk usage information: + mmlsquota: "/usr/lpp/mmfs/bin/mmlsquota" + + gpfs_hps: !Immediate + - !FirstTrue + - take: hps + when: !calc tools.isfile('/etc/SuSE-release') + - otherwise: gpfs-hps + + # Automatically detect the least used scrub area the user can access: + least_used_ptmp: !Immediate + - !FirstMax + - take: /gpfs/hps3/ptmp + when: !calc ( int(tools.can_write(take)) * tools.gpfs_gb(take,"hps3-ptmp",gpfs_hps+"3",mmlsquota,-1) ) + message: Use {take} for long-term temp. + - take: /gpfs/hps/ptmp + when: !calc ( int(tools.can_write(take)) * tools.gpfs_gb(take,"hps-ptmp",gpfs_hps,mmlsquota,-1) ) + message: Use {take} for long-term temp. + - take: /gpfs/hps2/ptmp + when: !calc ( int(tools.can_write(take)) * tools.gpfs_gb(take,"hps2-ptmp",gpfs_hps+"2",mmlsquota,-1) ) + message: Use {take} for long-term temp. + + least_used_stmp: !Immediate + - !FirstMax + - take: /gpfs/hps/stmp + when: !calc ( int(tools.can_write(take)) * tools.gpfs_gb(take,"hps-stmp",gpfs_hps,mmlsquota,-1) ) + message: Use {take} for short-term temp. + - take: /gpfs/hps2/stmp + when: !calc ( int(tools.can_write(take)) * tools.gpfs_gb(take,"hps2-stmp",gpfs_hps+"2",mmlsquota,-1) ) + message: Use {take} for short-term temp. + - take: /gpfs/hps3/stmp + when: !calc ( int(tools.can_write(take)) * tools.gpfs_gb(take,"hps3-stmp",gpfs_hps+"3",mmlsquota,-1) ) + message: Use {take} for short-term temp. + + # long_term_temp - area for storage of data that must be passed + # between jobs or shared with programs external to this workflow. + long_term_temp: !expand "{least_used_ptmp}/{tools.env('USER')}" + + # short_term_temp - area for data that is only needed within one job: + short_term_temp: !expand "{least_used_stmp}/{tools.env('USER')}" + + # EXP_PARENT_DIR - Parent directory of the expdir (experiment directory) + EXP_PARENT_DIR: !expand "{doc.user_places.PROJECT_DIR}/noscrub/{tools.env('USER')}" diff --git a/tests/test_data/regtest/platforms/wcoss_dell_p3.yaml b/tests/test_data/regtest/platforms/wcoss_dell_p3.yaml new file mode 100644 index 0000000..c168ba6 --- /dev/null +++ b/tests/test_data/regtest/platforms/wcoss_dell_p3.yaml @@ -0,0 +1,223 @@ +# This file configures the workflow to run on the dell parts of WCOSS + +platform: !Platform + <<: *global_platform_common + + # Evaluate: this must be "false" to ensure disk space availability logic + # is not run unless this file is for the current platform. + Evaluate: false + + # name: the name of this platform; this must match what the underlying + # scripts expect. + name: WCOSS_DELL_P3 + + # detect: this is a function that returns true iff the user is on + # WCOSS and false otherwise. Note that all WCOSSes are detected + # from all WCOSSes. + detect: !calc ( tools.isdir("/usrx/local") and tools.isdir("/gpfs") ) + + # public_release_ics: location of input conditions that have been + # prepared for the public release. + public_release_ics: /gpfs/hps3/emc/global/noscrub/emc.glopara/FV3GFS_V1_RELEASE/ICs + + # CHGRP_RSTPROD_COMMAND - this specifies the command to use to + # restrict access to NOAA "rstprod" data restriction class. + # This only used for observation processing, data assimilation, and + # data assimilation archiving, which are not in the public release. + CHGRP_RSTPROD_COMMAND: "chgrp rstprod" + + # NWPROD - location of the NCEP operational "nwprod" directory, which + # only has meaning on the NCEP WCOSS machines. It is used to get + # the paths to certain programs and scripts. + NWPROD: "/gpfs/dell1/nco/ops/nwprod" + + # DMPDIR - location of the global dump data. This is used by the observation + # processing scripts, which are not included in the public release. + DMPDIR: !FirstTrue + - do: "/gpfs/gp1/emc/globaldump" + when: !calc tools.isdir(do) + - do: "/gpfs/tp1/emc/globaldump" + when: !calc tools.isdir(do) + - otherwise: !error "Cannot find globaldump directory." + + # RTMFIX - location of the CRTM fixed data files used by the GSI data + # assimilation. The data assimilation is not included in this public release + # so this path is unused. + RTMFIX: "$CRTM_FIX" + + # BASE_SVN - a directory maintained by emc global model developers + # that contains recent versions of source code and executables for + # various subversion repositories. This is used on some platforms + # to find executables for this workflow. + BASE_SVN: "/gpfs/dell2/emc/modeling/noscrub/emc.glopara/svn" + + # BASE_GIT - a directory maintained by emc global model developers + # that contains recent versions of source code and executables for + # various git repositories. This is used on some platforms to find + # executables for this workflow. + BASE_GIT: "/gpfs/dell2/emc/modeling/noscrub/emc.glopara/git" + + # config_base_extras - Additional configuration data to put in the + # config.base file + config_base_extras: | + if [ -d /gpfs/tp1 ]; then + export SITE="MARS" + elif [ -d /gpfs/gp1 ]; then + export SITE="VENUS" + fi + + partition_common: &partition_common + <<: *global_partition_common + # Settings common to all partitions of this machine + + # shared_accounting_ref - accounting settings for shared jobs + shared_accounting_ref: + queue: !calc metasched.varref(doc.schedvar.shared_queue) + project: !calc metasched.varref(doc.schedvar.cpu_project) + + # service_accounting_ref - accounting settings for service jobs (jobs + # that require tape or network access) + service_accounting_ref: + queue: !calc metasched.varref(doc.schedvar.service_queue) + project: !calc metasched.varref(doc.schedvar.cpu_project) + + # exclusive_accounting_ref - accounting settings for jobs that require + # exclusive access to a node. + exclusive_accounting_ref: + queue: !calc metasched.varref(doc.schedvar.exclusive_queue) + project: !calc metasched.varref(doc.schedvar.cpu_project) + + # Queues to use for each job type. This logic automatically + # switches between development queues on the backup machine and + # development queues on the production machine based on whether the + # /gpfs/hps2/ptmp is writable. + shared_queue: !FirstTrue + - when: !calc ( tools.can_write("/gpfs/hps2/ptmp") ) + do: dev_shared + - otherwise: devonprod_shared + service_queue: dev_transfer + exclusive_queue: !FirstTrue + - when: !calc ( tools.can_write("/gpfs/hps2/ptmp") ) + do: dev + - otherwise: devonprod + + scheduler: !calc | + tools.get_scheduler(scheduler_settings.scheduler_name, scheduler_settings) + parallelism: !calc | + tools.get_parallelism(scheduler_settings.parallelism_name, scheduler_settings) + nodes: !calc | + tools.node_tool_for(scheduler_settings.node_type, scheduler_settings) + + partitions: + Evaluate: false + exclusive_p3: + <<: *partition_common + specification: exclusive_p3 + # Details about the scheduler on this cluster + scheduler_settings: + scheduler_name: LSF + use_task_geometry: False + parallelism_name: HydraIMPI + node_type: generic + physical_cores_per_node: 28 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + memory_per_node: !calc (120*1024) + specify_memory: False + + shared_p3: + <<: *partition_common + specification: shared_p3 + # Details about the scheduler on this cluster + scheduler_settings: + scheduler_name: LSF + use_task_geometry: False + parallelism_name: HydraIMPI + node_type: generic + physical_cores_per_node: 1 + logical_cpus_per_core: 2 + hyperthreading_allowed: true + indent_text: " " + memory_per_node: !calc (120*1024) + specify_memory: True + + default_exclusive: !calc doc.platform.partitions.exclusive_p3 + default_service: !calc doc.platform.partitions.shared_p3 + default_shared: !calc doc.platform.partitions.shared_p3 + + # Additional variables to send to Rocoto XML entities or ecflow edits. + metasched_more: !expand | + {metasched.defvar(doc.schedvar.exclusive_queue, doc.accounting.exclusive_partition.exclusive_queue)} + {metasched.defvar(doc.schedvar.shared_queue, doc.accounting.shared_partition.shared_queue)} + {metasched.defvar(doc.schedvar.service_queue, doc.accounting.service_partition.service_queue)} + {metasched.defvar(doc.schedvar.cpu_project, doc.accounting.cpu_project)} + + # Path to mmlsquota, the program used to get GPFS disk usage information: + mmlsquota: "/usr/lpp/mmfs/bin/mmlsquota" + + # Automatically detect the least used scrub area the user can access: + least_used_ptmp: !Immediate + - !FirstMax + - do: /gpfs/dell3/ptmp + when: !calc ( int(tools.can_write(do)) * tools.gpfs_gb(do,"dell3-ptmp","gpfs-dell3",mmlsquota) ) + message: Use {do} for long-term temp. + - do: /gpfs/dell1/ptmp + when: !calc ( int(tools.can_write(do)) * tools.gpfs_gb(do,"dell1-ptmp","gpfs-dell1",mmlsquota) ) + message: Use {do} for long-term temp. + - do: /gpfs/dell2/ptmp + when: !calc ( int(tools.can_write(do)) * tools.gpfs_gb(do,"dell2-ptmp","gpfs-dell2",mmlsquota) ) + message: Use {do} for long-term temp. + least_used_stmp: !Immediate + - !FirstMax + - do: /gpfs/dell1/stmp + when: !calc ( int(tools.can_write(do)) * tools.gpfs_gb(do,"dell1-stmp","gpfs-dell1",mmlsquota) ) + message: Use {do} for short-term temp. + - do: /gpfs/dell2/stmp + when: !calc ( int(tools.can_write(do)) * tools.gpfs_gb(do,"dell2-stmp","gpfs-dell2",mmlsquota) ) + message: Use {do} for short-term temp. + - do: /gpfs/dell3/stmp + when: !calc ( int(tools.can_write(do)) * tools.gpfs_gb(do,"dell3-stmp","gpfs-dell3",mmlsquota) ) + message: Use {do} for short-term temp. + + # long_term_temp - area for storage of data that must be passed + # between jobs or shared with programs external to this workflow. + long_term_temp: !expand "{least_used_ptmp}/{tools.env('USER')}" + + # short_term_temp - area for data that is only needed within one job: + short_term_temp: !expand "{least_used_stmp}/{tools.env('USER')}" + + # EXP_PARENT_DIR - Parent directory of the expdir (experiment directory) + EXP_PARENT_DIR: !expand "{doc.user_places.PROJECT_DIR}/noscrub/{tools.env('USER')}" + + ecflow_module: "ecflow/4.7.1" + prod_util_module: "prod_util/1.1.0" + + # four_cycle_mode_modules: this is inserted in every ecf file when + # only four ecflow suites are generated. For all other situations, + # the load_modules.sh is used. + four_cycle_mode_modules: | + module load ips/$ips_ver + module load impi/$impi_ver + module load EnvVars/$EnvVars_ver + + module load g2tmpl/$g2tmpl_ver + module load crtm/$crtm_ver + module load ESMF/$ESMF_ver + module load dev/util_shared/$util_shared_ver # NOTE: remove dev/ after util_shared upgrade + + module unload grib_util + module load dev/grib_util/$grib_util_ver # NOTE: remove dev/ after grib_util upgrade + + module load NCO/$NCO_ver + module load HDF5-serial/$HDF5_serial_ver + module load NetCDF/$NetCDF_ver + module load CFP/$CFP_ver + export USE_CFP=YES + + module use /gpfs/dell1/nco/ops/nwpara/modulefiles/ + module load gempak/$gempak_ver + + module load bufr_dumplist/$bufr_dumplist_ver + module load dumpjb/$dumpjb_ver + module load NCL/$NCL_ver diff --git a/tests/test_data/regtest/runtime/fax.yaml b/tests/test_data/regtest/runtime/fax.yaml new file mode 100644 index 0000000..7db5073 --- /dev/null +++ b/tests/test_data/regtest/runtime/fax.yaml @@ -0,0 +1,41 @@ +fax_wafs_task_template: &fax_wafs_task_template !Task + <<: *exclusive_task_template + resources: !calc partition.resources.run_small_downstream + + # The ecflow_command is dumped into the ecf file where the + # J-Job would normally be called. This job is never run by + # Rocoto, so we don't need the equivalent Rocoto code. + ecflow_command: !expand | + export fhr_list='{fhr_list}' + export fcsthrs=%FCSTHR% + + ########################################################### + # CALL executable job script here + ${{HOMEgfs}}/jobs/JGFS_FAX_WAFS + + rocoto_more_vars: !expand | + fhr_list{fhr_list} + FCSTHR{FCSTHR} + + ecflow_def: !expand "edit FCSTHR '{FCSTHR}'" + +fax_task_template: &fax_task_template !Task + <<: *exclusive_task_template + resources: !calc partition.resources.run_small_downstream + + # The ecflow_command is dumped into the ecf file where the + # J-Job would normally be called. This job is never run by + # Rocoto, so we don't need the equivalent Rocoto code. + ecflow_command: !expand | + export fcsthrs=%FCSTHR% + + ########################################################### + # CALL executable job script here + ${{HOMEgfs}}/jobs/JGFS_FAX + + rocoto_more_vars: !expand | + FCSTHR{FCSTHR} + + ecflow_def: !expand "edit FCSTHR '{FCSTHR}'" + + config_list: [ base, fax, wafs ] diff --git a/tests/test_data/regtest/schema/accounting.yaml b/tests/test_data/regtest/schema/accounting.yaml new file mode 100644 index 0000000..4a8e241 --- /dev/null +++ b/tests/test_data/regtest/schema/accounting.yaml @@ -0,0 +1,16 @@ +accounting_template: !Template &accounting_template + user_email: + type: string + description: Which email address to use for automatic status report + + cpu_project: + type: string + description: Which project or accounting code to use for cpu time in the batch queue + + hpss_project: + type: string + description: Which project or accounting code to use for HPSS tape storage. + + noscrub_project: + type: string + description: Which project to use for non-scrubbed disk areas diff --git a/tests/test_data/regtest/schema/archiving.yaml b/tests/test_data/regtest/schema/archiving.yaml new file mode 100644 index 0000000..fd89781 --- /dev/null +++ b/tests/test_data/regtest/schema/archiving.yaml @@ -0,0 +1,45 @@ +archive_settings_template: !Template &archive_settings_template + archive_to_hpss: + default: yes + description: save data to HPSS archive + type: bool + + arch_cyc: + default: 18 + description: cycle for archiving files for warm_start capability + type: int + + arch_warmicfreq: + default: 6 + description: archive frequency in days for warm_start capability + type: int + + arch_fcsticfreq: + default: 3 + descripton: archive frequency in days for gdas/gfs forecast-only capability + type: int + + copy_fit2obs_files: + default: yes + description: online archive of nemsio files for fit2obs verification + type: bool + + scrub_in_archive: + type: bool + default: yes + description: "delete GDAS/GFS COM directories at the end of the archive job" + + scrub_in_archive_start: + type: int + default: 144 + description: "starting hour of previous cycles to be removed from rotating directory during archive job" + + scrub_in_archive_end: + type: int + default: 24 + description: "ending hour of previous cycles to be removed from rotating directory during archive job" + + ATARDIR: + type: string + default: "/NCEPDEV/$HPSS_PROJECT/1year/$USER/$machine/scratch/$PSLOT" + description: "Archiving directory on HPSS for the current workflow. Default is a shell expression typically used in config.base." diff --git a/tests/test_data/regtest/schema/chgres.yaml b/tests/test_data/regtest/schema/chgres.yaml new file mode 100644 index 0000000..4de42b7 --- /dev/null +++ b/tests/test_data/regtest/schema/chgres.yaml @@ -0,0 +1,10 @@ +chgres_template: !Template &chgres_template + CHGRESVARS_ENKF: + type: string + default: "use_ufo=.true.,nopdpvv=.true." + + CHGRESTHREAD: + type: int + default: 12 + description: Number of threads to use for chgres + diff --git a/tests/test_data/regtest/schema/data_assimilation.yaml b/tests/test_data/regtest/schema/data_assimilation.yaml new file mode 100644 index 0000000..b141a83 --- /dev/null +++ b/tests/test_data/regtest/schema/data_assimilation.yaml @@ -0,0 +1,117 @@ +# This file configures the data assimilation, which is not included in +# this release. Although the variables are not used, they still must +# be defined to satisfy validation logic elsewhere. + +data_assimilation_template: !Template &data_assimilation_template + DOHYBVAR: + type: bool + default: YES + description: flag (YES or NO) for hybrid ensemble variational option + NMEM_ENKF: + type: int + default: 80 + description: Number of members of the GFS ENKF ensemble. + NMEM_EOMGGRP: { type: int, default: 10 } + NMEM_EFCSGRP: { type: int, default: 10 } + NMEM_EARCGRP: { type: int, default: 10 } + RECENTER_ENKF: { type: bool, default: YES } + SMOOTH_ENKF: { type: bool, default: YES } + assim_freq: { type: int, default: 6 } + l4densvar: { type: bool, default: YES } + lwrite4danl: { type: bool, default: NO } + + NSPLIT: + type: int + default: 4 + description: "Execute prepbufr in parallel. Operational GFS default is 3." + + NAM_ENKF: + type: string + default: "analpertwtnh=0.9,analpertwtsh=0.9,analpertwttr=0.9" + + INCREMENTS_TO_ZERO: + type: string list + default: [ delz_inc, clwmr_inc, icmr_inc ] + + PREP_REALTIME: + type: bool + default: no + + DO_EMCSFC: + type: bool + default: NO + description: "Whether to run EMC SFC job" + + PROCESS_TROPCY: + type: bool + default: no + + DO_RELOCATE: + type: bool + default: no + + DO_MAKEPREPBUFR: + type: bool + default: YES + description: If NO, will copy prepbufr from globaldump. Otherwise, generates prepbufr file anew. + + OPREFIX: + type: string + default: "$CDUMP.$cycle." + description: Format for dump file name prefix + + COM_OBS: + type: string + default: "$DMPDIR" + description: Top folder for COMIN_OBS (DMPDIR or COMROOT) + + COMIN_OBS: + type: string + description: Location to draw observation data from (global dump archive or com) + default: !FirstTrue + - when: !calc ( COM_OBS=="$DMPDIR" ) + do: "$DMPDIR/$CDATE/$CDUMP$DUMP_SUFFIX" + - when: !calc ( COM_OBS=="$COMROOT" ) + do: "$COMROOT/$CDUMP.$PDY/$CYC" + - otherwise: !error "Unknown COM_OBS value: {COM_OBS}" + + RERUN_EFCSGRP: + type: bool + default: NO + description: Rerun failed ensemble forecast group members automatically. + + RERUN_EOMGGRP: + type: bool + default: YES + + GENDIAG: + type: bool + default: YES + + NEPOSGRP: + type: int + default: 7 + + OBSINPUT_INVOBS: + type: string + default: "dmesh(1)=225.0,dmesh(2)=225.0" + OBSQC_INVOBS: + type: string + default: "tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" + + ENKF_INNOVATE_GROUPS: + type: int + default: !calc ( NMEM_ENKF // NMEM_EOMGGRP ) + + ENKF_FORECAST_GROUPS: + type: int + default: !calc ( NMEM_ENKF // NMEM_EFCSGRP ) + + ENKF_ARCHIVE_GROUPS: + type: int + default: !calc ( NMEM_ENKF // NMEM_EARCGRP ) + +# These variable largely eliminate the need for explicitly setting +# USH directories, FIX files, PARM files, EXECutables below +# The USER can overwrite components that they wish +# e.g. PRVT is used from the GSI diff --git a/tests/test_data/regtest/schema/downstream.yaml b/tests/test_data/regtest/schema/downstream.yaml new file mode 100644 index 0000000..bc936f8 --- /dev/null +++ b/tests/test_data/regtest/schema/downstream.yaml @@ -0,0 +1,103 @@ +# This file is used by the downstream product generation. That +# includes all steps of product generation after the post master file +# and lat-lon files are created. For example, the tracker, VSDB, and +# AWIPS files. These are not included in this release, so this file +# should be left unmodified. These variables must still be defined to +# ensure validation logic elsewhere is satisfied. + +downstream_schema: &downstream_schema !Template + VDUMP: + type: string + default: "gfs" + description: verifying dump + CDUMPFCST: + type: string + default: "gdas" + description: Fit-to-obs with GDAS/GFS prepbufr + CDFNL: + type: string + default: "gdas" + description: Scores verification against GDAS/GFS analysis + + MKPGB4PRCP: + type: bool + default: yes + description: make 0.25-deg pgb files in ARCDIR for precip verification + VRFYFITS: + type: bool + default: YES + description: "Fit to observations" + VSDB_STEP1: + type: bool + default: YES + description: "populate VSDB database" + VSDB_STEP2: + type: bool + default: NO + VRFYG2OBS: + type: bool + default: YES + description: "Grid to observations, see note below if turning ON" + VRFYPRCP: + type: bool + default: YES + description: "Precip threat scores" + VRFYRAD: + type: bool + default: YES + description: "Radiance data assimilation monitoring" + VRFYOZN: + type: bool + default: YES + description: "Ozone data assimilation monitoring" + VRFYMINMON: + type: bool + default: YES + description: "GSI minimization monitoring" + VRFYTRAK: + type: bool + default: YES + description: "Hurricane track verification" + VRFYGENESIS: + type: bool + default: YES + description: "Cyclone genesis verification" + RUNMOS: + type: bool + default: YES + description: "Run GFS MOS" + DO_POST_PROCESSING: + type: bool + default: NO + description: "Run post processing tasks (BUFRSND, GEMPAK, AWIPS, FAX, WAFS, BULLETINS" + DO_BUFRSND: + type: bool + default: NO + description: "Run GFS_POSTSND" + DO_GEMPAK: + type: bool + default: NO + description: "Run GEMPAK" + DO_AWIPS: + type: bool + default: NO + description: "Run AWIPS" + DO_FAX: + type: bool + default: NO + description: "Run FAX" + DO_WAFS: + type: bool + default: NO + description: "Run WAFS" + DO_BULLETINS: + type: bool + default: NO + description: "Run BULLETINS" + + FHOUT_CYCLONE_GFS: + type: int + default: 6 + FHOUT_CYCLONE_GDAS: + type: int + default: 3 diff --git a/tests/test_data/regtest/schema/fv3.yaml b/tests/test_data/regtest/schema/fv3.yaml new file mode 100644 index 0000000..87df4d2 --- /dev/null +++ b/tests/test_data/regtest/schema/fv3.yaml @@ -0,0 +1,307 @@ +# fv3_settings_template - sets the namelist values for the fv3 +# forecast. See the physcs and model documentation for full +# information on these variables. + +fv3_settings_template: !Template &fv3_settings_template + imp_physics: + type: int + allowed: [ 99, 8, 6, 10, 11 ] + default: 11 + description: "Microphysics Options: 99-ZhaoCarr, 8-Thompson; 6-WSM6, 10-MG, 11-GFDL" + if_present: !FirstTrue + - when: !calc ( imp_physics==99 ) + do: !Template + ncld: { type: int, override: 1 } + nwat: { type: int, override: 2 } + FIELD_TABLE: + type: string + default: "$HOMEgfs/parm/parm_fv3diag/field_table_zhaocarr" + - when: !calc ( imp_physics == 6 ) + do: !Template + ncld: { type: int, override: 2 } + nwat: { type: int, override: 6 } + FIELD_TABLE: + type: string + default: "$HOMEgfs/parm/parm_fv3diag/field_table_wsm6" + - when: !calc ( imp_physics == 8 ) + do: !Template + ncld: { type: int, override: 2 } + nwat: { type: int, override: 6 } + FIELD_TABLE: + type: string + default: "$HOMEgfs/parm/parm_fv3diag/field_table_thompson" + - when: !calc ( imp_physics == 11 ) + do: !Template + ncld: { type: int, override: 5 } + nwat: { type: int, override: 6 } + FIELD_TABLE: + type: string + default: "$HOMEgfs/parm/parm_fv3diag/field_table_gfdl" + dnats: { type: int, override: 1 } + cal_pre: { type: bool, default: false } + do_sat_adj: { type: bool, default: true } + random_clds: { type: bool, default: false } + hord_mt_nh_nonmono: { type: int, default: 6 } + hord_xx_nh_nonmono: { type: int, default: 6 } + vtdm4_nh_nonmono: { type: float, default: 0.02 } + nord: { type: int, default: 2 } + dddmp: { type: float, default: 0.1 } + d4_bg: { type: float, default: 0.12 } + - otherwise: !error "Unknown imp_physics value: {imp_physics}" + + new_o3force: + type: bool + default: yes + description: Options of stratosphere O3 physics reaction coefficients + h2o_phys: + type: bool + default: yes + description: Use stratosphere h2o physics + do_vort_damp: + type: bool + default: yes + description: vorticity and divergence damping + consv_te: + type: float + default: 1. + description: conserve total energy + fv_sg_adj: + type: int + default: 450 + description: time-scale to remove 2dz instability + dspheat: + type: bool + default: YES + description: dissipative heating + shal_cnv: + type: bool + default: YES + description: shallow convection flag + agrid_vel_rst: + type: bool + default: yes + description: "write velocity restarts on A grid?" + + cal_pre: { type: bool, default: true } + do_sat_adjust: { type: bool, default: false } + random_clds: { type: bool, default: true } + cnvcld: { type: bool, default: true } + dnats: { type: int, default: 0 } + IEMS: { type: int, default: 1 } + IALB: { type: int, default: 1 } + ISOL: { type: int, default: 2 } + IAER: { type: int, default: 111 } + ICO2: { type: int, default: 2 } + warm_start: { type: bool, default: true } + read_increment: { type: bool, default: no } + restart_interval: { type: int, default: 6 } + + LEVS: + type: int + description: Number of vertical levels in FV3 + + FHCYC: + type: int + default: 24 + description: Surface cycle update frequency (gdas=1,gfs=24) + + FHCYC_GDAS: + type: int + default: 1 + description: Surface cycle update frequency for the GDAS + + FHCYC_GFS: + type: int + default: 24 + description: Surface cycle update frequency for the GFS + + QUILTING: + type: bool + default: YES + description: Should output quilting be used? + + WRITE_NEMSIOFILE: + type: bool + default: YES + description: Should nemsio output be used (yes/true) instead of netcdf (no/false)? + + WRITE_NEMSIOFLIP: { type: bool, default: yes } + nst_anl: { type: bool, default: yes } + lprecip_accu: { type: bool, default: yes, + description: "Precipitation accumulation, true--no bucket, false--bucket=FHZER" } + + DONST: { type: bool, default: YES } + + MONO: + type: string + default: "non-mono" + allowed: [ mono, non-mono ] + + MEMBER: + type: int + stage: [ execution ] + default: -1 + description: "-1: control, 0: ensemble mean, >0: ensemble member $MEMBER" + + d4_bg: { type: float, default: 0.15 } + dddmp: { type: float, default: 0.2 } + + ISEED: + type: int + default: 0 + stage: [ execution ] + description: > + Default seed for shum, skeb, and sppt, if specific seeds + are not specified. + + SET_STP_SEED: + type: bool + default: YES + description: > + Automatically set seeds for SKEB, SHUM, and SPPT at execution + time based on simulation date and member. + + DO_SHUM: + type: bool + default: NO + if_present: !FirstTrue + - when: !calc DO_SHUM + do: + ISEED_SHUM: { type: int, optional: true } + SHUM: { type: float, default: -999. } + SHUM_TAU: { type: float, default: -999. } + SHUM_LSCALE: { type: float, default: -999. } + - otherwise: null + + DO_SKEB: + type: bool + default: NO + if_present: !FirstTrue + - when: !calc DO_SKEB + do: + ISEED_SHUM: { type: int, optional: true } + SKEB_TAU: { type: float, default: -999. } + SKEB_LSCALE: { type: float, default: -999. } + SKEBNORM: { type: int, default: 1 } + SKEB: { type: float, default: -999. } + - otherwise: null + + DO_SPPT: + type: bool + default: NO + if_present: !FirstTrue + - when: !calc DO_SPPT==True + do: + ISEED_SHUM: { type: int, optional: true } + SPPT: { type: float } + SPPT_TAU: { type: float, default: -999. } + SPPT_LSCALE: { type: float, default: -999. } + SPPT_SFCLIMIT: { type: bool, default: yes } + - otherwise: !Message "Don't SPPT" + + RUN_EFCSGRP: { type: bool, default: NO } + ncld: { type: int, default: 1 } + nwat: { type: int, default: 2 } + zhao_mic: { type: bool, default: YES } + nh_type: { type: string, default: 'nh' } + USE_COUPLER_RES: { type: bool, default: NO } + cdmbgwd: { type: string, optional: true } + + CDUMP: + type: string + allowed: [ gfs, gdas ] + + CASE: + type: string + allowed: [ 'C48', 'C96', 'C192', 'C384', 'C768', 'C1152', 'C3072' ] + if_present: !FirstTrue + - when: !calc (CASE == "C48") + do: !Template + DELTIM: { type: int, default: 450 } + layout_x: { type: int, default: 2 } + layout_y: { type: int, default: 4 } + fv3_threads: { type: int, default: 1 } + cdmbgwd: + type: string + default: "0.062,3.5" + description: "mountain blocking and gravity wave drag" + WRITE_GROUP: { type: int, default: 1 } + WRTTASK_PER_GROUP: { type: int, default: 24 } + WRTIOBUF: { type: string, default: "4M" } + + - when: !calc (CASE == "C96") + do: !Template + DELTIM: { type: int, default: 450 } + layout_x: { type: int, default: 4 } + layout_y: { type: int, default: 4 } + fv3_threads: { type: int, default: 1 } + cdmbgwd: + type: string + default: "0.125,3.0" + description: "mountain blocking and gravity wave drag" + WRITE_GROUP: { type: int, default: 1 } + WRTTASK_PER_GROUP: { type: int, default: 24 } + WRTIOBUF: { type: string, default: "4M" } + + - when: !calc (CASE == "C192") + do: !Template + DELTIM: { type: int, default: 450 } + layout_x: { type: int, default: 4 } + layout_y: { type: int, default: 6 } + fv3_threads: { type: int, default: 2 } + cdmbgwd: + type: string + default: "0.2,2.5" + description: "mountain blocking and gravity wave drag" + WRITE_GROUP: { type: int, default: 2 } + WRTTASK_PER_GROUP: { type: int, default: 24 } + WRTIOBUF: { type: string, default: "8M" } + + - when: !calc (CASE == "C384") + do: !Template + DELTIM: { type: int, default: 300 } + layout_x: { type: int, default: 4 } + layout_y: { type: int, default: 8 } + fv3_threads: { type: int, default: 2 } + cdmbgwd: + type: string + default: "1.0,1.2" + description: "mountain blocking and gravity wave drag" + WRITE_GROUP: { type: int, default: 3 } + WRTTASK_PER_GROUP: { type: int, default: 24 } + WRTIOBUF: { type: string, default: "16M" } + + - when: !calc (CASE == "C768") + do: !Template + DELTIM: { type: int, default: 225 } + layout_x: { type: int, default: 8 } + layout_y: { type: int, default: 16 } + fv3_threads: { type: int, default: 2 } + cdmbgwd: + type: string + default: "3.5,0.25" + description: "mountain blocking and gravity wave drag" + WRITE_GROUP: { type: int, default: 4 } + WRTTASK_PER_GROUP: { type: int, default: 60 } + WRTIOBUF: { type: string, default: "32M" } + + - when: !calc (CASE == "C1152") + do: !Template + DELTIM: { type: int, default: 150 } + layout_x: { type: int, default: 8 } + layout_y: { type: int, default: 16 } + fv3_threads: { type: int, default: 4 } + WRITE_GROUP: { type: int, default: 4 } + WRTTASK_PER_GROUP: { type: int, default: 84 } + WRTIOBUF: { type: string, default: "48M" } + + - when: !calc (CASE == "C3072") + do: !Template + DELTIM: { type: int, default: 90 } + layout_x: { type: int, default: 16 } + layout_y: { type: int, default: 32 } + fv3_threads: { type: int, default: 4 } + WRITE_GROUP: { type: int, default: 4 } + WRTTASK_PER_GROUP: { type: int, default: 120 } + WRTIOBUF: { type: string, default: "64M" } + - otherwise: !error "Unknown case: {CASE}" diff --git a/tests/test_data/regtest/schema/nsst.yaml b/tests/test_data/regtest/schema/nsst.yaml new file mode 100644 index 0000000..cf19566 --- /dev/null +++ b/tests/test_data/regtest/schema/nsst.yaml @@ -0,0 +1,36 @@ +nsst_schema: &nsst_schema !Template + NST_MODEL: + type: int + allowed: [ 0, 1, 2 ] + default: 2 + description: >- + nstf_name(1) : NST_MODEL (NSST Model) : 0 = OFF, + 1 = ON but uncoupled, 2 = ON and coupled + NST_RESV: + type: int + default: 0 + allowed: [ 0, 1 ] + ZSEA1: + type: int + default: 0 + ZSEA2: + type: int + default: 0 + NST_GSI: + type: int + allowed: [ 0, 1, 2, 3, 4 ] + default: 3 + description: | + 0: No NST info at all; + 1: Input NST info but not used in GSI; + 2: Input NST info, used in CRTM simulation, no Tr analysis + 3: Input NST info, used in both CRTM simulation and Tr analysis + + NSTINFO: + type: int + default: 0 + description: number of elements added in obs. data array + NST_SPINUP: + type: int + allowed: [ 0, 1] + optional: yes diff --git a/tests/test_data/regtest/schema/output.yaml b/tests/test_data/regtest/schema/output.yaml new file mode 100644 index 0000000..0a307ee --- /dev/null +++ b/tests/test_data/regtest/schema/output.yaml @@ -0,0 +1,89 @@ +gfs_output_settings_template: !Template &gfs_output_settings_template + FHOUT_GFS: + type: int + default: 3 + description: GFS forecast output frequency in hours + FHMIN_GFS: + type: int + default: 0 + description: GFS forecast initial hour for output + + FHMIN_ENKF: + type: int + default: 3 + description: GDAS ENKF initial hour for output + FHMAX_ENKF: + type: int + default: 9 + description: GDAS ENKF forecast length + FHOUT_ENKF: + type: int + description: GDAS ENKF output frequency in hours + default: !FirstTrue + - when: doc.data_assimilation.l4densvar + do: 1 + - otherwise: 3 + + FHMIN_GDAS: + type: int + default: 0 + description: GDAS initial hour for output + FHMAX_GDAS: + type: int + default: 9 + description: GDAS forecast length + FHOUT_GDAS: + type: int + default: 3 + description: GDAS output frequency in hours + + FHMAX_HF_GFS: + type: int + default: 0 + description: Last forecast hour with high-frequency output for gfs + FHOUT_HF_GFS: + type: int + default: 1 + description: Output frequency until FHMAX_HF_GFS hours. + + NCO_NAMING_CONV: + type: bool + default: YES + description: "YES = use standard, operational, naming conventions. NO = use non-standard naming conventions" + + OUTPUT_FILE_TYPE: + type: string + default: nemsio + description: "Type of model output file" + + + gfs_forecast_hours: + type: int list + default: !calc >- + tools.uniq(sorted( + tools.seq(FHMIN_GFS,FHMAX_HF_GFS,FHOUT_HF_GFS) + + tools.seq(FHMIN_GFS,FHMAX_GFS, FHOUT_GFS))) + + gdas_forecast_hours: + type: int list + default: !calc "tools.seq(FHMIN_GDAS,FHMAX_GDAS,FHOUT_GDAS)" + + enkf_epos_fhr: + type: int list + default: !calc "tools.seq(FHMIN_ENKF,FHMAX_ENKF,FHOUT_ENKF)" + + wafs_last_hour: + type: int + default: !calc 120 + + awips_g2_hours: + type: int list + default: !calc ( tools.seq(0,240,6) ) + + awips_20km_1p0_hours: + type: int list + default: !calc >- + tools.uniq(sorted( + tools.seq(0,84,3) + + tools.seq(90,240,6))) + diff --git a/tests/test_data/regtest/schema/places.yaml b/tests/test_data/regtest/schema/places.yaml new file mode 100644 index 0000000..d914261 --- /dev/null +++ b/tests/test_data/regtest/schema/places.yaml @@ -0,0 +1,67 @@ +# Configures disk locations. See the defaults/places.yaml and +# user.yaml.default file for documentation. + +places_schema: &places_schema !Template + PROJECT_DIR: { type: string } + HOMEgfs: { type: string } + HOMEcrow: { type: string } + NWPROD: { type: string } + DMPDIR: { type: string } + RTMFIX: { type: string } + EXPDIR: { type: string } + ROTDIR: { type: string } + ICSDIR: { type: string } + + COMROOT: + type: string + optional: !calc not doc.settings.four_cycle_mode + + SHORT_TERM_TEMP: { type: string } + LONG_TERM_TEMP: { type: string } + + HOMEDIR: + type: string + default: !FirstTrue + - when: !calc doc.platform.get('SAVE_DIR','') + do: !calc doc.platform.SAVE_DIR + - otherwise: !expand "{doc.places.PROJECT_DIR}/save/{tools.env('USER')}" + + NOSCRUB: + type: string + default: !FirstTrue + - when: !calc doc.platform.get('NOSCRUB_DIR','') + do: !calc doc.platform.NOSCRUB_DIR + - otherwise: !expand "{doc.places.PROJECT_DIR}/noscrub/{tools.env('USER')}" + description: "Disk area used to store reduced output, verification statistics, and other small files that may be desired between runs. The workflow will not scrub this." + + FIXgsi: { type: string, optional: true } + HOMEfv3gfs: { type: string, optional: true } + HOMEpost: { type: string, optional: true } + HOMEobsproc_prep: + type: string + optional: true + default: !expand "{doc.platform.BASE_GIT}/obsproc/obsproc_prep_RB-5.2.0" + HOMEobsproc_network: + type: string + optional: true + default: !expand "{doc.platform.BASE_GIT}/obsproc/obsproc_global_RB-3.2.0" + BASE_VERIF: { type: string, optional: true } + BASE_SVN: + type: string + default: !calc doc.platform.BASE_SVN + BASE_GIT: + type: string + default: !calc doc.platform.BASE_GIT + + ics_from: + type: string + default: opsgfs + allowed: [ opsgfs, pargfs ] + description: initial conditions from opsgfs or pargfs + if_present: !Template + parexp: + type: string + default: prnemsrn + HPSS_PAR_PATH: + type: string + default: !expand "/5year/NCEPDEV/emc-global/emc.glopara/WCOSS_C/{parexp}" diff --git a/tests/test_data/regtest/schema/post.yaml b/tests/test_data/regtest/schema/post.yaml new file mode 100644 index 0000000..1d71b6a --- /dev/null +++ b/tests/test_data/regtest/schema/post.yaml @@ -0,0 +1,25 @@ +# This is used to set default values for grib product generation. +# Reconfiguring These variables are not supported in this release. + +post_schema: &post_schema !Template + GOESF: + type: bool + default: yes + description: make synthetic goes imagery + GTGF: + type: bool + default: no + description: make gtg icing product + FLXF: + type: bool + default: yes + description: grib2 flux file written by post + PGB1F: { type: bool, default: yes } + GFS_DOWNSTREAM: { type: bool, default: yes } + downset: { type: int, default: 1 } + NPOSTGRP: { type: int, default: 5 } + master_grid: + type: string + default: "0p25deg" + allowed: [ "1deg", "0p5deg", "0p25deg", "0p125deg" ] + description: Original grid of the post diff --git a/tests/test_data/regtest/schema/varnames.yaml b/tests/test_data/regtest/schema/varnames.yaml new file mode 100644 index 0000000..a7649aa --- /dev/null +++ b/tests/test_data/regtest/schema/varnames.yaml @@ -0,0 +1,57 @@ +schedvar_schema: &schedvar_schema !Template + cpu_project: + type: string + default: CPU_PROJECT + description: name of the metascheduler variable for the project whose CPU allocation should be used + + shared_queue: + type: string + default: QUEUESHARED + description: Name of the metascheduler variable for the queue that should receive jobs that can share nodes with others, but do not need external resources such as network access. + + service_queue: + type: string + default: QUEUESERV + description: Name of the metascheduler variable for the queue that receives jobs which need external resources such as network access. + + exclusive_queue: + type: string + default: QUEUE + description: Name of the metascheduler variable for the queue that receives jobs that are too large to share nodes with other jobs. + + partition: + type: string + default: PARTITION + description: Name of the metascheduler variable that specifies the machine partition for all jobs. + + shared_partition: + type: string + default: SHARED_PARTITION + description: Name of the metascheduler variable that specifies the machine partition for shared_queue jobs. + + service_partition: + type: string + default: SERVICE_PARTITION + description: Name of the metascheduler variable that specifies the machine partition for service_queue jobs. + + exclusive_partition: + type: string + default: EXCLUSIVE_PARTITION + description: Name of the metascheduler variable that specifies the machine partition for exclusive_queue jobs. + + script_home: + type: string + default: HOMEgfs + description: "Installation area of the FV3 GFS. This is the parent directory of ush, scripts, jobs, etc." + + obsproc_network_home: + type: string + default: HOMEobsproc_network + description: "Installation area of the global obsproc." + + obsproc_prep_home: + type: string + default: HOMEobsproc_prep + description: "Installation area of the global obsproc prep." + + diff --git a/tests/test_data/regtest/settings.yaml b/tests/test_data/regtest/settings.yaml new file mode 100644 index 0000000..e6ed15d --- /dev/null +++ b/tests/test_data/regtest/settings.yaml @@ -0,0 +1,143 @@ +settings_schema: !Template &settings_schema + + dev_safeguards: + type: bool + default: true + description: "Add backup triggers in workflow to handle scheduling delays, such as the forecast finishing any posts start. Only turn this off for NCO operational deliveries." + + realtime: + type: bool + default: false + description: "Simulation of an event that is currently happening (ie.: a forecast)" + + run_vrfy_jobs: + type: bool + default: true + description: "Run the EMC product generation jobs." + + four_cycle_mode: + type: bool + description: "Enable NCO-like four cycle suite. Requires a special setup for your ecFlow server, and a prepared directory structure designed to look like NCEP production. Do not use unless you know what you're doing." + default: false + if_present: !FirstTrue + - when: !calc four_cycle_mode + take: !FirstTrue + - when: !calc ( doc.names.experiment in [ "prod", "para", "test" ] ) + message: !expand "Running four cycle mode with envir={doc.names.experiment}" + take: null + - otherwise: !error "In four cycle mode, the experiment must be prod, para, or test, not {doc.names.experiment}." + - otherwise: null + +# ecf_module_commands: +# type: str +# default: !FirstTrue +# - when: !calc four_cycle_mode +# take: !calc doc.platform.four_cycle_mode_modules +# - otherwise: !expand | +# source "$HOMEgfs/ush/load_fv3gfs_modules.sh" {task_type} + + rocoto_cycle_throttle: + type: int + description: "Maximum number of cycles active at once in a Rocoto workflow" + default: 2 + + rocoto_task_throttle: + type: int + description: "Maximum number of tasks active (queued/running) at once in a Rocoto workflow" + default: 5 + + use_nco_ecflow_headers: + type: bool + description: "Use the NCO model-ver.h instead of experiment-specific paths. This will cause you to use the scripts and code in NCEP Operational areas instead of your own scripts and code. Only use this option if you know what you're doing." + default: !calc four_cycle_mode + + prod_util_module: + type: str + default: !calc doc.platform.get("prod_util_module","prod_util") + description: Name of the unix modulefile to load to obtain NCEP production shell utilities. + + ecflow_module: + type: str + default: !calc doc.platform.get("ecflow_module","ecflow") + description: Name of the unix modulefile to load to obtain ecflow_client. + + ecflow_real_clock: + type: bool + default: no + description: the ecflow suite definition should specify a real clock + + ecflow_virtual_clock: + type: bool + default: no + description: the ecflow suite definition should specify a virtual clock + + ecflow_hybrid_clock: + type: bool + default: no + description: the ecflow suite definition should specify a hybrid clock + + ecflow_totality_limit: + type: bool + default: yes + description: 'Place the entire suite in the "/totality_limit:TOTALITY" limit so that the server can limit the total number of jobs running.' + + run_gsi: + type: bool + description: "Run Gridpoint Statistical Interpolation" + + run_enkf: + type: bool + description: "Enable Ensemble Kalman Filter" + default: !calc run_gsi + + chgres_and_convert_ics: + type: bool + description: "Run the fv3ic job, which changes restart file resolution and converts legacy GFS GSM restart files to FV3 restart files." + + max_job_tries: + type: int + default: 1 + description: "Number of times to try running a job. Set to 1 for no retries." + + IC_CDUMP: + type: string + allowed: [ gfs, gdas ] + optional: true + description: Get initial conditions from gfs or gdas + + gfs_cyc: + type: int + default: 4 + allowed: [ 0, 1, 2, 4 ] + description: | + When to run GFS forecast. Data assimilation is run for every + cycle regardless of these values + * 0: no GFS cycle + * 1: 00Z only + * 2: 00Z and 12Z only + * 4: all 4 cycles (0, 6, 12, 18 Z) + + SDATE: + type: datetime + description: | + First cycle to run. In the cycled workflow, this is a + "half-cycle" in that it only runs the parts of the workflow that + do not require a prior cycle. The first "real" cycle is the + following cycle. + + EDATE: + type: datetime + description: Last cycle to run GDAS. + + ics_from: + type: string + default: opsgfs + allowed: [ opsgfs, pargfs ] + description: initial conditions from opsgfs or pargfs + if_present: !Template + parexp: + type: string + default: prnemsrn + HPSS_PAR_PATH: + type: string + default: !expand "/5year/NCEPDEV/emc-global/emc.glopara/WCOSS_C/{parexp}" diff --git a/tests/test_data/regtest/static/locations.yaml b/tests/test_data/regtest/static/locations.yaml new file mode 100644 index 0000000..fbf3e0f --- /dev/null +++ b/tests/test_data/regtest/static/locations.yaml @@ -0,0 +1,9 @@ +## initial_directory: location from which the setup script was run. +## HOMEgfs: top level of the installation area (directory above ush, +## jobs, ecf) + +static_locations: + HOMEgfs: !Immediate + - !calc ( tools.realpath(tools.abspath("../../")) ) + initial_directory: !Immediate + - !calc ( tools.realpath(tools.abspath('.')) ) diff --git a/tests/test_data/regtest/user.yaml b/tests/test_data/regtest/user.yaml index b6aaea2..c6ebc0d 100644 --- a/tests/test_data/regtest/user.yaml +++ b/tests/test_data/regtest/user.yaml @@ -1,5 +1,5 @@ user_places: &user_places - PROJECT_DIR: /Users/jiankuang/Documents + PROJECT_DIR: !calc doc.default_places.HOMEcrow + '/tests/test_data/regtest' # !error Please select a project directory. # ie. /scratch4/NCEPDEV/ocean diff --git a/tests/test_data/regtest/workflow/cycled_gfs.yaml b/tests/test_data/regtest/workflow/cycled_gfs.yaml new file mode 100644 index 0000000..9a86d57 --- /dev/null +++ b/tests/test_data/regtest/workflow/cycled_gfs.yaml @@ -0,0 +1,767 @@ +suite: !Cycle + <<: *suite_defaults + + Alarms: + <<: *cycled_suite_alarms + + ###################################################################### + ## GDAS FAMILY ####################################################### + ###################################################################### + + gdas: !Family + RUN: gdas + ecflow_def: "edit RUN 'gdas'" + + jgdas_emc_dump_waiter: !Task + <<: *dump_waiter_task + Disable: !calc metasched.type != 'ecflow' + + #Time: !FirstTrue + # - when: !calc doc.settings.four_cycle_mode + # do: !timedelta +5:50:00 + # - otherwise: null + + realtime_logic: !FirstTrue + - when: !calc doc.settings.realtime + do: "edit ECF_TRIES 72" + - otherwise: "" + ecflow_def: !expand | + {realtime_logic} + edit DUMPDIR '{doc.places.DMPDIR}' + Trigger: !Depend forecast.at('-6:00:00') + + dump: !Family + ecflow_def: "# NCO will need to add NCO dump job here" + Dummy: true ## <-- Node is not implemented yet + Trigger: !Depend forecast.at('-6:00:00') + jgdas_ics: !Task + <<: *exclusive_task_template + release_gdas00_ics: !DataEvent {file="/dev/null"} + resources: !calc partition.resources.run_one_hour_exclusive + + #jgdas_dump_post: !Task + # Trigger: !Depend jgdas_dump + # release_sfcprep: !DataEvent {file="/dev/null"} + # release_gdas00_dump_alert: !DataEvent {file="/dev/null"} + # ecf_file: *ecf_file_template + # resources: !calc partition.resources.run_nothing + # accounting: *exclusive_accounting + # J_JOB: nothing + + jgdas_tropcy_qc_reloc: !Task + <<: *exclusive_task_template + Trigger: !Depend jgdas_dump + Time: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + do: !timedelta +5:45:00 + - otherwise: null + resources: !calc partition.resources.run_one_hour_exclusive + + #Replaced by emc version of dump job + #This dump job should be using NCO version when delivery to NCO + jgdas_dump: !Task + <<: *exclusive_task_template + release_sfcprep: !DataEvent {file="/dev/null"} + Time: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + do: !timedelta +5:50:00 + - otherwise: null + resources: !calc partition.resources.run_one_hour_exclusive + #endfamily dump + + prep: !Family + Trigger: !Depend | + (jgdas_emc_dump_waiter.updated_status | dump.jgdas_dump.release_sfcprep ) & up.gdas.forecast.at('-6:00:00') + Complete: !Depend ( ~ suite.has_cycle('-6:00:00') ) + jgdas_emcsfc_sfc_prep: !Task +# Disable: !calc not doc.data_assimilation.DO_EMCSFC + <<: *exclusive_task_template + Trigger: !Depend 'up.dump.jgdas_dump.release_sfcprep | up.jgdas_emc_dump_waiter.updated_status' + ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround + resources: !calc partition.resources.run_prep + config_list: [ base, prep, prepbufr ] + J_JOB: JGLOBAL_EMCSFC_SFC_PREP + + jgdas_prep: !Task + <<: *exclusive_task_template + Trigger: !Depend ( ( up.dump.jgdas_dump & up.jgdas_emc_dump_waiter ) & up.up.gdas.post.at('-6:00:00') ) + ecflow_def: + edit model 'obsproc_global' + resources: !calc partition.resources.run_prep + ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround + J_JOB: JGLOBAL_PREP + model: obsproc_global # for four cycle mode + J_JOB_PATH: '$HOMEobsproc_global/jobs' + config_list: [ base, prep, prepbufr ] + + jgdas_tropcy_cp: !Task + <<: *exclusive_task_template + Dummy: True + Trigger: !Depend prep.jgdas_prep + resources: !calc partition.resources.run_one_hour_exclusive + J_JOB: rocoto/tropcy_cp.sh + ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround + + analysis: !Family + Complete: !Depend ( ~ suite.has_cycle('-6:00:00') ) + jgdas_analysis_high: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.prep.jgdas_prep & up.prep.jgdas_emcsfc_sfc_prep & up.enkf.post.at('-6:00:00') ) + #release_fcst: !DataEvent {file="/dev/null"} + resources: !calc partition.resources.run_anal + J_JOB: JGLOBAL_ANALYSIS + + forecast: !Family + jgdas_forecast_high: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.analysis.jgdas_analysis_high & up.enkf.innovate ) | ~ suite.has_cycle('-6:00:00') + resources_remap: !JobRequest [ { <<: *remap_resource_template } ] + #release_fcst: !DataEvent {file="/dev/null"} + resources: !calc partition.resources.run_gdasfcst + J_JOB: JGLOBAL_FORECAST + + post_processing: !Family + Disable: !calc not doc.downstream.DO_POST_PROCESSING + Complete: !Depend ~ suite.has_cycle('-6:00:00') + bulletins: !Family + Disable: !calc not doc.downstream.DO_BULLETINS + jgdas_bulls_navy: !Task + <<: *exclusive_task_template + Dummy: True # job is broken + AlarmName: gfs_00_12 + Trigger: !Depend ( up.up.prep.jgdas_prep ) + resources: !calc partition.resources.run_bulls_navy + J_JOB: JGDAS_BULLS_NAVY + + gempak: !Family + Disable: !calc not doc.downstream.DO_GEMPAK + Complete: !Depend ~ suite.has_cycle('-6:00:00') + jgdas_gempak: !Task + <<: *exclusive_task_template + resources: !calc partition.resources.run_gdas_gempak + J_JOB: JGDAS_GEMPAK + Trigger: !Depend '( up.post if doc.settings.dev_safeguards else up.forecast.jgdas_forecast_high )' + + jgdas_gempak_meta_ncdc: !Task + <<: *exclusive_task_template + resources: !calc partition.resources.run_gdas_gempak_meta_ncdc + Trigger: !Depend jgdas_gempak + J_JOB: JGDAS_GEMPAK_META_NCDC + + #endfamily gempak + + post: !TaskArray + RUN: !calc up.RUN + Dimensions: + fhr: !calc doc.gfs_output_settings.gdas_forecast_hours + post_manager_el: !TaskElement + <<: *exclusive_task_template + RUN: !calc up.RUN + Trigger: !FirstTrue + - when: !calc not doc.settings.dev_safeguards + take: !Depend ( up.forecast.is_running() ) + - otherwise: !Depend "up.forecast.is_running() | up.forecast" + Disable: !calc metasched.type=='rocoto' + Foreach: [] + J_JOB: JGLOBAL_POST_MANAGER + Name: jgdas_post_manager + resources: !calc partition.resources.run_gdas_post_manager + release_postanl: !DataEvent + file: !expand >- + {metasched.varref("COM")}/gdas.{metasched.datestring("%Y%m%d/%H/")}gdas.t{metasched.datestring("%H")}z.logf000.nemsio + release_post_fhr: !DataEventElement + Name: !expand "release_post{dimval.fhr:03d}" + Foreach: [ fhr ] + file: !expand > + {metasched.varref("COM")}/gdas.{metasched.datestring("%Y%m%d/%H/")}gdas.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio + # NOTE: the above files must match the logic in scripts/exglobal_pmgr.sh.ecf + + # The post_anl has to be a !TaskElement to ensure it shows up between + # the post manager and forecast hour posts: + jgdas_post_anl_el: !TaskElement + <<: *exclusive_task_template + Foreach: [] + FHR: 'anl' + Name: jgdas_post_anl + Trigger: !FirstTrue + - when: !calc not doc.settings.dev_safeguards + take: !Depend jgdas_post_manager.release_postanl + - otherwise: !Depend jgdas_post_manager.release_postanl | up.forecast + release_pgrb2_anl: !DataEvent {file="/dev/null"} + resources: !calc partition.resources.run_gdaspost + ecflow_def: !expand "edit FHR '{FHR}'" + J_JOB: JGLOBAL_NCEPPOST + ecflow_command: !expand | + export post_times=%FHR% FHRLST=%FHR% FHRGRP=%FHR% + $HOMEgfs/jobs/{J_JOB} + rocoto_command: !expand >- + {rocoto_load_modules} ; + /usr/bin/env FHRGRP={FHR} post_times={FHR} FHRLST={FHR} &HOMEgfs;/jobs/{J_JOB} + + jgdas_post_fhr_el: !TaskElement + <<: *exclusive_task_template + Foreach: [ fhr ] + resources: !calc partition.resources.run_gdaspost + Name: !expand jgdas_post_f{dimval.fhr:03d} + FHR: !expand '{dimval.fhr:03d}' + J_JOB: JGLOBAL_NCEPPOST + ecflow_def: !expand "edit FHR '{FHR}'" + ecflow_command: !expand | + export post_times=%FHR% FHRLST=%FHR% FHRGRP=%FHR% + $HOMEgfs/jobs/{J_JOB} + rocoto_command: !expand >- + {rocoto_load_modules} ; + /usr/bin/env FHRGRP={FHR} post_times={FHR} FHRLST={FHR} &HOMEgfs;/jobs/{J_JOB} + Trigger: !FirstTrue + - when: !calc not doc.settings.dev_safeguards + take: !Depend jgdas_post_manager.depend("release_post{F:03d}",F=[dimval.fhr]) + - otherwise: !Depend jgdas_post_manager.depend("release_post{F:03d}",F=[dimval.fhr]) | up.forecast + #endfamily post + + jgdas_emc_vrfy: !Task + <<: *exclusive_task_template + Disable: !calc not doc.settings.run_vrfy_jobs + Complete: !Depend ( ~ suite.has_cycle('-6:00:00') ) + Trigger: !Depend post + resources: !calc partition.resources.run_gdasvrfy + ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround + J_JOB: rocoto/vrfy.sh + ecf_module_commands: "# vrfy.sh will load modules instead" + + enkf: !Family + jgdas_enkf_select_obs: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.prep.jgdas_prep & post.at('-6:00:00') & up.jgdas_tropcy_cp ) + resources: !calc partition.resources.run_eobs + Complete: !Depend ~ suite.has_cycle('-6:00:00') + J_JOB: JGLOBAL_ENKF_SELECT_OBS + + innovate: !TaskArray + Trigger: !Depend jgdas_enkf_select_obs + Complete: !Depend ~ suite.has_cycle('-6:00:00') + Dimensions: + groupid: !calc tools.seq(1,doc.data_assimilation.ENKF_INNOVATE_GROUPS,1) + jgdas_enkf_innovate_obs_grp: !TaskElement + <<: *exclusive_task_template + Foreach: [ groupid ] + Name: !expand "jgdas_enkf_innovate_obs_grp{dimval.groupid}" + resources: !calc partition.resources.run_eomg + J_JOB: JGLOBAL_ENKF_INNOVATE_OBS + ENSGRP: !expand "{dimval.groupid:02d}" + # Lin wants ENSGRP to be outside the ecflow suite definition: + #rocoto_command: !expand "/usr/bin/env ENSGRP={ENSGRP} &HOMEgfs;/jobs/{J_JOB}" + rocoto_command: !expand >- + {rocoto_load_modules} ; + /usr/bin/env ENSGRP={ENSGRP} &HOMEgfs;/jobs/{J_JOB} + ecflow_command: !expand | + export ENSGRP={ENSGRP} + $HOMEgfs/jobs/{J_JOB} + + jgdas_enkf_update: !Task + <<: *exclusive_task_template + Trigger: !Depend innovate + ecflow_def: "edit ECF_PASS 'FREE'" + Complete: !Depend ~ suite.has_cycle('-6:00:00') + resources: !calc partition.resources.run_eupd + J_JOB: JGLOBAL_ENKF_UPDATE + + jgdas_enkf_inflate_recenter: !Task + <<: *exclusive_task_template + Trigger: !Depend ( jgdas_enkf_update & up.analysis.jgdas_analysis_high ) + resources: !calc partition.resources.run_ecen + J_JOB: JGDAS_ENKF_RECENTER + Complete: !Depend ~ suite.has_cycle('-6:00:00') + + forecast: !TaskArray + + Trigger: !Depend up.enkf.jgdas_enkf_inflate_recenter | ~ suite.has_cycle('-6:00:00') + Dimensions: + groupid: !calc tools.seq(1,doc.data_assimilation.ENKF_FORECAST_GROUPS,1) + jgdas_enkf_fcst_grp: !TaskElement + <<: *exclusive_task_template + Foreach: [ groupid ] + Name: !expand "jgdas_enkf_fcst_grp{dimval.groupid}" + resources: !calc partition.resources.run_efcs + J_JOB: JGDAS_ENKF_FCST + ENSGRP: !expand "{dimval.groupid:02d}" + + # Lin wants ENSGRP to be outside the ecflow suite definition: + #rocoto_command: !expand "/usr/bin/env ENSGRP={ENSGRP} &HOMEgfs;/jobs/{J_JOB}" + rocoto_command: !expand >- + {rocoto_load_modules} ; + /usr/bin/env ENSGRP={ENSGRP} &HOMEgfs;/jobs/{J_JOB} + ecflow_command: !expand | + export ENSGRP={ENSGRP} + $HOMEgfs/jobs/{J_JOB} + +# Create epos task array +# export FHRLST="f003 f004 f005 f006 f007 f008 f009" +# fhrlst=$(echo $FHRLST | sed -e 's/_/ /g; s/f/ /g; s/,/ /g') +# FHMIN_EPOS=$fhr +# FHMAX_EPOS=$fhr +# FHOUT_EPOS=$fhr +# job=epos${fhr} + post: !TaskArray + Trigger: !Depend forecast + Dimensions: + fhr: !calc doc.gfs_output_settings.enkf_epos_fhr + jgdas_enkf_post_fhr: !TaskElement + <<: *exclusive_task_template + Foreach: [ fhr ] + Name: !expand "jgdas_enkf_post_f{dimval.fhr:03d}" + FHMIN_EPOS: !expand '{dimval.fhr:03d}' + FHMAX_EPOS: !expand '{dimval.fhr:03d}' + FHOUT_EPOS: !expand '{dimval.fhr:03d}' + ecflow_command: !expand | + export FHMIN_EPOS={FHMIN_EPOS} FHMAX_EPOS={FHMAX_EPOS} FHOUT_EPOS={FHOUT_EPOS} + $HOMEgfs/jobs/{J_JOB} + rocoto_command: !expand >- + {rocoto_load_modules} ; + /usr/bin/env FHMIN_EPOS={FHMIN_EPOS} FHMAX_EPOS={FHMAX_EPOS} FHOUT_EPOS={FHOUT_EPOS} &HOMEgfs;/jobs/{J_JOB} + resources: !calc partition.resources.run_epos + J_JOB: JGDAS_ENKF_POST + + #endfamily enkf + #endfamily gdas + + ###################################################################### + ## GFS FAMILY ######################################################## + ###################################################################### + + gfs: !Family + Disable: !calc doc.settings.gfs_cyc==0 + Complete: !Depend ~ suite.has_cycle('-6:00:00') + AlarmName: gfs + RUN: 'gfs' + ecflow_def: "edit RUN 'gfs'" + + jgfs_emc_dump_waiter: !Task + <<: *dump_waiter_task + Disable: !calc metasched.type != 'ecflow' + realtime_logic: !FirstTrue + - when: !calc doc.settings.realtime + do: "edit ECF_TRIES 72" + - otherwise: "" + #Time: !FirstTrue + # - when: !calc doc.settings.four_cycle_mode + # do: !timedelta +2:47:00 + # - otherwise: null + ecflow_def: !expand | + {realtime_logic} + edit DUMPDIR '{doc.places.DMPDIR}' + Trigger: !Depend up.gdas.forecast.at('-6:00:00') + + dump: !Family + Trigger: !Depend up.gdas.forecast.at('-6:00:00') + Complete: !Depend ~ suite.has_cycle('-6:00:00') + Dummy: true ## <-- Node is not implemented yet + ecflow_def: "# NCO will need to add NCO dump job here" + jgfs_tropcy_qc_reloc: !Task + <<: *exclusive_task_template + Trigger: !Depend jgfs_dump + jtwc_bull_email: !DataEvent {file="/dev/null"} + resources: !calc partition.resources.run_one_hour_exclusive + Time: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + do: !timedelta +2:41:00 + - otherwise: null + + jgfs_dump: !Task + <<: *exclusive_task_template + ecflow_def: '#NCO needs to replace this with the real dump job' + release_sfcprep: !DataEvent {file="/dev/null"} + resources: !calc partition.resources.run_one_hour_exclusive + Time: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + do: !timedelta +2:47:00 + - otherwise: null + + prep: !Family + Trigger: !Depend ( ( jgfs_emc_dump_waiter.updated_status | dump.jgfs_dump.release_sfcprep ) & up.gdas.forecast.at('-6:00:00') ) + Complete: !Depend ~ suite.has_cycle('-6:00:00') + jgfs_emcsfc_sfc_prep: !Task +# Disable: !calc not doc.data_assimilation.DO_EMCSFC + <<: *exclusive_task_template + Trigger: !Depend 'up.dump.jgfs_dump.release_sfcprep | up.jgfs_emc_dump_waiter.updated_status' + resources: !calc partition.resources.run_sfc_prep + J_JOB: JGLOBAL_EMCSFC_SFC_PREP + ecf_environment_settings: !expand | + {doc.settings.ecflow_rocoto_cdate_workaround} + export DATAROOT="$DATAROOT.$job" + config_list: [ base, prep, prepbufr ] + + jgfs_prep: !Task + <<: *exclusive_task_template + ecflow_def: + edit model 'obsproc_global' + Trigger: !Depend up.dump + resources: !calc partition.resources.run_prep + ecf_environment_settings: !expand | + {doc.settings.ecflow_rocoto_cdate_workaround} + export DATAROOT="$DATAROOT.$job" + J_JOB: JGLOBAL_PREP + model: obsproc_global # for four cycle mode + J_JOB_PATH: '$HOMEobsproc_global/jobs' + config_list: [ base, prep, prepbufr ] + + jgfs_tropcy_cp: !Task + <<: *exclusive_task_template + Dummy: True + Trigger: !Depend prep.jgfs_prep + resources: !calc partition.resources.run_one_hour_exclusive + ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround + J_JOB: rocoto/tropcy_cp.sh + + jgfs_analysis: !Task + <<: *exclusive_task_template + Trigger: !Depend ( prep.jgfs_prep & prep.jgfs_emcsfc_sfc_prep & up.gdas.enkf.post.at('-6:00:00') ) + resources: !calc partition.resources.run_anal + J_JOB: JGLOBAL_ANALYSIS + Complete: !Depend ~ suite.has_cycle('-6:00:00') + + forecast: !Family + Complete: !Depend ~ suite.has_cycle('-6:00:00') + jgfs_forecast_high: !Task + <<: *exclusive_task_template + Trigger: !Depend up.jgfs_analysis + resources: !calc partition.resources.run_gfsfcst + J_JOB: JGLOBAL_FORECAST + resources_remap: !JobRequest [ { <<: *remap_resource_template } ] + + post: !TaskArray + RUN: !calc up.RUN + Dimensions: + fhr: !calc doc.gfs_output_settings.gfs_forecast_hours + jgfs_post_manager_el: !TaskElement + <<: *exclusive_task_template + RUN: !calc up.RUN + Disable: !calc metasched.type == 'rocoto' + Trigger: !FirstTrue + - when: !calc not doc.settings.dev_safeguards + take: !Depend "up.forecast.is_running()" + - otherwise: !Depend "up.forecast.is_running() | up.forecast" + Foreach: [ ] + J_JOB: JGLOBAL_POST_MANAGER + Name: jgfs_post_manager + resources: !calc partition.resources.run_gfs_post_manager + release_postanl: !DataEvent + file: !expand >- + {metasched.varref("COM")}/gfs.{metasched.datestring("%Y%m%d/%H/")}gfs.t{metasched.datestring("%H")}z.logf000.nemsio + release_post_fhr: !DataEventElement + Name: !expand "release_post{dimval.fhr:03d}" + Foreach: [ fhr ] + file: !expand >- + {metasched.varref("COM")}/gfs.{metasched.datestring("%Y%m%d/%H/")}gfs.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio + # NOTE: the above files must match post_manager.yaml + + jgfs_post_anl_el: !TaskElement + <<: *exclusive_task_template + Foreach: [] + FHR: anl + Name: jgfs_post_anl + Trigger: !FirstTrue + - when: !calc doc.settings.dev_safeguards + take: !Depend jgfs_post_manager.release_postanl + - otherwise: !Depend jgfs_post_manager.release_postanl | up.forecast + + ecflow_def: !expand "edit FHR '{FHR}'" + release_pgrb2_anl: !DataEvent {file="/dev/null"} + resources: !calc partition.resources.run_gfspost + J_JOB: JGLOBAL_NCEPPOST + ecflow_command: !expand | + export post_times=%FHR% FHRLST=%FHR% FHRGRP=%FHR% + $HOMEgfs/jobs/{J_JOB} + rocoto_command: !expand >- + {rocoto_load_modules} ; + /usr/bin/env post_times=anl &HOMEgfs;/jobs/{J_JOB} + + jgfs_post_fhr_el: !TaskElement + <<: *exclusive_task_template + Foreach: [ fhr ] + resources: !calc partition.resources.run_gfspost + Name: !expand jgfs_post_f{dimval.fhr:03d} + FHR: !expand "{dimval.fhr:03d}" + J_JOB: JGLOBAL_NCEPPOST + Trigger: !FirstTrue + - when: !calc not doc.settings.dev_safeguards + take: !Depend jgfs_post_manager.depend("release_post{F:03d}",F=[dimval.fhr]) + - otherwise: !Depend jgfs_post_manager.depend("release_post{F:03d}",F=[dimval.fhr]) | up.forecast + ecflow_def: !expand "edit FHR '{FHR}'" + ecflow_command: !expand | + export post_times=%FHR% FHRLST=%FHR% FHRGRP=%FHR% + $HOMEgfs/jobs/{J_JOB} + rocoto_command: !expand >- + {rocoto_load_modules} ; + /usr/bin/env post_times={FHR} FHRLST={FHR} FHRGRP={FHR} &HOMEgfs;/jobs/{J_JOB} + + jgfs_pgrb2_spec_post: !FirstTrue + - when: !calc max(doc.gfs_output_settings.gfs_forecast_hours)>=384 + do: !Task + <<: *exclusive_task_template + Dummy: true ## <-- Node is not implemented yet + Trigger: !Depend ( jgfs_post_f336 & jgfs_post_f348 & jgfs_post_f360 & jgfs_post_f372 & jgfs_post_f384 ) + resources: !calc partition.resources.run_gfspost + - otherwise: null + #endfamily post + + post_processing: !Family + Disable: !calc not doc.downstream.DO_POST_PROCESSING + fax: !Family + Disable: !calc not doc.downstream.DO_FAX + jgfs_fax_f000: !Task + <<: *fax_task_template + FCSTHR: '00' + Trigger: !Depend ( up.up.post.jgfs_post_f000 & up.up.post.jgfs_post_anl ) + + jgfs_fax_anl: !Task + <<: *fax_task_template + FCSTHR: 'anl' + Trigger: !Depend up.up.post.jgfs_post_anl + + jgfs_fax_wafs_f012: !Task + <<: *fax_wafs_task_template + FCSTHR: '12' + fhr_list: '06 12' + Trigger: !Depend up.up.post.jgfs_post_f012 + + jgfs_fax_wafs_f024: !Task + <<: *fax_wafs_task_template + FCSTHR: '24' + fhr_list: '18 24' + Trigger: !Depend up.up.post.jgfs_post_f024 + + jgfs_fax_wafs_f036: !Task + <<: *fax_wafs_task_template + FCSTHR: '36' + fhr_list: '30 36' + Trigger: !Depend up.up.post.jgfs_post_f036 + + grib_wafs: !TaskArray + Disable: !calc not doc.downstream.DO_WAFS + Dimensions: + fhr: !calc tools.seq(0,doc.gfs_output_settings.wafs_last_hour,6) + jgfs_wafs: !TaskElement + <<: *exclusive_task_template + Name: !expand 'jgfs_wafs_f{dimval.fhr:03d}' + resources: !ref partition.resources.run_one_node_downstream + Trigger: !FirstTrue + - when: !calc dimval.fhr == 0 + do: !Depend ( up.up.post.jgfs_post_f000 & up.up.post.jgfs_post_f120 & up.grib2_wafs.jgfs_wafs_grib2 ) + - otherwise: !Depend >- + up.up.post.depend("jgfs_post_f{N:03d}",N=[ dimval.fhr ]) + & up.grib_wafs.depend("jgfs_wafs_f{N:03d}",N=[ dimval.fhr-6 ]) + ecflow_command: !expand | + export fcsthrs=%FCSTHR% + $HOMEgfs/jobs/{J_JOB} + FCSTHR: !expand '{dimval.fhr:02d}' + J_JOB: JGFS_WAFS + more_vars: { fcsthrs: FCSTHR } + config_list: [ base, wafs ] + + bufr_sounding: !Family + Disable: !calc not doc.downstream.DO_BUFRSND + jgfs_postsnd: !Task + <<: *exclusive_task_template + Trigger: !Depend up.up.post.jgfs_post_f144 + resources: !calc partition.resources.run_postsnd + + bulletins: !Family + Disable: !calc not doc.downstream.DO_BULLETINS + jgfs_fbwind: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.up.post.jgfs_post_f006 & up.up.post.jgfs_post_f012 & up.up.post.jgfs_post_f024 ) + resources: !calc partition.resources.run_small_downstream + + grib2_wafs: !Family + Disable: !calc not doc.downstream.DO_WAFS + jgfs_wafs_grib2: !Task + <<: *exclusive_task_template + Trigger: !Depend up.up.post.jgfs_post_f000 + resources: !calc partition.resources.run_one_node_downstream + config_list: [ base, wafs ] + + jgfs_wafs_blending: !Task + <<: *exclusive_task_template + Trigger: !Depend jgfs_wafs_grib2 + Time: !FirstTrue + - when: !calc not doc.settings.dev_safeguards + do: !timedelta +4:33:00 + - otherwise: null + resources: !calc partition.resources.run_one_node_downstream + config_list: [ base, wafs ] + + jgfs_wafs_gcip: !Task + <<: *exclusive_task_template + Trigger: !Depend up.up.post.jgfs_post_f003 + resources: !calc partition.resources.run_one_node_downstream + config_list: [ base, wafs_gcip ] + J_JOB: JGFS_WAFS_GCIP + + awips_20km_1p0: !TaskArray + Disable: !calc not doc.downstream.DO_AWIPS + Dimensions: + fhr: !calc " sorted(list(set(doc.gfs_output_settings.gfs_forecast_hours)&set(doc.gfs_output_settings.awips_20km_1p0_hours))) " + # tasks every 6 hours till f240 + jgfs_awips: !TaskElement + <<: *exclusive_task_template + Foreach: [ fhr ] + Name: !expand "jgfs_awips_f{dimval.fhr:03d}" + ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround + Trigger: !Depend up.up.post.depend('jgfs_post_f{N:03d}',N=dimval.fhr) + resources: !calc partition.resources.run_awips_20km_1p0 + TRDRUN: !calc ('YES' if (dimval.fhr==0 or dimval.fhr%6!=0 or dimval.fhr>=84) else 'NO') + J_JOB: JGFS_AWIPS_20KM_1P0DEG + FHR: !expand '{dimval.fhr:03d}' + more_vars: { fcsthrs: FHR } + + awips_g2: !TaskArray + Disable: !calc not doc.downstream.DO_AWIPS + Dimensions: + fhr: !calc " sorted(list(set(doc.gfs_output_settings.gfs_forecast_hours)&set(doc.gfs_output_settings.awips_g2_hours))) " + jgfs_awips: !TaskElement + <<: *exclusive_task_template + Foreach: [ fhr ] + Name: !expand "jgfs_awips_g2_f{dimval.fhr:03d}" + Trigger: !Depend up.up.post.depend('jgfs_post_f{N:03d}',N=dimval.fhr) + resources: !calc partition.resources.run_awips_g2 + FHR: !expand '{dimval.fhr:03d}' + J_JOB: JGFS_AWIPS_G2 + more_vars: { fcsthrs: FHR } + #endfamily post_processing + + gempak: !Family + Disable: !calc not doc.downstream.DO_GEMPAK + jgfs_gempak: !Task + <<: *exclusive_task_template + resources: !calc partition.resources.run_gfs_gempak + Trigger: !Depend up.jgfs_analysis + + jgfs_gempak_meta: !Task + <<: *exclusive_task_template + Dummy: True # job is broken + Trigger: !Depend up.jgfs_analysis + resources: !calc partition.resources.run_gfs_gempak + + jgfs_pgrb2_spec_npoess: !Task + <<: *exclusive_task_template + Trigger: !Depend 'up.post.jgfs_post_anl.is_running() | up.post.jgfs_post_anl' + resources: !calc partition.resources.run_npoess + + jgfs_pgrb2_spec_gempak: !Task + <<: *exclusive_task_template + Trigger: !Depend jgfs_pgrb2_spec_npoess + resources: !calc partition.resources.run_big_downstream + + jgfs_gempak_ncdc_upapgif: !Task # NOTE: twelve-hourly + <<: *exclusive_task_template + Dummy: True # job is broken + Trigger: !Depend 'jgfs_gempak.is_running() | jgfs_gempak' + resources: !calc partition.resources.run_one_node_downstream + + jgfs_emc_vrfy: !Task + <<: *exclusive_task_template + Trigger: !Depend post + Disable: !calc not doc.settings.run_vrfy_jobs + resources: !calc partition.resources.run_gfsvrfy + J_JOB: rocoto/vrfy.sh + ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround + ecf_module_commands: "# vrfy.sh will load modules instead" + + #endfamily gempak + #endfamily gfs + + ###################################################################### + ## ARCHIVE FAMILY #################################################### + ###################################################################### + + archive: !Family + Disable: !calc not doc.archiving.archive_to_hpss + ecflow_def: + edit ECF_TRIES '3' + jgdas_archive: !Task + <<: *service_task_template + AlarmName: gdas + Trigger: !Depend up.gdas + #Trigger: !Depend up.gdas.jgdas_emc_vrfy + resources: !calc partition.resources.run_arch + Disable: !calc not doc.archiving.archive_to_hpss + ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround + J_JOB: rocoto/arch.sh + ecf_module_commands: "# arch.sh will load modules instead" + RUN: gdas + ecflow_def: !FirstTrue + - when: !calc not doc.settings.four_cycle_mode + take: "edit RUN 'gdas'" + - otherwise: | + time +00:10 + edit RUN 'gdas' + + jgdas_enkf_archive: !TaskArray + ecflow_def: "edit RUN 'gdas'" + AlarmName: gdas + Trigger: !Depend up.gdas.enkf.post + Dimensions: + groupid: !calc tools.seq(0,doc.data_assimilation.ENKF_ARCHIVE_GROUPS,1) + grp: !TaskElement + <<: *service_task_template + resources: !calc partition.resources.run_arch + ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround + J_JOB: rocoto/earc.sh + ecf_module_commands: "# arch.sh will load modules instead" + Foreach: [ groupid ] + Name: !expand "jgdas_enkf_archive_grp{dimval.groupid:02d}" + RUN: gdas + ENSGRP: !expand '{dimval.groupid:02d}' + more_vars: { ENSGRP: ENSGRP } + ecflow_def: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + take: !expand "edit ENSGRP '{ENSGRP}'" + - otherwise: !expand | + time +00:10 + edit ENSGRP '{ENSGRP}' + + jgfs_archive: !Task + <<: *service_task_template + Disable: !calc doc.settings.gfs_cyc == 0 + Complete: !Depend ~ suite.has_cycle('-6:00:00') + AlarmName: gfs + Trigger: !Depend up.gfs + resources: !calc partition.resources.run_arch + ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround + J_JOB: rocoto/arch.sh + ecf_module_commands: "# arch.sh will load modules instead" + RUN: gfs + ecflow_def: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + take: "edit RUN 'gfs'" + - otherwise: | + time +00:10 + edit RUN 'gfs' + + ###################################################################### + ## CYCLE COMPLETION TASKS ############################################ + ###################################################################### + + cycle_end: *cycle_end_task + + make_next_cycles: !Task + <<: *make_next_cycles_task + Disable: !calc ( not metasched.type=="ecflow" or doc.settings.four_cycle_mode ) + Trigger: !Depend gdas + ecflow_def: !expand | + # These variables are used by the make_next_cycles job to generate + # suites for later cycles once earlier cycles have finished. + edit WORKFLOW_FIRST_CYCLE '{tools.strftime(suite.Clock.start,"%Y%m%d%H")}' + edit WORKFLOW_LAST_CYCLE '{tools.strftime(suite.Clock.end,"%Y%m%d%H")}' + edit WORKFLOW_CYCLES_TO_GENERATE '5' + edit WORKFLOW_CROW_HOME '{doc.static_locations.initial_directory}' + edit WORKFLOW_EXPDIR '{doc.places.EXPDIR}' + edit RUN 'gdas' # useless but required + + final: !Task + <<: *service_task_template + Disable: !calc not metasched.type=="rocoto" + resources: !calc partition.resources.run_nothing + rocoto_command: /bin/true + RUN: gfs # useless but required + diff --git a/tests/test_data/regtest/workflow/free_forecast_gfs.yaml b/tests/test_data/regtest/workflow/free_forecast_gfs.yaml new file mode 100644 index 0000000..9cac6bc --- /dev/null +++ b/tests/test_data/regtest/workflow/free_forecast_gfs.yaml @@ -0,0 +1,131 @@ +suite: !Cycle + <<: *suite_defaults + + ics: !Family + Disable: !calc >- + doc.settings.get("IC_CDUMP","") and not doc.settings.chgres_and_convert_ics + model: 'gfs' # useless but required + jgfs_emc_getics: !Task + <<: *service_task_template + Disable: !calc doc.settings.get("IC_CDUMP","") + resources: !calc partition.resources.run_getic + J_JOB: rocoto/getic.sh + ecf_module_commands: "# getics.sh will load modules instead" + model: gfs + + jgfs_emc_fv3ic: !Task + <<: *exclusive_task_template + Disable: !calc not doc.settings.chgres_and_convert_ics + Trigger: !Depend jgfs_emc_getics + resources: !calc partition.resources.run_fv3ic + J_JOB: rocoto/fv3ic.sh + ecf_module_commands: "# fv3ic.sh will load modules instead" + model: gfs + + gfs: !Family + Trigger: !Depend ics + model: 'gfs' + + forecast: !Family + jgfs_forecast_high: !Task + <<: *exclusive_task_template + resources: !calc partition.resources.run_gfsfcst + J_JOB: JGLOBAL_FORECAST + + post: !TaskArray + model: !calc up.model + Dimensions: + fhr: !calc doc.gfs_output_settings.gfs_forecast_hours + jgfs_post_manager_el: !TaskElement + <<: *exclusive_task_template + model: !calc up.model + Disable: !calc metasched.type == 'rocoto' + Trigger: !Depend up.forecast.is_running() + Complete: !Depend up.forecast + Foreach: [ ] + ecflow_command: *post_manager_job_contents + J_JOB: post_manager + Name: jgfs_post_manager + resources: !calc partition.resources.run_gfs_post_manager + release_postanl: !DataEvent + file: !expand >- + {metasched.varref("COM")}/gfs.{metasched.datestring("%Y%m%d/%H/")}gfs.t{metasched.datestring("%H")}z.logf000.nemsio + release_post_fhr: !DataEventElement + Name: !expand "release_post{dimval.fhr:02d}" + Foreach: [ fhr ] + file: !expand >- + {metasched.varref("COM")}/gfs.{metasched.datestring("%Y%m%d/%H/")}gfs.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio + # NOTE: the above files must match post_manager.yaml + + jgfs_post_anl: !Task + <<: *exclusive_task_template + model: !calc up.model + FHRGRP: '000' + FHRLST: anl + more_vars: [ FHRGRP, FHRLST, FHR, HR ] + FHR: !expand 'anl' + HR: !expand 'anl' + Trigger: !Depend jgfs_post_manager.release_postanl | up.forecast + release_pgrb2_anl: !DataEvent {file="/dev/null"} + resources: !calc partition.resources.run_gfspost + J_JOB: JGLOBAL_NCEPPOST + ecflow_command: !expand | + export post_times=anl FHRLST={FHRLST} FHRGRP={FHRGRP} + $HOMEgfs/jobs/{J_JOB} + rocoto_command: !expand >- + {rocoto_load_modules} ; + /usr/bin/env post_times=anl &HOMEgfs;/jobs/{J_JOB} + more_vars: [ FHRGRP, FHRLST ] + + jgfs_post_fhr_el: !TaskElement + <<: *exclusive_task_template + Foreach: [ fhr ] + resources: !calc partition.resources.run_gfspost + Name: !expand jgfs_post_f{dimval.fhr:02d} + FHRGRP: !expand "{dimidx.fhr+1:03d}" + FHRLST: !expand "f{dimval.fhr:03d}" + FHR: !expand 'f{dimval.fhr:02d}' + HR: !expand '{dimval.fhr:02d}' + more_vars: [ FHRGRP, FHRLST, FHR, HR ] + J_JOB: JGLOBAL_NCEPPOST + Trigger: !Depend jgfs_post_manager.depend("release_post{F:02d}",F=[dimval.fhr]) | up.forecast + ecflow_command: !expand | + export post_times={dimval.fhr:03d} FHRLST={FHRLST} FHRGRP={FHRGRP} + $HOMEgfs/jobs/{J_JOB} + rocoto_command: !expand >- + {rocoto_load_modules} ; + /usr/bin/env post_times={dimval.fhr:03d} &HOMEgfs;/jobs/{J_JOB} + #endfamily post + + jgfs_emc_vrfy: !Task + <<: *exclusive_task_template + Trigger: !Depend post + resources: !calc partition.resources.run_gfsvrfy + J_JOB: rocoto/vrfy.sh + ecf_module_commands: "# vrfy.sh will load modules instead" + + #endfamily gfs + + archive: !Family + ecflow_def: + edit ECF_TRIES '3' + + jgfs_archive: !Task + <<: *service_task_template + Disable: !calc doc.settings.gfs_cyc == 0 + Trigger: !Depend up.gfs.jgfs_emc_vrfy + resources: !calc partition.resources.run_arch + Disable: !calc not doc.archiving.archive_to_hpss + J_JOB: rocoto/arch.sh + ecf_module_commands: "# arch.sh will load modules instead" + model: gfs + ecflow_def: + time +00:10 + + final: !Task + <<: *service_task_template + Disable: !calc not metasched.type=="rocoto" + resources: !calc partition.resources.run_nothing + rocoto_command: /bin/true + model: gfs # useless but required + diff --git a/tests/test_data/regtest/workflow/nco.yaml b/tests/test_data/regtest/workflow/nco.yaml new file mode 100644 index 0000000..01ab402 --- /dev/null +++ b/tests/test_data/regtest/workflow/nco.yaml @@ -0,0 +1,597 @@ +suite: !Cycle + <<: *suite_defaults + + Alarms: + <<: *cycled_suite_alarms + + ###################################################################### + ## GDAS FAMILY ####################################################### + ###################################################################### + + gdas: !Family + RUN: gdas + ecflow_def: "edit RUN 'gdas'" + + jgdas_verfrad: !Task + <<: *exclusive_task_template + resources: !calc partition.resources.run_verfrad + + jgdas_vminmon: !Task + <<: *exclusive_task_template + resources: !calc partition.resources.run_vminmon + + dump: !Family + + #jgdas_dump_post: !Task + # Trigger: !Depend jgdas_dump + # release_sfcprep: !DataEvent {file="/dev/null"} + # release_gdas00_dump_alert: !DataEvent {file="/dev/null"} + # ecf_file: *ecf_file_template + # resources: !calc partition.resources.run_nothing + # accounting: *exclusive_accounting + # J_JOB: nothing + + jgdas_tropcy_qc_reloc: !Task + <<: *exclusive_task_template + Time: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + do: !timedelta +5:45:00 + - otherwise: null + resources: !calc partition.resources.run_one_hour_exclusive + + #Replaced by emc version of dump job + #This dump job should be using NCO version when delivery to NCO + jgdas_dump: !Task + <<: *exclusive_task_template + release_sfcprep: !DataEvent {file="/dev/null"} + Time: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + do: !timedelta +5:50:00 + - otherwise: null + resources: !calc partition.resources.run_one_hour_exclusive + #endfamily dump + + prep: !Family + Complete: !Depend ( ~ suite.has_cycle('-6:00:00') ) + jgdas_emcsfc_sfc_prep: !Task + <<: *exclusive_task_template + Trigger: !Depend 'up.dump.jgdas_dump.release_sfcprep' + resources: !calc partition.resources.run_prep + config_list: [ base, prep, prepbufr ] + J_JOB: JGLOBAL_EMCSFC_SFC_PREP + + jgdas_prep: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.dump.jgdas_dump & up.up.gdas.post.at('-6:00:00') ) + ecflow_def: + edit model 'obsproc_global' + resources: !calc partition.resources.run_prep + J_JOB: JGLOBAL_PREP + model: obsproc_global # for four cycle mode + J_JOB_PATH: '$HOMEobsproc_global/jobs' + config_list: [ base, prep, prepbufr ] + + analysis: !Family + Complete: !Depend ( ~ suite.has_cycle('-6:00:00') ) + jgdas_analysis_high: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.prep.jgdas_prep & up.prep.jgdas_emcsfc_sfc_prep & up.enkf.post.at('-6:00:00') ) + #Trigger: !Depend ( up.prep.jgdas_prep & up.prep.jgdas_emcsfc_sfc_prep ) + #release_fcst: !DataEvent {file="/dev/null"} + resources: !calc partition.resources.run_anal + J_JOB: JGLOBAL_ANALYSIS + + forecast: !Family + jgdas_forecast_high: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.analysis.jgdas_analysis_high & up.enkf.innovate ) | ~ suite.has_cycle('-6:00:00') + resources_remap: !JobRequest [ { <<: *remap_resource_template } ] + #release_fcst: !DataEvent {file="/dev/null"} + resources: !calc partition.resources.run_gdasfcst + J_JOB: JGLOBAL_FORECAST + + post_processing: !Family + Complete: !Depend ~ suite.has_cycle('-6:00:00') + bulletins: !Family + jgdas_bulls_navy: !Task + <<: *exclusive_task_template + AlarmName: gfs_00_12 + Trigger: !Depend ( up.up.dump.jgdas_dump ) + resources: !calc partition.resources.run_bulls_navy + J_JOB: JGDAS_BULLS_NAVY + + gempak: !Family + Complete: !Depend ~ suite.has_cycle('-6:00:00') + jgdas_gempak: !Task + <<: *exclusive_task_template + resources: !calc partition.resources.run_gdas_gempak + J_JOB: JGDAS_GEMPAK + Trigger: !Depend '( up.post if doc.settings.dev_safeguards else up.forecast.jgdas_forecast_high )' + + jgdas_gempak_meta_ncdc: !Task + <<: *exclusive_task_template + resources: !calc partition.resources.run_gdas_gempak_meta_ncdc + Trigger: !Depend jgdas_gempak + J_JOB: JGDAS_GEMPAK_META_NCDC + + #endfamily gempak + + post: !TaskArray + RUN: !calc up.RUN + Dimensions: + fhr: !calc doc.gfs_output_settings.gdas_forecast_hours + post_manager_el: !TaskElement + <<: *exclusive_task_template + RUN: !calc up.RUN + Trigger: !FirstTrue + - when: !calc not doc.settings.dev_safeguards + take: !Depend ( up.forecast.is_running() ) + - otherwise: !Depend "up.forecast.is_running() | up.forecast" + Disable: !calc metasched.type=='rocoto' + Foreach: [] + J_JOB: JGLOBAL_POST_MANAGER + Name: jgdas_post_manager + resources: !calc partition.resources.run_gdas_post_manager + release_postanl: !DataEvent + file: !expand >- + {metasched.varref("COM")}/gdas.{metasched.datestring("%Y%m%d/%H/")}gdas.t{metasched.datestring("%H")}z.logf000.nemsio + release_post_fhr: !DataEventElement + Name: !expand "release_post{dimval.fhr:03d}" + Foreach: [ fhr ] + file: !expand > + {metasched.varref("COM")}/gdas.{metasched.datestring("%Y%m%d/%H/")}gdas.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio + # NOTE: the above files must match the logic in scripts/exglobal_pmgr.sh.ecf + + # The post_anl has to be a !TaskElement to ensure it shows up between + # the post manager and forecast hour posts: + jgdas_post_anl_el: !TaskElement + <<: *exclusive_task_template + Foreach: [] + FHR: 'anl' + Name: jgdas_post_anl + Trigger: !FirstTrue + - when: !calc not doc.settings.dev_safeguards + take: !Depend jgdas_post_manager.release_postanl + - otherwise: !Depend jgdas_post_manager.release_postanl | up.forecast + release_pgrb2_anl: !DataEvent {file="/dev/null"} + resources: !calc partition.resources.run_gdaspost + ecflow_def: !expand "edit FHR '{FHR}'" + J_JOB: JGLOBAL_NCEPPOST + ecflow_command: !expand | + export post_times=%FHR% FHRLST=%FHR% FHRGRP=%FHR% + $HOMEgfs/jobs/{J_JOB} + rocoto_command: !expand >- + {rocoto_load_modules} ; + /usr/bin/env FHRGRP={FHR} post_times={FHR} FHRLST={FHR} &HOMEgfs;/jobs/{J_JOB} + + jgdas_post_fhr_el: !TaskElement + <<: *exclusive_task_template + Foreach: [ fhr ] + resources: !calc partition.resources.run_gdaspost + Name: !expand jgdas_post_f{dimval.fhr:03d} + FHR: !expand '{dimval.fhr:03d}' + J_JOB: JGLOBAL_NCEPPOST + ecflow_def: !expand "edit FHR '{FHR}'" + ecflow_command: !expand | + export post_times=%FHR% FHRLST=%FHR% FHRGRP=%FHR% + $HOMEgfs/jobs/{J_JOB} + rocoto_command: !expand >- + {rocoto_load_modules} ; + /usr/bin/env FHRGRP={FHR} post_times={FHR} FHRLST={FHR} &HOMEgfs;/jobs/{J_JOB} + Trigger: !FirstTrue + - when: !calc not doc.settings.dev_safeguards + take: !Depend jgdas_post_manager.depend("release_post{F:03d}",F=[dimval.fhr]) + - otherwise: !Depend jgdas_post_manager.depend("release_post{F:03d}",F=[dimval.fhr]) | up.forecast + #endfamily post + + enkf: !Family + jgdas_enkf_select_obs: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.prep.jgdas_prep & post.at('-6:00:00') ) + resources: !calc partition.resources.run_eobs + Complete: !Depend ~ suite.has_cycle('-6:00:00') + J_JOB: JGLOBAL_ENKF_SELECT_OBS + + innovate: !TaskArray + Trigger: !Depend jgdas_enkf_select_obs + Complete: !Depend ~ suite.has_cycle('-6:00:00') + Dimensions: + groupid: !calc tools.seq(1,doc.data_assimilation.ENKF_INNOVATE_GROUPS,1) + jgdas_enkf_innovate_obs_grp: !TaskElement + <<: *exclusive_task_template + Foreach: [ groupid ] + Name: !expand "jgdas_enkf_innovate_obs_grp{dimval.groupid}" + resources: !calc partition.resources.run_eomg + J_JOB: JGLOBAL_ENKF_INNOVATE_OBS + ENSGRP: !expand "{dimval.groupid:02d}" + # Lin wants ENSGRP to be outside the ecflow suite definition: + rocoto_command: !expand "/usr/bin/env ENSGRP={ENSGRP} &HOMEgfs;/jobs/{J_JOB}" + ecflow_command: !expand | + export ENSGRP={ENSGRP} + $HOMEgfs/jobs/{J_JOB} + + jgdas_enkf_update: !Task + <<: *exclusive_task_template + Trigger: !Depend innovate + ecflow_def: "edit ECF_PASS 'FREE'" + Complete: !Depend ~ suite.has_cycle('-6:00:00') + resources: !calc partition.resources.run_eupd + J_JOB: JGLOBAL_ENKF_UPDATE + + jgdas_enkf_inflate_recenter: !Task + <<: *exclusive_task_template + Trigger: !Depend ( jgdas_enkf_update & up.analysis.jgdas_analysis_high ) + resources: !calc partition.resources.run_ecen + J_JOB: JGDAS_ENKF_RECENTER + Complete: !Depend ~ suite.has_cycle('-6:00:00') + + forecast: !TaskArray + + Trigger: !Depend up.enkf.jgdas_enkf_inflate_recenter | ~ suite.has_cycle('-6:00:00') + Dimensions: + groupid: !calc tools.seq(1,doc.data_assimilation.ENKF_FORECAST_GROUPS,1) + jgdas_enkf_fcst_grp: !TaskElement + <<: *exclusive_task_template + Foreach: [ groupid ] + Name: !expand "jgdas_enkf_fcst_grp{dimval.groupid}" + resources: !calc partition.resources.run_efcs + J_JOB: JGDAS_ENKF_FCST + ENSGRP: !expand "{dimval.groupid:02d}" + + # Lin wants ENSGRP to be outside the ecflow suite definition: + rocoto_command: !expand "/usr/bin/env ENSGRP={ENSGRP} &HOMEgfs;/jobs/{J_JOB}" + ecflow_command: !expand | + export ENSGRP={ENSGRP} + $HOMEgfs/jobs/{J_JOB} + +# Create epos task array +# export FHRLST="f003 f004 f005 f006 f007 f008 f009" +# fhrlst=$(echo $FHRLST | sed -e 's/_/ /g; s/f/ /g; s/,/ /g') +# FHMIN_EPOS=$fhr +# FHMAX_EPOS=$fhr +# FHOUT_EPOS=$fhr +# job=epos${fhr} + post: !TaskArray + Trigger: !Depend forecast + Dimensions: + fhr: !calc doc.gfs_output_settings.enkf_epos_fhr + jgdas_enkf_post_fhr: !TaskElement + <<: *exclusive_task_template + Foreach: [ fhr ] + Name: !expand "jgdas_enkf_post_f{dimval.fhr:03d}" + FHMIN_EPOS: !expand '{dimval.fhr:03d}' + FHMAX_EPOS: !expand '{dimval.fhr:03d}' + FHOUT_EPOS: !expand '{dimval.fhr:03d}' + job: !expand "epos{dimval.fhr:03d}" + ecflow_command: !expand | + export FHMIN_EPOS={FHMIN_EPOS} FHMAX_EPOS={FHMAX_EPOS} FHOUT_EPOS={FHOUT_EPOS} + $HOMEgfs/jobs/{J_JOB} + rocoto_command: !expand >- + {rocoto_load_modules} ; + /usr/bin/env FHMIN_EPOS={FHMIN_EPOS} FHMAX_EPOS={FHMAX_EPOS} FHOUT_EPOS={FHOUT_EPOS} job={job} &HOMEgfs;/jobs/{J_JOB} + resources: !calc partition.resources.run_epos + J_JOB: JGDAS_ENKF_POST + + #endfamily enkf + #endfamily gdas + + ###################################################################### + ## GFS FAMILY ######################################################## + ###################################################################### + + gfs: !Family + Disable: !calc doc.settings.gfs_cyc==0 + Complete: !Depend ~ suite.has_cycle('-6:00:00') + AlarmName: gfs + RUN: 'gfs' + ecflow_def: "edit RUN 'gfs'" + + dump: !Family + Trigger: !Depend up.gdas.forecast.at('-6:00:00') + Complete: !Depend ~ suite.has_cycle('-6:00:00') + ecflow_def: "# NCO will need to add NCO dump job here" + jgfs_tropcy_qc_reloc: !Task + <<: *exclusive_task_template + Trigger: !Depend jgfs_dump + jtwc_bull_email: !DataEvent {file="/dev/null"} + resources: !calc partition.resources.run_one_hour_exclusive + Time: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + do: !timedelta +2:41:00 + - otherwise: null + + jgfs_dump: !Task + <<: *exclusive_task_template + ecflow_def: '#NCO needs to replace this with the real dump job' + release_sfcprep: !DataEvent {file="/dev/null"} + resources: !calc partition.resources.run_one_hour_exclusive + Time: !FirstTrue + - when: !calc doc.settings.four_cycle_mode + do: !timedelta +2:47:00 + - otherwise: null + + prep: !Family + Trigger: !Depend "( dump.jgfs_dump.release_sfcprep ) & up.gdas.forecast.at('-6:00:00')" + Complete: !Depend ~ suite.has_cycle('-6:00:00') + jgfs_emcsfc_sfc_prep: !Task + <<: *exclusive_task_template + Trigger: !Depend 'up.dump.jgfs_dump.release_sfcprep' + resources: !calc partition.resources.run_sfc_prep + J_JOB: JGLOBAL_EMCSFC_SFC_PREP + config_list: [ base, prep, prepbufr ] + + jgfs_prep: !Task + <<: *exclusive_task_template + ecflow_def: + edit model 'obsproc_global' + Trigger: !Depend up.dump + resources: !calc partition.resources.run_prep + J_JOB: JGLOBAL_PREP + model: obsproc_global # for four cycle mode + J_JOB_PATH: '$HOMEobsproc_global/jobs' + config_list: [ base, prep, prepbufr ] + + jgfs_analysis: !Task + <<: *exclusive_task_template + Trigger: !Depend ( prep.jgfs_prep & prep.jgfs_emcsfc_sfc_prep & up.gdas.enkf.post.at('-6:00:00') ) + #Trigger: !Depend ( prep.jgfs_prep & prep.jgfs_emcsfc_sfc_prep ) + resources: !calc partition.resources.run_anal + J_JOB: JGLOBAL_ANALYSIS + Complete: !Depend ~ suite.has_cycle('-6:00:00') + + forecast: !Family + Complete: !Depend ~ suite.has_cycle('-6:00:00') + jgfs_forecast_high: !Task + <<: *exclusive_task_template + Trigger: !Depend up.jgfs_analysis + resources: !calc partition.resources.run_gfsfcst + J_JOB: JGLOBAL_FORECAST + resources_remap: !JobRequest [ { <<: *remap_resource_template } ] + + post: !TaskArray + RUN: !calc up.RUN + Dimensions: + fhr: !calc doc.gfs_output_settings.gfs_forecast_hours + jgfs_post_manager_el: !TaskElement + <<: *exclusive_task_template + RUN: !calc up.RUN + Disable: !calc metasched.type == 'rocoto' + Trigger: !FirstTrue + - when: !calc not doc.settings.dev_safeguards + take: !Depend "up.forecast.is_running()" + - otherwise: !Depend "up.forecast.is_running() | up.forecast" + Foreach: [ ] + J_JOB: JGLOBAL_POST_MANAGER + Name: jgfs_post_manager + resources: !calc partition.resources.run_gfs_post_manager + release_postanl: !DataEvent + file: !expand >- + {metasched.varref("COM")}/gfs.{metasched.datestring("%Y%m%d/%H/")}gfs.t{metasched.datestring("%H")}z.logf000.nemsio + release_post_fhr: !DataEventElement + Name: !expand "release_post{dimval.fhr:03d}" + Foreach: [ fhr ] + file: !expand >- + {metasched.varref("COM")}/gfs.{metasched.datestring("%Y%m%d/%H/")}gfs.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio + # NOTE: the above files must match post_manager.yaml + + jgfs_post_anl_el: !TaskElement + <<: *exclusive_task_template + Foreach: [] + FHR: anl + Name: jgfs_post_anl + Trigger: !FirstTrue + - when: !calc doc.settings.dev_safeguards + take: !Depend jgfs_post_manager.release_postanl + - otherwise: !Depend jgfs_post_manager.release_postanl | up.forecast + + ecflow_def: !expand "edit FHR '{FHR}'" + release_pgrb2_anl: !DataEvent {file="/dev/null"} + resources: !calc partition.resources.run_gfspost + J_JOB: JGLOBAL_NCEPPOST + ecflow_command: !expand | + export post_times=%FHR% FHRLST=%FHR% FHRGRP=%FHR% + $HOMEgfs/jobs/{J_JOB} + rocoto_command: !expand >- + {rocoto_load_modules} ; + /usr/bin/env post_times=anl &HOMEgfs;/jobs/{J_JOB} + + jgfs_post_fhr_el: !TaskElement + <<: *exclusive_task_template + Foreach: [ fhr ] + resources: !calc partition.resources.run_gfspost + Name: !expand jgfs_post_f{dimval.fhr:03d} + FHR: !expand "{dimval.fhr:03d}" + J_JOB: JGLOBAL_NCEPPOST + Trigger: !FirstTrue + - when: !calc not doc.settings.dev_safeguards + take: !Depend jgfs_post_manager.depend("release_post{F:03d}",F=[dimval.fhr]) + - otherwise: !Depend jgfs_post_manager.depend("release_post{F:03d}",F=[dimval.fhr]) | up.forecast + ecflow_def: !expand "edit FHR '{FHR}'" + ecflow_command: !expand | + export post_times=%FHR% FHRLST=%FHR% FHRGRP=%FHR% + $HOMEgfs/jobs/{J_JOB} + rocoto_command: !expand >- + {rocoto_load_modules} ; + export post_times={FHR} FHRLST={FHR} FHRGRP={FHR} &HOMEgfs;/jobs/{J_JOB} + + jgfs_pgrb2_spec_post: !FirstTrue + - when: !calc max(doc.gfs_output_settings.gfs_forecast_hours)>=384 + do: !Task + <<: *exclusive_task_template + Trigger: !Depend ( jgfs_post_f336 & jgfs_post_f348 & jgfs_post_f360 & jgfs_post_f372 & jgfs_post_f384 ) + resources: !calc partition.resources.run_gfspost + - otherwise: null + #endfamily post + + post_processing: !Family + fax: !Family + jgfs_fax_f000: !Task + <<: *fax_task_template + FCSTHR: '00' + Trigger: !Depend ( up.up.post.jgfs_post_f000 & up.up.post.jgfs_post_anl ) + + jgfs_fax_anl: !Task + <<: *fax_task_template + FCSTHR: 'anl' + Trigger: !Depend up.up.post.jgfs_post_anl + + jgfs_fax_wafs_f012: !Task + <<: *fax_wafs_task_template + FCSTHR: '12' + fhr_list: '06 12' + Trigger: !Depend up.up.post.jgfs_post_f012 + + jgfs_fax_wafs_f024: !Task + <<: *fax_wafs_task_template + FCSTHR: '24' + fhr_list: '18 24' + Trigger: !Depend up.up.post.jgfs_post_f024 + + jgfs_fax_wafs_f036: !Task + <<: *fax_wafs_task_template + FCSTHR: '36' + fhr_list: '30 36' + Trigger: !Depend up.up.post.jgfs_post_f036 + + grib_wafs: !TaskArray + Dimensions: + fhr: !calc tools.seq(0,doc.gfs_output_settings.wafs_last_hour,6) + jgfs_wafs: !TaskElement + <<: *exclusive_task_template + Name: !expand 'jgfs_wafs_f{dimval.fhr:03d}' + resources: !ref partition.resources.run_one_node_downstream + Trigger: !FirstTrue + - when: !calc dimval.fhr == 0 + do: !Depend ( up.up.post.jgfs_post_f000 & up.up.post.jgfs_post_f120 & up.grib2_wafs.jgfs_wafs_grib2 ) + - otherwise: !Depend >- + up.up.post.depend("jgfs_post_f{N:03d}",N=[ dimval.fhr ]) + & up.grib_wafs.depend("jgfs_wafs_f{N:03d}",N=[ dimval.fhr-6 ]) + ecflow_command: !expand | + export fcsthrs=%FCSTHR% + $HOMEgfs/jobs/{J_JOB} + FCSTHR: !expand '{dimval.fhr:02d}' + J_JOB: JGFS_WAFS + more_vars: { fcsthrs: FCSTHR } + config_list: [ base, wafs ] + + bufr_sounding: !Family + jgfs_postsnd: !Task + <<: *exclusive_task_template + Trigger: !Depend up.up.post.jgfs_post_f144 + resources: !calc partition.resources.run_postsnd + + bulletins: !Family + jgfs_fbwind: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.up.post.jgfs_post_f006 & up.up.post.jgfs_post_f012 & up.up.post.jgfs_post_f024 ) + resources: !calc partition.resources.run_small_downstream + + grib2_wafs: !Family + jgfs_wafs_grib2: !Task + <<: *exclusive_task_template + Trigger: !Depend up.up.post.jgfs_post_f000 + resources: !calc partition.resources.run_one_node_downstream + config_list: [ base, wafs ] + + jgfs_wafs_blending: !Task + <<: *exclusive_task_template + Trigger: !Depend jgfs_wafs_grib2 + Time: !FirstTrue + - when: !calc not doc.settings.dev_safeguards + do: !timedelta +4:33:00 + - otherwise: null + resources: !calc partition.resources.run_one_node_downstream + config_list: [ base, wafs ] + + jgfs_wafs_gcip: !Task + <<: *exclusive_task_template + Trigger: !Depend up.up.post.jgfs_post_f003 + resources: !calc partition.resources.run_one_node_downstream + config_list: [ base, wafs_gcip ] + J_JOB: JGFS_WAFS_GCIP + + awips_20km_1p0: !TaskArray + Dimensions: + fhr: !calc " sorted(list(set(doc.gfs_output_settings.gfs_forecast_hours)&set(doc.gfs_output_settings.awips_20km_1p0_hours))) " + # tasks every 6 hours till f240 + jgfs_awips: !TaskElement + <<: *exclusive_task_template + Foreach: [ fhr ] + Name: !expand "jgfs_awips_f{dimval.fhr:03d}" + Trigger: !Depend up.up.post.depend('jgfs_post_f{N:03d}',N=dimval.fhr) + resources: !calc partition.resources.run_awips_20km_1p0 + TRDRUN: !calc ('YES' if (dimval.fhr==0 or dimval.fhr%6!=0 or dimval.fhr>=84) else 'NO') + J_JOB: JGFS_AWIPS_20KM_1P0DEG + FHR: !expand '{dimval.fhr:03d}' + more_vars: { fcsthrs: FHR } + + awips_g2: !TaskArray + Dimensions: + fhr: !calc " sorted(list(set(doc.gfs_output_settings.gfs_forecast_hours)&set(doc.gfs_output_settings.awips_g2_hours))) " + jgfs_awips: !TaskElement + <<: *exclusive_task_template + Foreach: [ fhr ] + Name: !expand "jgfs_awips_g2_f{dimval.fhr:03d}" + Trigger: !Depend up.up.post.depend('jgfs_post_f{N:03d}',N=dimval.fhr) + resources: !calc partition.resources.run_awips_g2 + FHR: !expand '{dimval.fhr:03d}' + J_JOB: JGFS_AWIPS_G2 + more_vars: { fcsthrs: FHR } + #endfamily post_processing + + gempak: !Family + jgfs_gempak: !Task + <<: *exclusive_task_template + resources: !calc partition.resources.run_gfs_gempak + Trigger: !Depend up.jgfs_analysis + + jgfs_gempak_meta: !Task + <<: *exclusive_task_template + Trigger: !Depend up.jgfs_analysis + resources: !calc partition.resources.run_gfs_gempak + + jgfs_pgrb2_spec_npoess: !Task + <<: *exclusive_task_template + Trigger: !Depend 'up.post.jgfs_post_anl.is_running() | up.post.jgfs_post_anl' + resources: !calc partition.resources.run_npoess + + jgfs_pgrb2_spec_gempak: !Task + <<: *exclusive_task_template + Trigger: !Depend jgfs_pgrb2_spec_npoess + resources: !calc partition.resources.run_big_downstream + + jgfs_gempak_ncdc_upapgif: !Task # NOTE: twelve-hourly + <<: *exclusive_task_template + Trigger: !Depend 'jgfs_gempak.is_running() | jgfs_gempak' + resources: !calc partition.resources.run_one_node_downstream + + #endfamily gempak + #endfamily gfs + + ###################################################################### + ## CYCLE COMPLETION TASKS ############################################ + ###################################################################### + + cycle_end: *cycle_end_task + + make_next_cycles: !Task + <<: *make_next_cycles_task + Disable: !calc ( not metasched.type=="ecflow" or doc.settings.four_cycle_mode ) + Trigger: !Depend gdas + ecflow_def: !expand | + # These variables are used by the make_next_cycles job to generate + # suites for later cycles once earlier cycles have finished. + edit WORKFLOW_FIRST_CYCLE '{tools.strftime(suite.Clock.start,"%Y%m%d%H")}' + edit WORKFLOW_LAST_CYCLE '{tools.strftime(suite.Clock.end,"%Y%m%d%H")}' + edit WORKFLOW_CYCLES_TO_GENERATE '5' + edit WORKFLOW_CROW_HOME '{doc.static_locations.initial_directory}' + edit WORKFLOW_EXPDIR '{doc.places.EXPDIR}' + edit RUN 'gdas' # useless but required + + final: !Task + <<: *service_task_template + Disable: !calc not metasched.type=="rocoto" + resources: !calc partition.resources.run_nothing + rocoto_command: /bin/true + RUN: gfs # useless but required + diff --git a/tests/test_data/regtest/workflow/public_release_v1.yaml b/tests/test_data/regtest/workflow/public_release_v1.yaml new file mode 100644 index 0000000..4c6fed5 --- /dev/null +++ b/tests/test_data/regtest/workflow/public_release_v1.yaml @@ -0,0 +1,127 @@ +# This file describes the jobs to run and their dependencies. The +# CROW/crow/metascheduler package converts this to a Rocoto XML +# document or an ecFlow suit definition with ecf files. Much of the +# configuration logic is included from the runtime/ directory via YAML +# imports (<<: *anchor_name) + +suite: !Cycle + <<: *suite_defaults + + # The ics family of tasks generates FV3 input conditions. It is only + # enabled if required. + ics: !Family + Disable: !calc >- + doc.settings.get("IC_CDUMP","") and not doc.settings.chgres_and_convert_ics + model: 'gfs' # useless but required + + # The jgfs_emc_getics task pulls GFS GSM data from disk. + jgfs_emc_getics: !Task + <<: *service_task_template + Disable: !calc doc.settings.get("IC_CDUMP","") + resources: !calc partition.resources.run_getic + J_JOB: rocoto/getic.sh + ecf_module_commands: "# getics.sh will load modules instead" + model: gfs + + # The jgfs_emc_fv3ic task convers GFS GSM data to FV3 data + jgfs_emc_fv3ic: !Task + <<: *exclusive_task_template + Disable: !calc not doc.settings.chgres_and_convert_ics + Trigger: !Depend jgfs_emc_getics + resources: !calc partition.resources.run_fv3ic + J_JOB: rocoto/fv3ic.sh + ecf_module_commands: "# fv3ic.sh will load modules instead" + model: gfs + + # The gfs family executes the gfs forecast and post-processing. + # Were this the full workflow, it would also run the observation + # processing, analysis, and varoius downstream (post-processing of + # the post-processed data). + gfs: !Family + Trigger: !Depend ics + model: 'gfs' + + # Forecast family: in the old GSM GFS workflow this contains two + # jobs at two resolutions. We only have one forecast for FV3. + forecast: !Family + # jgfs_forecast_high - the FV3 forecast + jgfs_forecast_high: !Task + <<: *forecast_task_template + resources: !calc partition.resources.run_gfsfcst + J_JOB: JGLOBAL_FORECAST + resources_remap: !JobRequest [ { <<: *remap_resource_template } ] + + # post family - this family runs the UPP to convert FV3 output + # conditions to GRIB files. It runs wgrib2 to convert + # gaussian grid files to lat-lon. + post: !TaskArray + model: !calc up.model + Dimensions: + fhr: !calc doc.gfs_output_settings.gfs_forecast_hours + + # jgfs_post_manager_el - used to generate the jgfs_post_manager + # task. That task is only executed in ecFlow; it watches the + # forecast output directory for output data and alerts ecflow + # when new files become available. + # + # When running Rocoto, the specified data files (!DataEventElement) + # are used to define Rocoto date dependencies in later jobs. + jgfs_post_manager_el: !TaskElement + <<: *exclusive_task_template + model: !calc up.model + Disable: !calc metasched.type == 'rocoto' + Trigger: !Depend up.forecast.is_running() + Complete: !Depend up.forecast + Foreach: [ ] + ecflow_command: *post_manager_job_contents + J_JOB: post_manager + Name: jgfs_post_manager + resources: !calc partition.resources.run_gfs_post_manager + release_post_fhr: !DataEventElement + Name: !expand "release_post{dimval.fhr:02d}" + Foreach: [ fhr ] + file: !expand >- + {metasched.varref("COM")}/gfs.{metasched.datestring("%Y%m%d/%H/")}gfs.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio + # NOTE: the above files must match post_manager.yaml + + # jgfs_post_fhr_el - generates the numerous jgfs_post jobs, one per + # forecast hour. These jobs run UPP and wgrib2 to convert FV3 + # output to gaussian and lat-lon GRIB files. + jgfs_post_fhr_el: !TaskElement + <<: *exclusive_task_template + Foreach: [ fhr ] + resources: !calc partition.resources.run_gfspost + Name: !expand jgfs_post_f{dimval.fhr:02d} + FHRGRP: !expand "{dimidx.fhr+1:03d}" + FHRLST: !expand "f{dimval.fhr:03d}" + FHR: !expand 'f{dimval.fhr:02d}' + HR: !expand '{dimval.fhr:02d}' + more_vars: [ FHRGRP, FHRLST, FHR, HR ] + J_JOB: JGLOBAL_NCEPPOST + Trigger: !Depend jgfs_post_manager.depend("release_post{F:02d}",F=[dimval.fhr]) | up.forecast + ecflow_command: !expand | + export post_times={dimval.fhr:03d} FHRLST={FHRLST} FHRGRP={FHRGRP} + $HOMEgfs/jobs/{J_JOB} + rocoto_command: !expand >- + {rocoto_load_modules} ; + /usr/bin/env post_times={dimval.fhr:03d} &HOMEgfs;/jobs/{J_JOB} + #endfamily post + + #endfamily gfs + + # final - The special "final" task is used in Rocoto to detect when + # all tasks that have to run in a given forecast cycle are + # completed. This is not needed for this workflow, but is mandatory + # anyway. It is needed when Complete directives are present. Such + # directives tell the metascheduler that a job should be marked as + # completed without submitting it if a certain condition is met. + # Rocoto does not have that capability, so it has to be implemented + # using Rocoto's "final" task capability. + + final: !Task + <<: *service_task_template + Disable: !calc not metasched.type=="rocoto" + resources: !calc partition.resources.run_nothing + rocoto_command: /bin/true + model: gfs # useless but required + diff --git a/worktools.py b/worktools.py index f938c90..df6bb16 100644 --- a/worktools.py +++ b/worktools.py @@ -30,7 +30,7 @@ def init_logging(verbose=False,debug=False): from crow.config import from_dir, Suite, from_file, to_yaml from crow.tools import Clock -ECFNETS_INCLUDE = "/ecf/ecfnets/include" +#ECFNETS_INCLUDE = "/ecf/ecfnets/include" SIX_HOURS = datetime.timedelta(seconds=6*3600) def loudly_make_dir_if_missing(dirname): @@ -411,7 +411,7 @@ def write_ecflow_suite_to_disk(defdir, scriptdir, ecflow_suite): return written_suite_defs -def get_target_dir_and_check_ecflow_env(): +def get_target_dir_and_check_ecflow_env(ECFNETS_INCLUDE='/ecf/ecfnets/include'): ECF_HOME=os.environ.get('ECF_HOME',None) if not ECF_HOME: From 10a800a632a19368b558af7b5ebba4eecd3a5143 Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Tue, 23 Apr 2019 03:55:03 -0400 Subject: [PATCH 476/487] simplify end-to-end for regression test --- tests/regtest/regression_case.yaml | 5 +- tests/regtest/regtest.py | 31 +- tests/test_data/regtest/config/aliases.yaml | 205 ----- tests/test_data/regtest/config/arch.yaml | 32 - tests/test_data/regtest/config/awips.yaml | 30 - tests/test_data/regtest/config/base.yaml | 79 +- .../test_data/regtest/config/bulls_navy.yaml | 27 - tests/test_data/regtest/config/earc.yaml | 24 - tests/test_data/regtest/config/ecen.yaml | 27 - tests/test_data/regtest/config/efcs.yaml | 60 -- tests/test_data/regtest/config/emcsfc.yaml | 25 - tests/test_data/regtest/config/eobs.yaml | 39 - tests/test_data/regtest/config/epos.yaml | 31 - tests/test_data/regtest/config/eupd.yaml | 29 - tests/test_data/regtest/config/fax.yaml | 27 - tests/test_data/regtest/config/fax_wafs.yaml | 38 - tests/test_data/regtest/config/fbwind.yaml | 23 - tests/test_data/regtest/config/fcst.yaml | 51 +- tests/test_data/regtest/config/fv3.yaml | 87 -- tests/test_data/regtest/config/fv3ic.yaml | 25 - tests/test_data/regtest/config/gempak.yaml | 32 - .../test_data/regtest/config/gempak_meta.yaml | 31 - .../regtest/config/gempak_meta_ncdc.yaml | 32 - .../regtest/config/gempak_ncdc_upapgif.yaml | 32 - tests/test_data/regtest/config/getic.yaml | 31 - tests/test_data/regtest/config/nsst.yaml | 50 -- .../regtest/config/pgrb2_spec_npoess.yaml | 28 - tests/test_data/regtest/config/post.yaml | 22 +- tests/test_data/regtest/config/postsnd.yaml | 41 - tests/test_data/regtest/config/prep.yaml | 25 +- tests/test_data/regtest/config/prepbufr.yaml | 37 - tests/test_data/regtest/config/relocate.yaml | 50 -- tests/test_data/regtest/config/resources.yaml | 146 ---- tests/test_data/regtest/config/vrfy.yaml | 232 ------ tests/test_data/regtest/config/wafs.yaml | 38 - tests/test_data/regtest/config/wafs_gcip.yaml | 33 - .../regtest_tmp/regtest_tmp_2016021000.def | 53 ++ .../control/expdir/regtest_tmp/_main.yaml | 19 + .../control/expdir/regtest_tmp/case.yaml | 13 + .../control/expdir/regtest_tmp/config.anal | 84 ++ .../control/expdir/regtest_tmp/config.base | 137 ++++ .../control/expdir/regtest_tmp/config.fcst | 82 ++ .../control/expdir/regtest_tmp/config.post | 53 ++ .../control/expdir/regtest_tmp/config.prep | 107 +++ .../expdir/regtest_tmp/config/.DS_Store | Bin 0 -> 6148 bytes .../expdir/regtest_tmp/config/anal.yaml | 91 +++ .../expdir/regtest_tmp/config/base.yaml | 146 ++++ .../expdir/regtest_tmp/config/fcst.yaml | 94 +++ .../expdir/regtest_tmp/config/post.yaml | 60 ++ .../expdir/regtest_tmp/config/prep.yaml | 116 +++ .../expdir/regtest_tmp/defaults/case.yaml | 34 + .../regtest_tmp/defaults/downstream.yaml | 20 + .../expdir/regtest_tmp/defaults/fv3_enkf.yaml | 40 + .../defaults/gfs_output_settings.yaml | 7 + .../expdir/regtest_tmp/defaults/places.yaml | 27 + .../regtest_tmp/defaults/resources.yaml | 368 +++++++++ .../expdir/regtest_tmp/defaults/settings.yaml | 48 ++ .../control/expdir/regtest_tmp/names.yaml | 1 + .../control/expdir/regtest_tmp/platform.yaml | 71 ++ .../expdir/regtest_tmp/runtime/.DS_Store | Bin 0 -> 6148 bytes .../expdir/regtest_tmp/runtime/_main.yaml | 6 + .../expdir/regtest_tmp/runtime/cycle_end.yaml | 41 + .../runtime/experiment_include.yaml | 36 + .../expdir/regtest_tmp/runtime/rocoto.yaml | 2 + .../expdir/regtest_tmp/runtime/suite.yaml | 188 +++++ .../expdir/regtest_tmp/runtime/task.yaml | 326 ++++++++ .../expdir/regtest_tmp/schema/.DS_Store | Bin 0 -> 6148 bytes .../expdir/regtest_tmp/schema/accounting.yaml | 16 + .../expdir/regtest_tmp/schema/case.yaml | 31 + .../expdir/regtest_tmp/schema/places.yaml | 67 ++ .../expdir/regtest_tmp/schema/settings.yaml | 103 +++ .../expdir/regtest_tmp/schema/task.yaml | 87 ++ .../expdir/regtest_tmp/schema/varnames.yaml | 57 ++ .../expdir/regtest_tmp/static_locations.yaml | 5 + .../control/expdir/regtest_tmp/user.yaml | 16 + .../expdir/regtest_tmp/workflow.crontab | 6 + .../control/expdir/regtest_tmp/workflow.xml | 499 ++++++++++++ .../control/expdir/regtest_tmp/workflow.yaml | 321 ++++++++ .../include/experiment-regtest_tmp.h | 8 +- .../control/scripts/regtest_tmp/cycle_end.ecf | 8 + .../gdas/forecast/jgdas_forecast_high.ecf | 50 ++ .../regtest_tmp/gdas/jgdas_tropcy_cp.ecf | 50 ++ .../regtest_tmp/gdas/post/jgdas_post_anl.ecf | 52 ++ .../gdas/post/jgdas_post_manager.ecf | 50 ++ tests/test_data/regtest/defaults/case.yaml | 100 +-- .../test_data/regtest/defaults/resources.yaml | 193 +---- .../test_data/regtest/defaults/settings.yaml | 9 +- .../regtest_tmp/regtest_tmp_2016021000.def | 140 ---- .../test_data/regtest/runtime/cycle_end.yaml | 2 +- .../regtest/runtime/dump_waiter.yaml | 48 -- .../regtest/runtime/experiment_include.yaml | 2 +- tests/test_data/regtest/runtime/fax.yaml | 41 - .../regtest/runtime/make_next_cycles.yaml | 72 -- .../regtest/runtime/post_manager.yaml | 28 - tests/test_data/regtest/runtime/suite.yaml | 30 +- tests/test_data/regtest/runtime/task.yaml | 29 +- tests/test_data/regtest/schema/archiving.yaml | 45 - tests/test_data/regtest/schema/chgres.yaml | 10 - .../regtest/schema/data_assimilation.yaml | 117 --- .../test_data/regtest/schema/downstream.yaml | 103 --- tests/test_data/regtest/schema/fv3.yaml | 307 ------- tests/test_data/regtest/schema/nsst.yaml | 36 - tests/test_data/regtest/schema/output.yaml | 89 -- tests/test_data/regtest/schema/places.yaml | 2 +- tests/test_data/regtest/schema/post.yaml | 25 - tests/test_data/regtest/schema/settings.yaml | 114 +-- tests/test_data/regtest/settings.yaml | 143 ---- tests/test_data/regtest/user.yaml | 25 +- .../regtest/workflow/cycled_gfs.yaml | 767 ------------------ .../regtest/workflow/free_forecast_gfs.yaml | 131 --- tests/test_data/regtest/workflow/nco.yaml | 597 -------------- .../regtest/workflow/public_release_v1.yaml | 127 --- .../regtest/workflow/regression.yaml | 492 +---------- 113 files changed, 3885 insertions(+), 5390 deletions(-) delete mode 100644 tests/test_data/regtest/config/aliases.yaml delete mode 100644 tests/test_data/regtest/config/arch.yaml delete mode 100644 tests/test_data/regtest/config/awips.yaml delete mode 100644 tests/test_data/regtest/config/bulls_navy.yaml delete mode 100644 tests/test_data/regtest/config/earc.yaml delete mode 100644 tests/test_data/regtest/config/ecen.yaml delete mode 100644 tests/test_data/regtest/config/efcs.yaml delete mode 100644 tests/test_data/regtest/config/emcsfc.yaml delete mode 100644 tests/test_data/regtest/config/eobs.yaml delete mode 100644 tests/test_data/regtest/config/epos.yaml delete mode 100644 tests/test_data/regtest/config/eupd.yaml delete mode 100644 tests/test_data/regtest/config/fax.yaml delete mode 100644 tests/test_data/regtest/config/fax_wafs.yaml delete mode 100644 tests/test_data/regtest/config/fbwind.yaml delete mode 100644 tests/test_data/regtest/config/fv3.yaml delete mode 100644 tests/test_data/regtest/config/fv3ic.yaml delete mode 100644 tests/test_data/regtest/config/gempak.yaml delete mode 100644 tests/test_data/regtest/config/gempak_meta.yaml delete mode 100644 tests/test_data/regtest/config/gempak_meta_ncdc.yaml delete mode 100644 tests/test_data/regtest/config/gempak_ncdc_upapgif.yaml delete mode 100644 tests/test_data/regtest/config/getic.yaml delete mode 100644 tests/test_data/regtest/config/nsst.yaml delete mode 100644 tests/test_data/regtest/config/pgrb2_spec_npoess.yaml delete mode 100644 tests/test_data/regtest/config/postsnd.yaml delete mode 100644 tests/test_data/regtest/config/prepbufr.yaml delete mode 100644 tests/test_data/regtest/config/relocate.yaml delete mode 100644 tests/test_data/regtest/config/resources.yaml delete mode 100644 tests/test_data/regtest/config/vrfy.yaml delete mode 100644 tests/test_data/regtest/config/wafs.yaml delete mode 100644 tests/test_data/regtest/config/wafs_gcip.yaml create mode 100644 tests/test_data/regtest/control/defs/regtest_tmp/regtest_tmp_2016021000.def create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/_main.yaml create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/case.yaml create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/config.anal create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/config.base create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/config.fcst create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/config.post create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/config.prep create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/config/.DS_Store create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/config/anal.yaml create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/config/base.yaml create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/config/fcst.yaml create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/config/post.yaml create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/config/prep.yaml create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/defaults/case.yaml create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/defaults/downstream.yaml create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/defaults/fv3_enkf.yaml create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/defaults/gfs_output_settings.yaml create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/defaults/places.yaml create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/defaults/resources.yaml create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/defaults/settings.yaml create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/names.yaml create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/platform.yaml create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/runtime/.DS_Store create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/runtime/_main.yaml create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/runtime/cycle_end.yaml create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/runtime/experiment_include.yaml create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/runtime/rocoto.yaml create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/runtime/suite.yaml create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/runtime/task.yaml create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/schema/.DS_Store create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/schema/accounting.yaml create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/schema/case.yaml create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/schema/places.yaml create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/schema/settings.yaml create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/schema/task.yaml create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/schema/varnames.yaml create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/static_locations.yaml create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/user.yaml create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/workflow.crontab create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/workflow.xml create mode 100644 tests/test_data/regtest/control/expdir/regtest_tmp/workflow.yaml rename tests/test_data/regtest/{ => control}/include/experiment-regtest_tmp.h (76%) create mode 100644 tests/test_data/regtest/control/scripts/regtest_tmp/cycle_end.ecf create mode 100644 tests/test_data/regtest/control/scripts/regtest_tmp/gdas/forecast/jgdas_forecast_high.ecf create mode 100644 tests/test_data/regtest/control/scripts/regtest_tmp/gdas/jgdas_tropcy_cp.ecf create mode 100644 tests/test_data/regtest/control/scripts/regtest_tmp/gdas/post/jgdas_post_anl.ecf create mode 100644 tests/test_data/regtest/control/scripts/regtest_tmp/gdas/post/jgdas_post_manager.ecf delete mode 100644 tests/test_data/regtest/defs/regtest_tmp/regtest_tmp_2016021000.def delete mode 100644 tests/test_data/regtest/runtime/dump_waiter.yaml delete mode 100644 tests/test_data/regtest/runtime/fax.yaml delete mode 100644 tests/test_data/regtest/runtime/make_next_cycles.yaml delete mode 100644 tests/test_data/regtest/runtime/post_manager.yaml delete mode 100644 tests/test_data/regtest/schema/archiving.yaml delete mode 100644 tests/test_data/regtest/schema/chgres.yaml delete mode 100644 tests/test_data/regtest/schema/data_assimilation.yaml delete mode 100644 tests/test_data/regtest/schema/downstream.yaml delete mode 100644 tests/test_data/regtest/schema/fv3.yaml delete mode 100644 tests/test_data/regtest/schema/nsst.yaml delete mode 100644 tests/test_data/regtest/schema/output.yaml delete mode 100644 tests/test_data/regtest/schema/post.yaml delete mode 100644 tests/test_data/regtest/settings.yaml delete mode 100644 tests/test_data/regtest/workflow/cycled_gfs.yaml delete mode 100644 tests/test_data/regtest/workflow/free_forecast_gfs.yaml delete mode 100644 tests/test_data/regtest/workflow/nco.yaml delete mode 100644 tests/test_data/regtest/workflow/public_release_v1.yaml diff --git a/tests/regtest/regression_case.yaml b/tests/regtest/regression_case.yaml index 73ed262..b9fd290 100644 --- a/tests/regtest/regression_case.yaml +++ b/tests/regtest/regression_case.yaml @@ -1,10 +1,7 @@ case: - fv3_settings: - CASE: C192 - LEVS: 65 places: - workflow_file: workflow/cycled_gfs.yaml + workflow_file: workflow/regression.yaml settings: SDATE: 2016-02-10t00:00:00 diff --git a/tests/regtest/regtest.py b/tests/regtest/regtest.py index 893b5e0..0e82b87 100644 --- a/tests/regtest/regtest.py +++ b/tests/regtest/regtest.py @@ -5,6 +5,7 @@ from copy import copy from getopt import getopt from contextlib import suppress +import filecmp as fcp logger=logging.getLogger('crow.model.fv3gfs') sys.path.append(os.getcwd() + "/../../") @@ -71,7 +72,7 @@ def reg_case_setup(YAML_DIRS_TO_COPY, YAML_FILES_TO_COPY): return EXPDIR def reg_ecflow(yamldir,first_cycle_str,last_cycle_str): - ECF_HOME=os.getcwd()+ "/../test_data/regtest" # Pseudo link place to ECF_HOME + ECF_HOME=os.getcwd()+ "/../test_data/regtest/cache" # Pseudo link place to ECF_HOME conf,suite=read_yaml_suite(yamldir) loudly_make_dir_if_missing(f'{conf.places.ROTDIR}/logs') @@ -100,14 +101,11 @@ def reg_rocoto(yamldir): make_rocoto_xml(suite,f'{yamldir}/workflow.xml') create_crontab(conf) return(0) - -def reg_compare(): - return(0) - + if __name__ == '__main__': - os.environ['ECF_HOME'] = os.getcwd()+ "/../test_data/regtest" - os.environ['ECF_ROOT'] = os.getcwd()+ "/../test_data/regtest" + os.environ['ECF_HOME'] = os.getcwd()+ "/../test_data/regtest/cache" + os.environ['ECF_ROOT'] = os.getcwd()+ "/../test_data/regtest/cache" os.environ['ECF_HOST'] = "ldecflow1" os.environ['ECF_PORT'] = "32065" @@ -127,7 +125,22 @@ def reg_compare(): print(f'CROW Regression Test begins') EXPDIR = reg_case_setup(YAML_DIRS_TO_COPY, YAML_FILES_TO_COPY) print(EXPDIR) + print(f'Continuing...') reg_ecflow(EXPDIR,'2015112800','2015112900') + print(f'Continuing...') reg_rocoto(EXPDIR) - reg_compare() - print(f'CROW Regression Test passed') + print(f'Continuing...') + a = fcp.dircmp(EXPDIR+'/../../../control',EXPDIR+'/../../') + print(f'\nRegression test completed: \nDifferent files:\n') + a.report_full_closure() + +# print(a.report_full_closure()) +# if(len(a.diff_files) == 0 and len(a.left_only) == 0 and len(a.right_only) == 0): +# print(f'CROW Regression Test passed') +# else: +# print(f'CROW Regression Test failed! different files:\n') +# print(a.diff_files) +# print(f'missing files:\n') +# print(a.left_only) +# print(f'newly added files:\n') +# print(a.right_only) diff --git a/tests/test_data/regtest/config/aliases.yaml b/tests/test_data/regtest/config/aliases.yaml deleted file mode 100644 index c345a8b..0000000 --- a/tests/test_data/regtest/config/aliases.yaml +++ /dev/null @@ -1,205 +0,0 @@ - -# This file is used to generate the thread and processor information -# for the various config files. Such information must be in the -# config files even if it is not used, and must match any actual -# resources in the suite definition. Hence, if a free forecast is -# requested, the GDAS and ENKF resource requirements must be -# available. If the GFS forecast is in tJet and GDAS forecast is in -# xJet, then they must be appropriate for 12-core and 24-core nodes, -# respectively. - -aliases: - alias_template: &alias_template - resources: !FirstTrue - - when: !calc flag - do: !calc task.resources - - otherwise: !calc alt_resources - partition: !FirstTrue - - when: !calc flag - do: !calc task.partition - - otherwise: !calc alt_partition - nth: !calc partition.nodes.omp_threads_for(resources[0] if len(resources)<2 else resources[1]) - npe: !calc resources.total_ranks() - npe_node: !calc partition.nodes.max_ranks_per_node(resources[0] if len(resources)<2 else resources[1]) - - alias_exclusive: &alias_exclusive - <<: *alias_template - alt_partition: !calc doc.accounting.exclusive_partition - - alias_remap: &alias_remap - <<: *alias_template - alt_partition: !calc doc.accounting.exclusive_partition - resources: !FirstTrue - - when: !calc flag - do: !calc task.resources_remap - - otherwise: !calc alt_resources - - prep: - <<: *alias_exclusive - flag: !calc ( 'prep' in doc.suite.gfs ) - task: !calc doc.suite.gfs.prep.jgfs_prep - alt_resources: !calc doc.exclusive_resources.run_prep - - epos: - <<: *alias_exclusive - flag: !calc ( 'gdas' in doc.suite and 'enkf' in doc.suite.gdas ) - task: !calc doc.suite.gdas.enkf.post.jgdas_enkf_post_f006 - alt_resources: !calc doc.exclusive_resources.run_epos - - anal: - <<: *alias_exclusive - flag: !calc ( 'gdas' in doc.suite and 'analysis' in doc.suite.gdas ) - task: !calc doc.suite.gdas.analysis.jgdas_analysis_high - alt_resources: !calc doc.exclusive_resources.run_anal - - gfsvrfy: - <<: *alias_exclusive - flag: !calc ( 'gfs' in doc.suite and 'jgfs_emc_vrfy' in doc.suite.gfs ) - task: !calc doc.suite.gfs.jgfs_emc_vrfy - alt_resources: !calc doc.exclusive_resources.run_gfsvrfy - - gdasvrfy: - <<: *alias_exclusive - flag: !calc ( 'gdas' in doc.suite and 'jgdas_emc_vrfy' in doc.suite.gdas ) - task: !calc doc.suite.gdas.jgdas_emc_vrfy - alt_resources: !calc doc.exclusive_resources.run_gdasvrfy - - eobs: - <<: *alias_exclusive - flag: !calc ( 'gdas' in doc.suite ) - task: !calc doc.suite.gdas.enkf.jgdas_enkf_select_obs - alt_resources: !calc doc.exclusive_resources.run_eobs - - gdasfcst: - <<: *alias_exclusive - flag: !calc ( 'gdas' in doc.suite ) - task: !calc doc.suite.gdas.forecast.jgdas_forecast_high - alt_resources: !calc doc.exclusive_resources.run_gfsfcst - - gfsfcst: - <<: *alias_exclusive - flag: !calc ( 'gfs' in doc.suite ) - task: !calc doc.suite.gfs.forecast.jgfs_forecast_high - alt_resources: !calc doc.exclusive_resources.run_efcs - - gdasremap: - <<: *alias_remap - flag: !calc ( 'gdas' in doc.suite ) - task: !calc doc.suite.gdas.forecast.jgdas_forecast_high - alt_resources: !calc doc.default_resources.no_gdasfcst_remap - - gfsremap: - <<: *alias_remap - flag: !calc ( 'gfs' in doc.suite ) - task: !calc doc.suite.gfs.forecast.jgfs_forecast_high - alt_resources: !error doc.exclusive_resources.run_gfsremap - - gdaspost: - <<: *alias_exclusive - flag: !calc ( 'gdas' in doc.suite ) - task: !calc doc.suite.gdas.post.jgdas_post_f000 - alt_resources: !calc doc.exclusive_resources.run_gdaspost - - gfspost: - <<: *alias_exclusive - flag: !calc ( 'gfs' in doc.suite ) - task: !calc doc.suite.gfs.post.jgfs_post_anl - alt_resources: !calc doc.exclusive_resources.run_gfspost - - efcs: - <<: *alias_exclusive - flag: !calc ( 'gdas' in doc.suite and 'enkf' in doc.suite.gdas ) - task: !calc doc.suite.gdas.enkf.forecast.jgdas_enkf_fcst_grp1 - alt_resources: !calc doc.exclusive_resources.run_efcs - - eupd: - <<: *alias_exclusive - flag: !calc ( 'gdas' in doc.suite and 'enkf' in doc.suite.gdas ) - task: !calc doc.suite.gdas.enkf.jgdas_enkf_update - alt_resources: !calc doc.exclusive_resources.run_eupd - - eomg: - <<: *alias_exclusive - flag: !calc ( 'gdas' in doc.suite and 'enkf' in doc.suite.gdas ) - task: !calc doc.suite.gdas.enkf.innovate.jgdas_enkf_innovate_obs_grp - alt_resources: !calc doc.exclusive_resources.run_eomg - - ecen: - <<: *alias_exclusive - flag: !calc ( 'gdas' in doc.suite and 'enkf' in doc.suite.gdas ) - task: !calc doc.suite.gdas.enkf.jgdas_enkf_inflate_recenter - alt_resources: !calc doc.exclusive_resources.run_ecen - - dwn: - <<: *alias_exclusive - flag: false - alt_resources: !calc doc.exclusive_resources.run_dwn - - fv3ic: - <<: *alias_exclusive - flag: !calc ( 'ics' in doc.suite and 'jgfs_emc_fv3ic' in doc.suite.ics ) - task: !calc doc.suite.ics.jgfs_emc_fv3ic - alt_resources: !calc doc.exclusive_resources.run_fv3ic - - getic: - <<: *alias_exclusive - flag: !calc ( 'ics' in doc.suite and 'jgfs_emc_getics' in doc.suite.ics ) - task: !calc doc.suite.ics.jgfs_emc_getics - alt_resources: !calc doc.service_resources.run_getic - - earc: - <<: *alias_exclusive - flag: !calc ( 'archive' in doc.suite and 'jgfs_enkf_archive' in doc.suite.archive ) - task: !calc doc.suite.archive.jgdas_enkf_archive.grp - alt_resources: !calc doc.service_resources.run_earc - - arch: - <<: *alias_exclusive - flag: !calc ( 'archive' in doc.suite ) - task: !calc doc.suite.archive.jgfs_archive - alt_resources: !calc doc.service_resources.run_arch - - ###################################################################### - - # PLACEHOLDERS - replace when jobs exist - - ###################################################################### - - prepbufr: - <<: *alias_exclusive - flag: false - alt_resources: !calc doc.exclusive_resources.run_prepbufr - - awips_g2: - <<: *alias_exclusive - flag: !calc ('gfs' in doc.suite and 'awips_g2' in doc.suite.gfs) - task: doc.suite.awips_g2.jgfs_awips_g2_f000 - alt_resources: !calc doc.exclusive_resources.run_awips_g2 - - awips_20km_1p0: - <<: *alias_exclusive - flag: !calc ('gfs' in doc.suite and 'awips_20km_1p0' in doc.suite.gfs) - task: doc.suite.awips_20km_1p0.jgfs_awips_f000 - alt_resources: !calc doc.exclusive_resources.run_awips_20km_1p0 - - postsnd: - <<: *alias_exclusive - flag: false - alt_resources: !calc doc.exclusive_resources.run_postsnd - - postsndcfp: - <<: *alias_exclusive - flag: false - alt_resources: !calc doc.exclusive_resources.run_postsndcfp - - gfs_gempak: - <<: *alias_exclusive - flag: false - alt_resources: !calc doc.exclusive_resources.run_gfs_gempak - - gdas_gempak: - <<: *alias_exclusive - flag: false - alt_resources: !calc doc.exclusive_resources.run_gdas_gempak - - diff --git a/tests/test_data/regtest/config/arch.yaml b/tests/test_data/regtest/config/arch.yaml deleted file mode 100644 index 9d725e7..0000000 --- a/tests/test_data/regtest/config/arch.yaml +++ /dev/null @@ -1,32 +0,0 @@ -# This file is used to generate config.arch, which would be used to -# control archiving of model output. The scripts and code for -# archiving are not provided in this release, so this config file is -# ignored. - -config_arch: - filename: config.arch - content: !expand | - #!/bin/ksh -x - - # This file is automatically generated from the YAML-based system - # in ecf/ecfutils/. Any changes will be overwritten if - # setup_case.sh is rerun. - - ########## config.arch ########## - # Archive specific - - echo "BEGIN: config.arch" - - # Get task specific resources - . $EXPDIR/config.resources arch - - #--online archive of nemsio files for fit2obs verification - export FITSARC="{tools.YES_NO(doc.archiving.copy_fit2obs_files)}" - export FHMAX_FITS=132 - if [ $FHMAX_FITS -gt $FHMAX_GFS ]; then export FHMAX_FITS=$FHMAX_GFS; fi - - #--starting and ending hours of previous cycles to be removed from rotating directory - export RMOLDSTD={doc.archiving.scrub_in_archive_start} - export RMOLDEND={doc.archiving.scrub_in_archive_end} - - echo "END: config.arch" diff --git a/tests/test_data/regtest/config/awips.yaml b/tests/test_data/regtest/config/awips.yaml deleted file mode 100644 index b4c3ab0..0000000 --- a/tests/test_data/regtest/config/awips.yaml +++ /dev/null @@ -1,30 +0,0 @@ -# This file is used to generate config.awips, which has settings for the GFS awips step - -config_awips: - filename: config.awips - content: !expand | - #!/bin/ksh -x - - # This file is automatically generated from the YAML-based system - # in ecf/ecfutils/. Any changes will be overwritten if - # setup_case.sh is rerun. - - ########## config.awips ########## - # GFS awips step specific - - echo "BEGIN: config.awips" - - export SENDCOM="YES" - - export AWIPS20SH=$HOMEgfs/jobs/JGFS_AWIPS_20KM_1P0DEG - export AWIPSG2SH=$HOMEgfs/jobs/JGFS_AWIPS_G2 - - export COMIN="$ROTDIR/$CDUMP.$PDY/$cyc" - export COMOUT="$ROTDIR/$CDUMP.$PDY/$cyc" - export COMOUTwmo="$COMOUT/wmo" - export PCOM="$COMOUT/wmo" - - # No. of concurrent awips jobs - export NAWIPSGRP={doc.settings.NAWIPSGRP} - - echo "END: config.awips" diff --git a/tests/test_data/regtest/config/base.yaml b/tests/test_data/regtest/config/base.yaml index 4cab3a4..20b7267 100644 --- a/tests/test_data/regtest/config/base.yaml +++ b/tests/test_data/regtest/config/base.yaml @@ -60,11 +60,11 @@ config_base: {doc.platform.config_base_extras} # Toggle to turn on/off GFS downstream processing. - export DO_BUFRSND="{tools.YES_NO(doc.downstream.DO_BUFRSND)}" # Run GFS_POSTSND - export DO_GEMPAK="{tools.YES_NO(doc.downstream.DO_GEMPAK)}" # Run GFS GEMPAK - export DO_AWIPS="{tools.YES_NO(doc.downstream.DO_AWIPS)}" # Run GFS AWIPS + export DO_BUFRSND="{tools.YES_NO(doc.settings.layout_x)}" # Run GFS_POSTSND + export DO_GEMPAK="{tools.YES_NO(doc.settings.layout_y)}" # Run GFS GEMPAK + export DO_AWIPS="{tools.YES_NO(doc.settings.realtime)}" # Run GFS AWIPS - export REALTIME="{tools.YES_NO(doc.settings.REALTIME)}" + export REALTIME="{tools.YES_NO(doc.settings.realtime)}" #################################################### # DO NOT ADD MACHINE DEPENDENT STUFF BELOW THIS LINE @@ -97,7 +97,6 @@ config_base: # EXPERIMENT specific environment parameters export SDATE={tools.to_YMDH(doc.settings.SDATE)} export EDATE={tools.to_YMDH(doc.settings.EDATE)} - export assim_freq={doc.data_assimilation.assim_freq} export PSLOT="{doc.names.experiment}" export EXPDIR="{doc.places.EXPDIR}" export ROTDIR="{doc.places.ROTDIR}" @@ -106,7 +105,6 @@ config_base: export RUNDIR="{doc.places.DATAROOT}" export ARCDIR="$NOSCRUB/archive/$PSLOT" export ICSDIR="{doc.places.ICSDIR}" - export ATARDIR="{doc.archiving.ATARDIR}" # Commonly defined parameters in JJOBS export envir=${{envir:-"prod"}} @@ -136,76 +134,13 @@ config_base: #export COMOUTwmo="$ROTDIR/$CDUMP.$PDY/$cyc/wmo" # Resolution specific parameters - export LEVS={doc.fv3_settings.LEVS} - export CASE="{doc.fv3_settings.CASE}" - export CASE_ENKF="{doc.fv3_enkf_settings.CASE}" - - # Surface cycle update frequency - if [ "$CDUMP" == "gdas" ] ; then - export FHCYC={doc.fv3_enkf_settings.FHCYC_GDAS} - elif [ "$CDUMP" == "gfs" ] ; then - export FHCYC={doc.fv3_enkf_settings.FHCYC_GFS} - fi + export CASE="{doc.settings.resolution}" # Output frequency of the forecast model (for cycling) - export FHMIN={doc.gfs_output_settings.FHMIN_GDAS} - export FHMAX={doc.gfs_output_settings.FHMAX_GDAS} - export FHOUT={doc.gfs_output_settings.FHOUT_GDAS} + export FHMIN={doc.settings.forecast_hours} # GFS cycle info export gfs_cyc={doc.settings.gfs_cyc} # 0: no GFS cycle, 1: 00Z only, 2: 00Z and 12Z only, 4: all 4 cycles. - - # GFS output and frequency - export FHMIN_GFS={doc.gfs_output_settings.FHMIN_GFS} - export FHMAX_GFS={doc.gfs_output_settings.FHMAX_GFS} - export FHOUT_GFS={doc.gfs_output_settings.FHOUT_GFS} - export FHMAX_HF_GFS={doc.gfs_output_settings.FHMAX_HF_GFS} - export FHOUT_HF_GFS={doc.gfs_output_settings.FHOUT_HF_GFS} - - # I/O QUILTING, true--use Write Component; false--use GFDL FMS - # if quilting=true, choose OUTPUT_GRID as cubed_sphere_grid in netcdf or gaussian_grid - # if gaussian_grid, set OUTPUT_FILE for nemsio or netcdf - export QUILTING="{tools.fort(doc.fv3_settings.QUILTING)}" - export OUTPUT_GRID="{doc.gfs_output_settings.OUTPUT_GRID}" - export OUTPUT_FILE="{doc.gfs_output_settings.OUTPUT_FILE_TYPE}" - - # Microphysics Options: 99-ZhaoCarr, 8-Thompson; 6-WSM6, 10-MG, 11-GFDL - export imp_physics={doc.fv3_settings.imp_physics} - - # Shared parameters - # Hybrid related - export DOHYBVAR="{tools.YES_NO(doc.data_assimilation.DOHYBVAR)}" - export NMEM_ENKF={doc.data_assimilation.NMEM_ENKF} - export SMOOTH_ENKF="{tools.YES_NO(doc.data_assimilation.SMOOTH_ENKF)}" - export l4densvar="{tools.fort(doc.data_assimilation.l4densvar)}" - export lwrite4danl="{tools.fort(doc.data_assimilation.lwrite4danl)}" - - # EnKF output frequency - if [ $DOHYBVAR = "YES" ]; then - export FHMIN_ENKF={doc.gfs_output_settings.FHMIN_ENKF} - export FHMAX_ENKF={doc.gfs_output_settings.FHMAX_ENKF} - export FHOUT_ENKF={doc.gfs_output_settings.FHOUT_ENKF} - if [ $l4densvar = ".true." ]; then - export FHOUT=1 - fi - fi - - # If YES, NSST is turned on in anal and/or fcst steps - export DONST="{tools.YES_NO(doc.fv3_settings.DONST)}" - if [ $DONST = "YES" ]; then export FNTSFA=" "; fi - - # The switch to apply SST elevation correction or not - export nst_anl={tools.fort(doc.fv3_settings.nst_anl)} - - # Analysis increments to zero in CALCINCEXEC - export INCREMENTS_TO_ZERO="{tools.join(doc.data_assimilation.INCREMENTS_TO_ZERO,',')}" - - # Archiving options - export DELETE_COM_IN_ARCHIVE_JOB={tools.YES_NO(doc.archiving.scrub_in_archive)} - export HPSSARCH={tools.YES_NO(doc.archiving.archive_to_hpss)} # save data to HPSS archive - export ARCH_CYC={doc.archiving.arch_cyc:02d} # Archive data at this cycle for warm_start capability. Cannot be 00 - export ARCH_WARMICFREQ={doc.archiving.arch_warmicfreq} # Archive frequency in days for warm_start capability - export ARCH_FCSTICFREQ={doc.archiving.arch_fcsticfreq} # Archive frequency in days for gdas and gfs forecast-only capability - + echo "END: config.base" diff --git a/tests/test_data/regtest/config/bulls_navy.yaml b/tests/test_data/regtest/config/bulls_navy.yaml deleted file mode 100644 index a113e07..0000000 --- a/tests/test_data/regtest/config/bulls_navy.yaml +++ /dev/null @@ -1,27 +0,0 @@ -# This file is used to generate config.bulls_navy - -config_bulls_navy: - filename: config.bulls_navy - content: !expand | - #!/bin/ksh -x - - # This file is automatically generated from the YAML-based system - # in ecf/ecfutils/. Any changes will be overwritten if - # setup_case.sh is rerun. - - ########## config.bulls_navy ########## - echo "BEGIN: config.bulls_navy" - - export SENDCOM="YES" - export SENDDBN="YES" - - export PDY=`expr $CDATE | cut -c1-8` - export MDATE=`$NDATE -24 $CDATE` - export PDYm1=`expr $MDATE | cut -c1-8` - export COMIN="$COMROOThps/$NET/$envir/$RUN.$PDY" - export COMINm1="$COMROOThps/$NET/$envir/$RUN.$PDYm1" - export COMOUT=$ROTDIR/$RUN.$PDY/$cyc - export COMOUTwmo=$ROTDIR/$RUN.$PDY/$cyc/wmo - - echo "END: config.bulls_navy" - diff --git a/tests/test_data/regtest/config/earc.yaml b/tests/test_data/regtest/config/earc.yaml deleted file mode 100644 index fd9024e..0000000 --- a/tests/test_data/regtest/config/earc.yaml +++ /dev/null @@ -1,24 +0,0 @@ -# This file is used to generate config.earc, which controls archiving -# of ESMF member data. The scripts that do that archiving are not in -# this public release, so this file is ignored. -config_earc: - filename: config.earc - content: !expand | - #!/bin/ksh -x - - # This file is automatically generated from the YAML-based system - # in ecf/ecfutils/. Any changes will be overwritten if - # setup_case.sh is rerun. - - ########## config.earc ########## - # Ensemble archive specific - - echo "BEGIN: config.earc" - - # Get task specific resources - . $EXPDIR/config.resources earc - - export NMEM_EARCGRP={doc.data_assimilation.NMEM_EARCGRP} - - echo "END: config.earc" - diff --git a/tests/test_data/regtest/config/ecen.yaml b/tests/test_data/regtest/config/ecen.yaml deleted file mode 100644 index dd557c7..0000000 --- a/tests/test_data/regtest/config/ecen.yaml +++ /dev/null @@ -1,27 +0,0 @@ -# This file is used to generate config.ecen, which would be used to -# control ensemble recentering. The scripts and code for that are not -# part of this public release, so this file is ignored. - -config_ecen: - filename: config.ecen - content: !expand | - #!/bin/ksh -x - - # This file is automatically generated from the YAML-based system - # in ecf/ecfutils/. Any changes will be overwritten if - # setup_case.sh is rerun. - - ########## config.ecen ########## - # Ensemble recentering specific - - echo "BEGIN: config.ecen" - - # Get task specific resources - . $EXPDIR/config.resources ecen - - export ENKFRECENSH="$HOMEgsi/scripts/exglobal_enkf_recenter_fv3gfs.sh.ecf" - - export CHGRESEXEC="$HOMEgfs/exec/chgres_recenter.exe" - - echo "END: config.ecen" - diff --git a/tests/test_data/regtest/config/efcs.yaml b/tests/test_data/regtest/config/efcs.yaml deleted file mode 100644 index c2be2c2..0000000 --- a/tests/test_data/regtest/config/efcs.yaml +++ /dev/null @@ -1,60 +0,0 @@ -# This file is used to generate config.efcs, which would be used to -# control the ENKF forecast jobs. The scripts and code for the ENKF -# are not provided in this release, so this config file is ignored. - -config_efcs: - filename: config.efcs - content: !expand | - #!/bin/ksh -x - - # This file is automatically generated from the YAML-based system - # in ecf/ecfutils/. Any changes will be overwritten if - # setup_case.sh is rerun. - - ########## config.efcs ########## - # Ensemble forecast specific, dependency: config.fcst - - echo "BEGIN: config.efcs" - - # Source model specific information that is resolution dependent - . $EXPDIR/config.fv3 enkf - - # Get task specific resources - . $EXPDIR/config.resources efcs - - export npe_fv3=$npe_efcs - - export ENKFFCSTSH="$HOMEgsi/scripts/exglobal_enkf_fcst_fv3gfs.sh.ecf" - export NMEM_EFCSGRP={doc.data_assimilation.NMEM_EFCSGRP} - export RERUN_EFCSGRP="{tools.YES_NO(doc.data_assimilation.RERUN_EFCSGRP)}" - - # Stochastic physics parameters (only for ensemble forecasts) - export DO_SKEB="{tools.YES_NO(doc.fv3_enkf_settings.DO_SKEB)}" - export SKEB={doc.fv3_enkf_settings.SKEB} - export SKEB_TAU={doc.fv3_enkf_settings.SKEB_TAU} - export SKEB_LSCALE={doc.fv3_enkf_settings.SKEB_LSCALE} - export SKEBNORM={doc.fv3_enkf_settings.SKEBNORM} - export DO_SHUM="{tools.YES_NO(doc.fv3_enkf_settings.DO_SHUM)}" - export SHUM={doc.fv3_enkf_settings.SHUM} - export SHUM_TAU={doc.fv3_enkf_settings.SHUM_TAU} - export SHUM_LSCALE={doc.fv3_enkf_settings.SHUM_LSCALE} - export DO_SPPT="{tools.YES_NO(doc.fv3_enkf_settings.DO_SPPT)}" - export SPPT={doc.fv3_enkf_settings.SPPT} - export SPPT_TAU={doc.fv3_enkf_settings.SPPT_TAU} - export SPPT_LSCALE={doc.fv3_enkf_settings.SPPT_LSCALE} - export SPPT_LOGIT="{tools.fort(doc.fv3_enkf_settings.SPPT_LOGIT)}" - export SPPT_SFCLIMIT="{tools.fort(doc.fv3_enkf_settings.SPPT_SFCLIMIT)}" - - if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then - export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_da" - else - export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_da_orig" - fi - - # FV3 model namelist parameters to over-ride - export restart_interval={doc.fv3_enkf_settings.restart_interval} - export k_split={doc.fv3_enkf_settings.k_split} # model is unstable with k_split=2, n_split=6 and stochastic physics - export n_split={doc.fv3_enkf_settings.n_split} # make the model stable with k_split=1, n_split=12 - - echo "END: config.efcs" - diff --git a/tests/test_data/regtest/config/emcsfc.yaml b/tests/test_data/regtest/config/emcsfc.yaml deleted file mode 100644 index 53fc055..0000000 --- a/tests/test_data/regtest/config/emcsfc.yaml +++ /dev/null @@ -1,25 +0,0 @@ -# This file is used to generate config.emcsfc - -config_emcsfc: - filename: config.emcsfc - content: !expand | - #!/bin/ksh -x - - # This file is automatically generated from the YAML-based system - # in ecf/ecfutils/. Any changes will be overwritten if - # setup_case.sh is rerun. - - ########## config.emcsfc ########## - echo "BEGIN: config.emcsfc" - - export SENDCOM="YES" - - export PDY=`expr $CDATE | cut -c1-8` - export GDATE=`$NDATE -06 $CDATE` - export PDY_m6hrs=`expr $GDATE | cut -c1-8` - export COMINgfs="$COMROOThps/$NET/$envir/$RUN.$PDY" - export COMINgfs_m6hrs="$COMROOThps/$NET/$envir/$RUN.$PDY_m6hrs" - export COMOUT="$ROTDIR/$CDUMP.$PDY/$cyc" - - echo "END: config.emcsfc" - diff --git a/tests/test_data/regtest/config/eobs.yaml b/tests/test_data/regtest/config/eobs.yaml deleted file mode 100644 index 6f8c7ee..0000000 --- a/tests/test_data/regtest/config/eobs.yaml +++ /dev/null @@ -1,39 +0,0 @@ -# This file is used to generate config.eobs, which would be used to -# control the ensemble observation innovation. The code and scripts -# for that are not part of this public release, so this file is -# ignored. - -config_eobs: - filename: config.eobs - content: !expand | - #!/bin/ksh -x - - # This file is automatically generated from the YAML-based system - # in ecf/ecfutils/. Any changes will be overwritten if - # setup_case.sh is rerun. - - ########## config.eobs config.eomg ########## - # Ensemble innovation specific, dependency config.anal - - echo "BEGIN: config.eobs" - - # Get task specific resources - . $EXPDIR/config.resources eobs - - export INVOBSSH="$HOMEgsi/scripts/exglobal_innovate_obs_fv3gfs.sh.ecf" - export ENKFINVOBSSH="$HOMEgsi/scripts/exglobal_enkf_innovate_obs_fv3gfs.sh.ecf" - export NMEM_EOMGGRP={doc.data_assimilation.NMEM_EOMGGRP} - export RERUN_EOMGGRP="{tools.YES_NO(doc.data_assimilation.RERUN_EOMGGRP)}" - export npe_gsi=$npe_eobs - #export nth_anal={doc.aliases.eobs.nth} - - # GSI namelist options related to observer for EnKF - export OBSINPUT_INVOBS="dmesh(1)=225.0,dmesh(2)=225.0" - export OBSQC_INVOBS="tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" - - export USE_RADSTAT="NO" # This can be only used when bias correction is non-zero. - # Diagnostic files must be created for EnKF - export GENDIAG="{tools.YES_NO(doc.data_assimilation.GENDIAG)}" - - echo "END: config.eobs" - diff --git a/tests/test_data/regtest/config/epos.yaml b/tests/test_data/regtest/config/epos.yaml deleted file mode 100644 index 90be116..0000000 --- a/tests/test_data/regtest/config/epos.yaml +++ /dev/null @@ -1,31 +0,0 @@ -# This file is used to generate config.epos, which would be used to -# control the ENKF post-processing. The scripts and code for the ENKF -# are not provided in this release, so this config file is ignored. - -config_epos: - filename: config.epos - content: !expand | - #!/bin/ksh -x - - # This file is automatically generated from the YAML-based system - # in ecf/ecfutils/. Any changes will be overwritten if - # setup_case.sh is rerun. - - ########## config.epos ########## - # Ensemble post processing specific - - echo "BEGIN: config.epos" - - # Get task specific resources - . $EXPDIR/config.resources epos - - export ENKFPOSTSH="$HOMEgsi/scripts/exglobal_enkf_post_fv3gfs.sh.ecf" - - # No. of concurrent epos jobs [1 implies sequential] - export NEPOSGRP={doc.data_assimilation.NEPOSGRP} - if [ $l4densvar = ".false." ]; then - export NEPOSGRP=3 - fi - - echo "END: config.epos" - diff --git a/tests/test_data/regtest/config/eupd.yaml b/tests/test_data/regtest/config/eupd.yaml deleted file mode 100644 index 31206c2..0000000 --- a/tests/test_data/regtest/config/eupd.yaml +++ /dev/null @@ -1,29 +0,0 @@ -# This file is used to generate config.eupd, which would be used to -# control the ENKF member updating scripts. The scripts and code for -# the ENKF are not provided in this release, so this config file is -# ignored. - -config_eupd: - filename: config.eupd - content: !expand | - #!/bin/ksh -x - - # This file is automatically generated from the YAML-based system - # in ecf/ecfutils/. Any changes will be overwritten if - # setup_case.sh is rerun. - - ########## config.eupd ########## - # Ensemble update specific, dependency config.anal - - echo "BEGIN: config.eupd" - - # Get task specific resources - . $EXPDIR/config.resources eupd - - export ENKFUPDSH="$HOMEgsi/scripts/exglobal_enkf_update_fv3gfs.sh.ecf" - export npe_enkf=$npe_eupd - - export NAM_ENKF="{doc.data_assimilation.NAM_ENKF}" - - echo "END: config.eupd" - diff --git a/tests/test_data/regtest/config/fax.yaml b/tests/test_data/regtest/config/fax.yaml deleted file mode 100644 index 219e11a..0000000 --- a/tests/test_data/regtest/config/fax.yaml +++ /dev/null @@ -1,27 +0,0 @@ -# This file is used to generate config.fax, which has settings for the GFS fax step - -config_fax: - filename: config.fax - content: !expand | - #!/bin/ksh -x - - # This file is automatically generated from the YAML-based system - # in ecf/ecfutils/. Any changes will be overwritten if - # setup_case.sh is rerun. - - ########## config.fax ########## - # GFS fax step specific - - echo "BEGIN: config.fax" - - module load NCL/6.4.0 - module load bufr_dumplist/1.5.0 - module load dumpjb/4.0.0 - - export SENDCOM="YES" - - export COMIN="$ROTDIR/$CDUMP.$PDY/$cyc" - export COMOUT="$ROTDIR/$CDUMP.$PDY/$cyc" - export COMOUTwmo="$COMOUT/wmo" - - echo "END: config.fax" diff --git a/tests/test_data/regtest/config/fax_wafs.yaml b/tests/test_data/regtest/config/fax_wafs.yaml deleted file mode 100644 index 66b38b6..0000000 --- a/tests/test_data/regtest/config/fax_wafs.yaml +++ /dev/null @@ -1,38 +0,0 @@ -# This file is used to generate config.fax_wafs, which has settings for the GFS wafs step - -config_fax_wafs: - filename: config.fax_wafs - content: !expand | - #!/bin/ksh -x - - # This file is automatically generated from the YAML-based system - # in ecf/ecfutils/. Any changes will be overwritten if - # setup_case.sh is rerun. - - ########## config.fax_wafs ########## - # GFS wafs step specific - - echo "BEGIN: config.fax_wafs" - - export SENDCOM="YES" - - export FIXgfs=$HOMEgfs/gempak/fix - export USHgfs=$HOMEgfs/gempak/ush - export PARMgfs=$HOMEgfs/parm/wafs - - export COMIN="$ROTDIR/$CDUMP.$PDY/$cyc" - export COMOUT="$ROTDIR/$CDUMP.$PDY/$cyc" - export COMOUTwmo="$COMOUT/wmo" - export PCOM="$COMOUT/wmo" - - export DATAROOT="$RUNDIR/$CDATE/$CDUMP" - export DATA="$DATAROOT/$job" - - ########################################## - ## Source machine runtime environment - ########################################### - . $HOMEgfs/env/{doc.platform.name}.env wafs - status=$? - [[ $status -ne 0 ]] && exit $status - - echo "END: config.fax_wafs" diff --git a/tests/test_data/regtest/config/fbwind.yaml b/tests/test_data/regtest/config/fbwind.yaml deleted file mode 100644 index 963dacc..0000000 --- a/tests/test_data/regtest/config/fbwind.yaml +++ /dev/null @@ -1,23 +0,0 @@ -# This file is used to generate config.fbwind, which has settings for the GFS fbwind step - -config_fbwind: - filename: config.fbwind - content: !expand | - #!/bin/ksh -x - - # This file is automatically generated from the YAML-based system - # in ecf/ecfutils/. Any changes will be overwritten if - # setup_case.sh is rerun. - - ########## config.fbwind ########## - # GFS fbwind step specific - - echo "BEGIN: config.fbwind" - - export SENDCOM="YES" - - export COMIN="$ROTDIR/$CDUMP.$PDY/$cyc" - export COMOUT="$ROTDIR/$CDUMP.$PDY/$cyc" - export COMOUTwmo="$COMOUT/wmo" - - echo "END: config.fbwind" diff --git a/tests/test_data/regtest/config/fcst.yaml b/tests/test_data/regtest/config/fcst.yaml index 0f4939a..e41e23d 100644 --- a/tests/test_data/regtest/config/fcst.yaml +++ b/tests/test_data/regtest/config/fcst.yaml @@ -5,14 +5,9 @@ config_fcst: filename: config.fcst more_exports_for_microphys: !FirstTrue - - when: !calc ( doc.fv3_settings.imp_physics==11 ) + - when: !calc ( doc.settings.resolution=='C192' ) do: !expand | - export hord_mt_nh_nonmono={doc.fv3_settings.hord_mt_nh_nonmono} - export hord_xx_nh_nonmono={doc.fv3_settings.hord_xx_nh_nonmono} - export vtdm4_nh_nonmono={doc.fv3_settings.vtdm4_nh_nonmono} - export nord={doc.fv3_settings.nord} - export dddmp={doc.fv3_settings.dddmp} - export d4_bg={doc.fv3_settings.d4_bg} + export hord_mt_nh_nonmono='somevalue' - otherwise: "" content: !expand | #!/bin/ksh -x @@ -32,10 +27,6 @@ config_fcst: # Get task specific resources . $EXPDIR/config.resources fcst - if [ $DONST = "YES" ]; then - . $EXPDIR/config.nsst - fi - export FORECASTSH="$HOMEgfs/scripts/exglobal_fcst_nemsfv3gfs.sh" #export FCSTEXECDIR="$HOMEfv3gfs/NEMS/exe" export FCSTEXECDIR="$HOMEgfs/exec" @@ -43,24 +34,12 @@ config_fcst: export npe_fv3=$npe_fcst # This is model resolution dependent, see note above # Model configuration - export TYPE="{doc.fv3_settings.nh_type}" - export MONO="{doc.fv3_settings.MONO}" + export TYPE="{doc.settings.realtime}" + export MONO="{doc.settings.resolution}" # Use stratosphere h2o physics - export h2o_phys="{tools.fort(doc.fv3_settings.h2o_phys)}" - - # Options of stratosphere O3 physics reaction coefficients - export new_o3forc="{tools.YES_NO(doc.fv3_settings_template.new_o3force)}" - - # Microphysics configuration - export dnats={doc.fv3_settings.dnats} - export cal_pre="{tools.fort(doc.fv3_settings.cal_pre)}" - export do_sat_adj="{tools.fort(doc.fv3_settings.do_sat_adj)}" - export random_clds="{tools.fort(doc.fv3_settings.random_clds)}" - #export cnvcld="{tools.fort(doc.fv3_settings.cnvcld)}" - export ncld={doc.fv3_settings.ncld} - export FIELD_TABLE="{doc.fv3_settings.FIELD_TABLE}" - export nwat={doc.fv3_settings.nwat} + export h2o_phys="{tools.fort(doc.settings.physics_1)}" + {more_exports_for_microphys} #--------------------------------------------------------------------- @@ -69,7 +48,7 @@ config_fcst: # Disable the use of coupler.res; get model start time from model_configure export USE_COUPLER_RES="NO" - if [[ "$CDUMP" == "gdas" ]] ; then # GDAS cycle specific parameters + if [[ "$DUMP" == "gdas" ]] ; then # GDAS cycle specific parameters # Variables used in DA cycling if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then @@ -78,15 +57,9 @@ config_fcst: export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_da_orig" fi - # Write restart files at next assimilation time - export restart_interval=6 - export npe_remap={doc.aliases.gdasremap.npe} - export nth_remap={doc.aliases.gdasremap.nth} - - export hord_xx_nh_nonmono="{doc.fv3_gdas_settings.hord_xx_nh_nonmono}" - export hord_mt_nh_nonmono="{doc.fv3_gdas_settings.hord_mt_nh_nonmono}" + export hord_xx_nh_nonmono="{doc.settings.physics_1}" - elif [[ "$CDUMP" == "gfs" ]] ; then # GFS cycle specific parameters + elif [[ "$DUMP" == "gfs" ]] ; then # GFS cycle specific parameters # Write more variables to output if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then @@ -94,11 +67,8 @@ config_fcst: else export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_orig" fi - export npe_remap={doc.aliases.gfsremap.npe} - export nth_remap={doc.aliases.gfsremap.nth} - export hord_xx_nh_nonmono="{doc.fv3_gfs_settings.hord_xx_nh_nonmono}" - export hord_mt_nh_nonmono="{doc.fv3_gfs_settings.hord_mt_nh_nonmono}" + export hord_xx_nh_nonmono="{doc.settings.physics_1}" fi @@ -112,7 +82,6 @@ config_fcst: # Remap tiles to global latlon grid in NetCDF export REMAPSH="$HOMEgfs/ush/fv3gfs_remap.sh" - export master_grid="{doc.post.master_grid}" # 1deg 0p5deg 0p25deg 0p125deg etc # Global latlon NetCDF to nemsio utility parameters export NC2NEMSIOSH="$HOMEgfs/ush/fv3gfs_nc2nemsio.sh" diff --git a/tests/test_data/regtest/config/fv3.yaml b/tests/test_data/regtest/config/fv3.yaml deleted file mode 100644 index 632cf7e..0000000 --- a/tests/test_data/regtest/config/fv3.yaml +++ /dev/null @@ -1,87 +0,0 @@ -# This file is used to generate config.fv3, which is one of two files -# used to control the forecast job. The other file is config.fcst. -# This file sets task geometry, domain decomposition, and I/O server -# options. - -config_fv3: - filename: config.fv3 - enkf_cdmbgwd_settings: !FirstTrue - - when: !calc ( "cdmbgwd" in doc.fv3_enkf_settings ) - do: !expand "export cdmbgwd={doc.fv3_enkf_settings.cdmbgwd}" - - otherwise: "# not setting cdmbgwd" - gfs_cdmbgwd_settings: !FirstTrue - - when: !calc ( "cdmbgwd" in doc.fv3_gfs_settings ) - do: !expand "export cdmbgwd={doc.fv3_gfs_settings.cdmbgwd}" - - otherwise: "# not setting cdmbgwd" - gdas_cdmbgwd_settings: !FirstTrue - - when: !calc ( "cdmbgwd" in doc.fv3_gdas_settings ) - do: !expand "export cdmbgwd={doc.fv3_gdas_settings.cdmbgwd}" - - otherwise: "# not setting cdmbgwd" - content: !expand | - #!/bin/ksh -x - - # This file is automatically generated from the YAML-based system - # in ecf/ecfutils/. Any changes will be overwritten if - # setup_case.sh is rerun. - - ########## config.fv3 ########## - # FV3 model resolution specific parameters - # e.g. time-step, processor layout, physics and dynamics parameters - # This config sets default variables for FV3 for a given resolution - # User can over-ride after sourcing this config file - - if [ $# -ne 1 ]; then - - echo "Must specify a forecast mode to set variables!" - echo "argument can be any one of the following:" - echo "EFCS GFS GDAS (upper- or lower-case is okay)" - err_exit "Missing mandatory argument to config.fv3" - exit 1 - - fi - - forecast_mode=$( echo "$1" | tr a-z A-Z ) - - echo "BEGIN: config.fv3 for $forecast_mode" - - case "$forecast_mode" in - ENKF) - export DELTIM={doc.fv3_enkf_settings.DELTIM} - export layout_x={doc.fv3_enkf_settings.layout_x} - export layout_y={doc.fv3_enkf_settings.layout_y} - export WRITE_GROUP={doc.fv3_enkf_settings.WRITE_GROUP} - export WRTTASK_PER_GROUP={doc.fv3_enkf_settings.WRTTASK_PER_GROUP} - export WRTIOBUF={doc.fv3_enkf_settings.WRTIOBUF} - export nth_fv3={doc.aliases.efcs.nth} - export npe_node_fcst={doc.aliases.efcs.npe_node} - {enkf_cdmbgwd_settings} - ;; - GFS) - export DELTIM={doc.fv3_gfs_settings.DELTIM} - export layout_x={doc.fv3_gfs_settings.layout_x} - export layout_y={doc.fv3_gfs_settings.layout_y} - export WRITE_GROUP={doc.fv3_gfs_settings.WRITE_GROUP} - export WRTTASK_PER_GROUP={doc.fv3_gfs_settings.WRTTASK_PER_GROUP} - export WRTIOBUF={doc.fv3_gfs_settings.WRTIOBUF} - export nth_fv3={doc.aliases.gfsfcst.nth} - export npe_node_fcst={doc.aliases.gfsfcst.npe_node} - {gfs_cdmbgwd_settings} - ;; - GDAS) - export DELTIM={doc.fv3_gdas_settings.DELTIM} - export layout_x={doc.fv3_gdas_settings.layout_x} - export layout_y={doc.fv3_gdas_settings.layout_y} - export WRITE_GROUP={doc.fv3_gdas_settings.WRITE_GROUP} - export WRTTASK_PER_GROUP={doc.fv3_gdas_settings.WRTTASK_PER_GROUP} - export WRTIOBUF={doc.fv3_gdas_settings.WRTIOBUF} - export nth_fv3={doc.aliases.gdasfcst.nth} - export npe_node_fcst={doc.aliases.gdasfcst.npe_node} - {gdas_cdmbgwd_settings} - ;; - *) - err_exit "Specify GFS, GDAS, or EFCS when calling config.fv3.in" - exit 2 - esac - - echo "END: config.fv3 for $forecast_mode" - diff --git a/tests/test_data/regtest/config/fv3ic.yaml b/tests/test_data/regtest/config/fv3ic.yaml deleted file mode 100644 index 0fed5c4..0000000 --- a/tests/test_data/regtest/config/fv3ic.yaml +++ /dev/null @@ -1,25 +0,0 @@ -# This file is used to generate config.fv3ic, which controls the -# chgres. That is the program that converts Global Spectral Model -# analysis files to Finite Volume 3 input conditions. - -config_fv3ic: - filename: config.fv3ic - content: !expand | - #!/bin/ksh -x - - # This file is automatically generated from the YAML-based system - # in ecf/ecfutils/. Any changes will be overwritten if - # setup_case.sh is rerun. - - ########## config.fv3ic ########## - # Convert GFS initial conditions into FV3 initial conditions - - echo "BEGIN: config.fv3ic" - - # Task and thread configuration - export wtime_fv3ic="00:30:00" - export npe_fv3ic={doc.aliases.fv3ic.npe} - export npe_node_fv3ic={doc.aliases.fv3ic.npe} - - echo "END: config.fv3ic" - diff --git a/tests/test_data/regtest/config/gempak.yaml b/tests/test_data/regtest/config/gempak.yaml deleted file mode 100644 index ac7346c..0000000 --- a/tests/test_data/regtest/config/gempak.yaml +++ /dev/null @@ -1,32 +0,0 @@ -# This file is used to generate config.gempak, which has settings for the GFS gempak step - -config_gempak: - filename: config.gempak - content: !expand | - #!/bin/ksh -x - - # This file is automatically generated from the YAML-based system - # in ecf/ecfutils/. Any changes will be overwritten if - # setup_case.sh is rerun. - - ########## config.gempak ########## - # GFS gempak step specific - - echo "BEGIN: config.gempak" - - # Get task specific resources - . $EXPDIR/config.resources gempak - - export SENDCOM="YES" - - export GEMPAKSH=$HOMEgfs/jobs/JGFS_GEMPAK - export FIXgfs=$HOMEgfs/gempak/fix - export USHgfs=$HOMEgfs/gempak/ush - export FIXgempak=$HOMEgfs/gempak/fix - export USHgempak=$HOMEgfs/gempak/ush - - export COMIN=$ROTDIR/$RUN.$PDY/$cyc - export COMOUT=$ROTDIR/$RUN.$PDY/$cyc/nawips - export COMINgempak="$ROTDIR" - - echo "END: config.gempak" diff --git a/tests/test_data/regtest/config/gempak_meta.yaml b/tests/test_data/regtest/config/gempak_meta.yaml deleted file mode 100644 index 7549581..0000000 --- a/tests/test_data/regtest/config/gempak_meta.yaml +++ /dev/null @@ -1,31 +0,0 @@ -# This file is used to generate config.gempak_meta, which has settings for the GFS gempak_meta step - -config_gempak_meta: - filename: config.gempak_meta - content: !expand | - #!/bin/ksh -x - - # This file is automatically generated from the YAML-based system - # in ecf/ecfutils/. Any changes will be overwritten if - # setup_case.sh is rerun. - - ########## config.gempak_meta ########## - # GFS gempak_meta step specific - - echo "BEGIN: config.gempak_meta" - - # Get task specific resources - . $EXPDIR/config.resources gempak - - export SENDCOM="YES" - - export FIXgfs=$HOMEgfs/gempak/fix - export USHgfs=$HOMEgfs/gempak/ush - export FIXgempak=$HOMEgfs/gempak/fix - export USHgempak=$HOMEgfs/gempak/ush - - export COMIN=$ROTDIR/$RUN.$PDY/$cyc/nawips - export COMOUT=$ROTDIR/$RUN.$PDY/$cyc/nawips/meta - export COMINgempak="$ROTDIR" - - echo "END: config.gempak_meta" diff --git a/tests/test_data/regtest/config/gempak_meta_ncdc.yaml b/tests/test_data/regtest/config/gempak_meta_ncdc.yaml deleted file mode 100644 index c2de20e..0000000 --- a/tests/test_data/regtest/config/gempak_meta_ncdc.yaml +++ /dev/null @@ -1,32 +0,0 @@ -# This file is used to generate config.gempak_meta_ncdc, which has settings for the GFS gempak_meta_ncdc step - -config_gempak_meta_ncdc: - filename: config.gempak_meta_ncdc - content: !expand | - #!/bin/ksh -x - - # This file is automatically generated from the YAML-based system - # in ecf/ecfutils/. Any changes will be overwritten if - # setup_case.sh is rerun. - - ########## config.gempak_meta_ncdc ########## - # GFS gempak_meta_ncdc step specific - - echo "BEGIN: config.gempak_meta_ncdc" - - # Get task specific resources - . $EXPDIR/config.resources gempak - - export SENDCOM="YES" - - export FIXgfs=$HOMEgfs/gempak/fix - export USHgfs=$HOMEgfs/gempak/ush - export FIXgempak=$HOMEgfs/gempak/fix - export USHgempak=$HOMEgfs/gempak/ush - - export COMIN=$ROTDIR/$RUN.$PDY/$cyc/nawips - export COMINgdas=$ROTDIR/$RUN - export COMOUT=$ROTDIR/$RUN.$PDY/$cyc - export COMOUTncdc=$ROTDIR/$RUN.$PDY/$cyc - - echo "END: config.gempak_meta_ncdc" diff --git a/tests/test_data/regtest/config/gempak_ncdc_upapgif.yaml b/tests/test_data/regtest/config/gempak_ncdc_upapgif.yaml deleted file mode 100644 index c8114a7..0000000 --- a/tests/test_data/regtest/config/gempak_ncdc_upapgif.yaml +++ /dev/null @@ -1,32 +0,0 @@ -# This file is used to generate config.gempak_ncdc_upapgif, which has settings for the GFS gempak_ncdc_upapgif step - -config_gempak_ncdc_upapgif: - filename: config.gempak_ncdc_upapgif - content: !expand | - #!/bin/ksh -x - - # This file is automatically generated from the YAML-based system - # in ecf/ecfutils/. Any changes will be overwritten if - # setup_case.sh is rerun. - - ########## config.gempak_ncdc_upapgif ########## - # GFS gempak_ncdc_upapgif step specific - - echo "BEGIN: config.gempak_ncdc_upapgif" - - # Get task specific resources - . $EXPDIR/config.resources gempak - - export SENDCOM="YES" - - export FIXgfs=$HOMEgfs/gempak/fix - export USHgfs=$HOMEgfs/gempak/ush - export FIXgempak=$NWROOTp1/gempak/fix - export USHgempak=$HOMEgfs/gempak/ush - - export COMIN=$ROTDIR/$RUN.$PDY/$cyc/nawips - export COMINgfs="$COMROOThps/$NET/$envir/$RUN.$PDY" - export COMOUT=$ROTDIR/$RUN.$PDY/$cyc - export COMOUTwmo="$COMOUT/wmo" - - echo "END: config.gempak_ncdc_upapgif" diff --git a/tests/test_data/regtest/config/getic.yaml b/tests/test_data/regtest/config/getic.yaml deleted file mode 100644 index 00d1fc2..0000000 --- a/tests/test_data/regtest/config/getic.yaml +++ /dev/null @@ -1,31 +0,0 @@ -# This file is used to generate config.getic, which is used to control -# the logic that pulls input data from HPSS. - -config_getic: - filename: config.getic - content: !expand | - #!/bin/ksh -x - - # This file is automatically generated from the YAML-based system - # in ecf/ecfutils/. Any changes will be overwritten if - # setup_case.sh is rerun. - - ########## config.getic ########## - # Fetching GFS initial conditions specific - - echo "BEGIN: config.getic" - - # Get task specific resources - . $EXPDIR/config.resources getic - - # We should just be supporting the OPSGFS only - export ics_from="{doc.settings.ics_from}" # initial conditions from opsgfs or pargfs - - # Provide a parallel experiment name and path to HPSS archive - if [ $ics_from = "pargfs" ]; then - export parexp="{doc.settings.parexp}" - export HPSS_PAR_PATH="{doc.places.HPSS_PAR_PATH}" - fi - - echo "END: config.getic" - diff --git a/tests/test_data/regtest/config/nsst.yaml b/tests/test_data/regtest/config/nsst.yaml deleted file mode 100644 index d37114b..0000000 --- a/tests/test_data/regtest/config/nsst.yaml +++ /dev/null @@ -1,50 +0,0 @@ -# This file is used to generate config.nsst, which would be used to -# control NSST settings. Specifically, it controls the nstf_name -# option in the gfs physics namelist (&gfs_physics_nml) - -config_nsst: - filename: config.nsst - nst_spinup_logic: !FirstTrue - - when: !calc doc.nsst.get("NST_SPINUP","") - do: !expand "export NST_SPINUP=$NST_SPINUP" - - otherwise: | - export NST_SPINUP=0 - #if [[ "$CDATE" = $SDATE ]]; then - # export NST_SPINUP=1 - #fi - content: !expand | - #!/bin/ksh -x - - # This file is automatically generated from the YAML-based system - # in ecf/ecfutils/. Any changes will be overwritten if - # setup_case.sh is rerun. - - ########## config.nsst ########## - # NSST specific - - echo "BEGIN: config.nsst" - - # NSST parameters contained within nstf_name - - # nstf_name(1) : NST_MODEL (NSST Model) : 0 = OFF, 1 = ON but uncoupled, 2 = ON and coupled - export NST_MODEL={doc.nsst.NST_MODEL} - - # nstf_name(2) : NST_SPINUP : 0 = OFF, 1 = ON, - {nst_spinup_logic} - - # nstf_name(3) : NST_RESV (Reserved, NSST Analysis) : 0 = OFF, 1 = ON - export NST_RESV={doc.nsst.NST_RESV} - - # nstf_name(4,5) : ZSEA1, ZSEA2 the two depths to apply vertical average (bias correction) - export ZSEA1={doc.nsst.ZSEA1} - export ZSEA2={doc.nsst.ZSEA2} - - export NST_GSI={doc.nsst.NST_GSI} # default 0: No NST info at all; - # 1: Input NST info but not used in GSI; - # 2: Input NST info, used in CRTM simulation, no Tr analysis - # 3: Input NST info, used in both CRTM simulation and Tr analysis - export NSTINFO={doc.nsst.NSTINFO} # number of elements added in obs. data array (default = 0) - if [ $NST_GSI -gt 0 ]; then export NSTINFO=4; fi - - echo "END: config.nsst" - diff --git a/tests/test_data/regtest/config/pgrb2_spec_npoess.yaml b/tests/test_data/regtest/config/pgrb2_spec_npoess.yaml deleted file mode 100644 index 78f95e3..0000000 --- a/tests/test_data/regtest/config/pgrb2_spec_npoess.yaml +++ /dev/null @@ -1,28 +0,0 @@ -# This file is used to generate config.pgrb2_spec_npoess, which has settings for the GFS pgrb2_spec_npoess step - -config_pgrb2_spec_npoess: - filename: config.pgrb2_spec_npoess - content: !expand | - #!/bin/ksh -x - - # This file is automatically generated from the YAML-based system - # in ecf/ecfutils/. Any changes will be overwritten if - # setup_case.sh is rerun. - - ########## config.pgrb2_spec_npoess ########## - # GFS pgrb2_spec_npoess step specific - - echo "BEGIN: config.pgrb2_spec_npoess" - - export SENDCOM="YES" - - export GEMPAKSH=$HOMEgfs/jobs/JGFS_GEMPAK - export FIXgfs=$HOMEgfs/gempak/fix - export USHgfs=$HOMEgfs/gempak/ush - export FIXgempak=$HOMEgfs/gempak/fix - export USHgempak=$HOMEgfs/gempak/ush - - export COMIN=$ROTDIR/$RUN.$PDY/$cyc - export COMOUT=$ROTDIR/$RUN.$PDY/$cyc - - echo "END: config.pgrb2_spec_npoess" diff --git a/tests/test_data/regtest/config/post.yaml b/tests/test_data/regtest/config/post.yaml index 8486900..fb126ed 100644 --- a/tests/test_data/regtest/config/post.yaml +++ b/tests/test_data/regtest/config/post.yaml @@ -22,7 +22,7 @@ config_post: #------------------------------------------- # No. of concurrent post jobs [0 implies sequential] - export NPOSTGRP={doc.post.NPOSTGRP} + export NPOSTGRP={doc.settings.realtime} # Post driver job that calls gfs_nceppost.sh and downstream jobs export POSTJJOBSH="$HOMEpost/jobs/JGLOBAL_NCEPPOST" @@ -31,23 +31,17 @@ config_post: export POSTGPSH="$HOMEpost/ush/gfs_nceppost.sh" export POSTGPEXEC="$HOMEpost/exec/gfs_ncep_post" - export GOESF={tools.YES_NO(doc.post.GOESF)} # goes image - export GTGF={tools.YES_NO(doc.post.GTGF)} # gtg icing product - export FLXF={tools.YES_NO(doc.post.FLXF)} # grib2 flux file written by post - export PGB1F={tools.YES_NO(doc.post.PGB1F)} + export GOESF={tools.YES_NO(doc.settings.layout_x)} # goes image + export GTGF={tools.YES_NO(doc.settings.layout_y)} # gtg icing product + export FLXF={tools.YES_NO(doc.settings.layout_x)} # grib2 flux file written by post + export PGB1F={tools.YES_NO(doc.settings.layout_y)} if [ $RUN_ENVIR = "nco" ]; then export PGB1F=NO export GTGF=YES fi - - if [[ "$CDUMP" == gdas ]] ; then - export nth_postgp={doc.aliases.gdaspost.nth} - else - export nth_postgp={doc.aliases.gfspost.nth} - fi - export GFS_DOWNSTREAM={tools.YES_NO(doc.post.GFS_DOWNSTREAM)} - export downset={doc.post.downset} - export npe_dwn={doc.aliases.dwn.npe} + + export GFS_DOWNSTREAM={tools.YES_NO(doc.settings.realtime)} + export downset={doc.settings.ecf_key} export GRIBVERSION='grib2' export SENDCOM="YES" diff --git a/tests/test_data/regtest/config/postsnd.yaml b/tests/test_data/regtest/config/postsnd.yaml deleted file mode 100644 index 848d202..0000000 --- a/tests/test_data/regtest/config/postsnd.yaml +++ /dev/null @@ -1,41 +0,0 @@ -# This file is used to generate config.postsnd, which has settings for the GFS postsnd step - -config_postsnd: - filename: config.postsnd - content: !expand | - #!/bin/ksh -x - - # This file is automatically generated from the YAML-based system - # in ecf/ecfutils/. Any changes will be overwritten if - # setup_case.sh is rerun. - - ########## config.postsnd ########## - # GFS bufr sounding step specific - - echo "BEGIN: config.postsnd" - - # Get task specific resources - . $EXPDIR/config.resources postsnd - - export CDATEm1=$($NDATE -24 $CDATE) - export PDYm1=$(echo $CDATEm1 | cut -c1-8) - export COMIN="$ROTDIR/$CDUMP.$PDY/$cyc" - export DATAROOT="$RUNDIR/$CDATE/$CDUMP/postsnd" - - export job="jgfs_postsnd_$cyc" - export jlogfile="$ROTDIR/logs/$CDATE/$job.log" - export DATA="$DATAROOT/$job" - export SENDCOM="YES" - export SENDDBN="YES" - export HOMEbufrsnd=$HOMEgfs - export COMOUT="$ROTDIR/$CDUMP.$PDY/$cyc" - export pcom="$COMOUT/wmo" - export COMAWP="$COMOUT/nawips" - - export POSTSNDSH=$HOMEgfs/jobs/JGFS_POSTSND - - if [ $machine = "JET" ]; then - export POSTSNDSH=$HOMEgfs/jobs/JGFS_POSTSND_JET - fi - - echo "END: config.postsnd" diff --git a/tests/test_data/regtest/config/prep.yaml b/tests/test_data/regtest/config/prep.yaml index 886871f..02fc9dc 100644 --- a/tests/test_data/regtest/config/prep.yaml +++ b/tests/test_data/regtest/config/prep.yaml @@ -25,12 +25,10 @@ config_prep: status=$? [[ $status -ne 0 ]] && exit $status - export DO_MAKEPREPBUFR="{tools.YES_NO(doc.data_assimilation.DO_MAKEPREPBUFR)}" # if NO, will copy prepbufr from globaldump + export DO_MAKEPREPBUFR="{tools.YES_NO(doc.settings.realtime)}" # if NO, will copy prepbufr from globaldump # Relocation and syndata QC - export PROCESS_TROPCY="{tools.YES_NO(doc.data_assimilation.PROCESS_TROPCY)}" [[ $RUN_ENVIR == "nco" && $envir == "prod" ]] && export PROCESS_TROPCY="YES" - export DO_RELOCATE="{tools.YES_NO(doc.data_assimilation.DO_RELOCATE)}" export TROPCYQCRELOSH="$HOMEgfs/scripts/extropcy_qc_reloc.sh.ecf" export SENDCOM="YES" @@ -40,17 +38,15 @@ config_prep: export USHRELO=${{HOMERELO}}/ush export cycle="t\"$cyc\"z" - export OPREFIX="{doc.data_assimilation.OPREFIX}" export COMOUT="$ROTDIR/$CDUMP.$PDY/$cyc" [[ ! -d $COMOUT ]] && mkdir -p $COMOUT - export COMIN_OBS={doc.data_assimilation.COMIN_OBS} export COMSP=$COMIN_OBS/$OPREFIX ############################################################### # If ROTDIR_DUMP=YES, copy dump files to rotdir if [ $ROTDIR_DUMP = "YES" ]; then - $HOMEgfs/ush/getdump.sh $CDATE $CDUMP {doc.data_assimilation.COM_OBS}/$CDATE/$CDUMP$DUMP_SUFFIX $COMOUT + $HOMEgfs/ush/getdump.sh $CDATE $CDUMP /$CDATE/$CDUMP$DUMP_SUFFIX $COMOUT status=$? [[ $status -ne 0 ]] && exit $status @@ -61,7 +57,7 @@ config_prep: GDUMP=gdas gCOMOUT="$ROTDIR/$GDUMP.$gPDY/$gcyc" if [ ! -s $gCOMOUT/$GDUMP.t\$gcyc\z.updated.status.tm00.bufr_d ]; then - $HOMEgfs/ush/getdump.sh $GDATE $GDUMP {doc.data_assimilation.COM_OBS}/$GDATE/$GDUMP$DUMP_SUFFIX $gCOMOUT + $HOMEgfs/ush/getdump.sh $GDATE $GDUMP /$GDATE/$GDUMP$DUMP_SUFFIX $gCOMOUT status=$? [[ $status -ne 0 ]] && exit $status fi @@ -85,24 +81,19 @@ config_prep: fi fi - [[ $ROTDIR_DUMP = "YES" ]] && rm $COMOUT/{doc.data_assimilation.OPREFIX}syndata.tcvitals.tm00 + [[ $ROTDIR_DUMP = "YES" ]] && rm $COMOUT/syndata.tcvitals.tm00 $HOMEgfs/jobs/JGLOBAL_TROPCY_QC_RELOC status=$? [[ $status -ne 0 ]] && exit $status else - [[ $ROTDIR_DUMP = "NO" ]] && cp $COMIN_OBS/{doc.data_assimilation.OPREFIX}syndata.tcvitals.tm00 $COMOUT/ + [[ $ROTDIR_DUMP = "NO" ]] && cp $COMIN_OBS/syndata.tcvitals.tm00 $COMOUT/ fi ############################################################### # Generate prepbufr files from dumps or copy from OPS if [ $DO_MAKEPREPBUFR = "YES" ]; then - if [ $ROTDIR_DUMP = "YES" ]; then - rm $COMOUT/{doc.data_assimilation.OPREFIX}prepbufr - rm $COMOUT/{doc.data_assimilation.OPREFIX}prepbufr.acft_profiles - rm $COMOUT/{doc.data_assimilation.OPREFIX}nsstbufr - fi export job="j\"$CDUMP\"_prep_\"$cyc export DATAROOT="$RUNDIR/$CDATE/$CDUMP/prepbufr" export COMIN="$ROTDIR/$CDUMP.$PDY/$cyc" @@ -115,9 +106,9 @@ config_prep: else if [ $ROTDIR_DUMP = "NO" ]; then - $NCP $COMIN_OBS/{doc.data_assimilation.OPREFIX}prepbufr $COMOUT/{doc.data_assimilation.OPREFIX}prepbufr - $NCP $COMIN_OBS/{doc.data_assimilation.OPREFIX}prepbufr.acft_profiles $COMOUT/{doc.data_assimilation.OPREFIX}prepbufr.acft_profiles - [[ $DONST = "YES" ]] && $NCP $COMIN_OBS/{doc.data_assimilation.OPREFIX}nsstbufr $COMOUT/{doc.data_assimilation.OPREFIX}nsstbufr + $NCP prepbufr $COMOUT/prepbufr + $NCP prepbufr.acft_profiles $COMOUT/prepbufr.acft_profiles + [[ $DONST = "YES" ]] && $NCP $COMIN_OBS/nsstbufr $COMOUT/nsstbufr fi fi diff --git a/tests/test_data/regtest/config/prepbufr.yaml b/tests/test_data/regtest/config/prepbufr.yaml deleted file mode 100644 index 4e086a6..0000000 --- a/tests/test_data/regtest/config/prepbufr.yaml +++ /dev/null @@ -1,37 +0,0 @@ -# This file is used to generate config.prepbufr, which is used to -# generate the prepbuf file that contains some of the observations -# sent into the GFS and GDAS analysis. The observation processing -# suite is not included in this release, so this file is ignored. - -config_prepbufr: - filename: config.prepbufr - content: !expand | - #!/bin/ksh -x - - # This file is automatically generated from the YAML-based system - # in ecf/ecfutils/. Any changes will be overwritten if - # setup_case.sh is rerun. - - ########## config.prepbufr ########## - # PREPBUFR specific configuration - - echo "BEGIN: config.prepbufr" - - # Get task specific resources - . $EXPDIR/config.resources prepbufr - - # Set variables - #------------------------------ - if [ $machine = "THEIA" ]; then - #------------------------------ - - export GESROOT=/scratch4/NCEPDEV/rstprod/nwges/prod # set by module prod_envir on WCOSS_C - - elif [ $machine = "JET" ]; then - - export GESROOT=/mnt/lfs3/projects/hfv3gfs/glopara/nwges/prod # this is a dummy dir - - fi - - echo "END: config.prepbufr" - diff --git a/tests/test_data/regtest/config/relocate.yaml b/tests/test_data/regtest/config/relocate.yaml deleted file mode 100644 index 52ef9dd..0000000 --- a/tests/test_data/regtest/config/relocate.yaml +++ /dev/null @@ -1,50 +0,0 @@ -# This file is used to generate config.relocate, which controls -# observation pre-processing. The output of this is sent into the GFS -# or GDAS analysis. The observation processing system is not part of -# this public release, so this file is ignored. - -config_relocate: - filename: config.relocate - content: !expand | - #!/bin/ksh -x - - ########## config.relocate ########## - # Prep step specific - - echo "BEGIN: config.relocate" - - set -x - # Relocation and syndata QC - export PROCESS_TROPCY="{tools.YES_NO(doc.data_assimilation.PROCESS_TROPCY)}" - [[ $RUN_ENVIR == "nco" && $envir == "prod" ]] && export PROCESS_TROPCY="YES" - export DO_RELOCATE="{tools.YES_NO(doc.data_assimilation.DO_RELOCATE)}" - export TROPCYQCRELOSH="$HOMEgfs/scripts/extropcy_qc_reloc.sh.ecf" - export SENDCOM="YES" - - export HOMERELO=$HOMEgfs - export EXECRELO=${{HOMERELO}}/exec - export FIXRELO=${{HOMERELO}}/fix/fix_am - export USHRELO=${{HOMERELO}}/ush - - export cycle="t\"$cyc\"z" - export OPREFIX="{doc.data_assimilation.OPREFIX}" - - ################################### - # Only used when DO_RELOCATE=YES - # ignore them for now - ################################### - #export machine=${{machine:-WCOSS_C}} - #if [ $machine = WCOSS_C ] ; then - # export APRNGETTX="time aprun -q -j1 -n1 -N1 -d1 -cc depth" - # export APRNRELOC="time aprun -q -j1 -n7 -N1 -d24 -cc depth " - # export APRNSYNDX="time aprun -q -j1 -n1 -N1 -d1 -cc depth" - #fi - - # If PROCESS_TROPCY=NO, copy over tcvitals files to COMROT - if [ $PROCESS_TROPCY = "NO" ]; then - cp $DMPDIR/$CDATE/$CDUMP/{doc.data_assimilation.OPREFIX}syndata.tcvitals.tm00 $COMOUT/. - fi - set +x - - echo "END: config.relocate" - diff --git a/tests/test_data/regtest/config/resources.yaml b/tests/test_data/regtest/config/resources.yaml deleted file mode 100644 index 8566cdb..0000000 --- a/tests/test_data/regtest/config/resources.yaml +++ /dev/null @@ -1,146 +0,0 @@ -# This file is used to tell the underlying scripts how many threads or -# MPI ranks are used for various executed programs. Many of the -# programs listed here are for the full workflow, such as analysis or -# ENKF jobs. Hence, only a few of these are used. Specifically, the -# ones in the post, fcst, and getic sections of the large "if" block. - -config_resources: - filename: "config.resources" - content: !expand | - #!/bin/ksh -x - - # This file is automatically generated from the YAML-based system - # in ecf/ecfutils/. Any changes will be overwritten if - # setup_case.sh is rerun. - - ########## config.resources ########## - # Set resource information for job tasks - # e.g. walltime, node, cores per node, memory etc. - - if [ $# -ne 1 ]; then - - echo "Must specify an input task argument to set resource variables!" - echo "argument can be any one of the following:" - echo "anal fcst post vrfy arch" - echo "eobs eomg eupd ecen efcs epos earc" - echo "postsnd awips gempak" - exit 1 - - fi - - step=$1 - - echo "BEGIN: config.resources" - - if [[ "$step" == "prep" ]] ; then - export npe_prep={doc.aliases.prep.npe} - export npe_node_prep={doc.aliases.prep.npe_node} - - elif [[ "$step" == "prepbufr" ]] ; then - export npe_prepbufr={doc.aliases.prepbufr.npe} - export npe_node_prepbufr={doc.aliases.prepbufr.npe_node} - - elif [[ "$step" == "anal" ]] ; then - export npe_anal={doc.aliases.anal.npe} - export npe_node_anal={doc.aliases.anal.npe_node} - export nth_anal={doc.aliases.anal.nth} - - elif [[ "$step" == "fcst" ]] ; then - if [[ "${{CDUMP:-}}" == gdas ]] ; then - export npe_fcst={doc.aliases.gdasfcst.npe} - export npe_node_fcst={doc.aliases.gdasfcst.npe_node} - else - export npe_fcst_gfs={doc.aliases.gfsfcst.npe} - export npe_fcst={doc.aliases.gfsfcst.npe} - export npe_node_fcst={doc.aliases.gfsfcst.npe_node} - fi - - elif [[ "$step" == "post" ]] ; then - if [[ "${{CDUMP:-}}" == gdas ]] ; then - export npe_post={doc.aliases.gdaspost.npe} - export npe_node_post={doc.aliases.gdaspost.npe_node} - else - export npe_post={doc.aliases.gfspost.npe} - export npe_node_post={doc.aliases.gfspost.npe_node} - fi - - elif [[ "$step" == "vrfy" ]] ; then - export npe_vrfy={doc.aliases.gdasvrfy.npe} - export npe_node_vrfy={doc.aliases.gdasvrfy.npe_node} - export npe_vrfy_gfs={doc.aliases.gfsvrfy.npe} - export npe_node_vrfy_gfs={doc.aliases.gfsvrfy.npe_node} - - elif [[ "$step" == "arch" ]] ; then - export npe_arch={doc.aliases.arch.npe} - export npe_node_arch={doc.aliases.arch.npe_node} - - elif [[ "$step" == "earc" ]] ; then - export npe_earc={doc.aliases.earc.npe} - export npe_node_earc={doc.aliases.earc.npe_node} - - elif [[ "$step" == "getic" ]] ; then - export npe_getic={doc.aliases.getic.npe} - export npe_node_getic={doc.aliases.getic.npe_node} - - elif [[ "$step" == "eobs" ]] ; then - export npe_eobs={doc.aliases.eobs.npe} - export npe_node_eobs={doc.aliases.eobs.npe_node} - export nth_eobs={doc.aliases.eobs.nth} - - elif [[ "$step" == "eomg" ]] ; then - export npe_eobs={doc.aliases.eomg.npe} - export npe_node_eobs={doc.aliases.eomg.npe_node} - export nth_eobs={doc.aliases.eomg.nth} - - elif [[ "$step" == "eupd" ]] ; then - export npe_eupd={doc.aliases.eupd.npe} - export npe_node_eupd={doc.aliases.eupd.npe_node} - export nth_eupd={doc.aliases.eupd.nth} - - elif [[ "$step" == "ecen" ]] ; then - export npe_ecen={doc.aliases.ecen.npe} - export npe_node_ecen={doc.aliases.ecen.npe_node} - export nth_ecen={doc.aliases.ecen.nth} - export nth_cycle=$nth_ecen - - elif [[ "$step" == "efcs" ]] ; then - export npe_efcs={doc.aliases.efcs.npe} - export npe_node_efcs={doc.aliases.efcs.npe_node} - export nth_efcs={doc.aliases.efcs.nth} - - elif [[ "$step" == "epos" ]] ; then - export npe_epos={doc.aliases.epos.npe} - export npe_node_epos={doc.aliases.epos.npe_node} - export nth_epos={doc.aliases.epos.nth} - - elif [[ "$step" == "awips_20km_1p0" ]] ; then - export npe_awips={doc.aliases.awips_20km_1p0.npe} - export npe_node_awips={doc.aliases.awips_20km_1p0.npe_node} - - elif [[ "$step" == "awips_g2" ]] ; then - export npe_awips={doc.aliases.awips_g2.npe} - export npe_node_awips={doc.aliases.awips_g2.npe_node} - - elif [[ "$step" == "postsnd" ]] ; then - export npe_postsnd={doc.aliases.postsnd.npe} - export npe_node_postsnd={doc.aliases.postsnd.npe_node} - export npe_postsndcfp={doc.aliases.postsndcfp.npe} - export npe_node_postsndcfp={doc.aliases.postsndcfp.npe_node} - - elif [[ "$step" == "gempak" ]] ; then - if [[ "$CDUMP" == gdas ]] ; then - export npe_gempak={doc.aliases.gdas_gempak.npe} - export npe_node_gempak={doc.aliases.gdas_gempak.npe_node} - else - export npe_gempak={doc.aliases.gfs_gempak.npe} - export npe_node_gempak={doc.aliases.gfs_gempak.npe_node} - fi - - else - - echo "Invalid step = $step, ABORT!" - exit 2 - - fi - - echo "END: config.resources" diff --git a/tests/test_data/regtest/config/vrfy.yaml b/tests/test_data/regtest/config/vrfy.yaml deleted file mode 100644 index d7a1dd9..0000000 --- a/tests/test_data/regtest/config/vrfy.yaml +++ /dev/null @@ -1,232 +0,0 @@ -# This file is used to generate config.vrfy which runs some of the -# post-processing and the VSDB. That functionality is not included in -# this release, so this file is ignored. - -config_vrfy: - filename: config.vrfy - content: !expand | - #!/bin/ksh -x - - # This file is automatically generated from the YAML-based system - # in ecf/ecfutils/. Any changes will be overwritten if - # setup_case.sh is rerun. - - ########## config.vrfy ########## - # Verification step specific - - echo "BEGIN: config.vrfy" - - # Get task specific resources - . $EXPDIR/config.resources vrfy - - export VDUMP="{doc.downstream.VDUMP}" # verifying dump - export CDUMPFCST="{doc.downstream.CDUMPFCST}" # Fit-to-obs with GDAS/GFS prepbufr - export CDFNL="{doc.downstream.CDFNL}" # Scores verification against GDAS/GFS analysis - - export MKPGB4PRCP="{tools.YES_NO(doc.downstream.MKPGB4PRCP)}" # make 0.25-deg pgb files in ARCDIR for precip verification - export VRFYFITS="{tools.YES_NO(doc.downstream.VRFYFITS)}" # Fit to observations - export VSDB_STEP1="{tools.YES_NO(doc.downstream.VSDB_STEP1)}" # populate VSDB database - export VSDB_STEP2="{tools.YES_NO(doc.downstream.VSDB_STEP2)}" - export VRFYG2OBS="{tools.YES_NO(doc.downstream.VRFYG2OBS)}" # Grid to observations, see note below if turning ON - export VRFYPRCP="{tools.YES_NO(doc.downstream.VRFYPRCP)}" # Precip threat scores - export VRFYRAD="{tools.YES_NO(doc.downstream.VRFYRAD)}" # Radiance data assimilation monitoring - export VRFYOZN="{tools.YES_NO(doc.downstream.VRFYOZN)}" # Ozone data assimilation monitoring - export VRFYMINMON="{tools.YES_NO(doc.downstream.VRFYMINMON)}" # GSI minimization monitoring - export VRFYTRAK="{tools.YES_NO(doc.downstream.VRFYTRAK)}" # Hurricane track verification - export VRFYGENESIS="{tools.YES_NO(doc.downstream.VRFYGENESIS)}" # Cyclone genesis verification - export RUNMOS="{tools.YES_NO(doc.downstream.RUNMOS)}" # whether to run entire MOS package - - #------------------------------------------------- - # Fit to Observations - #------------------------------------------------- - - if [ $VRFYFITS = "YES" ]; then - - export PRVT=$HOMEgfs/fix/fix_gsi/prepobs_errtable.global - export HYBLEVS=$HOMEgfs/fix/fix_am/global_hyblev.l65.txt - export CUE2RUN=$QUEUE - - if [ $machine = "WCOSS_C" ]; then - export fitdir="$BASE_SVN/verif/global/parafits.fv3nems/batrun" - export PREPQFITSH="$fitdir/subfits_cray_nems" - elif [ $machine = "WCOSS_DELL_P3" ]; then - export fitdir="$BASE_SVN/verif/global/parafits.fv3nems/batrun" - export PREPQFITSH="$fitdir/subfits_dell_nems" - elif [ $machine = "THEIA" ]; then - export fitdir="$BASE_SVN/verif/global/parafits.fv3nems/batrun" - export PREPQFITSH="$fitdir/subfits_theia_nems" - elif [ $machine = "JET" ]; then - export fitdir="$BASE_GIT/verif/global/parafits.fv3nems.dell/batrun" - export PREPQFITSH="$fitdir/subfits_jet_nems" - fi - - fi - - - #---------------------------------------------------------- - # VSDB STEP1, Verify Precipipation and Grid To Obs options - #---------------------------------------------------------- - # All these call $VSDBSH - - if [[ "$CDUMP" = "gfs" ]] ; then - ddd=`echo $CDATE |cut -c 1-8` - #if [ $ddd -eq 5 -o $ddd -eq 10 ]; then export VSDB_STEP2 = "YES" ;fi - - if [ $VSDB_STEP1 = "YES" -o $VSDB_STEP2 = "YES" -o $VRFYPRCP = "YES" -o $VRFYG2OBS = "YES" ]; then - export BACKDATEVSDB=24 # execute vsdbjob for the previous day - export VBACKUP_PRCP=24 # back up for QPF verification data - export vsdbsave="$NOSCRUB/archive/vsdb_data" # place to save vsdb database - export vsdbhome=$BASE_VERIF # location of global verification scripts - export VSDBSH="$vsdbhome/vsdbjob.sh" # VSDB job script - export vlength=$FHMAX_GFS # verification length - export vhr_rain=$FHMAX_GFS # verification length for precip - export ftyplist="pgbq" # verif. files used for computing QPF ETS scores - export ptyplist="PRATE" # precip types in GRIB: PRATE or APCP - export anltype="gfs" # default=gfs, analysis type (gfs or gdas) for verification - export rain_bucket=6 # prate in pgb files is 6-hr accumulated - - export VSDB_START_DATE="$SDATE" # starting date for vsdb maps - export webhost="emcrzdm.ncep.noaa.gov" # webhost(rzdm) computer - export webhostid="$USER" # webhost(rzdm) user name - export SEND2WEB="NO" # whether or not to send maps to webhost - export WEBDIR="/home/people/emc/www/htdocs/gmb/${{webhostid}}/vsdb/$PSLOT" - export mdlist="gfs $PSLOT " # exps (up to 10) to compare in maps - fi - fi - - - #---------------------------------------------------------- - # Minimization, Radiance and Ozone Monitoring - #---------------------------------------------------------- - - if [ $VRFYRAD = "YES" -o $VRFYMINMON = "YES" -o $VRFYOZN = "YES" ]; then - - export envir="para" - - # Radiance Monitoring - if [[ "$VRFYRAD" == "YES" && "$CDUMP" == "$CDFNL" ]] ; then - - export RADMON_SUFFIX=$PSLOT - export TANKverf="$NOSCRUB/monitor/radmon" - if [ $machine = "WCOSS_C" -o $machine = "WCOSS_DELL_P3" -o $machine = "THEIA" -o $machine = "JET" ]; then - export VRFYRADSH="$HOMEgfs/jobs/JGDAS_VERFRAD" - else - echo "WARNING: Radiance monitoring is not enabled on $machine!" - export VRFYRAD="NO" - fi - - fi - - # Minimization Monitoring - if [[ "$VRFYMINMON" = "YES" ]] ; then - - export MINMON_SUFFIX=$PSLOT - export M_TANKverf="$NOSCRUB/monitor/minmon" - if [ $machine = "WCOSS_C" -o $machine = "WCOSS_DELL_P3" -o $machine = "THEIA" -o $machine = "JET" ]] ; then - if [[ "$CDUMP" = "gdas" ]] ; then - export VRFYMINSH="$HOMEgfs/jobs/JGDAS_VMINMON" - elif [[ "$CDUMP" = "gfs" ]] ; then - export VRFYMINSH="$HOMEgfs/jobs/JGFS_VMINMON" - fi - else - echo "WARNING: Minimization monitoring is not enabled on $machine!" - export VRFYMINMON="NO" - fi - - fi - - # Ozone Monitoring - if [[ "$VRFYOZN" == "YES" && "$CDUMP" == "$CDFNL" ]] ; then - - export HOMEgfs_ozn="$HOMEgfs" - export OZNMON_SUFFIX=$PSLOT - export TANKverf_ozn="$NOSCRUB/monitor/oznmon" - if [ $machine = "WCOSS_C" -o $machine = "WCOSS_DELL_P3" -o $machine = "THEIA" -o $machine = "JET" ]; then - export VRFYOZNSH="$HOMEgfs/jobs/JGDAS_VERFOZN" - else - echo "WARNING: Ozone monitoring is not enabled on $machine!" - export VRFYOZN="NO" - fi - - fi - - fi - - - #------------------------------------------------- - # Cyclone genesis and cyclone track verification - #------------------------------------------------- - - if [ $machine = "WCOSS_C" ] ; then - export ens_tracker_ver=v1.1.13.1 - export HOMEens_tracker=/gpfs/hps3/emc/global/noscrub/emc.glopara/git/ens_tracker.${{ens_tracker_ver}} - elif [ $machine = "WCOSS_DELL_P3" ] ; then - export ens_tracker_ver=v1.1.13.1 - export HOMEens_tracker=/gpfs/dell2/emc/modeling/noscrub/emc.glopara/git/ens_tracker.${{ens_tracker_ver}} - elif [ $machine = "THEIA" ] ; then - export ens_tracker_ver=v2.0.1 - export HOMEens_tracker=/scratch4/NCEPDEV/global/save/glopara/svn/gfs/branches/gfs_q3fy17/ens_tracker.${{ens_tracker_ver}} - elif [ $machine = "JET" ]; then - export ens_tracker_ver=v1.1.13.2 - export HOMEens_tracker=/mnt/lfs3/projects/hfv3gfs/glopara/git/tracker/ens_tracker.${{ens_tracker_ver}} - else - echo "WARNING: Genesis and cyclone verifications are not enabled on $machine!" - export VRFYGENESIS="NO" - export VRFYTRAK="NO" - fi - - - if [ "$VRFYTRAK" = "YES" ]; then - - export TRACKERSH="$HOMEgfs/jobs/JGFS_CYCLONE_TRACKER" - if [ $CDUMP = "gdas" ]; then - export FHOUT_CYCLONE={doc.downstream.FHOUT_CYCLONE_GDAS} - export FHMAX_CYCLONE=$FHMAX - else - export FHOUT_CYCLONE={doc.downstream.FHOUT_CYCLONE_GFS} - export FHMAX_CYCLONE=$(( FHMAX_GFS<240 ? FHMAX_GFS : 240 )) - fi - if [ $machine = "THEIA" ]; then - export COMROOTp1="/scratch4/NCEPDEV/rstprod/com" - export COMINsyn="$COMROOTp1/arch/prod/syndat" - elif [ $machine = "JET" ]; then - export TRACKERSH="$HOMEens_tracker/jobs/JGFS_CYCLONE_TRACKER" - export COMINsyn="/mnt/lfs3/projects/hwrf-data/hwrf-input/SYNDAT" - fi - fi - - - if [[ "$VRFYGENESIS" == "YES" && "$CDUMP" == "gfs" ]]; then - - if [ $machine = "WCOSS_C" -o $machine = "WCOSS_DELL_P3" ] ; then - export GENESISSH="$HOMEgfs/jobs/JGFS_CYCLONE_GENESIS" - elif [ $machine = "THEIA" ] ; then - export GENESISSH="$HOMEens_tracker/gfs_genesis_para_fv3gfs.sh" - export UTILROOT="/scratch4/NCEPDEV/global/save/glopara/nwpara/prod_util.v1.0.15" - export GETTX_GEN="$NWROOTGENESIS/ens_tracker.v2.0.1/exec/gettrk_gen_g2_theia" - elif [ $machine = "JET" ] ; then - export GENESISSH="$HOMEens_tracker/jobs/JGFS_CYCLONE_GENESIS" - export UTILROOT="/lfs3/projects/hwrf-vd/soft/prod_util-1.0.18" - export GETTX_GEN="$HOMEens_tracker/exec/gettrk_gen_g2" - else - echo "WARNING: Genesis verification is not enabled on $machine!" - export VRFYGENESIS="NO" - export GENESISSH="" - fi - fi - - if [[ "$RUNMOS" == "YES" && "$CDUMP" == "gfs" ]]; then - - if [ $machine = "WCOSS_C" ] ; then - export RUNGFSMOSSH="$HOMEgfs/scripts/run_gfsmos_master.sh.cray" - elif [ $machine = "WCOSS_DELL_P3" ] ; then - export RUNGFSMOSSH="$HOMEgfs/scripts/run_gfsmos_master.sh.dell" - else - echo "WARNING: MOS package is not enabled on $machine!" - export RUNMOS="NO" - export RUNGFSMOSSH="" - fi - fi - - echo "END: config.vrfy" - diff --git a/tests/test_data/regtest/config/wafs.yaml b/tests/test_data/regtest/config/wafs.yaml deleted file mode 100644 index bc96330..0000000 --- a/tests/test_data/regtest/config/wafs.yaml +++ /dev/null @@ -1,38 +0,0 @@ -# This file is used to generate config.wafs, which has settings for the GFS wafs step - -config_wafs: - filename: config.wafs - content: !expand | - #!/bin/ksh -x - - # This file is automatically generated from the YAML-based system - # in ecf/ecfutils/. Any changes will be overwritten if - # setup_case.sh is rerun. - - ########## config.wafs ########## - # GFS wafs step specific - - echo "BEGIN: config.wafs" - - export SENDCOM="YES" - - export FIXgfs=$HOMEgfs/fix/wafs - export USHgfs=$HOMEgfs/gempak/ush - export PARMgfs=$HOMEgfs/parm/wafs - - export COMIN="$ROTDIR/$CDUMP.$PDY/$cyc" - export COMOUT="$ROTDIR/$CDUMP.$PDY/$cyc" - export COMOUTwmo="$COMOUT/wmo" - export PCOM="$COMOUT/wmo" - - export DATAROOT="$RUNDIR/$CDATE/$CDUMP" - export DATA="$DATAROOT/$job" - - ########################################## - ## Source machine runtime environment - ########################################### - . $HOMEgfs/env/{doc.platform.name}.env wafs - status=$? - [[ $status -ne 0 ]] && exit $status - - echo "END: config.wafs" diff --git a/tests/test_data/regtest/config/wafs_gcip.yaml b/tests/test_data/regtest/config/wafs_gcip.yaml deleted file mode 100644 index 09c9bda..0000000 --- a/tests/test_data/regtest/config/wafs_gcip.yaml +++ /dev/null @@ -1,33 +0,0 @@ -# This file is used to generate config.wafs_gcip, which has settings for the GFS wafs_gcip step - -config_wafs_gcip: - filename: config.wafs_gcip - content: !expand | - #!/bin/ksh -x - - # This file is automatically generated from the YAML-based system - # in ecf/ecfutils/. Any changes will be overwritten if - # setup_case.sh is rerun. - - ########## config.wafs_gcip ########## - # GFS wafs_gcip step specific - - echo "BEGIN: config.wafs_gcip" - - export SENDCOM="YES" - - export COMIN="$ROTDIR/$CDUMP.$PDY/$cyc" - export COMINgfs="$ROTDIR/gfs.$PDY/$cyc" - export COMOUT="$ROTDIR/$CDUMP.$PDY/$cyc" - export TMPDIR=$STMP - export DATAROOT="$RUNDIR/$CDATE/$CDUMP" - export DATA="$DATAROOT/$job" - - ########################################## - ## Source machine runtime environment - ########################################### - . $HOMEgfs/env/{doc.platform.name}.env wafs - status=$? - [[ $status -ne 0 ]] && exit $status - - echo "END: config.wafs_gcip" diff --git a/tests/test_data/regtest/control/defs/regtest_tmp/regtest_tmp_2016021000.def b/tests/test_data/regtest/control/defs/regtest_tmp/regtest_tmp_2016021000.def new file mode 100644 index 0000000..d8f39b7 --- /dev/null +++ b/tests/test_data/regtest/control/defs/regtest_tmp/regtest_tmp_2016021000.def @@ -0,0 +1,53 @@ +extern /totality_limit:TOTALITY +suite regtest_tmp_2016021000 + autocancel 5 + edit PDY '20160210' + + edit ECF_TRIES '5' + # edit ECF_HOME '/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/regtest/../test_data/regtest/cache' + edit CYC '00' + edit MACHINE 'venus' + edit ENVIR 'prod' + edit ECF_OUT '/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/regtest/../test_data/regtest/cache/output' + edit ECF_LOG '/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/regtest/../test_data/regtest/cache/ecf.log' + edit E 'j' + edit ECF_INCLUDE '/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/regtest/../test_data/regtest/cache/include' + edit COM '/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/cache/comrot/regtest_tmp' + + + + + edit QUEUE 'dev' + edit QUEUESHARED 'dev' + edit QUEUESERV 'dev' + edit CPU_PROJECT 'none' + + # EMC overrides. Should remove before implementation: + edit DATAROOT '/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/cache/RUNDIRS/regtest_tmp' + edit NWROOT '/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow' + + edit ECF_FILES '/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/regtest/../test_data/regtest/cache/scripts/regtest_tmp' + family gdas # /regtest_tmp_2016021000/gdas + edit RUN 'gdas' + task jgdas_tropcy_cp + edit ECF_DUMMY_TASK '' + defstatus complete + family forecast # /regtest_tmp_2016021000/gdas/forecast + task jgdas_forecast_high + endfamily # /regtest_tmp_2016021000/gdas/forecast + family post # /regtest_tmp_2016021000/gdas/post + task jgdas_post_manager + trigger ../forecast == active + event 1 release_postanl + event 2 release_post + task jgdas_post_anl + edit FHR 'anl' + trigger ./jgdas_post_manager:release_postanl + event 1 release_pgrb2_anl + endfamily # /regtest_tmp_2016021000/gdas/post + endfamily # /regtest_tmp_2016021000/gdas + task cycle_end + edit ECF_JOB_CMD '%ECF_JOB% 1> %ECF_JOBOUT% 2>&1' + edit ECF_KILL_CMD 'kill -15 %ECF_RID%' + time 23:00 +endsuite # /regtest_tmp_2016021000 diff --git a/tests/test_data/regtest/control/expdir/regtest_tmp/_main.yaml b/tests/test_data/regtest/control/expdir/regtest_tmp/_main.yaml new file mode 100644 index 0000000..1ef81b9 --- /dev/null +++ b/tests/test_data/regtest/control/expdir/regtest_tmp/_main.yaml @@ -0,0 +1,19 @@ +# This file is copied into the experiment directory as _main.yaml. The +# Rocoto and ecFlow workflow generation scripts use it to decide what +# YAML files to read from the experiment directory + +#NOTE: If you update this file, you must also update worktools.py +# variables: YAML_DIRS_TO_COPY, YAML_FILES_TO_COPY, and +# function make_yaml_files + +include: + - names.yaml + - platform.yaml + - 'static*yaml' + - schema/ + - defaults/ + - case.yaml + - runtime/ + - workflow.yaml + - config/ + diff --git a/tests/test_data/regtest/control/expdir/regtest_tmp/case.yaml b/tests/test_data/regtest/control/expdir/regtest_tmp/case.yaml new file mode 100644 index 0000000..b9fd290 --- /dev/null +++ b/tests/test_data/regtest/control/expdir/regtest_tmp/case.yaml @@ -0,0 +1,13 @@ +case: + + places: + workflow_file: workflow/regression.yaml + + settings: + SDATE: 2016-02-10t00:00:00 + EDATE: 2016-02-12t00:00:00 + + DUMP_SUFFIX: "p" + run_gsi: No + chgres_and_convert_ics: No + gfs_cyc: 4 # run gfs every cycle diff --git a/tests/test_data/regtest/control/expdir/regtest_tmp/config.anal b/tests/test_data/regtest/control/expdir/regtest_tmp/config.anal new file mode 100644 index 0000000..fc0a6bd --- /dev/null +++ b/tests/test_data/regtest/control/expdir/regtest_tmp/config.anal @@ -0,0 +1,84 @@ +#!/bin/ksh -x + +########## config.anal ########## +# Analysis specific + +echo "BEGIN: config.anal" + +# Get task specific resources +. $EXPDIR/config.resources anal + +if [ $DONST = "YES" ]; then + . $EXPDIR/config.nsst +fi + +if [[ "$CDUMP" = "gfs" ]] ; then + export USE_RADSTAT="NO" # This can be only used when bias correction is not-zero. + export GENDIAG="NO" + export SETUP='diag_rad=.false.,diag_pcp=.false.,diag_conv=.false.,diag_ozone=.false.,write_diag(3)=.false.,' + export DIAG_TARBALL="NO" +fi + +export ANALYSISSH="$HOMEgsi/scripts/exglobal_analysis_fv3gfs.sh.ecf" +export npe_gsi=$npe_anal + +export GSNDBF=/dev/null +export AMSREBF=/dev/null +export SSMITBF=/dev/null +export AMSR2BF=/dev/null + +export REALTIME="NO" # Run GFS AWIPS + +# Set CONVINFO and SATINFO for retrospective parallels +if [ $REALTIME = "NO" ]; then + + # Set CONVINFO + if [[ "$CDATE" -ge "2018053012" ]]; then + export CONVINFO=$FIXgsi/fv3_historical/global_convinfo.txt.2018053012 + elif [[ "$CDATE" -ge "2018022818" ]]; then + export CONVINFO=$FIXgsi/fv3_historical/global_convinfo.txt.2018022818 + elif [[ "$CDATE" -ge "2018010512" ]]; then + export CONVINFO=$FIXgsi/fv3_historical/global_convinfo.txt.2018010512 + elif [[ "$CDATE" -ge "2017071912" ]]; then + export CONVINFO=$FIXgsi/fv3_historical/global_convinfo.txt.2017071912 + elif [[ "$CDATE" -ge "2016031512" ]]; then + export CONVINFO=$FIXgsi/fv3_historical/global_convinfo.txt.2016031512 + elif [[ "$CDATE" -ge "2014041400" ]]; then + export CONVINFO=$FIXgsi/fv3_historical/global_convinfo.txt.2014041400 + else + echo "WARNING: No CONVINFO for $CDATE" + fi + + # Set SATINFO + if [[ "$CDATE" -ge "2018053012" ]]; then + export SATINFO=$FIXgsi/fv3_historical/global_satinfo.txt.2018053012 + elif [[ "$CDATE" -ge "2018021212" ]]; then + export SATINFO=$FIXgsi/fv3_historical/global_satinfo.txt.2018021212 + elif [[ "$CDATE" -ge "2017103118" ]]; then + export SATINFO=$FIXgsi/fv3_historical/global_satinfo.txt.2017103118 + elif [[ "$CDATE" -ge "2017031612" ]]; then + export SATINFO=$FIXgsi/fv3_historical/global_satinfo.txt.2017031612 + elif [[ "$CDATE" -ge "2017030812" ]]; then + export SATINFO=$FIXgsi/fv3_historical/global_satinfo.txt.2017030812 + elif [[ "$CDATE" -ge "2016110812" ]]; then + export SATINFO=$FIXgsi/fv3_historical/global_satinfo.txt.2016110812 + elif [[ "$CDATE" -ge "2016090912" ]]; then + export SATINFO=$FIXgsi/fv3_historical/global_satinfo.txt.2016090912 + elif [[ "$CDATE" -ge "2016020312" ]]; then + export SATINFO=$FIXgsi/fv3_historical/global_satinfo.txt.2016020312 + elif [[ "$CDATE" -ge "2016011912" ]]; then + export SATINFO=$FIXgsi/fv3_historical/global_satinfo.txt.2016011912 + elif [[ "$CDATE" -ge "2015111012" ]]; then + export SATINFO=$FIXgsi/fv3_historical/global_satinfo.txt.2015111012 + elif [[ "$CDATE" -ge "2015100118" ]]; then + export SATINFO=$FIXgsi/fv3_historical/global_satinfo.txt.2015100118 + elif [[ "$CDATE" -ge "2015070218" ]]; then + export SATINFO=$FIXgsi/fv3_historical/global_satinfo.txt.2015070218 + elif [[ "$CDATE" -ge "2015011412" ]]; then + export SATINFO=$FIXgsi/fv3_historical/global_satinfo.txt.2015011412 + else + echo "WARNING: No SATINFO for $CDATE" + fi +fi + +echo "END: config.anal" diff --git a/tests/test_data/regtest/control/expdir/regtest_tmp/config.base b/tests/test_data/regtest/control/expdir/regtest_tmp/config.base new file mode 100644 index 0000000..d8c8bcf --- /dev/null +++ b/tests/test_data/regtest/control/expdir/regtest_tmp/config.base @@ -0,0 +1,137 @@ +#!/bin/ksh -x + +# This file is automatically generated from the YAML-based system +# in ecf/ecfutils/. Any changes will be overwritten if +# setup_case.sh is rerun. + +########## config.base ########## +# Common to all steps + +echo "BEGIN: config.base" + +# Machine environment +export machine="sandbox" + +# EMC parallel or NCO production +export RUN_ENVIR="emc" + +# Account, queue, etc. +export ACCOUNT="none" # This comes from user.yaml +export QUEUE="dev" +export QUEUE_ARCH="dev" + +# Project to use in mass store: +HPSS_PROJECT=none + +# Directories relative to installation areas: +export HOMEgfs="/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW" +export PARMgfs=$HOMEgfs/parm +export FIXgfs=$HOMEgfs/fix +export USHgfs=$HOMEgfs/ush +export UTILgfs=$HOMEgfs/util +export EXECgfs=$HOMEgfs/exec +export SCRgfs=$HOMEgfs/scripts + +######################################################################## + +# GLOBAL static environment parameters +export NWPROD="/gpfs/hps/nco/ops/nwprod" +export DMPDIR="/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/cache" +export RTMFIX="$CRTM_FIX" + +export HOMEDIR="/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/cache/save/jiankuang" +export STMP="/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/cache/$USER" +export PTMP="/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/cache/$USER" +export NOSCRUB="/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/cache/noscrub/jiankuang" + +# Base directories for various builds +export BASE_GIT="/gpfs/hps3/emc/global/noscrub/emc.glopara/git" +export BASE_SVN="/gpfs/hps3/emc/global/noscrub/emc.glopara/svn" + +# Utilities needed in the scripts (mostly post) +sandbox + +# Toggle to turn on/off GFS downstream processing. +export DO_BUFRSND="YES" # Run GFS_POSTSND +export DO_GEMPAK="YES" # Run GFS GEMPAK +export DO_AWIPS="NO" # Run GFS AWIPS + +export REALTIME="NO" + +#################################################### +# DO NOT ADD MACHINE DEPENDENT STUFF BELOW THIS LINE +# IF YOU HAVE TO MAKE MACHINE SPECIFIC CHANGES BELOW +# FEEL FREE TO MOVE THEM ABOVE THIS LINE TO KEEP IT +# CLEAR +#################################################### +# Build paths relative to $HOMEgfs +export HOMEgsi="$HOMEgfs" +export FIXgsi="$HOMEgfs/fix/fix_gsi" +export HOMEfv3gfs="$HOMEgfs/sorc/fv3gfs.fd" +export HOMEpost="$HOMEgfs" +export HOMEobsproc_prep="/gpfs/hps3/emc/global/noscrub/emc.glopara/git/obsproc/obsproc_prep_RB-5.2.0" +export HOMEobsproc_network="/gpfs/hps3/emc/global/noscrub/emc.glopara/git/obsproc/obsproc_global_RB-3.2.0" +export BASE_VERIF="$BASE_SVN/verif/global/tags/vsdb" + +# CONVENIENT utility scripts and other environment parameters +export NCP="/bin/cp -p" +export NMV="/bin/mv" +export NLN="/bin/ln -sf" +export VERBOSE="YES" +export KEEPDATA="NO" +export CHGRP_CMD="chgrp rstprod" +export NEMSIOGET="$HOMEgfs/exec/nemsio_get" + +# Machine environment, jobs, and other utility scripts +export BASE_ENV="$HOMEgfs/env" +export BASE_JOB="$HOMEgfs/jobs/rocoto" + +# EXPERIMENT specific environment parameters +export SDATE=2016021000 +export EDATE=2016021200 +export PSLOT="regtest_tmp" +export EXPDIR="/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/cache/expdir/regtest_tmp" +export ROTDIR="/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/cache/comrot/regtest_tmp" +export ROTDIR_DUMP="NO" +export DUMP_SUFFIX="p" +export RUNDIR="/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/cache/RUNDIRS/regtest_tmp" +export ARCDIR="$NOSCRUB/archive/$PSLOT" +export ICSDIR="/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/cache/comrot/regtest_tmp/FV3ICS" + +# Commonly defined parameters in JJOBS +export envir=${envir:-"prod"} +export NET="gfs" +export RUN=${RUN:-${CDUMP:-"gfs"}} +export jlogfile="${ROTDIR}/logs/jlogfile" +#export ERRSCRIPT=${ERRSCRIPT:-'eval [[ $err = 0 ]]'} +#export LOGSCRIPT=${LOGSCRIPT:-""} +export ERRSCRIPT=${ERRSCRIPT:-"err_chk"} +export LOGSCRIPT=${LOGSCRIPT:-"startmsg"} +export REDOUT="1>" +export REDERR="2>" + +export SENDECF="NO" +export SENDCOM="NO" +export SENDDBN="NO" +export SENDSDM="NO" + +# Adjusting COM paths for non-prod experiments +#export PDY=`expr $CDATE | cut -c1-8` +#export GDATE=`$NDATE -06 $CDATE` +#export PDY_m6hrs=`expr $GDATE | cut -c1-8` +#export COMINgfs="$COMROOThps/$NET/$envir/$RUN.$PDY" +#export COMINgfs_m6hrs="$COMROOThps/$NET/$envir/$RUN.$PDY_m6hrs" +#export COMIN="$ROTDIR/$CDUMP.$PDY/$cyc" +#export COMOUT="$ROTDIR/$CDUMP.$PDY/$cyc" +#export COMOUTwmo="$ROTDIR/$CDUMP.$PDY/$cyc/wmo" + +# Resolution specific parameters +export CASE="C192" + +# Output frequency of the forecast model (for cycling) +export FHMIN=12 + +# GFS cycle info +export gfs_cyc=4 # 0: no GFS cycle, 1: 00Z only, 2: 00Z and 12Z only, 4: all 4 cycles. + +echo "END: config.base" diff --git a/tests/test_data/regtest/control/expdir/regtest_tmp/config.fcst b/tests/test_data/regtest/control/expdir/regtest_tmp/config.fcst new file mode 100644 index 0000000..610f6da --- /dev/null +++ b/tests/test_data/regtest/control/expdir/regtest_tmp/config.fcst @@ -0,0 +1,82 @@ +#!/bin/ksh -x + +# This file is automatically generated from the YAML-based system +# in ecf/ecfutils/. Any changes will be overwritten if +# setup_case.sh is rerun. + +########## config.fcst ########## +# Forecast specific + +echo "BEGIN: config.fcst" + +# Source model specific information that is resolution dependent +. $EXPDIR/config.fv3 $CDUMP + +# Get task specific resources +. $EXPDIR/config.resources fcst + +export FORECASTSH="$HOMEgfs/scripts/exglobal_fcst_nemsfv3gfs.sh" +#export FCSTEXECDIR="$HOMEfv3gfs/NEMS/exe" +export FCSTEXECDIR="$HOMEgfs/exec" +export FCSTEXEC="global_fv3gfs.x" +export npe_fv3=$npe_fcst # This is model resolution dependent, see note above + +# Model configuration +export TYPE="False" +export MONO="C192" + +# Use stratosphere h2o physics +export h2o_phys=".false." + +export hord_mt_nh_nonmono='somevalue' + + +#--------------------------------------------------------------------- + + +# Disable the use of coupler.res; get model start time from model_configure +export USE_COUPLER_RES="NO" + +if [[ "$DUMP" == "gdas" ]] ; then # GDAS cycle specific parameters + + # Variables used in DA cycling + if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then + export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_da" + else + export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_da_orig" + fi + + export hord_xx_nh_nonmono="False" + +elif [[ "$DUMP" == "gfs" ]] ; then # GFS cycle specific parameters + + # Write more variables to output + if [ $QUILTING = ".true." -a $OUTPUT_GRID = "gaussian_grid" ]; then + export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table" + else + export DIAG_TABLE="$HOMEgfs/parm/parm_fv3diag/diag_table_orig" + fi + + export hord_xx_nh_nonmono="False" + +fi + +# Regrid tiles to global Gaussian grid in NEMSIO +export REGRID_NEMSIO_SH="$HOMEgfs/ush/fv3gfs_regrid_nemsio.sh" +if [ $DONST = YES ]; then + export REGRID_NEMSIO_TBL="$HOMEgfs/parm/parm_fv3diag/variable_table_da.txt" +else + export REGRID_NEMSIO_TBL="$HOMEgfs/parm/parm_fv3diag/variable_table_da_nonsst.txt" +fi + +# Remap tiles to global latlon grid in NetCDF +export REMAPSH="$HOMEgfs/ush/fv3gfs_remap.sh" + +# Global latlon NetCDF to nemsio utility parameters +export NC2NEMSIOSH="$HOMEgfs/ush/fv3gfs_nc2nemsio.sh" + +# Remember config.efcs will over-ride these values for ensemble forecasts +# if these variables are re-defined there. +# Otherwise, the ensemble forecast will inherit from config.fcst + +echo "END: config.fcst" diff --git a/tests/test_data/regtest/control/expdir/regtest_tmp/config.post b/tests/test_data/regtest/control/expdir/regtest_tmp/config.post new file mode 100644 index 0000000..75a7a6f --- /dev/null +++ b/tests/test_data/regtest/control/expdir/regtest_tmp/config.post @@ -0,0 +1,53 @@ +#!/bin/ksh -x + +# This file is automatically generated from the YAML-based system +# in ecf/ecfutils/. Any changes will be overwritten if +# setup_case.sh is rerun. + +########## config.post ########## +# Post specific + +echo "BEGIN: config.post" + +# Get task specific resources +. $EXPDIR/config.resources post + +# Convert nemsio files to grib files using post job +#------------------------------------------- + +# No. of concurrent post jobs [0 implies sequential] +export NPOSTGRP=False + +# Post driver job that calls gfs_nceppost.sh and downstream jobs +export POSTJJOBSH="$HOMEpost/jobs/JGLOBAL_NCEPPOST" +export GFSDOWNSH="$HOMEpost/ush/fv3gfs_downstream_nems.sh" +export GFSDWNSH="$HOMEpost/ush/fv3gfs_dwn_nems.sh" + +export POSTGPSH="$HOMEpost/ush/gfs_nceppost.sh" +export POSTGPEXEC="$HOMEpost/exec/gfs_ncep_post" +export GOESF=YES # goes image +export GTGF=YES # gtg icing product +export FLXF=YES # grib2 flux file written by post +export PGB1F=YES +if [ $RUN_ENVIR = "nco" ]; then + export PGB1F=NO + export GTGF=YES +fi + +export GFS_DOWNSTREAM=NO +export downset=False + +export GRIBVERSION='grib2' +export SENDCOM="YES" + +if [ $machine = "WCOSS_C" ] ; then + export CRTM_FIX=/gpfs/hps/nco/ops/nwprod/lib/crtm/v2.2.5/fix +elif [ $machine = "WCOSS_DELL_P3" ] ; then + export CRTM_FIX=/gpfs/dell1/nco/ops/nwprod/lib/crtm/v2.2.5/fix +elif [ $machine = "THEIA" ] ; then + export CRTM_FIX=/scratch3/NCEPDEV/nceplibs/dev/crtm/v2.2.3/fix +else + echo "UPP using CRTM_FIX = $CRTM_FIX" +fi + +echo "END: config.post" diff --git a/tests/test_data/regtest/control/expdir/regtest_tmp/config.prep b/tests/test_data/regtest/control/expdir/regtest_tmp/config.prep new file mode 100644 index 0000000..57710e6 --- /dev/null +++ b/tests/test_data/regtest/control/expdir/regtest_tmp/config.prep @@ -0,0 +1,107 @@ +#!/bin/ksh -x + +# This file is automatically generated from the YAML-based system +# in ecf/ecfutils/. Any changes will be overwritten if +# setup_case.sh is rerun. + +########## config.prep ########## +# Prep step specific + +echo "BEGIN: config.prep" + +# Get task specific resources +. $EXPDIR/config.resources prep + +# Source machine runtime environment +. $BASE_ENV/sandbox.env prep +status=$? +[[ $status -ne 0 ]] && exit $status + +export DO_MAKEPREPBUFR="NO" # if NO, will copy prepbufr from globaldump + +# Relocation and syndata QC +[[ $RUN_ENVIR == "nco" && $envir == "prod" ]] && export PROCESS_TROPCY="YES" +export TROPCYQCRELOSH="$HOMEgfs/scripts/extropcy_qc_reloc.sh.ecf" +export SENDCOM="YES" + +export HOMERELO=$HOMEgfs +export EXECRELO=${HOMERELO}/exec +export FIXRELO=${HOMERELO}/fix/fix_am +export USHRELO=${HOMERELO}/ush + +export cycle="t"$cyc"z" +export COMOUT="$ROTDIR/$CDUMP.$PDY/$cyc" +[[ ! -d $COMOUT ]] && mkdir -p $COMOUT + +export COMSP=$COMIN_OBS/$OPREFIX + +############################################################### +# If ROTDIR_DUMP=YES, copy dump files to rotdir +if [ $ROTDIR_DUMP = "YES" ]; then + $HOMEgfs/ush/getdump.sh $CDATE $CDUMP /$CDATE/$CDUMP$DUMP_SUFFIX $COMOUT + status=$? + [[ $status -ne 0 ]] && exit $status + + # Ensure previous cycle gdas dumps are available (used by cycle & downstream) + GDATE=$($NDATE -$assim_freq $CDATE) + gPDY=$(echo $GDATE | cut -c1-8) + gcyc=$(echo $GDATE | cut -c9-10) + GDUMP=gdas + gCOMOUT="$ROTDIR/$GDUMP.$gPDY/$gcyc" + if [ ! -s $gCOMOUT/$GDUMP.t\$gcyc\z.updated.status.tm00.bufr_d ]; then + $HOMEgfs/ush/getdump.sh $GDATE $GDUMP /$GDATE/$GDUMP$DUMP_SUFFIX $gCOMOUT + status=$? + [[ $status -ne 0 ]] && exit $status + fi +fi + +############################################################### +# For running real-time parallels on WCOSS_C, execute tropcy_qc and +# copy files from operational syndata directory to a local directory. +# Otherwise, copy existing tcvital data from globaldump. + +if [ $PROCESS_TROPCY = "YES" ]; then + + export ARCHSYNDNCO=$COMROOTp1/arch/prod/syndat + if [ $RUN_ENVIR != "nco" ]; then + export ARCHSYND=$ROTDIR/syndat + if [ ! -d $ARCHSYND ]; then mkdir -p $ARCHSYND; fi + if [ ! -s $ARCHSYND/syndat_akavit ]; then + for file in syndat_akavit syndat_dateck syndat_stmcat.scr syndat_stmcat syndat_sthisto syndat_sthista ; do + cp $ARCHSYNDNCO/$file $ARCHSYND/. + done + fi + fi + + [[ $ROTDIR_DUMP = "YES" ]] && rm $COMOUT/syndata.tcvitals.tm00 + + $HOMEgfs/jobs/JGLOBAL_TROPCY_QC_RELOC + status=$? + [[ $status -ne 0 ]] && exit $status + +else + [[ $ROTDIR_DUMP = "NO" ]] && cp $COMIN_OBS/syndata.tcvitals.tm00 $COMOUT/ +fi + +############################################################### +# Generate prepbufr files from dumps or copy from OPS +if [ $DO_MAKEPREPBUFR = "YES" ]; then + export job="j"$CDUMP"_prep_"$cyc + export DATAROOT="$RUNDIR/$CDATE/$CDUMP/prepbufr" + export COMIN="$ROTDIR/$CDUMP.$PDY/$cyc" + export COMINgdas="$ROTDIR/gdas.$PDY/$cyc" + export COMINgfs="$ROTDIR/gfs.$PDY/$cyc" + + $HOMEobsproc_network/jobs/JGLOBAL_PREP + status=$? + [[ $status -ne 0 ]] && exit $status + +else + if [ $ROTDIR_DUMP = "NO" ]; then + $NCP prepbufr $COMOUT/prepbufr + $NCP prepbufr.acft_profiles $COMOUT/prepbufr.acft_profiles + [[ $DONST = "YES" ]] && $NCP $COMIN_OBS/nsstbufr $COMOUT/nsstbufr + fi +fi + +echo "END: config.prep" diff --git a/tests/test_data/regtest/control/expdir/regtest_tmp/config/.DS_Store b/tests/test_data/regtest/control/expdir/regtest_tmp/config/.DS_Store new file mode 100644 index 0000000000000000000000000000000000000000..5008ddfcf53c02e82d7eee2e57c38e5672ef89f6 GIT binary patch literal 6148 zcmeH~Jr2S!425mzP>H1@V-^m;4Wg<&0T*E43hX&L&p$$qDprKhvt+--jT7}7np#A3 zem<@ulZcFPQ@L2!n>{z**++&mCkOWA81W14cNZlEfg7;MkzE(HCqgga^y>{tEnwC%0;vJ&^%eQ zLs35+`xjp>T0- + doc.settings.task_throttle * 2 + max_ppn: !calc "doc.settings.get('fcst_max_ppn',None)" + walltime: !timedelta "00:30:00" + OMP_NUM_THREADS: !calc doc.settings.task_throttle + memory_per_rank: !calc doc.resource_table.get('gdasfcst_mem_per_rank',None) + + no_gdasfcst_remap: !JobRequest + - mpi_ranks: !calc (min(240,doc.default_resources.run_gdasfcst.total_ranks())) + OMP_NUM_THREADS: 2 + max_ppn: !calc (doc.accounting.exclusive_partition.nodes.max_ranks_per_node(doc.default_resources.run_gdasfcst[0])) + + remap_resource_template: &remap_resource_template + mpi_ranks: !calc >- + min(240,resources.total_ranks()) + OMP_NUM_THREADS: 2 + max_ppn: !calc partition.nodes.max_ranks_per_node(resources[0]) + + gfsfcst_walltime: !calc doc.resource_table.gfsfcst_wall + gdasfcst_walltime: !calc doc.resource_table.gdasfcst_wall + + run_gfsfcst: !JobRequest + - batch_memory: "1024M" + mpi_ranks: !calc >- + doc.settings.layout_x * + doc.settings.layout_y * 6 + max_ppn: !calc "doc.settings.get('fcst_max_ppn',None)" + OMP_NUM_THREADS: !calc doc.settings.task_throttle + walltime: !calc gfsfcst_walltime + memory_per_rank: !calc doc.resource_table.get('gfsfcst_mem_per_rank',None) + + fallback_run_gfsremap: !JobRequest + # Used to generate the config files if the gfs remap is not run in the workflow. + - mpi_ranks: !calc >- + min(240,doc.exclusive_resources.run_gfsfcst.total_ranks()) + OMP_NUM_THREADS: 2 + max_ppn: !calc >- + partition.nodes.max_ranks_per_node( + doc.exclusive_resources.run_gfsfcst[0]) + + run_gdas_post_manager: !JobRequest + - memory: "300M" + exe: placeholder + walltime: !timedelta "00:01:00" + + run_gfs_post_manager: !JobRequest + - memory: "300M" + exe: placeholder + walltime: !timedelta "00:01:00" + + run_prep: !JobRequest + - batch_memory: "3072M" + exe: placeholder + mpi_ranks: !calc doc.resource_table.prep[0] + max_ppn: !calc doc.resource_table.prep[1] + walltime: !calc doc.resource_table.prep[2] + OMP_NUM_THREADS: !calc doc.resource_table.prep[3] + memory_per_rank: !calc doc.resource_table.prep[4] + + run_anal: !JobRequest + - batch_memory: "3072M" + exe: placeholder + mpi_ranks: !calc doc.resource_table.anal[0] + max_ppn: !calc doc.resource_table.anal[1] + walltime: !calc doc.resource_table.anal[2] + OMP_NUM_THREADS: !calc doc.resource_table.anal[3] + memory_per_rank: !calc doc.resource_table.anal[4] + + run_gdaspost: !JobRequest + - batch_memory: "3072M" + exe: placeholder + mpi_ranks: !calc doc.resource_table.gdaspost[0] + max_ppn: !calc doc.resource_table.gdaspost[1] + walltime: !calc doc.resource_table.gdaspost[2] + OMP_NUM_THREADS: !calc doc.resource_table.gdaspost[3] + memory_per_rank: !calc doc.resource_table.gdaspost[4] + + run_gfspost: !JobRequest + - batch_memory: "3072M" + exe: placeholder + mpi_ranks: !calc doc.resource_table.gfspost[0] + max_ppn: !calc doc.resource_table.gfspost[1] + walltime: !calc doc.resource_table.gfspost[2] + OMP_NUM_THREADS: !calc doc.resource_table.gfspost[3] + memory_per_rank: !calc doc.resource_table.gfspost[4] + diff --git a/tests/test_data/regtest/control/expdir/regtest_tmp/defaults/settings.yaml b/tests/test_data/regtest/control/expdir/regtest_tmp/defaults/settings.yaml new file mode 100644 index 0000000..2654d3e --- /dev/null +++ b/tests/test_data/regtest/control/expdir/regtest_tmp/defaults/settings.yaml @@ -0,0 +1,48 @@ +# Do not change this file unless you know what you're doing. It sets +# default values for the "settings" section. You probably want to +# override the settings in the case file instead. + +default_settings: &default_settings + ecf_log_path: !FirstTrue + - when: !calc doc.settings.realtime + # in four cycle mode ,the log name is the last path component, + # with the leading "j" removed. + do: !calc ( '%COM%/output/%ENVIR%/today/' + task_path_list[-1][1:] + '_%CYC%.o%J' ) + - otherwise: !calc ( '%COM%/logs/%PDY%%CYC%/'+ task_path_var + '.log.%J') + + # rocoto_log_path: sets the stdout/stderr log path for batch jobs + # when using Rocoto. This is inserted in the tag of the + # task's tag. + rocoto_log_path: !calc ("&LOG_DIR;/@Y@m@d@H/"+task_path_var+".log") + + # REALTIME and realtime - an alias that should be removed once we're + # sure it is consistent among the yaml files: + REALTIME: !calc realtime + + ROTDIR_DUMP: NO + + # awips setting + NAWIPSGRP: 21 + + mkdir_before_running_ecflow: !FirstTrue + - when: !calc doc.settings.realtime + take: null + - otherwise: !expand '{doc.places.ROTDIR}/logs/%Y%m%d%H' + + ecflow_rocoto_cdate_workaround: !FirstTrue + - when: !calc doc.settings.realtime + take: | + # When sourcing config files, the $PDY must be set: + datedir=/tmp/date.$$.$RANDOM + mkdir -p "$datedir" + pushd "$datedir" + export cyc="%CYC%" + export cycle="t%CYC%z" + setpdy.sh + . ./PDY + set -u + export CDATE="$PDY$cyc" + set +u + popd + rm -rf "$datedir" + - otherwise: '# date variables will be set by header file.' diff --git a/tests/test_data/regtest/control/expdir/regtest_tmp/names.yaml b/tests/test_data/regtest/control/expdir/regtest_tmp/names.yaml new file mode 100644 index 0000000..07005a3 --- /dev/null +++ b/tests/test_data/regtest/control/expdir/regtest_tmp/names.yaml @@ -0,0 +1 @@ +names: {case: regression_case, experiment: regtest_tmp} diff --git a/tests/test_data/regtest/control/expdir/regtest_tmp/platform.yaml b/tests/test_data/regtest/control/expdir/regtest_tmp/platform.yaml new file mode 100644 index 0000000..f5284e3 --- /dev/null +++ b/tests/test_data/regtest/control/expdir/regtest_tmp/platform.yaml @@ -0,0 +1,71 @@ +accounting: {cpu_project: none, ecflow_header: envir-p3.h, ecflow_machine: venus, + exclusive_partition: !calc 'doc.platform.partitions.default_exclusive', hpss_project: none, + service_partition: !calc 'doc.platform.partitions.default_service', shared_partition: !calc 'doc.platform.partitions.default_shared', + user_email: none} +partition_common: + Evaluate: false + default_resources: &id001 {} + resources: &id002 !MergeMapping [!calc 'doc.default_resources', !calc 'doc.platform.default_resources', + !calc 'default_resources', !calc 'doc.case.get(''resources'',{})', !calc 'doc.get(''user_resources'',{})'] +platform: !Platform + BASE_GIT: /gpfs/hps3/emc/global/noscrub/emc.glopara/git + BASE_SVN: /gpfs/hps3/emc/global/noscrub/emc.glopara/svn + CHGRP_RSTPROD_COMMAND: chgrp rstprod + DMPDIR: !calc 'doc.user_places.PROJECT_DIR' + EXP_PARENT_DIR: !calc 'doc.user_places.PROJECT_DIR' + Evaluate: true + NWPROD: /gpfs/hps/nco/ops/nwprod + RTMFIX: $CRTM_FIX + config_base_extras: sandbox + default_resources: &id003 {} + detect: true + long_term_temp: !calc 'doc.user_places.PROJECT_DIR' + metasched_more: !expand '{metasched.defvar(doc.schedvar.exclusive_queue, doc.accounting.exclusive_partition.exclusive_queue)} + + {metasched.defvar(doc.schedvar.shared_queue, doc.accounting.shared_partition.shared_queue)} + + {metasched.defvar(doc.schedvar.service_queue, doc.accounting.service_partition.service_queue)} + + {metasched.defvar(doc.schedvar.cpu_project, doc.accounting.cpu_project)} + + ' + name: sandbox + partitions: + Evaluate: false + default_exclusive: !calc 'doc.platform.partitions.sandbox' + default_service: !calc 'doc.platform.partitions.sandbox' + default_shared: !calc 'doc.platform.partitions.sandbox' + sandbox: + Evaluate: false + default_resources: *id001 + exclusive_accounting_ref: {project: !calc 'metasched.varref(doc.schedvar.cpu_project)', + queue: !calc 'metasched.varref(doc.schedvar.exclusive_queue)'} + exclusive_queue: dev + nodes: !calc 'tools.node_tool_for(scheduler_settings.node_type, scheduler_settings) + + ' + parallelism: !calc 'tools.get_parallelism(scheduler_settings.parallelism_name, + scheduler_settings) + + ' + resources: *id002 + scheduler: !calc 'tools.get_scheduler(scheduler_settings.scheduler_name, scheduler_settings) + + ' + scheduler_settings: {hyperthreading_allowed: true, indent_text: ' ', logical_cpus_per_core: 2, + memory_per_node: !calc '(64*1024)', node_type: generic, parallelism_name: LSFAlps, + physical_cores_per_node: 24, scheduler_name: LSFAlps} + service_accounting_ref: {project: !calc 'metasched.varref(doc.schedvar.cpu_project)', + queue: !calc 'metasched.varref(doc.schedvar.service_queue)'} + service_queue: dev + shared_accounting_ref: {project: !calc 'metasched.varref(doc.schedvar.cpu_project)', + queue: !calc 'metasched.varref(doc.schedvar.shared_queue)'} + shared_queue: dev + specification: null + public_release_ics: /gpfs/hps3/emc/global/noscrub/emc.glopara/FV3GFS_V1_RELEASE/ICs + short_term_temp: !calc 'doc.user_places.PROJECT_DIR' + skip_if_others_present: true +platform_common: + Evaluate: false + default_resources: *id003 +user_places: {PROJECT_DIR: !calc 'doc.default_places.HOMEcrow + ''/tests/test_data/regtest/cache'''} diff --git a/tests/test_data/regtest/control/expdir/regtest_tmp/runtime/.DS_Store b/tests/test_data/regtest/control/expdir/regtest_tmp/runtime/.DS_Store new file mode 100644 index 0000000000000000000000000000000000000000..5008ddfcf53c02e82d7eee2e57c38e5672ef89f6 GIT binary patch literal 6148 zcmeH~Jr2S!425mzP>H1@V-^m;4Wg<&0T*E43hX&L&p$$qDprKhvt+--jT7}7np#A3 zem<@ulZcFPQ@L2!n>{z**++&mCkOWA81W14cNZlEfg7;MkzE(HCqgga^y>{tEnwC%0;vJ&^%eQ zLs35+`xjp>T0 %ECF_JOBOUT% 2>&1' + edit ECF_KILL_CMD 'kill -15 %ECF_RID%' + time 23:00 + - when: !calc suite.Clock.now.strftime("%H")=='06' + take: | + edit ECF_JOB_CMD '%ECF_JOB% 1> %ECF_JOBOUT% 2>&1' + edit ECF_KILL_CMD 'kill -15 %ECF_RID%' + time 23:01 + - when: !calc suite.Clock.now.strftime("%H")=='12' + take: | + edit ECF_JOB_CMD '%ECF_JOB% 1> %ECF_JOBOUT% 2>&1' + edit ECF_KILL_CMD 'kill -15 %ECF_RID%' + time 11:00 + - when: !calc suite.Clock.now.strftime("%H")=='18' + take: | + edit ECF_JOB_CMD '%ECF_JOB% 1> %ECF_JOBOUT% 2>&1' + edit ECF_KILL_CMD 'kill -15 %ECF_RID%' + time 11:01 + - otherwise: !error "cycle_end only works for hours 0, 6, 12, and 18" + + resources: !calc partition.resources.run_nothing diff --git a/tests/test_data/regtest/control/expdir/regtest_tmp/runtime/experiment_include.yaml b/tests/test_data/regtest/control/expdir/regtest_tmp/runtime/experiment_include.yaml new file mode 100644 index 0000000..c5cbfd9 --- /dev/null +++ b/tests/test_data/regtest/control/expdir/regtest_tmp/runtime/experiment_include.yaml @@ -0,0 +1,36 @@ +ecf_include_experiment: + + # Generates the experiment-XXX.h file that is loaded by the ecf + # files. See the ecf_model_include variable in runtime/task.yaml + + # filename: name of the model-ver.h to make: + filename: !expand "experiment-{doc.names.experiment}.h" + + # disable: if True, this file will not be generated: + disable: False # Maybe should be "true" for NCO? + + # content: what goes in the file + content: !expand | + # These are development overrides. They are intended to set + # variables that would otherwise be set to operational values, and + # cause your workflow to fail. + + # EMC experiment configuration file + export HOMEgfs="{doc.places.HOMEgfs}" + export HOMEobsproc_global="{doc.places.HOMEobsproc_network}" + export HOMEobsproc_network="{doc.places.HOMEobsproc_network}" + export HOMEobsproc_prep="{doc.places.HOMEobsproc_prep}" + export HOMEgfs="{doc.places.HOMEgfs}" + export DATAROOT="{doc.places.DATAROOT}" + export jlogfile="{doc.places.ROTDIR}/jlogfile" + export COMROOT="{doc.places.ROTDIR}" + export CDUMP=$RUN + export cycle=t%CYC%z + export EXPDIR="{doc.places.EXPDIR}" + {date_vars} + + # Send in the CDATE if we're not in four cycle mode: + date_vars: !FirstTrue + - when: !calc doc.settings.realtime + take: '' + - otherwise: "export CDATE=%PDY%%CYC%" diff --git a/tests/test_data/regtest/control/expdir/regtest_tmp/runtime/rocoto.yaml b/tests/test_data/regtest/control/expdir/regtest_tmp/runtime/rocoto.yaml new file mode 100644 index 0000000..b43389f --- /dev/null +++ b/tests/test_data/regtest/control/expdir/regtest_tmp/runtime/rocoto.yaml @@ -0,0 +1,2 @@ +# This file is not used yet. Rocoto settings reside elsewhere in the +# runtime/ and workflow/ directories. diff --git a/tests/test_data/regtest/control/expdir/regtest_tmp/runtime/suite.yaml b/tests/test_data/regtest/control/expdir/regtest_tmp/runtime/suite.yaml new file mode 100644 index 0000000..a2ee284 --- /dev/null +++ b/tests/test_data/regtest/control/expdir/regtest_tmp/runtime/suite.yaml @@ -0,0 +1,188 @@ +# This file contains convenient variables to include in workflow +# suites in the workflow/ directory. Most of these variables are +# specific to the cycled workflow which is not in this release. + +# gfs_clock_1 - run GFS only at 0 UTC daily +gfs_clock_1: !Clock + start: !calc tools.day_of(suite.Clock.start+suite.Clock.step) + step: !timedelta "24:00:00" + +# gfs_clock_2 - run GFS only at 0 UTC and 12 UTC daily +gfs_clock_2: !Clock + start: !calc tools.day_of(suite.Clock.start+suite.Clock.step) + step: !timedelta "12:00:00" + +# gfs_clock_4 - run GFS every cycle +gfs_clock_4: !Clock + start: !calc suite.Clock.start+suite.Clock.step + step: !timedelta "06:00:00" + +# cycled_suite_alarms - this is used to define which cycles various +# jobs run in. In the workflow suite definition, jobs may have an +# AlarmName defined. That AlarmName refers to one of the clocks in +# this section. +cycled_suite_alarms: &cycled_suite_alarms + # first - first cycle only + first: !Clock + start: !calc suite.Clock.start + end: !calc suite.Clock.start + step: !calc suite.Clock.step + + # gdas - cycles for which the GDAS is run + gdas: !Clock + start: !calc suite.Clock.start + end: !calc suite.Clock.end + step: !calc suite.Clock.step + + # gfs - cycles for which the gfs is run + gfs: !FirstTrue + - when: !calc doc.settings.gfs_cyc == 0 + do: !Clock # required but ignored because gfs is disabled + start: !calc suite.Clock.start + end: !calc suite.Clock.end + step: !calc suite.Clock.step + - when: !calc doc.settings.gfs_cyc == 1 + do: !calc suite.Clock.for_alarm(doc.gfs_clock_1) + - when: !calc doc.settings.gfs_cyc == 2 + do: !calc suite.Clock.for_alarm(doc.gfs_clock_2) + - when: !calc doc.settings.gfs_cyc == 4 + do: !calc suite.Clock.for_alarm(doc.gfs_clock_4) + - otherwise: !error Unknown gfs clock settings {doc.settings.gfs_cyc} + + gfs_00_12: !calc suite.Clock.for_alarm(doc.gfs_clock_2) + + +# suite_defaults - this is inherited by the actual suite definitions +# It sets defaults for various variables that are generally not overridden +suite_defaults: &suite_defaults + # Clock - the list of cycles to run + Clock: !Clock + # NEED TO FIX FOR LESS FREQUENT GFS + start: !calc doc.settings.SDATE + end: !calc doc.settings.EDATE + step: !timedelta "6:00:00" + + ecf_file_set: + disk_path: !expand "{doc.places.ECF_ROOT}/scripts/{doc.names.experiment}" + ECF_FILES: !expand "{doc.places.ECF_ROOT}/scripts/{doc.names.experiment}" + + # ecFlow - definition of variables specific to ecFlow suite generation + ecFlow: + # suite_def_filename - file to receive the suite definition + suite_def_filename: !expand "{doc.places.ECF_ROOT}/defs/{doc.names.experiment}/{suite.ecFlow.suite_name}.def" + + # suite_name - name of the suite (the top-level node in the suite definition) + suite_name: !FirstTrue + - when: !calc doc.settings.realtime + do: !expand "{doc.names.experiment}%H" + - otherwise: !expand "{doc.names.experiment}_%Y%m%d%H" + + # dates_in_time_dependencies - flag that controls whether ecflow + # suite definition "date" triggers are to be defined. + dates_in_time_dependencies: !calc (not doc.settings.realtime) + + # write_cycles/analyze_cycles: Use these to generate a subset of + # the cycles in ecFlow. Make sure analyze_cycles starts at least + # one cycle before write_cycles so that dependencies are + # processed. These are overridden by update_ecflow_workflow.py + # during its execution. + + # write_cycles: !Clock + # start: 2018-01-02T00:00:00 + # end: 2018-01-02T18:00:00 + # step: !timedelta "6:00:00" + # analyze_cycles: !Clock + # start: 2018-01-02T00:00:00 + # end: 2018-01-02T18:00:00 + # step: !timedelta "6:00:00" + + # ecflow_cycling_logic - used to switch between NCO-style suite + # definitions, with four suites total (one per synoptic time) and + # development-style (one suite per cycle). + ecflow_cycling_logic: !FirstTrue + - when: !calc doc.settings.realtime + do: "repeat day 1" + - otherwise: !expand | + autocancel 5 + edit PDY '{tools.strftime(suite.Clock.now,"%Y%m%d")}' + + # Rocoto - contains settings specific to Rocoto workflow generation + Rocoto: &Rocoto + # max_tries - maximum number of times to run a job before giving + # up. Set to 1 to disable automatic resubmission of jobs. + max_tries: !calc doc.settings.task_throttle + + # workflow_xml - the contents of this variable are written to the Rocoto XML file. + workflow_xml: !expand | + + + + + + + + + + + + {tools.indent(doc.platform.metasched_more," ")} + ]> + + &COM;/logs/@Y@m@d@H/rocoto.log + {to_rocoto.make_time_xml(indent=1)} + {to_rocoto.make_task_xml(indent=1)} + + + # before_suite_def - when generating an ecFlow suite, the contents + # of this variable are written before anything else in the suite + # definition. The totality limit line sets an external dependency + # on a limit that has the effect of throttling the number of jobs + # the entire ecFlow server can run at a time. + before_suite_def: !FirstTrue + - when: doc.settings.ecflow_totality_limit + do: "extern /totality_limit:TOTALITY" + - otherwise: "" + + ecflow_COM_edit: !FirstTrue + - when: !calc doc.settings.realtime + take: !calc doc.places.COMROOT + - otherwise: !calc doc.places.ROTDIR + + ecflow_def: !expand | + {ecflow_cycling_logic} + edit ECF_TRIES '{doc.settings.task_throttle}' + # edit ECF_HOME '{doc.places.ECF_HOME}' + edit CYC '{tools.strftime(suite.Clock.now,"%H")}' + edit MACHINE '{doc.accounting.ecflow_machine}' + edit ENVIR 'prod' + edit ECF_OUT '{doc.places.ECF_OUT}' + edit ECF_LOG '{doc.places.ECF_ROOT}/ecf.log' + edit E 'j' + edit ECF_INCLUDE '{doc.places.ECF_INCLUDE}' + edit COM '{ecflow_COM_edit}' + + {"clock real" if doc.settings.realtime else ""} + {"clock virtual" if doc.settings.ecf_key else ""} + {common_metasched_vars} + {doc.platform.metasched_more} + {emc_overrides} + + emc_overrides: !FirstTrue + - when: !calc doc.settings.realtime + take: '' + - otherwise: !expand | + # EMC overrides. Should remove before implementation: + edit DATAROOT '{doc.places.DATAROOT}' + edit NWROOT '{tools.dirname(doc.places.HOMEgfs)}' + + # common_metasched_vars - variables to write to both the ecFlow + # suite definitions ("edit" variables) and Rocoto XML (XML + # entities). The conversion to text is done by the + # CROW/crow/metascheduler/ python package. + + common_metasched_vars: '' + diff --git a/tests/test_data/regtest/control/expdir/regtest_tmp/runtime/task.yaml b/tests/test_data/regtest/control/expdir/regtest_tmp/runtime/task.yaml new file mode 100644 index 0000000..7caaca1 --- /dev/null +++ b/tests/test_data/regtest/control/expdir/regtest_tmp/runtime/task.yaml @@ -0,0 +1,326 @@ +# This file defines defaults for tasks in the suite definitions. +# Individual tasks in the suite may override some settings + +eoln: "\n" +parm_config_source_line: "source $HOMEgfs/parm/config/config.%s" +expdir_config_source_line: "source $EXPDIR/parm/config/config.%s" + +task_template: &task_template + + # Template - specifies a schema that is used to validate the variables in this scope. + Template: *task_schema + + # Together, accounting and default_accounting set the accounting + # information, such as queues, projects, and partitions. + + default_accounting: {} + accounting: {} + + # partition_specification - this is passed into the + # rocoto_accounting and batch_accounting functions to set the + # partition to request (-l partition=) if needed. + partition_specification: !calc | + {'partition':partition.get('specification',None)} + + # rocoto_load_modules - commands to run before passing control to + # the j-jobs (jobs/ directory) in Rocoto: + rocoto_load_modules: !expand >- + {doc.platform.get("rocoto_load_modules_extra","")} + source $HOMEgfs/ush/load_fv3gfs_modules.sh {task_type} ; + module list + + # rocoto_command - command rocoto executes to pass control to the + # j-job (jobs/ directory) for this task. + rocoto_command: !expand >- + {rocoto_load_modules} ; + {rocoto_config_source} ; + {J_JOB_PATH}/{J_JOB} + + config_list: [ base ] + + rocoto_config_source: !FirstTrue + - when: !calc not config_list + take: "" + - otherwise: !calc '" ; ".join([ "source $EXPDIR/config.%s"%(x,) for x in config_list ])' + + ecflow_config_source: !FirstTrue + - when: !calc not config_list + take: "" + - when: !calc doc.settings.realtime + take: !expand | + export HOMEgfs=${{HOMEgfs:-${{NWROOT:?}}/gfs.${{gfs_ver:?"###FATAL ERROR gfs_ver is not set"}}}} + {doc.eoln.join([ "source $HOMEgfs/parm/config/config.%s"%(x,) for x in config_list ])} + - otherwise: !calc | + doc.eoln.join([ "source $EXPDIR/config.%s"%(x,) for x in config_list ]) + + # ecflow_command - command ecFlow executes from the ecf file to pass + # control to the j-job (jobs/ directory) for this task. + ecflow_command: !expand "{J_JOB_PATH}/{J_JOB}" + + J_JOB_PATH: '$HOMEgfs/jobs' + + # rocoto_log_path: contents of the entry of the which + # sets the stdout and stderr log path in the Rocoto XML. + rocoto_log_path: !ref doc.settings.rocoto_log_path + + # ecflow_log_path: Used in the #BSUB or #PBS lines to specify the + # path. Usually will include %VARS% for the ecflow server to parse. + ecflow_log_path: !ref doc.settings.ecf_log_path + + # Rocoto - contents of the Rocoto tag for this task, + # excluding the tag, which is automatically generated + # in CROW/crow/metascheduler/rocoto.py + Rocoto: !expand | + sh -c '{rocoto_command}' + {partition.scheduler.rocoto_accounting( + partition_specification,default_accounting,accounting, + jobname=task_path_var, + outerr=rocoto_log_path, + partition=partition.specification)} + {partition.scheduler.rocoto_resources(resources)} + CDATE@Y@m@d@H + PDY@Y@m@d + cyc@H + EXPDIR&EXPDIR; + DUMP{DUMP} + RUN_ENVIRemc + HOMEgfs{metasched.varref(doc.schedvar.script_home)} + HOMEobsproc_network{metasched.varref(doc.schedvar.obsproc_network_home)} + HOMEobsproc_global{metasched.varref(doc.schedvar.obsproc_network_home)} + HOMEobsproc_prep{metasched.varref(doc.schedvar.obsproc_prep_home)} + job{task_path_list[-1]}_@H + {rocoto_more_vars} + {rocoto_platform_vars} + + # rocoto_platform_vars - allows the platform definition file + # (platforms/*.yaml) to add tags to the Rocoto + # definition. + rocoto_platform_vars: !calc " doc.platform.get('rocoto_platform_vars','') " + + # RUN - Used in ecf files to specify whether the task is gfs or gdas + RUN: !calc task_path_list[0] + + # DUMP - alias for RUN expected by development scripts + DUMP: !calc RUN + + # more_vars - Additional environment variables to pass to the j-job + # through the Rocoto XML or ecFlow ecf files. This variable is only used + # if the task does not override the ecf_more_exports, rocoto_more_vars, + # or ecflow_def_more_vars variables. + more_vars: {} + + # ecf_more_exports - additional shell "export" statements to include in + # this task's ecf file. + ecf_more_exports: !calc | + "\n".join([ f"export {K}=%{V}%\n" for K,V in more_vars.items() ]) + + # rocoto_more_vars - additional variables to set in the Rocoto tag's + # elements. + rocoto_more_vars: !calc | + "\n".join([ metasched.defenvar(K,this[V]) for K,V in more_vars.items() ]) + + # ecflow_def_more_vars - additional variables to set in the ecflow + # suite definition "edit" statements for this task. This is only + # used if the task does not override ecflow_def. + ecflow_def_more_vars: !calc | + "\n".join([ metasched.defenvar(V,this[V]) for K,V in more_vars.items() ]) + + # ecflow_def - the contents of this variable are sent into the task + # definition in the ecflow suite definition file. The + # CROW/crow/metascheduler/ecflow.py copies this variable's contents + ecflow_def: !calc ecflow_def_more_vars + + # ecf_model_include - Name of the ecflow %include file with + # model-specific settings. This is model_ver.h in production or the + # header for the user's experiment. Make sure this matches + # doc.ecf_include_experiment.filename. + ecf_model_include: !FirstTrue + - when: !calc doc.settings.realtime + take: '%include ' + - when: !calc doc.settings.ecf_key + take: | + export NWROOT=%NWROOT% # EMC override + export DATAROOT=%DATAROOT% # EMC override + %include + - otherwise: !calc ecf_experiment_overrides + + ecf_experiment_overrides: !FirstTrue + - when: !calc doc.settings.ecf_key + take: '' + - otherwise: !expand "%include " + + # ecf_file - the contents of this variable are written to the ecf + # file for each task by the CROW/crow/metascheduler/ecflow.py + # python module. + ecf_file: !expand | + #! /bin/sh + {ecf_batch_resources} + %include + %include <{doc.accounting.ecflow_header}> + + set -x + + {ecf_resource_more} + + export model=%model:gfs% + export NET=%NET:gfs% + export RUN=%RUN% + + {ecf_model_include} + + ############################################################ + # Load modules + ############################################################ + #. $MODULESHOME/init/sh + {ecf_module_commands} + {ecf_after_module_commands} + + ############################################################ + # WCOSS environment settings + ############################################################ + {ecf_experiment_overrides} + {ecf_environment_settings} + + ############################################################ + export cyc=%CYC% + {ecflow_config_source} + {ecf_more_exports} + {ecflow_command} + + %include + %manual + {ecf_manual} + %end + + # ecf_manual - contents of the manual entry for this task in ecflow + ecf_manual: '' + + # ecf_module_commands - these commands are sent to the ecf file for + # this task to load any modules that are required for the job + # ecf_module_commands: !ref doc.settings.ecf_module_commands + ecf_module_commands: !FirstTrue + - when: !calc doc.settings.realtime + take: !calc doc.platform.four_cycle_mode_modules + - otherwise: !expand |- + source "$HOMEgfs/ush/load_fv3gfs_modules.sh" {task_type} + + # ecf_after_module_commands - intended to list the module commands, + # and recover from their consequences. For example, "module purge" + # clears the ecflow module, and "module load ecflow" clears the + # ECF_PORT. + ecf_after_module_commands: !FirstTrue + - when: !calc doc.settings.realtime + take: | + module list + + # Synonyms expected by scripts: + export CDUMP="$RUN" + export ROTDIR="$COMROOT" + - when: !calc doc.settings.realtime + take: | + module list + export ECF_PORT=%ECF_PORT% # workaround for bug in ecflow module + + export cycle=t%CYC%z + export jlogfile=/%COM%/logs/jlogfile + export EXPDIR=${EXPDIR:-$HOMEgfs/parm/config} # where to get config files + + # Development overrides + export DATAROOT=%DATAROOT% + export COMROOT=/%COM% + + # Development synonyms + export CDUMP="$RUN" + export ROTDIR="$COMROOT" + - otherwise: !expand | + module load {doc.settings.module} + module list + export ECF_PORT=%ECF_PORT% # workaround for ecflow module bug + + ecf_environment_settings: !FirstTrue + - when: !calc config_list + take: !calc doc.settings.ecflow_rocoto_cdate_workaround + - otherwise: "# Set tuning variables like KMP_AFFINITY and OMP_STACKSIZE here." + + # ecf_log_path: sets the stdout/stderr log path for the job + ecf_log_path: !ref doc.settings.ecf_log_path + + ecf_job_name: !FirstTrue + - when: !calc doc.settings.realtime + take: !expand '%E%{task_path_list[-1][1:]}_%CYC%' + - otherwise: !calc task_path_var + + # ecf_batch_resources - generates batch card settings for the ecflow ecf file + ecf_batch_resources: !FirstTrue + - when: !calc doc.settings.realtime + take: !expand "{partition.scheduler.batch_accounting(partition_specification,default_accounting,accounting,jobname=ecf_job_name,outerr=ecf_log_path)}{partition.scheduler.batch_resources(resources)}#BSUB -cwd /tmp" + - otherwise: !expand "{partition.scheduler.batch_accounting(partition_specification,default_accounting,accounting,jobname=ecf_job_name,outerr=ecf_log_path)}{partition.scheduler.batch_resources(resources)}" + + # ecf_resource_more - generates the ntasks, ptile, and threads variables + # in cases where they are needed + ecf_resource_more: !FirstTrue + - when: !calc doc.settings.realtime + take: '' + - otherwise: !expand |- + {ecf_maybe_ntasks} + {ecf_maybe_ptile} + {ecf_maybe_threads} + + # ecf_maybe_ntasks - generates the ntasks variable for any MPI jobs' + # ecf files, which specifies the number of MPI ranks. + ecf_maybe_ntasks: !FirstTrue + - when: !calc resources.total_ranks()>0 + do: !expand 'export ntasks={resources.total_ranks()}' + - otherwise: "# No MPI in use, so I am not setting $ntasks." + + # ecf_maybe_threads - generates the ntasks variable for any OpenMP + # jobs' ecf files. This variable specifies the number of OpenMP threads + ecf_maybe_threads: !FirstTrue + - when: !calc resources.has_threads() + do: !expand 'export threads={resources[0]["OMP_NUM_THREADS"]}' + - otherwise: "# No OpenMP in use, so I am not setting $threads" + + # ecf_maybe_ptile - generates the ptile variable if this is an + # OpenMP or MPI program. The ptile variable specifies the number of + # MPI ranks per node. + ecf_maybe_ptile: !FirstTrue + - when: !calc resources.has_threads() or resources.total_ranks()>0 + do: !expand 'export ptile={partition.nodes.max_ranks_per_node(resources[0])}' + - otherwise: "# Neither OpenMP nor MPI are in use, so I am not setting $ptile" + +# shared_task_template - a convenient alias to define a task that has +# the shared_accounting and passes "shared" to the +# load_fv3gfs_modules.sh +shared_task_template: &shared_task_template + <<: *task_template + partition: !calc doc.accounting.shared_partition + default_accounting: !calc partition.shared_accounting_ref + J_JOB: !expand '{task_path_list[-1].upper()}' + task_type: shared + +# service_task_template - a convenient alias to define a task that has +# the service_accounting and passes "service" to the +# load_fv3gfs_modules.sh +service_task_template: &service_task_template + <<: *task_template + partition: !calc doc.accounting.service_partition + default_accounting: !calc partition.service_accounting_ref + J_JOB: !expand '{task_path_list[-1].upper()}' + task_type: service + +# exclusive_task_template - a convenient alias to define a task that has +# the exclusive_accounting and passes "exclusive" to the +# load_fv3gfs_modules.sh +exclusive_task_template: &exclusive_task_template + <<: *task_template + partition: !calc doc.accounting.exclusive_partition + default_accounting: !calc partition.exclusive_accounting_ref + J_JOB: !expand '{task_path_list[-1].upper()}' + task_type: exclusive + +# exclusive_task_template - a convenient alias for the special case of +# an exclusive_accounting job that runs a forecast. These jobs must +# pass "forecast" to the load_fv3gfs_modules.sh. +forecast_task_template: &forecast_task_template + <<: *exclusive_task_template + task_type: forecast diff --git a/tests/test_data/regtest/control/expdir/regtest_tmp/schema/.DS_Store b/tests/test_data/regtest/control/expdir/regtest_tmp/schema/.DS_Store new file mode 100644 index 0000000000000000000000000000000000000000..5008ddfcf53c02e82d7eee2e57c38e5672ef89f6 GIT binary patch literal 6148 zcmeH~Jr2S!425mzP>H1@V-^m;4Wg<&0T*E43hX&L&p$$qDprKhvt+--jT7}7np#A3 zem<@ulZcFPQ@L2!n>{z**++&mCkOWA81W14cNZlEfg7;MkzE(HCqgga^y>{tEnwC%0;vJ&^%eQ zLs35+`xjp>T0- + XML to insert in the task definition, excluding the task tag + itself, and the dependencies. + type: string + stages: [ execution ] + + ecf_file: + description: >- + Contents of the ecf file that will be run for this task + type: string + stages: [ execution ] + + rocoto_more_vars: + description: >- + Additional text to include after other envar tags in Rocoto task + definition. This is referenced by the Rocoto variable. + type: string + default: "" + stages: [ execution ] + + ecflow_command: + description: >- + Command to execute for this task when run in ecflow. This is + inserted into the ecf file. + type: string + stages: [ execution ] + + rocoto_command: + description: >- + Command to execute for this task when run in rocoto. This is + inserted into the rocoto command tag for the task. + type: string + stages: [ execution ] + + more_vars: + description: >- + List of variables that should be added to Rocoto envar blocks, + ecflow suite definition edits, and ecf file exports. Overriding + ecflow_def will prevent this from being passed through as edits + in the suite definition. + type: string list + stages: [ execution ] + + ecf_environment_settings: + description: "Tuning variables like KMP_AFFINITY and OMP_STACKSIZE." + type: string + default: "" + stages: [ execution ] + + ecf_module_commands: + description: >- + Any "module" commands: module load, module switch, etc. + type: string + default: "" + stages: [ execution ] + + ecf_resource_more: + description: >- + Generates additional resource specification variables such as + ntasks, threads, and ptile. + type: string + default: "" + stages: [ execution ] + + ecf_maybe_ntasks: + description: "Sets the $ntasks variable (number of MPI ranks) if needed" + type: string + default: "" + stages: [ execution ] + + ecf_maybe_threads: + description: "Sets the $threads variable (number of OpenMP threads) if needed" + type: string + default: "" + stages: [ execution ] + + ecf_maybe_ptile: + description: >- + Sets the $ptile variable (maximum number of MPI ranks per node) if needed + type: string + default: "" + stages: [ execution ] + + diff --git a/tests/test_data/regtest/control/expdir/regtest_tmp/schema/varnames.yaml b/tests/test_data/regtest/control/expdir/regtest_tmp/schema/varnames.yaml new file mode 100644 index 0000000..a7649aa --- /dev/null +++ b/tests/test_data/regtest/control/expdir/regtest_tmp/schema/varnames.yaml @@ -0,0 +1,57 @@ +schedvar_schema: &schedvar_schema !Template + cpu_project: + type: string + default: CPU_PROJECT + description: name of the metascheduler variable for the project whose CPU allocation should be used + + shared_queue: + type: string + default: QUEUESHARED + description: Name of the metascheduler variable for the queue that should receive jobs that can share nodes with others, but do not need external resources such as network access. + + service_queue: + type: string + default: QUEUESERV + description: Name of the metascheduler variable for the queue that receives jobs which need external resources such as network access. + + exclusive_queue: + type: string + default: QUEUE + description: Name of the metascheduler variable for the queue that receives jobs that are too large to share nodes with other jobs. + + partition: + type: string + default: PARTITION + description: Name of the metascheduler variable that specifies the machine partition for all jobs. + + shared_partition: + type: string + default: SHARED_PARTITION + description: Name of the metascheduler variable that specifies the machine partition for shared_queue jobs. + + service_partition: + type: string + default: SERVICE_PARTITION + description: Name of the metascheduler variable that specifies the machine partition for service_queue jobs. + + exclusive_partition: + type: string + default: EXCLUSIVE_PARTITION + description: Name of the metascheduler variable that specifies the machine partition for exclusive_queue jobs. + + script_home: + type: string + default: HOMEgfs + description: "Installation area of the FV3 GFS. This is the parent directory of ush, scripts, jobs, etc." + + obsproc_network_home: + type: string + default: HOMEobsproc_network + description: "Installation area of the global obsproc." + + obsproc_prep_home: + type: string + default: HOMEobsproc_prep + description: "Installation area of the global obsproc prep." + + diff --git a/tests/test_data/regtest/control/expdir/regtest_tmp/static_locations.yaml b/tests/test_data/regtest/control/expdir/regtest_tmp/static_locations.yaml new file mode 100644 index 0000000..5481d97 --- /dev/null +++ b/tests/test_data/regtest/control/expdir/regtest_tmp/static_locations.yaml @@ -0,0 +1,5 @@ +# This file is automatically generated from: +# /Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/static/locations.yaml# Changes to this file may be overwritten. + +static_locations: {HOMEgfs: /Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW, + initial_directory: /Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/regtest} diff --git a/tests/test_data/regtest/control/expdir/regtest_tmp/user.yaml b/tests/test_data/regtest/control/expdir/regtest_tmp/user.yaml new file mode 100644 index 0000000..44334a7 --- /dev/null +++ b/tests/test_data/regtest/control/expdir/regtest_tmp/user.yaml @@ -0,0 +1,16 @@ +user_places: &user_places + PROJECT_DIR: !calc doc.default_places.HOMEcrow + '/tests/test_data/regtest/cache' + +accounting: &accounting + # Project for CPU accounting. + user_email: none # only when you want automatic status report + cpu_project: none #!error What accounting code do I use to submit jobs? # ie.: global + hpss_project: none #!error Where do I put data on HPSS? # ie.: emc-global + ecflow_machine: venus # only relevant on WCOSS using ecFlow + ecflow_header: envir-p3.h + + # Choose the partition used for each job type. Default is set in + # the platform yaml files. + shared_partition: !calc doc.platform.partitions.default_shared + exclusive_partition: !calc doc.platform.partitions.default_exclusive + service_partition: !calc doc.platform.partitions.default_service diff --git a/tests/test_data/regtest/control/expdir/regtest_tmp/workflow.crontab b/tests/test_data/regtest/control/expdir/regtest_tmp/workflow.crontab new file mode 100644 index 0000000..39451c0 --- /dev/null +++ b/tests/test_data/regtest/control/expdir/regtest_tmp/workflow.crontab @@ -0,0 +1,6 @@ + +#################### regtest_tmp #################### +MAILTO="" +*/5 * * * * rocotorun -d /Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/cache/expdir/regtest_tmp/workflow.db -w /Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/cache/expdir/regtest_tmp/workflow.xml +################################################################# + diff --git a/tests/test_data/regtest/control/expdir/regtest_tmp/workflow.xml b/tests/test_data/regtest/control/expdir/regtest_tmp/workflow.xml new file mode 100644 index 0000000..1dd552d --- /dev/null +++ b/tests/test_data/regtest/control/expdir/regtest_tmp/workflow.xml @@ -0,0 +1,499 @@ + + + + + + + + + + + + + + + + +]> + + &COM;/logs/@Y@m@d@H/rocoto.log + 201602100000 201602100000 06:00:00 + 201602100000 201602120000 06:00:00 + 201602100600 201602120000 06:00:00 + 201602100000 201602120000 12:00:00 + 201602100000 201602120000 06:00:00 + + + DUMMY_VALUE + + DUMMY_VALUE + + sh -c ' source $HOMEgfs/ush/load_fv3gfs_modules.sh exclusive ; module list ; source $EXPDIR/config.base ; source $EXPDIR/config.prep ; source $EXPDIR/config.prepbufr ; $HOMEgfs/jobs/JGLOBAL_EMCSFC_SFC_PREP' + &QUEUE; + &CPU_PROJECT; + gdas.prep.jgdas_emcsfc_sfc_prep + &LOG_DIR;/@Y@m@d@H/gdas.prep.jgdas_emcsfc_sfc_prep.log + + 0:15:00 + 3072M + 1:ppn=12 + + CDATE@Y@m@d@H + PDY@Y@m@d + cyc@H + EXPDIR&EXPDIR; + DUMPgdas + RUN_ENVIRemc + HOMEgfs&HOMEgfs; + HOMEobsproc_network&HOMEobsproc_network; + HOMEobsproc_global&HOMEobsproc_network; + HOMEobsproc_prep&HOMEobsproc_prep; + jobjgdas_emcsfc_sfc_prep_@H + + + + + + + + + + + + sh -c ' source $HOMEgfs/ush/load_fv3gfs_modules.sh exclusive ; module list ; source $EXPDIR/config.base ; source $EXPDIR/config.prep ; source $EXPDIR/config.prepbufr ; $HOMEobsproc_global/jobs/JGLOBAL_PREP' + &QUEUE; + &CPU_PROJECT; + gdas.prep.jgdas_prep + &LOG_DIR;/@Y@m@d@H/gdas.prep.jgdas_prep.log + + 0:15:00 + 3072M + 1:ppn=12 + + CDATE@Y@m@d@H + PDY@Y@m@d + cyc@H + EXPDIR&EXPDIR; + DUMPgdas + RUN_ENVIRemc + HOMEgfs&HOMEgfs; + HOMEobsproc_network&HOMEobsproc_network; + HOMEobsproc_global&HOMEobsproc_network; + HOMEobsproc_prep&HOMEobsproc_prep; + jobjgdas_prep_@H + + + + + + + + + + + + + DUMMY_VALUE + + sh -c ' source $HOMEgfs/ush/load_fv3gfs_modules.sh exclusive ; module list ; source $EXPDIR/config.base ; $HOMEgfs/jobs/JGLOBAL_ANALYSIS' + &QUEUE; + &CPU_PROJECT; + gdas.analysis.jgdas_analysis_high + &LOG_DIR;/@Y@m@d@H/gdas.analysis.jgdas_analysis_high.log + + 1:30:00 + 3072M + 24:ppn=6 + + CDATE@Y@m@d@H + PDY@Y@m@d + cyc@H + EXPDIR&EXPDIR; + DUMPgdas + RUN_ENVIRemc + HOMEgfs&HOMEgfs; + HOMEobsproc_network&HOMEobsproc_network; + HOMEobsproc_global&HOMEobsproc_network; + HOMEobsproc_prep&HOMEobsproc_prep; + jobjgdas_analysis_high_@H + + + + + + + + + + + + + + + + + DUMMY_VALUE + + sh -c ' source $HOMEgfs/ush/load_fv3gfs_modules.sh exclusive ; module list ; source $EXPDIR/config.base ; $HOMEgfs/jobs/JGLOBAL_FORECAST' + &QUEUE; + &CPU_PROJECT; + gdas.forecast.jgdas_forecast_high + &LOG_DIR;/@Y@m@d@H/gdas.forecast.jgdas_forecast_high.log + + 0:30:00 + 1024M + 1:ppn=4+2:ppn=3 + + CDATE@Y@m@d@H + PDY@Y@m@d + cyc@H + EXPDIR&EXPDIR; + DUMPgdas + RUN_ENVIRemc + HOMEgfs&HOMEgfs; + HOMEobsproc_network&HOMEobsproc_network; + HOMEobsproc_global&HOMEobsproc_network; + HOMEobsproc_prep&HOMEobsproc_prep; + jobjgdas_forecast_high_@H + + + + + + + + + + + + + + DUMMY_VALUE + + sh -c ' source $HOMEgfs/ush/load_fv3gfs_modules.sh exclusive ; module list ; /usr/bin/env FHRGRP=anl post_times=anl FHRLST=anl &HOMEgfs;/jobs/JGLOBAL_NCEPPOST' + &QUEUE; + &CPU_PROJECT; + gdas.post.jgdas_post_anl + &LOG_DIR;/@Y@m@d@H/gdas.post.jgdas_post_anl.log + + 0:30:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + PDY@Y@m@d + cyc@H + EXPDIR&EXPDIR; + DUMPgdas + RUN_ENVIRemc + HOMEgfs&HOMEgfs; + HOMEobsproc_network&HOMEobsproc_network; + HOMEobsproc_global&HOMEobsproc_network; + HOMEobsproc_prep&HOMEobsproc_prep; + jobjgdas_post_anl_@H + + + + &COM;/gdas.@Y@m@d/@H/gdas.t@Hz.logf000.nemsio + + + + + + DUMMY_VALUE + + DUMMY_VALUE + + sh -c ' source $HOMEgfs/ush/load_fv3gfs_modules.sh exclusive ; module list ; source $EXPDIR/config.base ; source $EXPDIR/config.prep ; source $EXPDIR/config.prepbufr ; $HOMEgfs/jobs/JGLOBAL_EMCSFC_SFC_PREP' + &QUEUE; + &CPU_PROJECT; + gfs.prep.jgfs_emcsfc_sfc_prep + &LOG_DIR;/@Y@m@d@H/gfs.prep.jgfs_emcsfc_sfc_prep.log + + 0:02:00 + 1024M + 1:ppn=1 + + CDATE@Y@m@d@H + PDY@Y@m@d + cyc@H + EXPDIR&EXPDIR; + DUMPgfs + RUN_ENVIRemc + HOMEgfs&HOMEgfs; + HOMEobsproc_network&HOMEobsproc_network; + HOMEobsproc_global&HOMEobsproc_network; + HOMEobsproc_prep&HOMEobsproc_prep; + jobjgfs_emcsfc_sfc_prep_@H + + + + + + + + + + + + sh -c ' source $HOMEgfs/ush/load_fv3gfs_modules.sh exclusive ; module list ; source $EXPDIR/config.base ; source $EXPDIR/config.prep ; source $EXPDIR/config.prepbufr ; $HOMEobsproc_global/jobs/JGLOBAL_PREP' + &QUEUE; + &CPU_PROJECT; + gfs.prep.jgfs_prep + &LOG_DIR;/@Y@m@d@H/gfs.prep.jgfs_prep.log + + 0:15:00 + 3072M + 1:ppn=12 + + CDATE@Y@m@d@H + PDY@Y@m@d + cyc@H + EXPDIR&EXPDIR; + DUMPgfs + RUN_ENVIRemc + HOMEgfs&HOMEgfs; + HOMEobsproc_network&HOMEobsproc_network; + HOMEobsproc_global&HOMEobsproc_network; + HOMEobsproc_prep&HOMEobsproc_prep; + jobjgfs_prep_@H + + + + + + + + + + + + + sh -c ' source $HOMEgfs/ush/load_fv3gfs_modules.sh exclusive ; module list ; source $EXPDIR/config.base ; $HOMEgfs/jobs/JGLOBAL_ANALYSIS' + &QUEUE; + &CPU_PROJECT; + gfs.jgfs_analysis + &LOG_DIR;/@Y@m@d@H/gfs.jgfs_analysis.log + + 1:30:00 + 3072M + 24:ppn=6 + + CDATE@Y@m@d@H + PDY@Y@m@d + cyc@H + EXPDIR&EXPDIR; + DUMPgfs + RUN_ENVIRemc + HOMEgfs&HOMEgfs; + HOMEobsproc_network&HOMEobsproc_network; + HOMEobsproc_global&HOMEobsproc_network; + HOMEobsproc_prep&HOMEobsproc_prep; + jobjgfs_analysis_@H + + + + + + + + + + + + + + + + DUMMY_VALUE + + sh -c ' source $HOMEgfs/ush/load_fv3gfs_modules.sh exclusive ; module list ; source $EXPDIR/config.base ; $HOMEgfs/jobs/JGLOBAL_FORECAST' + &QUEUE; + &CPU_PROJECT; + gfs.forecast.jgfs_forecast_high + &LOG_DIR;/@Y@m@d@H/gfs.forecast.jgfs_forecast_high.log + + 6:00:00 + 1024M + 12:ppn=4 + + CDATE@Y@m@d@H + PDY@Y@m@d + cyc@H + EXPDIR&EXPDIR; + DUMPgfs + RUN_ENVIRemc + HOMEgfs&HOMEgfs; + HOMEobsproc_network&HOMEobsproc_network; + HOMEobsproc_global&HOMEobsproc_network; + HOMEobsproc_prep&HOMEobsproc_prep; + jobjgfs_forecast_high_@H + + + + + + + + + + + + + + + + + DUMMY_VALUE + + sh -c ' source $HOMEgfs/ush/load_fv3gfs_modules.sh exclusive ; module list ; /usr/bin/env post_times=anl &HOMEgfs;/jobs/JGLOBAL_NCEPPOST' + &QUEUE; + &CPU_PROJECT; + gfs.post.jgfs_post_anl + &LOG_DIR;/@Y@m@d@H/gfs.post.jgfs_post_anl.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + PDY@Y@m@d + cyc@H + EXPDIR&EXPDIR; + DUMPgfs + RUN_ENVIRemc + HOMEgfs&HOMEgfs; + HOMEobsproc_network&HOMEobsproc_network; + HOMEobsproc_global&HOMEobsproc_network; + HOMEobsproc_prep&HOMEobsproc_prep; + jobjgfs_post_anl_@H + + + + + + &COM;/gfs.@Y@m@d/@H/gfs.t@Hz.logf000.nemsio + + + + + + + + sh -c ' source $HOMEgfs/ush/load_fv3gfs_modules.sh exclusive ; module list ; /usr/bin/env post_times=arbitary FHRLST=arbitary FHRGRP=arbitary &HOMEgfs;/jobs/JGLOBAL_NCEPPOST' + &QUEUE; + &CPU_PROJECT; + gfs.post.jgfs_post_el + &LOG_DIR;/@Y@m@d@H/gfs.post.jgfs_post_el.log + + 0:10:00 + 3072M + 6:ppn=12 + + CDATE@Y@m@d@H + PDY@Y@m@d + cyc@H + EXPDIR&EXPDIR; + DUMPgfs + RUN_ENVIRemc + HOMEgfs&HOMEgfs; + HOMEobsproc_network&HOMEobsproc_network; + HOMEobsproc_global&HOMEobsproc_network; + HOMEobsproc_prep&HOMEobsproc_prep; + jobjgfs_post_el_@H + + + + + + + + + + + + + + + + sh -c '/bin/true' + &QUEUESERV; + &CPU_PROJECT; + final + &LOG_DIR;/@Y@m@d@H/final.log + + 0:02:00 + 300M + 1 + + + CDATE@Y@m@d@H + PDY@Y@m@d + cyc@H + EXPDIR&EXPDIR; + DUMPgfs + RUN_ENVIRemc + HOMEgfs&HOMEgfs; + HOMEobsproc_network&HOMEobsproc_network; + HOMEobsproc_global&HOMEobsproc_network; + HOMEobsproc_prep&HOMEobsproc_prep; + jobfinal_@H + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/tests/test_data/regtest/control/expdir/regtest_tmp/workflow.yaml b/tests/test_data/regtest/control/expdir/regtest_tmp/workflow.yaml new file mode 100644 index 0000000..5583add --- /dev/null +++ b/tests/test_data/regtest/control/expdir/regtest_tmp/workflow.yaml @@ -0,0 +1,321 @@ +suite: !Cycle + <<: *suite_defaults + + Alarms: + <<: *cycled_suite_alarms + + ###################################################################### + ## GDAS FAMILY ####################################################### + ###################################################################### + + gdas: !Family + RUN: gdas + ecflow_def: "edit RUN 'gdas'" + + dump: !Family + ecflow_def: "# NCO will need to add NCO dump job here" + Dummy: true ## <-- Node is not implemented yet + Trigger: !Depend forecast.at('-6:00:00') + jgdas_ics: !Task + <<: *exclusive_task_template + release_gdas00_ics: !DataEvent {file="/dev/null"} + resources: !calc partition.resources.run_one_hour_exclusive + + #jgdas_dump_post: !Task + # Trigger: !Depend jgdas_dump + # release_sfcprep: !DataEvent {file="/dev/null"} + # release_gdas00_dump_alert: !DataEvent {file="/dev/null"} + # ecf_file: *ecf_file_template + # resources: !calc partition.resources.run_nothing + # accounting: *exclusive_accounting + # J_JOB: nothing + + jgdas_tropcy_qc_reloc: !Task + <<: *exclusive_task_template + Trigger: !Depend jgdas_dump + Time: !FirstTrue + - when: !calc doc.settings.realtime + do: !timedelta +5:45:00 + - otherwise: null + resources: !calc partition.resources.run_one_hour_exclusive + + #Replaced by emc version of dump job + #This dump job should be using NCO version when delivery to NCO + jgdas_dump: !Task + <<: *exclusive_task_template + release_sfcprep: !DataEvent {file="/dev/null"} + Time: !FirstTrue + - when: !calc doc.settings.realtime + do: !timedelta +5:50:00 + - otherwise: null + resources: !calc partition.resources.run_one_hour_exclusive + #endfamily dump + + prep: !Family + Trigger: !Depend ( up.gdas.forecast.at('-6:00:00') ) + Complete: !Depend ( ~ suite.has_cycle('-6:00:00') ) + jgdas_emcsfc_sfc_prep: !Task +# Disable: !calc not doc.data_assimilation.DO_EMCSFC + <<: *exclusive_task_template + Trigger: !Depend ( up.dump.jgdas_dump.release_sfcprep ) + ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround + resources: !calc partition.resources.run_prep + config_list: [ base, prep, prepbufr ] + J_JOB: JGLOBAL_EMCSFC_SFC_PREP + + jgdas_prep: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.dump.jgdas_dump & up.up.gdas.post.at('-6:00:00') ) + ecflow_def: + edit model 'obsproc_global' + resources: !calc partition.resources.run_prep + ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround + J_JOB: JGLOBAL_PREP + model: obsproc_global # for four cycle mode + J_JOB_PATH: '$HOMEobsproc_global/jobs' + config_list: [ base, prep, prepbufr ] + + jgdas_tropcy_cp: !Task + <<: *exclusive_task_template + Dummy: True + Trigger: !Depend prep.jgdas_prep + resources: !calc partition.resources.run_one_hour_exclusive + J_JOB: rocoto/tropcy_cp.sh + ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround + + analysis: !Family + Complete: !Depend ( ~ suite.has_cycle('-6:00:00') ) + jgdas_analysis_high: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.prep.jgdas_prep ) + #release_fcst: !DataEvent {file="/dev/null"} + resources: !calc partition.resources.run_anal + J_JOB: JGLOBAL_ANALYSIS + + forecast: !Family + jgdas_forecast_high: !Task + <<: *exclusive_task_template + Trigger: !Depend ( up.analysis.jgdas_analysis_high ) | ~ suite.has_cycle('-6:00:00') + resources_remap: !JobRequest [ { <<: *remap_resource_template } ] + #release_fcst: !DataEvent {file="/dev/null"} + resources: !calc partition.resources.run_gdasfcst + J_JOB: JGLOBAL_FORECAST + + #endfamily gempak + + post: !TaskArray + RUN: !calc up.RUN + Dimensions: + fhr: !calc doc.settings.output_hours + post_manager_el: !TaskElement + <<: *exclusive_task_template + RUN: !calc up.RUN + Trigger: !FirstTrue + - when: !calc not doc.settings.realtime + take: !Depend ( up.forecast.is_running() ) + - otherwise: !Depend "up.forecast.is_running() | up.forecast" + Disable: !calc metasched.type=='rocoto' + Foreach: [] + J_JOB: JGLOBAL_POST_MANAGER + Name: jgdas_post_manager + resources: !calc partition.resources.run_gdas_post_manager + release_postanl: !DataEvent + file: !expand >- + {metasched.varref("COM")}/gdas.{metasched.datestring("%Y%m%d/%H/")}gdas.t{metasched.datestring("%H")}z.logf000.nemsio + release_post_fhr: !DataEventElement + Name: !expand "release_post" + Foreach: [ fhr ] + file: !expand > + {metasched.varref("COM")}/gdas.{metasched.datestring("%Y%m%d/%H/")}gdas.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio + # NOTE: the above files must match the logic in scripts/exglobal_pmgr.sh.ecf + + # The post_anl has to be a !TaskElement to ensure it shows up between + # the post manager and forecast hour posts: + jgdas_post_anl_el: !TaskElement + <<: *exclusive_task_template + Foreach: [] + FHR: 'anl' + Name: jgdas_post_anl + Trigger: !FirstTrue + - when: !calc not doc.settings.realtime + take: !Depend jgdas_post_manager.release_postanl + - otherwise: !Depend jgdas_post_manager.release_postanl | up.forecast + release_pgrb2_anl: !DataEvent {file="/dev/null"} + resources: !calc partition.resources.run_gdaspost + ecflow_def: !expand "edit FHR '{FHR}'" + J_JOB: JGLOBAL_NCEPPOST + ecflow_command: !expand | + export post_times=%FHR% FHRLST=%FHR% FHRGRP=%FHR% + $HOMEgfs/jobs/{J_JOB} + rocoto_command: !expand >- + {rocoto_load_modules} ; + /usr/bin/env FHRGRP={FHR} post_times={FHR} FHRLST={FHR} &HOMEgfs;/jobs/{J_JOB} + + #endfamily gdas + + ###################################################################### + ## GFS FAMILY ######################################################## + ###################################################################### + + gfs: !Family + Disable: !calc doc.settings.gfs_cyc==0 + Complete: !Depend ~ suite.has_cycle('-6:00:00') + AlarmName: gfs + RUN: 'gfs' + ecflow_def: "edit RUN 'gfs'" + + dump: !Family + Trigger: !Depend up.gdas.forecast.at('-6:00:00') + Complete: !Depend ~ suite.has_cycle('-6:00:00') + Dummy: true ## <-- Node is not implemented yet + ecflow_def: "# NCO will need to add NCO dump job here" + jgfs_tropcy_qc_reloc: !Task + <<: *exclusive_task_template + Trigger: !Depend jgfs_dump + jtwc_bull_email: !DataEvent {file="/dev/null"} + resources: !calc partition.resources.run_one_hour_exclusive + Time: !FirstTrue + - when: !calc doc.settings.realtime + do: !timedelta +2:41:00 + - otherwise: null + + jgfs_dump: !Task + <<: *exclusive_task_template + ecflow_def: '#NCO needs to replace this with the real dump job' + release_sfcprep: !DataEvent {file="/dev/null"} + resources: !calc partition.resources.run_one_hour_exclusive + Time: !FirstTrue + - when: !calc doc.settings.realtime + do: !timedelta +2:47:00 + - otherwise: null + + prep: !Family + Trigger: !Depend ( ( dump.jgfs_dump.release_sfcprep ) & up.gdas.forecast.at('-6:00:00') ) + Complete: !Depend ~ suite.has_cycle('-6:00:00') + jgfs_emcsfc_sfc_prep: !Task +# Disable: !calc not doc.data_assimilation.DO_EMCSFC + <<: *exclusive_task_template + Trigger: !Depend ( up.dump.jgfs_dump.release_sfcprep ) + resources: !calc partition.resources.run_sfc_prep + J_JOB: JGLOBAL_EMCSFC_SFC_PREP + ecf_environment_settings: !expand | + {doc.settings.ecflow_rocoto_cdate_workaround} + export DATAROOT="$DATAROOT.$job" + config_list: [ base, prep, prepbufr ] + + jgfs_prep: !Task + <<: *exclusive_task_template + ecflow_def: + edit model 'obsproc_global' + Trigger: !Depend up.dump + resources: !calc partition.resources.run_prep + ecf_environment_settings: !expand | + {doc.settings.ecflow_rocoto_cdate_workaround} + export DATAROOT="$DATAROOT.$job" + J_JOB: JGLOBAL_PREP + model: obsproc_global # for four cycle mode + J_JOB_PATH: '$HOMEobsproc_global/jobs' + config_list: [ base, prep, prepbufr ] + + jgfs_tropcy_cp: !Task + <<: *exclusive_task_template + Dummy: True + Trigger: !Depend prep.jgfs_prep + resources: !calc partition.resources.run_one_hour_exclusive + ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround + J_JOB: rocoto/tropcy_cp.sh + + jgfs_analysis: !Task + <<: *exclusive_task_template + Trigger: !Depend ( prep.jgfs_prep ) + resources: !calc partition.resources.run_anal + J_JOB: JGLOBAL_ANALYSIS + Complete: !Depend ~ suite.has_cycle('-6:00:00') + + forecast: !Family + Complete: !Depend ~ suite.has_cycle('-6:00:00') + jgfs_forecast_high: !Task + <<: *exclusive_task_template + Trigger: !Depend up.jgfs_analysis + resources: !calc partition.resources.run_gfsfcst + J_JOB: JGLOBAL_FORECAST + resources_remap: !JobRequest [ { <<: *remap_resource_template } ] + + post: !TaskArray + RUN: !calc up.RUN + Dimensions: + fhr: !calc doc.settings.output_hours + jgfs_post_manager_el: !TaskElement + <<: *exclusive_task_template + RUN: !calc up.RUN + Disable: !calc metasched.type == 'rocoto' + Trigger: !FirstTrue + - when: !calc not doc.settings.realtime + take: !Depend "up.forecast.is_running()" + - otherwise: !Depend "up.forecast.is_running() | up.forecast" + Foreach: [ ] + J_JOB: JGLOBAL_POST_MANAGER + Name: jgfs_post_manager + resources: !calc partition.resources.run_gfs_post_manager + release_postanl: !DataEvent + file: !expand >- + {metasched.varref("COM")}/gfs.{metasched.datestring("%Y%m%d/%H/")}gfs.t{metasched.datestring("%H")}z.logf000.nemsio + release_post_fhr: !DataEventElement + Name: !expand "release_post" + Foreach: [ fhr ] + file: !expand >- + {metasched.varref("COM")}/gfs.{metasched.datestring("%Y%m%d/%H/")}gfs.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio + # NOTE: the above files must match post_manager.yaml + + jgfs_post_anl_el: !TaskElement + <<: *exclusive_task_template + Foreach: [] + FHR: anl + Name: jgfs_post_anl + Trigger: !FirstTrue + - when: !calc doc.settings.realtime + take: !Depend jgfs_post_manager.release_postanl + - otherwise: !Depend jgfs_post_manager.release_postanl | up.forecast + + ecflow_def: !expand "edit FHR '{FHR}'" + release_pgrb2_anl: !DataEvent {file="/dev/null"} + resources: !calc partition.resources.run_gfspost + J_JOB: JGLOBAL_NCEPPOST + ecflow_command: !expand | + export post_times=%FHR% FHRLST=%FHR% FHRGRP=%FHR% + $HOMEgfs/jobs/{J_JOB} + rocoto_command: !expand >- + {rocoto_load_modules} ; + /usr/bin/env post_times=anl &HOMEgfs;/jobs/{J_JOB} + + jgfs_post_fhr_el: !TaskElement + <<: *exclusive_task_template + Foreach: [ fhr ] + resources: !calc partition.resources.run_gfspost + Name: !expand jgfs_post_el + FHR: "arbitary" + J_JOB: JGLOBAL_NCEPPOST + Trigger: !Depend up.forecast + ecflow_def: !expand "edit FHR '{FHR}'" + ecflow_command: !expand | + export post_times=%FHR% FHRLST=%FHR% FHRGRP=%FHR% + $HOMEgfs/jobs/{J_JOB} + rocoto_command: !expand >- + {rocoto_load_modules} ; + /usr/bin/env post_times={FHR} FHRLST={FHR} FHRGRP={FHR} &HOMEgfs;/jobs/{J_JOB} + + #endfamily post + + ###################################################################### + ## CYCLE COMPLETION TASKS ############################################ + ###################################################################### + + cycle_end: *cycle_end_task + + final: !Task + <<: *service_task_template + Disable: !calc not metasched.type=="rocoto" + resources: !calc partition.resources.run_nothing + rocoto_command: /bin/true + RUN: gfs # useless but required + diff --git a/tests/test_data/regtest/include/experiment-regtest_tmp.h b/tests/test_data/regtest/control/include/experiment-regtest_tmp.h similarity index 76% rename from tests/test_data/regtest/include/experiment-regtest_tmp.h rename to tests/test_data/regtest/control/include/experiment-regtest_tmp.h index a3fbe90..c13e18d 100644 --- a/tests/test_data/regtest/include/experiment-regtest_tmp.h +++ b/tests/test_data/regtest/control/include/experiment-regtest_tmp.h @@ -8,10 +8,10 @@ export HOMEobsproc_global="/gpfs/hps3/emc/global/noscrub/emc.glopara/git/obsproc export HOMEobsproc_network="/gpfs/hps3/emc/global/noscrub/emc.glopara/git/obsproc/obsproc_global_RB-3.2.0" export HOMEobsproc_prep="/gpfs/hps3/emc/global/noscrub/emc.glopara/git/obsproc/obsproc_prep_RB-5.2.0" export HOMEgfs="/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW" -export DATAROOT="/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/RUNDIRS/regtest_tmp" -export jlogfile="/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/comrot/regtest_tmp/jlogfile" -export COMROOT="/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/comrot/regtest_tmp" +export DATAROOT="/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/cache/RUNDIRS/regtest_tmp" +export jlogfile="/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/cache/comrot/regtest_tmp/jlogfile" +export COMROOT="/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/cache/comrot/regtest_tmp" export CDUMP=$RUN export cycle=t%CYC%z -export EXPDIR="/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/expdir/regtest_tmp" +export EXPDIR="/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/cache/expdir/regtest_tmp" export CDATE=%PDY%%CYC% diff --git a/tests/test_data/regtest/control/scripts/regtest_tmp/cycle_end.ecf b/tests/test_data/regtest/control/scripts/regtest_tmp/cycle_end.ecf new file mode 100644 index 0000000..a7f94b3 --- /dev/null +++ b/tests/test_data/regtest/control/scripts/regtest_tmp/cycle_end.ecf @@ -0,0 +1,8 @@ +#! /bin/sh +which ecflow_client +export ECF_PASS=%ECF_PASS% +export ECF_RID=%ECF_RID% +export ECF_HOST=%ECF_HOST% +export ECF_NAME=%ECF_NAME% +ecflow_client --complete +echo Cycle complete at $( date ) diff --git a/tests/test_data/regtest/control/scripts/regtest_tmp/gdas/forecast/jgdas_forecast_high.ecf b/tests/test_data/regtest/control/scripts/regtest_tmp/gdas/forecast/jgdas_forecast_high.ecf new file mode 100644 index 0000000..301c69d --- /dev/null +++ b/tests/test_data/regtest/control/scripts/regtest_tmp/gdas/forecast/jgdas_forecast_high.ecf @@ -0,0 +1,50 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %CPU_PROJECT% +#BSUB -J gdas.forecast.jgdas_forecast_high +#BSUB -o %COM%/logs/%PDY%%CYC%/gdas.forecast.jgdas_forecast_high.log.%J +#BSUB -W 0:30 +#BSUB -R rusage[mem=1024] +#BSUB -extsched CRAYLINUX[] +export NODES=3 +%include +%include + +set -x + +export ntasks=10 +export ptile=4 +export threads=5 + +export model=%model:gfs% +export NET=%NET:gfs% +export RUN=%RUN% + +%include + +############################################################ +# Load modules +############################################################ +#. $MODULESHOME/init/sh +source "$HOMEgfs/ush/load_fv3gfs_modules.sh" exclusive +module load prod_util +module list +export ECF_PORT=%ECF_PORT% # workaround for ecflow module bug + + +############################################################ +# WCOSS environment settings +############################################################ +%include +# date variables will be set by header file. + +############################################################ +export cyc=%CYC% +source $EXPDIR/config.base + +$HOMEgfs/jobs/JGLOBAL_FORECAST + +%include +%manual + +%end diff --git a/tests/test_data/regtest/control/scripts/regtest_tmp/gdas/jgdas_tropcy_cp.ecf b/tests/test_data/regtest/control/scripts/regtest_tmp/gdas/jgdas_tropcy_cp.ecf new file mode 100644 index 0000000..dbcdaff --- /dev/null +++ b/tests/test_data/regtest/control/scripts/regtest_tmp/gdas/jgdas_tropcy_cp.ecf @@ -0,0 +1,50 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %CPU_PROJECT% +#BSUB -J gdas.jgdas_tropcy_cp +#BSUB -o %COM%/logs/%PDY%%CYC%/gdas.jgdas_tropcy_cp.log.%J +#BSUB -W 0:02 +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +export ntasks=2 +export ptile=24 +# No OpenMP in use, so I am not setting $threads + +export model=%model:gfs% +export NET=%NET:gfs% +export RUN=%RUN% + +%include + +############################################################ +# Load modules +############################################################ +#. $MODULESHOME/init/sh +source "$HOMEgfs/ush/load_fv3gfs_modules.sh" exclusive +module load prod_util +module list +export ECF_PORT=%ECF_PORT% # workaround for ecflow module bug + + +############################################################ +# WCOSS environment settings +############################################################ +%include +# date variables will be set by header file. + +############################################################ +export cyc=%CYC% +source $EXPDIR/config.base + +$HOMEgfs/jobs/rocoto/tropcy_cp.sh + +%include +%manual + +%end diff --git a/tests/test_data/regtest/control/scripts/regtest_tmp/gdas/post/jgdas_post_anl.ecf b/tests/test_data/regtest/control/scripts/regtest_tmp/gdas/post/jgdas_post_anl.ecf new file mode 100644 index 0000000..792bfc0 --- /dev/null +++ b/tests/test_data/regtest/control/scripts/regtest_tmp/gdas/post/jgdas_post_anl.ecf @@ -0,0 +1,52 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %CPU_PROJECT% +#BSUB -J gdas.post.jgdas_post_anl +#BSUB -o %COM%/logs/%PDY%%CYC%/gdas.post.jgdas_post_anl.log.%J +#BSUB -W 0:30 +#BSUB -R rusage[mem=3072] +#BSUB -extsched CRAYLINUX[] +export NODES=6 +%include +%include + +set -x + +export ntasks=72 +export ptile=12 +export threads=1 + +export model=%model:gfs% +export NET=%NET:gfs% +export RUN=%RUN% + +%include + +############################################################ +# Load modules +############################################################ +#. $MODULESHOME/init/sh +source "$HOMEgfs/ush/load_fv3gfs_modules.sh" exclusive +module load prod_util +module list +export ECF_PORT=%ECF_PORT% # workaround for ecflow module bug + + +############################################################ +# WCOSS environment settings +############################################################ +%include +# date variables will be set by header file. + +############################################################ +export cyc=%CYC% +source $EXPDIR/config.base + +export post_times=%FHR% FHRLST=%FHR% FHRGRP=%FHR% +$HOMEgfs/jobs/JGLOBAL_NCEPPOST + + +%include +%manual + +%end diff --git a/tests/test_data/regtest/control/scripts/regtest_tmp/gdas/post/jgdas_post_manager.ecf b/tests/test_data/regtest/control/scripts/regtest_tmp/gdas/post/jgdas_post_manager.ecf new file mode 100644 index 0000000..ec7f7f9 --- /dev/null +++ b/tests/test_data/regtest/control/scripts/regtest_tmp/gdas/post/jgdas_post_manager.ecf @@ -0,0 +1,50 @@ +#! /bin/sh +#BSUB -q %QUEUE% +#BSUB -P %CPU_PROJECT% +#BSUB -J gdas.post.jgdas_post_manager +#BSUB -o %COM%/logs/%PDY%%CYC%/gdas.post.jgdas_post_manager.log.%J +#BSUB -W 0:01 +#BSUB -R rusage[mem=300] +#BSUB -extsched CRAYLINUX[] +export NODES=1 +%include +%include + +set -x + +# No MPI in use, so I am not setting $ntasks. +# Neither OpenMP nor MPI are in use, so I am not setting $ptile +# No OpenMP in use, so I am not setting $threads + +export model=%model:gfs% +export NET=%NET:gfs% +export RUN=%RUN% + +%include + +############################################################ +# Load modules +############################################################ +#. $MODULESHOME/init/sh +source "$HOMEgfs/ush/load_fv3gfs_modules.sh" exclusive +module load prod_util +module list +export ECF_PORT=%ECF_PORT% # workaround for ecflow module bug + + +############################################################ +# WCOSS environment settings +############################################################ +%include +# date variables will be set by header file. + +############################################################ +export cyc=%CYC% +source $EXPDIR/config.base + +$HOMEgfs/jobs/JGLOBAL_POST_MANAGER + +%include +%manual + +%end diff --git a/tests/test_data/regtest/defaults/case.yaml b/tests/test_data/regtest/defaults/case.yaml index b9ff131..eda42d9 100644 --- a/tests/test_data/regtest/defaults/case.yaml +++ b/tests/test_data/regtest/defaults/case.yaml @@ -3,118 +3,32 @@ # of the case files, default files, platform file, and everywhere # else, and applies any validation from the schema/ directory. -fv3_settings: !Immediate +settings: !Immediate - !MergeMapping - - !calc doc.case.fv3_settings - - CDUMP: gfs - Template: *fv3_settings_template - - !calc doc.get('user_fv3_settings',{}) - + - Template: *settings_schema + - !calc doc.default_settings + - !calc doc.case.settings + - !calc doc.get('user_settings',{}) + schedvar: !Immediate - !MergeMapping - !calc doc.case.get('schedvar',{}) - Template: *schedvar_schema - !calc doc.get('schedvar_defaults',{}) -fv3_gfs_settings: !Immediate - - !MergeMapping - - !calc doc.case.fv3_settings - - CDUMP: gfs - Template: *fv3_settings_template - - !calc doc.case.get('fv3_gfs_settings',{}) - - !calc doc.get('user_fv3_gfs_settings',{}) - -fv3_enkf_settings: !Immediate - - !MergeMapping - - !calc doc.fv3_enkf_defaults - - !calc doc.case.fv3_settings - - CDUMP: gdas - Template: *fv3_settings_template - - !calc doc.case.get('fv3_enkf_settings',{}) - - !calc doc.get('user_fv3_enkf_settings',{}) - -fv3_gdas_settings: !Immediate - - !MergeMapping - - !calc doc.fv3_gfs_settings - - CDUMP: gdas - Template: *fv3_settings_template - - !calc doc.case.get('fv3_gdas_settings',{}) - - !calc doc.get('user_fv3_gfs_settings',{}) - -gfs_output_settings: !Immediate - - !MergeMapping - - !calc doc.gfs_output_settings_defaults - - { Template: *gfs_output_settings_template } - - !calc doc.case.get('gfs_output_settings',{}) - - !calc doc.get('user_gfs_output_settings',{}) - -data_assimilation: !Immediate - - !MergeMapping - - { Template: *data_assimilation_template } - - !calc doc.case.get('data_assimilation',{}) - - !calc doc.get('user_data_assimilation',{}) - -post: !Immediate - - !MergeMapping - - { Template: *post_schema } - - !calc doc.case.get('post',{}) - - !calc doc.get('user_post',{}) - -downstream: !Immediate - - !MergeMapping - - !calc doc.downstream_defaults - - { Template: *downstream_schema } - - !calc doc.case.get('downstream',{}) - - !calc doc.get('user_downstream',{}) - places: !Immediate - !MergeMapping - Template: *places_schema - !calc doc.default_places - !calc doc.case.get('places',{}) - !calc doc.user_places - -nsst: !Immediate - - !MergeMapping - - Template: *nsst_schema - - !calc doc.case.get('nsst',{}) - - !calc doc.get('user_nsst',{}) exclusive_resources: !calc doc.platform.partitions.default_exclusive.resources shared_resources: !calc doc.platform.partitions.default_shared.resources service_resources: !calc doc.platform.partitions.default_service.resources -settings: !Immediate - - !MergeMapping - - Template: *settings_schema - - !calc doc.default_settings - - !calc doc.case.settings - - !calc doc.get('user_settings',{}) - -archiving: !Immediate - - !MergeMapping - - Template: *archive_settings_template - - !calc doc.case.get('archiving',{}) - - !calc doc.get('user_archiving',{}) - -suite_overrides: !Immediate - - !AppendSequence - - !calc doc.platform.get('suite_overrides',[]) - - !calc doc.case.get('suite_overrides',[]) - - !calc doc.get('user_suite_overrides',[]) - validate_me: - - fv3_settings - - fv3_gfs_settings - - fv3_enkf_settings - - fv3_gdas_settings - - gfs_output_settings - - data_assimilation - - post - - downstream - settings - - places - - nsst - - archiving - schedvar + - places diff --git a/tests/test_data/regtest/defaults/resources.yaml b/tests/test_data/regtest/defaults/resources.yaml index 852402d..fbd160d 100644 --- a/tests/test_data/regtest/defaults/resources.yaml +++ b/tests/test_data/regtest/defaults/resources.yaml @@ -9,9 +9,9 @@ # and batch system will fill in settings. # a number = use this many threads per MPI rank -gfs_resource_table: !Select - select: !calc doc.fv3_gfs_settings.CASE - otherwise: !error "Unknown FV3 deterministic grid: {doc.fv3_gfs_settings.CASE}" +resource_table: !Select + select: !calc doc.settings.resolution + otherwise: !error "Unknown FV3 deterministic grid: {doc.settings.resolution}" cases: C192: # ranks ppn wallclock threads MB_per_rank @@ -55,38 +55,6 @@ gfs_resource_table: !Select gfsfcst_ppn: 12 post_manager_wallclock_extra: !timedelta "00:15:00" -enkf_resource_table: !Select - select: !calc doc.fv3_enkf_settings.CASE - otherwise: !error "Unknown FV3 ENKF grid: {doc.fv3_enkf_settings.CASE}" - cases: - C192: - # ranks ppn wallclock threads MB_per_rank - ecen: [ 84, 12, !timedelta "00:30:00", 2, null ] - eobs: [ 72, 6, !timedelta "00:45:00", 4, null ] - eomg: [ 72, 6, !timedelta "01:00:00", 2, null ] - eupd: [ 120, 12, !timedelta "00:30:00", 4, null ] - epos: [ 84, 12, !timedelta "00:30:00", 2, null ] - efcs_wall: !timedelta "01:00:00" - efcs_ppn: 12 - C384: - # ranks ppn wallclock threads MB_per_rank - eobs: [ 140, 14, !timedelta "00:30:00", max, 4.2e+3 ] - eomg: [ 140, 14, !timedelta "01:00:00", max, 4.0e+3 ] - eupd: [ 360, 4, !timedelta "00:30:00", max, 1.5e+3 ] - ecen: [ 80, 4, !timedelta "01:00:00", max, 15.7e+3 ] - epos: [ 80, 4, !timedelta "02:00:00", max, 8.6e+3 ] - efcs_wall: !timedelta "03:00:00" - efcs_ppn: 12 - C768: - # ranks ppn wallclock threads MB_per_rank - eobs: [ 144, 12, !timedelta "00:30:00", 2, null ] - eomg: [ 144, 12, !timedelta "01:00:00", 2, null ] - eupd: [ 240, 4, !timedelta "00:30:00", 4, null ] - ecen: [ 80, 4, !timedelta "01:00:00", 2, null ] - epos: [ 80, 3, !timedelta "02:00:00", 2, null ] - efcs_wall: !timedelta "03:00:00" - efcs_ppn: 12 - default_resources: &default_resources # Constant resources; ones that do not change regardless of configuration. @@ -241,7 +209,7 @@ default_resources: &default_resources - memory: "300M" exe: placeholder walltime: !FirstTrue - - when: !calc doc.settings.REALTIME + - when: !calc doc.settings.realtime do: !timedelta "01:00:00" - otherwise: !timedelta "00:05:00" @@ -313,15 +281,11 @@ default_resources: &default_resources run_gdasfcst: !JobRequest - batch_memory: "1024M" mpi_ranks: !calc >- - doc.fv3_gdas_settings.layout_x * - doc.fv3_gdas_settings.layout_y * 6 + - ( ( doc.fv3_gdas_settings.WRITE_GROUP * - doc.fv3_gdas_settings.WRTTASK_PER_GROUP ) - if doc.fv3_gdas_settings.QUILTING else 0 ) - max_ppn: !calc "doc.fv3_gdas_settings.get('fcst_max_ppn',None)" - walltime: !calc gdasfcst_walltime - OMP_NUM_THREADS: !calc doc.fv3_gdas_settings.fv3_threads - memory_per_rank: !calc doc.gfs_resource_table.get('gdasfcst_mem_per_rank',None) + doc.settings.task_throttle * 2 + max_ppn: !calc "doc.settings.get('fcst_max_ppn',None)" + walltime: !timedelta "00:30:00" + OMP_NUM_THREADS: !calc doc.settings.task_throttle + memory_per_rank: !calc doc.resource_table.get('gdasfcst_mem_per_rank',None) no_gdasfcst_remap: !JobRequest - mpi_ranks: !calc (min(240,doc.default_resources.run_gdasfcst.total_ranks())) @@ -333,35 +297,19 @@ default_resources: &default_resources min(240,resources.total_ranks()) OMP_NUM_THREADS: 2 max_ppn: !calc partition.nodes.max_ranks_per_node(resources[0]) - - run_efcs: !JobRequest - - batch_memory: "254M" - mpi_ranks: !calc >- - doc.fv3_enkf_settings.layout_x * - doc.fv3_enkf_settings.layout_y * 6 + - ( ( doc.fv3_enkf_settings.WRITE_GROUP * - doc.fv3_enkf_settings.WRTTASK_PER_GROUP ) - if doc.fv3_enkf_settings.QUILTING else 0 ) - max_ppn: !calc "doc.fv3_enkf_settings.get('fcst_max_ppn',None)" - OMP_NUM_THREADS: !calc doc.fv3_enkf_settings.fv3_threads - walltime: !calc efcs_walltime - efcs_walltime: !calc doc.enkf_resource_table.efcs_wall - gfsfcst_walltime: !calc doc.gfs_resource_table.gfsfcst_wall - gdasfcst_walltime: !calc doc.gfs_resource_table.gdasfcst_wall + gfsfcst_walltime: !calc doc.resource_table.gfsfcst_wall + gdasfcst_walltime: !calc doc.resource_table.gdasfcst_wall run_gfsfcst: !JobRequest - batch_memory: "1024M" mpi_ranks: !calc >- - doc.fv3_gfs_settings.layout_x * - doc.fv3_gfs_settings.layout_y * 6 + - ( ( doc.fv3_gfs_settings.WRITE_GROUP * - doc.fv3_gfs_settings.WRTTASK_PER_GROUP ) - if doc.fv3_gfs_settings.QUILTING else 0 ) - max_ppn: !calc "doc.fv3_gfs_settings.get('fcst_max_ppn',None)" - OMP_NUM_THREADS: !calc doc.fv3_gfs_settings.fv3_threads + doc.settings.layout_x * + doc.settings.layout_y * 6 + max_ppn: !calc "doc.settings.get('fcst_max_ppn',None)" + OMP_NUM_THREADS: !calc doc.settings.task_throttle walltime: !calc gfsfcst_walltime - memory_per_rank: !calc doc.gfs_resource_table.get('gfsfcst_mem_per_rank',None) + memory_per_rank: !calc doc.resource_table.get('gfsfcst_mem_per_rank',None) fallback_run_gfsremap: !JobRequest # Used to generate the config files if the gfs remap is not run in the workflow. @@ -375,109 +323,46 @@ default_resources: &default_resources run_gdas_post_manager: !JobRequest - memory: "300M" exe: placeholder - walltime: !calc doc.gfs_resource_table.gdasfcst_wall+doc.gfs_resource_table.post_manager_wallclock_extra + walltime: !timedelta "00:01:00" run_gfs_post_manager: !JobRequest - memory: "300M" exe: placeholder - walltime: !calc doc.gfs_resource_table.gfsfcst_wall+doc.gfs_resource_table.post_manager_wallclock_extra - - run_ecen: !JobRequest - - batch_memory: "3072M" - exe: placeholder - mpi_ranks: !calc doc.enkf_resource_table.ecen[0] - max_ppn: !calc doc.enkf_resource_table.ecen[1] - walltime: !calc doc.enkf_resource_table.ecen[2] - OMP_NUM_THREADS: !calc doc.enkf_resource_table.ecen[3] - memory_per_rank: !calc doc.enkf_resource_table.ecen[4] - - run_eobs: !JobRequest - - batch_memory: "3072M" - exe: placeholder - mpi_ranks: !calc doc.enkf_resource_table.eobs[0] - max_ppn: !calc doc.enkf_resource_table.eobs[1] - walltime: !calc doc.enkf_resource_table.eobs[2] - OMP_NUM_THREADS: !calc doc.enkf_resource_table.eobs[3] - memory_per_rank: !calc doc.enkf_resource_table.eobs[4] - - run_eomg: !JobRequest - - batch_memory: "3072M" - exe: placeholder - mpi_ranks: !calc doc.enkf_resource_table.eomg[0] - max_ppn: !calc doc.enkf_resource_table.eomg[1] - walltime: !calc doc.enkf_resource_table.eomg[2] - OMP_NUM_THREADS: !calc doc.enkf_resource_table.eomg[3] - memory_per_rank: !calc doc.enkf_resource_table.eomg[4] - - run_eupd: !JobRequest - - batch_memory: "3072M" - exe: placeholder - mpi_ranks: !calc doc.enkf_resource_table.eupd[0] - max_ppn: !calc doc.enkf_resource_table.eupd[1] - walltime: !calc doc.enkf_resource_table.eupd[2] - OMP_NUM_THREADS: !calc doc.enkf_resource_table.eupd[3] - memory_per_rank: !calc doc.enkf_resource_table.eupd[4] - - run_epos: !JobRequest - - batch_memory: "254M" - exe: placeholder - mpi_ranks: !calc doc.enkf_resource_table.epos[0] - max_ppn: !calc doc.enkf_resource_table.epos[1] - walltime: !calc doc.enkf_resource_table.epos[2] - OMP_NUM_THREADS: !calc doc.enkf_resource_table.epos[3] - memory_per_rank: !calc doc.enkf_resource_table.epos[4] + walltime: !timedelta "00:01:00" run_prep: !JobRequest - batch_memory: "3072M" exe: placeholder - mpi_ranks: !calc doc.gfs_resource_table.prep[0] - max_ppn: !calc doc.gfs_resource_table.prep[1] - walltime: !calc doc.gfs_resource_table.prep[2] - OMP_NUM_THREADS: !calc doc.gfs_resource_table.prep[3] - memory_per_rank: !calc doc.gfs_resource_table.prep[4] + mpi_ranks: !calc doc.resource_table.prep[0] + max_ppn: !calc doc.resource_table.prep[1] + walltime: !calc doc.resource_table.prep[2] + OMP_NUM_THREADS: !calc doc.resource_table.prep[3] + memory_per_rank: !calc doc.resource_table.prep[4] run_anal: !JobRequest - batch_memory: "3072M" exe: placeholder - mpi_ranks: !calc doc.gfs_resource_table.anal[0] - max_ppn: !calc doc.gfs_resource_table.anal[1] - walltime: !calc doc.gfs_resource_table.anal[2] - OMP_NUM_THREADS: !calc doc.gfs_resource_table.anal[3] - memory_per_rank: !calc doc.gfs_resource_table.anal[4] + mpi_ranks: !calc doc.resource_table.anal[0] + max_ppn: !calc doc.resource_table.anal[1] + walltime: !calc doc.resource_table.anal[2] + OMP_NUM_THREADS: !calc doc.resource_table.anal[3] + memory_per_rank: !calc doc.resource_table.anal[4] run_gdaspost: !JobRequest - batch_memory: "3072M" exe: placeholder - mpi_ranks: !calc doc.gfs_resource_table.gdaspost[0] - max_ppn: !calc doc.gfs_resource_table.gdaspost[1] - walltime: !calc doc.gfs_resource_table.gdaspost[2] - OMP_NUM_THREADS: !calc doc.gfs_resource_table.gdaspost[3] - memory_per_rank: !calc doc.gfs_resource_table.gdaspost[4] + mpi_ranks: !calc doc.resource_table.gdaspost[0] + max_ppn: !calc doc.resource_table.gdaspost[1] + walltime: !calc doc.resource_table.gdaspost[2] + OMP_NUM_THREADS: !calc doc.resource_table.gdaspost[3] + memory_per_rank: !calc doc.resource_table.gdaspost[4] run_gfspost: !JobRequest - batch_memory: "3072M" exe: placeholder - mpi_ranks: !calc doc.gfs_resource_table.gfspost[0] - max_ppn: !calc doc.gfs_resource_table.gfspost[1] - walltime: !calc doc.gfs_resource_table.gfspost[2] - OMP_NUM_THREADS: !calc doc.gfs_resource_table.gfspost[3] - memory_per_rank: !calc doc.gfs_resource_table.gfspost[4] - - run_gfsvrfy: !JobRequest - - compute_memory: "16384M" - batch_memory: "3072M" - exe: placeholder - mpi_ranks: !calc doc.gfs_resource_table.gfsvrfy[0] - max_ppn: !calc doc.gfs_resource_table.gfsvrfy[1] - walltime: !calc doc.gfs_resource_table.gfsvrfy[2] - OMP_NUM_THREADS: !calc doc.gfs_resource_table.gfsvrfy[3] - - run_gdasvrfy: !JobRequest - - compute_memory: "16384M" - batch_memory: "3072M" - exe: placeholder - mpi_ranks: !calc doc.gfs_resource_table.gdasvrfy[0] - max_ppn: !calc doc.gfs_resource_table.gdasvrfy[1] - walltime: !calc doc.gfs_resource_table.gdasvrfy[2] - OMP_NUM_THREADS: !calc doc.gfs_resource_table.gdasvrfy[3] - + mpi_ranks: !calc doc.resource_table.gfspost[0] + max_ppn: !calc doc.resource_table.gfspost[1] + walltime: !calc doc.resource_table.gfspost[2] + OMP_NUM_THREADS: !calc doc.resource_table.gfspost[3] + memory_per_rank: !calc doc.resource_table.gfspost[4] + diff --git a/tests/test_data/regtest/defaults/settings.yaml b/tests/test_data/regtest/defaults/settings.yaml index 4383000..2654d3e 100644 --- a/tests/test_data/regtest/defaults/settings.yaml +++ b/tests/test_data/regtest/defaults/settings.yaml @@ -4,7 +4,7 @@ default_settings: &default_settings ecf_log_path: !FirstTrue - - when: !calc doc.settings.four_cycle_mode + - when: !calc doc.settings.realtime # in four cycle mode ,the log name is the last path component, # with the leading "j" removed. do: !calc ( '%COM%/output/%ENVIR%/today/' + task_path_list[-1][1:] + '_%CYC%.o%J' ) @@ -19,21 +19,18 @@ default_settings: &default_settings # sure it is consistent among the yaml files: REALTIME: !calc realtime - four_cycle_mode: NO - nco_mode: NO - ROTDIR_DUMP: NO # awips setting NAWIPSGRP: 21 mkdir_before_running_ecflow: !FirstTrue - - when: !calc doc.settings.four_cycle_mode + - when: !calc doc.settings.realtime take: null - otherwise: !expand '{doc.places.ROTDIR}/logs/%Y%m%d%H' ecflow_rocoto_cdate_workaround: !FirstTrue - - when: !calc doc.settings.four_cycle_mode + - when: !calc doc.settings.realtime take: | # When sourcing config files, the $PDY must be set: datedir=/tmp/date.$$.$RANDOM diff --git a/tests/test_data/regtest/defs/regtest_tmp/regtest_tmp_2016021000.def b/tests/test_data/regtest/defs/regtest_tmp/regtest_tmp_2016021000.def deleted file mode 100644 index e8242f5..0000000 --- a/tests/test_data/regtest/defs/regtest_tmp/regtest_tmp_2016021000.def +++ /dev/null @@ -1,140 +0,0 @@ -extern /totality_limit:TOTALITY -suite regtest_tmp_2016021000 - autocancel 5 - edit PDY '20160210' - - edit ECF_TRIES '1' - # edit ECF_HOME '/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/regtest/../test_data/regtest' - edit CYC '00' - edit MACHINE 'venus' - edit ENVIR 'prod' - edit ECF_OUT '/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/regtest/../test_data/regtest/output' - edit ECF_LOG '/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/regtest/../test_data/regtest/ecf.log' - edit E 'j' - edit ECF_INCLUDE '/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/regtest/../test_data/regtest/include' - edit COM '/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/comrot/regtest_tmp' - - - - - - edit QUEUE 'dev' - edit QUEUESHARED 'dev' - edit QUEUESERV 'dev' - edit CPU_PROJECT 'none' - - # EMC overrides. Should remove before implementation: - edit DATAROOT '/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/RUNDIRS/regtest_tmp' - edit NWROOT '/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow' - - inlimit /totality_limit:TOTALITY - - edit ECF_FILES '/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/regtest/../test_data/regtest/scripts/regtest_tmp' - family gdas # /regtest_tmp_2016021000/gdas - edit RUN 'gdas' - task jgdas_tropcy_cp - edit ECF_DUMMY_TASK '' - defstatus complete - family forecast # /regtest_tmp_2016021000/gdas/forecast - task jgdas_forecast_high - endfamily # /regtest_tmp_2016021000/gdas/forecast - family post # /regtest_tmp_2016021000/gdas/post - task jgdas_post_manager - trigger ../forecast == active or ../forecast == complete - event 1 release_postanl - event 2 release_post000 - event 3 release_post003 - event 4 release_post006 - event 5 release_post009 - task jgdas_post_anl - edit FHR 'anl' - trigger ./jgdas_post_manager:release_postanl or ../forecast == complete - event 1 release_pgrb2_anl - task jgdas_post_f000 - edit FHR '000' - trigger ./jgdas_post_manager:release_post000 or ../forecast == complete - task jgdas_post_f003 - edit FHR '003' - trigger ./jgdas_post_manager:release_post003 or ../forecast == complete - task jgdas_post_f006 - edit FHR '006' - trigger ./jgdas_post_manager:release_post006 or ../forecast == complete - task jgdas_post_f009 - edit FHR '009' - trigger ./jgdas_post_manager:release_post009 or ../forecast == complete - endfamily # /regtest_tmp_2016021000/gdas/post - family enkf # /regtest_tmp_2016021000/gdas/enkf - family forecast # /regtest_tmp_2016021000/gdas/enkf/forecast - task jgdas_enkf_fcst_grp1 - task jgdas_enkf_fcst_grp2 - task jgdas_enkf_fcst_grp3 - task jgdas_enkf_fcst_grp4 - task jgdas_enkf_fcst_grp5 - task jgdas_enkf_fcst_grp6 - task jgdas_enkf_fcst_grp7 - task jgdas_enkf_fcst_grp8 - endfamily # /regtest_tmp_2016021000/gdas/enkf/forecast - family post # /regtest_tmp_2016021000/gdas/enkf/post - trigger ./forecast == complete - task jgdas_enkf_post_f003 - task jgdas_enkf_post_f004 - task jgdas_enkf_post_f005 - task jgdas_enkf_post_f006 - task jgdas_enkf_post_f007 - task jgdas_enkf_post_f008 - task jgdas_enkf_post_f009 - endfamily # /regtest_tmp_2016021000/gdas/enkf/post - endfamily # /regtest_tmp_2016021000/gdas/enkf - endfamily # /regtest_tmp_2016021000/gdas - family archive # /regtest_tmp_2016021000/archive - edit ECF_TRIES '3' - task jgdas_archive - edit RUN 'gdas' - trigger ../gdas == complete - family jgdas_enkf_archive # /regtest_tmp_2016021000/archive/jgdas_enkf_archive - edit RUN 'gdas' - trigger ../gdas/enkf/post == complete - task jgdas_enkf_archive_grp00 - time +00:10 - edit ENSGRP '00' - task jgdas_enkf_archive_grp01 - time +00:10 - edit ENSGRP '01' - task jgdas_enkf_archive_grp02 - time +00:10 - edit ENSGRP '02' - task jgdas_enkf_archive_grp03 - time +00:10 - edit ENSGRP '03' - task jgdas_enkf_archive_grp04 - time +00:10 - edit ENSGRP '04' - task jgdas_enkf_archive_grp05 - time +00:10 - edit ENSGRP '05' - task jgdas_enkf_archive_grp06 - time +00:10 - edit ENSGRP '06' - task jgdas_enkf_archive_grp07 - time +00:10 - edit ENSGRP '07' - task jgdas_enkf_archive_grp08 - time +00:10 - edit ENSGRP '08' - endfamily # /regtest_tmp_2016021000/archive/jgdas_enkf_archive - endfamily # /regtest_tmp_2016021000/archive - task cycle_end - edit ECF_JOB_CMD '%ECF_JOB% 1> %ECF_JOBOUT% 2>&1' - edit ECF_KILL_CMD 'kill -15 %ECF_RID%' - time 23:00 - task make_next_cycles - # These variables are used by the make_next_cycles job to generate - # suites for later cycles once earlier cycles have finished. - edit WORKFLOW_FIRST_CYCLE '2016021000' - edit WORKFLOW_LAST_CYCLE '2016021200' - edit WORKFLOW_CYCLES_TO_GENERATE '5' - edit WORKFLOW_CROW_HOME '/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/regtest' - edit WORKFLOW_EXPDIR '/Users/jiankuang/Documents/Eclipse_workspace/global-workflow/workflow/CROW/tests/test_data/regtest/expdir/regtest_tmp' - edit RUN 'gdas' # useless but required - trigger ./gdas == complete -endsuite # /regtest_tmp_2016021000 diff --git a/tests/test_data/regtest/runtime/cycle_end.yaml b/tests/test_data/regtest/runtime/cycle_end.yaml index 546b215..23f8137 100644 --- a/tests/test_data/regtest/runtime/cycle_end.yaml +++ b/tests/test_data/regtest/runtime/cycle_end.yaml @@ -13,7 +13,7 @@ cycle_end_task: !Task &cycle_end_task ecflow_client --complete echo Cycle complete at $( date ) - Disable: !calc ( not doc.settings.four_cycle_mode or not metasched.type=="ecflow" ) + Disable: !calc ( not doc.settings.realtime or not metasched.type=="ecflow" ) ecflow_def: !FirstTrue - when: !calc suite.Clock.now.strftime("%H")=='00' diff --git a/tests/test_data/regtest/runtime/dump_waiter.yaml b/tests/test_data/regtest/runtime/dump_waiter.yaml deleted file mode 100644 index f14929d..0000000 --- a/tests/test_data/regtest/runtime/dump_waiter.yaml +++ /dev/null @@ -1,48 +0,0 @@ -dump_waiter: &dump_waiter_task !Task - <<: *exclusive_task_template - manual: | - The dump_waiter task is a special job needed to run this - workflow outside of the production suite. It handles the - external dependency on the dump and the associated - (approximate) time dependency. - In ecflow: - The dump_waiter is started when the cycle is allowed to - begin, and waits for the dump to be available. It sets - the "updated_status" event when the dump is available. - In Rocoto: - The dump_waiter is never run; it is defined so that the - updated_status data event can be used as a data dependency - in the prep job. - - Disable: !calc metasched.type=='rocoto' - - resources: !ref partition.resources.run_dump_waiter - - updated_status: !DataEvent - file: !expand >- - {doc.places.DMPDIR}/@Y@m@d@H/{up.CDUMP}/{up.CDUMP}.t@Hz.updated.status.tm00.bufr_d - - ecflow_WAITFILE: !expand '%DUMPDIR%/${{PDY}}%CYC%/{CDUMP}/{CDUMP}.t%CYC%z.updated.status.tm00.bufr_d' - - # The ecflow_command is dumped into the ecf file where the - # J-Job would normally be called. This job is never run by - # Rocoto, so we don't need the equivalent Rocoto code. - ecflow_command: !expand | - workdir=/tmp/$USER.dump_waiter.$$.$RANDOM - mkdir -p "$workdir" - cd "$workdir" - export cycle="t${{cyc}}z" - export jlogfile=${{jlogfile:-$COMROOT/logs/jlogfiles/jlogfile.${{job}}.${{pid}}}} - export job=${{job:-"anal"}} - setpdy.sh - source ./PDY - WAITFILE={ecflow_WAITFILE} - while [[ ! -s "$WAITFILE" ]] ; do - echo "$WAITFILE: nope" - sleep 37 - done - env | grep ECF_ - echo "$WAITFILE: yup" - ecflow_client --event updated_status - cd / - rm -rf "$workdir" diff --git a/tests/test_data/regtest/runtime/experiment_include.yaml b/tests/test_data/regtest/runtime/experiment_include.yaml index 7db4a38..c5cbfd9 100644 --- a/tests/test_data/regtest/runtime/experiment_include.yaml +++ b/tests/test_data/regtest/runtime/experiment_include.yaml @@ -31,6 +31,6 @@ ecf_include_experiment: # Send in the CDATE if we're not in four cycle mode: date_vars: !FirstTrue - - when: !calc doc.settings.four_cycle_mode + - when: !calc doc.settings.realtime take: '' - otherwise: "export CDATE=%PDY%%CYC%" diff --git a/tests/test_data/regtest/runtime/fax.yaml b/tests/test_data/regtest/runtime/fax.yaml deleted file mode 100644 index 7db5073..0000000 --- a/tests/test_data/regtest/runtime/fax.yaml +++ /dev/null @@ -1,41 +0,0 @@ -fax_wafs_task_template: &fax_wafs_task_template !Task - <<: *exclusive_task_template - resources: !calc partition.resources.run_small_downstream - - # The ecflow_command is dumped into the ecf file where the - # J-Job would normally be called. This job is never run by - # Rocoto, so we don't need the equivalent Rocoto code. - ecflow_command: !expand | - export fhr_list='{fhr_list}' - export fcsthrs=%FCSTHR% - - ########################################################### - # CALL executable job script here - ${{HOMEgfs}}/jobs/JGFS_FAX_WAFS - - rocoto_more_vars: !expand | - fhr_list{fhr_list} - FCSTHR{FCSTHR} - - ecflow_def: !expand "edit FCSTHR '{FCSTHR}'" - -fax_task_template: &fax_task_template !Task - <<: *exclusive_task_template - resources: !calc partition.resources.run_small_downstream - - # The ecflow_command is dumped into the ecf file where the - # J-Job would normally be called. This job is never run by - # Rocoto, so we don't need the equivalent Rocoto code. - ecflow_command: !expand | - export fcsthrs=%FCSTHR% - - ########################################################### - # CALL executable job script here - ${{HOMEgfs}}/jobs/JGFS_FAX - - rocoto_more_vars: !expand | - FCSTHR{FCSTHR} - - ecflow_def: !expand "edit FCSTHR '{FCSTHR}'" - - config_list: [ base, fax, wafs ] diff --git a/tests/test_data/regtest/runtime/make_next_cycles.yaml b/tests/test_data/regtest/runtime/make_next_cycles.yaml deleted file mode 100644 index ed4c49d..0000000 --- a/tests/test_data/regtest/runtime/make_next_cycles.yaml +++ /dev/null @@ -1,72 +0,0 @@ -make_next_cycles: &make_next_cycles_task !Task - <<: *exclusive_task_template - manual: | - The make_next_cycles task generates and begins the suites for the - next few cycles in the workflow. This job is only used when running - in ecflow. - - Disable: !calc metasched.type=='rocoto' - - resources: !ref partition.resources.run_make_next_cycles - - Trigger: !Depend gdas - - CDUMP: gdas # useless but required - - # The batch_job_command is dumped into the ecf file where the - # J-Job would normally be called. This job is never run by - # Rocoto, so Rocoto never uses this code block. - ecflow_command: !expand | - workdir=/tmp/$USER.dump_waiter.$$.$RANDOM - mkdir -p "$workdir" - cd "$workdir" - if [[ "$ECF_ROOT" == X ]] ; then - export ECF_ROOT=$( cd "$ECF_HOME" ; cd .. ; pwd -P ) - fi - - export PDY=%PDY% - export CYC=%CYC% - export cycle=t%CYC%z - setpdy.sh - source ./PDY - - module load {doc.settings.prod_util_module} - module load {doc.settings.ecflow_module} - - export WORKFLOW_FIRST_CYCLE=%WORKFLOW_FIRST_CYCLE:1970010100% - export WORKFLOW_LAST_CYCLE=%WORKFLOW_LAST_CYCLE:ETERNITY% - export WORKFLOW_CYCLES_TO_GENERATE=%WORKFLOW_CYCLES_TO_GENERATE:5% - export WORKFLOW_CROW_HOME=%WORKFLOW_CROW_HOME% - export WORKFLOW_EXPDIR=%WORKFLOW_EXPDIR% - export ECF_HOME=%ECF_HOME% - export ECF_ROOT=%ECF_ROOT:X% - export ECF_OUT=%ECF_OUT% - export ECF_PORT=%ECF_PORT% - export ECF_HOST=%ECF_HOST% - - set -uex - - # Decide the range of cycles to start - first_cycle=$( $NDATE +6 "%PDY%%CYC%" ) - - if [[ "${{WORKFLOW_LAST_CYCLE:-ETERNITY}}" != ETERNITY && - "$first_cycle" -gt "$WORKFLOW_LAST_CYCLE" ]] ; then - postmsg "Last cycle reached. Not starting any new cycles." - fi - - last_cycle=$first_cycle - for istart in $( seq 1 5 ) ; do - if [[ "${{WORKFLOW_LAST_CYCLE:-ETERNITY}}" != ETERNITY && - "$last_cycle" -gt "$WORKFLOW_LAST_CYCLE" ]] ; then - break - fi - last_cycle=$( $NDATE +6 "$last_cycle" ) - done - - cd "$WORKFLOW_CROW_HOME" - postmsg "Start cycles $first_cycle through $last_cycle." - ./update_ecflow_workflow.sh -v "$WORKFLOW_EXPDIR" "$first_cycle" "$last_cycle" - - - cd / - rm -rf "$workdir" diff --git a/tests/test_data/regtest/runtime/post_manager.yaml b/tests/test_data/regtest/runtime/post_manager.yaml deleted file mode 100644 index 59c8585..0000000 --- a/tests/test_data/regtest/runtime/post_manager.yaml +++ /dev/null @@ -1,28 +0,0 @@ -# This file contains extra shell script contents of the ecf file for -# the post_manager job. That is the job in the workflow that watches -# for post output files to show up, and sets ecflow events every time -# it sees a new file. - -post_manager_job_contents: &post_manager_job_contents !expand | - fhrs='anl {tools.join(up.Dimensions.fhr," ")}' - CDATE=%PDY%%CYC% - for fid in $fhrs ; do - if [[ "$fid" == anl ]] ; then - fhr3=000 - event=release_postanl - else - fhr3=$( printf %%03d $fid ) - fhr2=$( printf %%02d $fid ) - event=release_post$fhr2 - fi - - file=%COM%/$CDUMP.%PDY%/%CYC%/$CDUMP.t%CYC%z.logf$fhr3.nemsio - - while [[ ! -s "$file" ]] ; do - echo "$file: nope" - sleep 33 - done - echo "$file: yup" - ecflow_client --event "$event" - done - echo "done" diff --git a/tests/test_data/regtest/runtime/suite.yaml b/tests/test_data/regtest/runtime/suite.yaml index e8a2573..a2ee284 100644 --- a/tests/test_data/regtest/runtime/suite.yaml +++ b/tests/test_data/regtest/runtime/suite.yaml @@ -62,12 +62,6 @@ suite_defaults: &suite_defaults end: !calc doc.settings.EDATE step: !timedelta "6:00:00" - Overrides: - allowed: [ 'partition', 'resources', 'rocoto_command', 'rocoto_log_path', - 'accounting', 'ecflow_command', 'max_tries', 'resources_remap', 'ecf_log_path', - 'Rocoto', 'ecf_file' ] - rules: !calc doc.suite_overrides - ecf_file_set: disk_path: !expand "{doc.places.ECF_ROOT}/scripts/{doc.names.experiment}" ECF_FILES: !expand "{doc.places.ECF_ROOT}/scripts/{doc.names.experiment}" @@ -79,13 +73,13 @@ suite_defaults: &suite_defaults # suite_name - name of the suite (the top-level node in the suite definition) suite_name: !FirstTrue - - when: !calc doc.settings.four_cycle_mode + - when: !calc doc.settings.realtime do: !expand "{doc.names.experiment}%H" - otherwise: !expand "{doc.names.experiment}_%Y%m%d%H" # dates_in_time_dependencies - flag that controls whether ecflow # suite definition "date" triggers are to be defined. - dates_in_time_dependencies: !calc (not doc.settings.four_cycle_mode) + dates_in_time_dependencies: !calc (not doc.settings.realtime) # write_cycles/analyze_cycles: Use these to generate a subset of # the cycles in ecFlow. Make sure analyze_cycles starts at least @@ -106,7 +100,7 @@ suite_defaults: &suite_defaults # definitions, with four suites total (one per synoptic time) and # development-style (one suite per cycle). ecflow_cycling_logic: !FirstTrue - - when: !calc doc.settings.four_cycle_mode + - when: !calc doc.settings.realtime do: "repeat day 1" - otherwise: !expand | autocancel 5 @@ -116,15 +110,14 @@ suite_defaults: &suite_defaults Rocoto: &Rocoto # max_tries - maximum number of times to run a job before giving # up. Set to 1 to disable automatic resubmission of jobs. - max_tries: !calc doc.settings.max_job_tries + max_tries: !calc doc.settings.task_throttle # workflow_xml - the contents of this variable are written to the Rocoto XML file. workflow_xml: !expand | - - + @@ -155,13 +148,13 @@ suite_defaults: &suite_defaults - otherwise: "" ecflow_COM_edit: !FirstTrue - - when: !calc doc.settings.four_cycle_mode + - when: !calc doc.settings.realtime take: !calc doc.places.COMROOT - otherwise: !calc doc.places.ROTDIR ecflow_def: !expand | {ecflow_cycling_logic} - edit ECF_TRIES '{doc.settings.max_job_tries}' + edit ECF_TRIES '{doc.settings.task_throttle}' # edit ECF_HOME '{doc.places.ECF_HOME}' edit CYC '{tools.strftime(suite.Clock.now,"%H")}' edit MACHINE '{doc.accounting.ecflow_machine}' @@ -172,23 +165,20 @@ suite_defaults: &suite_defaults edit ECF_INCLUDE '{doc.places.ECF_INCLUDE}' edit COM '{ecflow_COM_edit}' - {"clock real" if doc.settings.ecflow_real_clock else ""} - {"clock virtual" if doc.settings.ecflow_virtual_clock else ""} - {"clock hybrid" if doc.settings.ecflow_hybrid_clock else ""} + {"clock real" if doc.settings.realtime else ""} + {"clock virtual" if doc.settings.ecf_key else ""} {common_metasched_vars} {doc.platform.metasched_more} {emc_overrides} emc_overrides: !FirstTrue - - when: !calc doc.settings.nco_mode + - when: !calc doc.settings.realtime take: '' - otherwise: !expand | # EMC overrides. Should remove before implementation: edit DATAROOT '{doc.places.DATAROOT}' edit NWROOT '{tools.dirname(doc.places.HOMEgfs)}' - {"inlimit /totality_limit:TOTALITY" if doc.settings.ecflow_totality_limit else ""} - # common_metasched_vars - variables to write to both the ecFlow # suite definitions ("edit" variables) and Rocoto XML (XML # entities). The conversion to text is done by the diff --git a/tests/test_data/regtest/runtime/task.yaml b/tests/test_data/regtest/runtime/task.yaml index d3b0967..7caaca1 100644 --- a/tests/test_data/regtest/runtime/task.yaml +++ b/tests/test_data/regtest/runtime/task.yaml @@ -46,7 +46,7 @@ task_template: &task_template ecflow_config_source: !FirstTrue - when: !calc not config_list take: "" - - when: !calc doc.settings.four_cycle_mode + - when: !calc doc.settings.realtime take: !expand | export HOMEgfs=${{HOMEgfs:-${{NWROOT:?}}/gfs.${{gfs_ver:?"###FATAL ERROR gfs_ver is not set"}}}} {doc.eoln.join([ "source $HOMEgfs/parm/config/config.%s"%(x,) for x in config_list ])} @@ -82,9 +82,8 @@ task_template: &task_template PDY@Y@m@d cyc@H EXPDIR&EXPDIR; - CDUMP{CDUMP} + DUMP{DUMP} RUN_ENVIRemc - DATAROOT&DATAROOT; HOMEgfs{metasched.varref(doc.schedvar.script_home)} HOMEobsproc_network{metasched.varref(doc.schedvar.obsproc_network_home)} HOMEobsproc_global{metasched.varref(doc.schedvar.obsproc_network_home)} @@ -101,8 +100,8 @@ task_template: &task_template # RUN - Used in ecf files to specify whether the task is gfs or gdas RUN: !calc task_path_list[0] - # CDUMP - alias for RUN expected by development scripts - CDUMP: !calc RUN + # DUMP - alias for RUN expected by development scripts + DUMP: !calc RUN # more_vars - Additional environment variables to pass to the j-job # through the Rocoto XML or ecFlow ecf files. This variable is only used @@ -136,9 +135,9 @@ task_template: &task_template # header for the user's experiment. Make sure this matches # doc.ecf_include_experiment.filename. ecf_model_include: !FirstTrue - - when: !calc doc.settings.nco_mode + - when: !calc doc.settings.realtime take: '%include ' - - when: !calc doc.settings.use_nco_ecflow_headers + - when: !calc doc.settings.ecf_key take: | export NWROOT=%NWROOT% # EMC override export DATAROOT=%DATAROOT% # EMC override @@ -146,7 +145,7 @@ task_template: &task_template - otherwise: !calc ecf_experiment_overrides ecf_experiment_overrides: !FirstTrue - - when: !calc doc.settings.use_nco_ecflow_headers + - when: !calc doc.settings.ecf_key take: '' - otherwise: !expand "%include " @@ -200,7 +199,7 @@ task_template: &task_template # this task to load any modules that are required for the job # ecf_module_commands: !ref doc.settings.ecf_module_commands ecf_module_commands: !FirstTrue - - when: !calc doc.settings.four_cycle_mode + - when: !calc doc.settings.realtime take: !calc doc.platform.four_cycle_mode_modules - otherwise: !expand |- source "$HOMEgfs/ush/load_fv3gfs_modules.sh" {task_type} @@ -210,14 +209,14 @@ task_template: &task_template # clears the ecflow module, and "module load ecflow" clears the # ECF_PORT. ecf_after_module_commands: !FirstTrue - - when: !calc doc.settings.nco_mode + - when: !calc doc.settings.realtime take: | module list # Synonyms expected by scripts: export CDUMP="$RUN" export ROTDIR="$COMROOT" - - when: !calc doc.settings.four_cycle_mode + - when: !calc doc.settings.realtime take: | module list export ECF_PORT=%ECF_PORT% # workaround for bug in ecflow module @@ -234,7 +233,7 @@ task_template: &task_template export CDUMP="$RUN" export ROTDIR="$COMROOT" - otherwise: !expand | - module load {doc.settings.ecflow_module} + module load {doc.settings.module} module list export ECF_PORT=%ECF_PORT% # workaround for ecflow module bug @@ -247,20 +246,20 @@ task_template: &task_template ecf_log_path: !ref doc.settings.ecf_log_path ecf_job_name: !FirstTrue - - when: !calc doc.settings.four_cycle_mode + - when: !calc doc.settings.realtime take: !expand '%E%{task_path_list[-1][1:]}_%CYC%' - otherwise: !calc task_path_var # ecf_batch_resources - generates batch card settings for the ecflow ecf file ecf_batch_resources: !FirstTrue - - when: !calc doc.settings.nco_mode + - when: !calc doc.settings.realtime take: !expand "{partition.scheduler.batch_accounting(partition_specification,default_accounting,accounting,jobname=ecf_job_name,outerr=ecf_log_path)}{partition.scheduler.batch_resources(resources)}#BSUB -cwd /tmp" - otherwise: !expand "{partition.scheduler.batch_accounting(partition_specification,default_accounting,accounting,jobname=ecf_job_name,outerr=ecf_log_path)}{partition.scheduler.batch_resources(resources)}" # ecf_resource_more - generates the ntasks, ptile, and threads variables # in cases where they are needed ecf_resource_more: !FirstTrue - - when: !calc doc.settings.four_cycle_mode + - when: !calc doc.settings.realtime take: '' - otherwise: !expand |- {ecf_maybe_ntasks} diff --git a/tests/test_data/regtest/schema/archiving.yaml b/tests/test_data/regtest/schema/archiving.yaml deleted file mode 100644 index fd89781..0000000 --- a/tests/test_data/regtest/schema/archiving.yaml +++ /dev/null @@ -1,45 +0,0 @@ -archive_settings_template: !Template &archive_settings_template - archive_to_hpss: - default: yes - description: save data to HPSS archive - type: bool - - arch_cyc: - default: 18 - description: cycle for archiving files for warm_start capability - type: int - - arch_warmicfreq: - default: 6 - description: archive frequency in days for warm_start capability - type: int - - arch_fcsticfreq: - default: 3 - descripton: archive frequency in days for gdas/gfs forecast-only capability - type: int - - copy_fit2obs_files: - default: yes - description: online archive of nemsio files for fit2obs verification - type: bool - - scrub_in_archive: - type: bool - default: yes - description: "delete GDAS/GFS COM directories at the end of the archive job" - - scrub_in_archive_start: - type: int - default: 144 - description: "starting hour of previous cycles to be removed from rotating directory during archive job" - - scrub_in_archive_end: - type: int - default: 24 - description: "ending hour of previous cycles to be removed from rotating directory during archive job" - - ATARDIR: - type: string - default: "/NCEPDEV/$HPSS_PROJECT/1year/$USER/$machine/scratch/$PSLOT" - description: "Archiving directory on HPSS for the current workflow. Default is a shell expression typically used in config.base." diff --git a/tests/test_data/regtest/schema/chgres.yaml b/tests/test_data/regtest/schema/chgres.yaml deleted file mode 100644 index 4de42b7..0000000 --- a/tests/test_data/regtest/schema/chgres.yaml +++ /dev/null @@ -1,10 +0,0 @@ -chgres_template: !Template &chgres_template - CHGRESVARS_ENKF: - type: string - default: "use_ufo=.true.,nopdpvv=.true." - - CHGRESTHREAD: - type: int - default: 12 - description: Number of threads to use for chgres - diff --git a/tests/test_data/regtest/schema/data_assimilation.yaml b/tests/test_data/regtest/schema/data_assimilation.yaml deleted file mode 100644 index b141a83..0000000 --- a/tests/test_data/regtest/schema/data_assimilation.yaml +++ /dev/null @@ -1,117 +0,0 @@ -# This file configures the data assimilation, which is not included in -# this release. Although the variables are not used, they still must -# be defined to satisfy validation logic elsewhere. - -data_assimilation_template: !Template &data_assimilation_template - DOHYBVAR: - type: bool - default: YES - description: flag (YES or NO) for hybrid ensemble variational option - NMEM_ENKF: - type: int - default: 80 - description: Number of members of the GFS ENKF ensemble. - NMEM_EOMGGRP: { type: int, default: 10 } - NMEM_EFCSGRP: { type: int, default: 10 } - NMEM_EARCGRP: { type: int, default: 10 } - RECENTER_ENKF: { type: bool, default: YES } - SMOOTH_ENKF: { type: bool, default: YES } - assim_freq: { type: int, default: 6 } - l4densvar: { type: bool, default: YES } - lwrite4danl: { type: bool, default: NO } - - NSPLIT: - type: int - default: 4 - description: "Execute prepbufr in parallel. Operational GFS default is 3." - - NAM_ENKF: - type: string - default: "analpertwtnh=0.9,analpertwtsh=0.9,analpertwttr=0.9" - - INCREMENTS_TO_ZERO: - type: string list - default: [ delz_inc, clwmr_inc, icmr_inc ] - - PREP_REALTIME: - type: bool - default: no - - DO_EMCSFC: - type: bool - default: NO - description: "Whether to run EMC SFC job" - - PROCESS_TROPCY: - type: bool - default: no - - DO_RELOCATE: - type: bool - default: no - - DO_MAKEPREPBUFR: - type: bool - default: YES - description: If NO, will copy prepbufr from globaldump. Otherwise, generates prepbufr file anew. - - OPREFIX: - type: string - default: "$CDUMP.$cycle." - description: Format for dump file name prefix - - COM_OBS: - type: string - default: "$DMPDIR" - description: Top folder for COMIN_OBS (DMPDIR or COMROOT) - - COMIN_OBS: - type: string - description: Location to draw observation data from (global dump archive or com) - default: !FirstTrue - - when: !calc ( COM_OBS=="$DMPDIR" ) - do: "$DMPDIR/$CDATE/$CDUMP$DUMP_SUFFIX" - - when: !calc ( COM_OBS=="$COMROOT" ) - do: "$COMROOT/$CDUMP.$PDY/$CYC" - - otherwise: !error "Unknown COM_OBS value: {COM_OBS}" - - RERUN_EFCSGRP: - type: bool - default: NO - description: Rerun failed ensemble forecast group members automatically. - - RERUN_EOMGGRP: - type: bool - default: YES - - GENDIAG: - type: bool - default: YES - - NEPOSGRP: - type: int - default: 7 - - OBSINPUT_INVOBS: - type: string - default: "dmesh(1)=225.0,dmesh(2)=225.0" - OBSQC_INVOBS: - type: string - default: "tcp_width=60.0,tcp_ermin=2.0,tcp_ermax=12.0" - - ENKF_INNOVATE_GROUPS: - type: int - default: !calc ( NMEM_ENKF // NMEM_EOMGGRP ) - - ENKF_FORECAST_GROUPS: - type: int - default: !calc ( NMEM_ENKF // NMEM_EFCSGRP ) - - ENKF_ARCHIVE_GROUPS: - type: int - default: !calc ( NMEM_ENKF // NMEM_EARCGRP ) - -# These variable largely eliminate the need for explicitly setting -# USH directories, FIX files, PARM files, EXECutables below -# The USER can overwrite components that they wish -# e.g. PRVT is used from the GSI diff --git a/tests/test_data/regtest/schema/downstream.yaml b/tests/test_data/regtest/schema/downstream.yaml deleted file mode 100644 index bc936f8..0000000 --- a/tests/test_data/regtest/schema/downstream.yaml +++ /dev/null @@ -1,103 +0,0 @@ -# This file is used by the downstream product generation. That -# includes all steps of product generation after the post master file -# and lat-lon files are created. For example, the tracker, VSDB, and -# AWIPS files. These are not included in this release, so this file -# should be left unmodified. These variables must still be defined to -# ensure validation logic elsewhere is satisfied. - -downstream_schema: &downstream_schema !Template - VDUMP: - type: string - default: "gfs" - description: verifying dump - CDUMPFCST: - type: string - default: "gdas" - description: Fit-to-obs with GDAS/GFS prepbufr - CDFNL: - type: string - default: "gdas" - description: Scores verification against GDAS/GFS analysis - - MKPGB4PRCP: - type: bool - default: yes - description: make 0.25-deg pgb files in ARCDIR for precip verification - VRFYFITS: - type: bool - default: YES - description: "Fit to observations" - VSDB_STEP1: - type: bool - default: YES - description: "populate VSDB database" - VSDB_STEP2: - type: bool - default: NO - VRFYG2OBS: - type: bool - default: YES - description: "Grid to observations, see note below if turning ON" - VRFYPRCP: - type: bool - default: YES - description: "Precip threat scores" - VRFYRAD: - type: bool - default: YES - description: "Radiance data assimilation monitoring" - VRFYOZN: - type: bool - default: YES - description: "Ozone data assimilation monitoring" - VRFYMINMON: - type: bool - default: YES - description: "GSI minimization monitoring" - VRFYTRAK: - type: bool - default: YES - description: "Hurricane track verification" - VRFYGENESIS: - type: bool - default: YES - description: "Cyclone genesis verification" - RUNMOS: - type: bool - default: YES - description: "Run GFS MOS" - DO_POST_PROCESSING: - type: bool - default: NO - description: "Run post processing tasks (BUFRSND, GEMPAK, AWIPS, FAX, WAFS, BULLETINS" - DO_BUFRSND: - type: bool - default: NO - description: "Run GFS_POSTSND" - DO_GEMPAK: - type: bool - default: NO - description: "Run GEMPAK" - DO_AWIPS: - type: bool - default: NO - description: "Run AWIPS" - DO_FAX: - type: bool - default: NO - description: "Run FAX" - DO_WAFS: - type: bool - default: NO - description: "Run WAFS" - DO_BULLETINS: - type: bool - default: NO - description: "Run BULLETINS" - - FHOUT_CYCLONE_GFS: - type: int - default: 6 - FHOUT_CYCLONE_GDAS: - type: int - default: 3 diff --git a/tests/test_data/regtest/schema/fv3.yaml b/tests/test_data/regtest/schema/fv3.yaml deleted file mode 100644 index 87df4d2..0000000 --- a/tests/test_data/regtest/schema/fv3.yaml +++ /dev/null @@ -1,307 +0,0 @@ -# fv3_settings_template - sets the namelist values for the fv3 -# forecast. See the physcs and model documentation for full -# information on these variables. - -fv3_settings_template: !Template &fv3_settings_template - imp_physics: - type: int - allowed: [ 99, 8, 6, 10, 11 ] - default: 11 - description: "Microphysics Options: 99-ZhaoCarr, 8-Thompson; 6-WSM6, 10-MG, 11-GFDL" - if_present: !FirstTrue - - when: !calc ( imp_physics==99 ) - do: !Template - ncld: { type: int, override: 1 } - nwat: { type: int, override: 2 } - FIELD_TABLE: - type: string - default: "$HOMEgfs/parm/parm_fv3diag/field_table_zhaocarr" - - when: !calc ( imp_physics == 6 ) - do: !Template - ncld: { type: int, override: 2 } - nwat: { type: int, override: 6 } - FIELD_TABLE: - type: string - default: "$HOMEgfs/parm/parm_fv3diag/field_table_wsm6" - - when: !calc ( imp_physics == 8 ) - do: !Template - ncld: { type: int, override: 2 } - nwat: { type: int, override: 6 } - FIELD_TABLE: - type: string - default: "$HOMEgfs/parm/parm_fv3diag/field_table_thompson" - - when: !calc ( imp_physics == 11 ) - do: !Template - ncld: { type: int, override: 5 } - nwat: { type: int, override: 6 } - FIELD_TABLE: - type: string - default: "$HOMEgfs/parm/parm_fv3diag/field_table_gfdl" - dnats: { type: int, override: 1 } - cal_pre: { type: bool, default: false } - do_sat_adj: { type: bool, default: true } - random_clds: { type: bool, default: false } - hord_mt_nh_nonmono: { type: int, default: 6 } - hord_xx_nh_nonmono: { type: int, default: 6 } - vtdm4_nh_nonmono: { type: float, default: 0.02 } - nord: { type: int, default: 2 } - dddmp: { type: float, default: 0.1 } - d4_bg: { type: float, default: 0.12 } - - otherwise: !error "Unknown imp_physics value: {imp_physics}" - - new_o3force: - type: bool - default: yes - description: Options of stratosphere O3 physics reaction coefficients - h2o_phys: - type: bool - default: yes - description: Use stratosphere h2o physics - do_vort_damp: - type: bool - default: yes - description: vorticity and divergence damping - consv_te: - type: float - default: 1. - description: conserve total energy - fv_sg_adj: - type: int - default: 450 - description: time-scale to remove 2dz instability - dspheat: - type: bool - default: YES - description: dissipative heating - shal_cnv: - type: bool - default: YES - description: shallow convection flag - agrid_vel_rst: - type: bool - default: yes - description: "write velocity restarts on A grid?" - - cal_pre: { type: bool, default: true } - do_sat_adjust: { type: bool, default: false } - random_clds: { type: bool, default: true } - cnvcld: { type: bool, default: true } - dnats: { type: int, default: 0 } - IEMS: { type: int, default: 1 } - IALB: { type: int, default: 1 } - ISOL: { type: int, default: 2 } - IAER: { type: int, default: 111 } - ICO2: { type: int, default: 2 } - warm_start: { type: bool, default: true } - read_increment: { type: bool, default: no } - restart_interval: { type: int, default: 6 } - - LEVS: - type: int - description: Number of vertical levels in FV3 - - FHCYC: - type: int - default: 24 - description: Surface cycle update frequency (gdas=1,gfs=24) - - FHCYC_GDAS: - type: int - default: 1 - description: Surface cycle update frequency for the GDAS - - FHCYC_GFS: - type: int - default: 24 - description: Surface cycle update frequency for the GFS - - QUILTING: - type: bool - default: YES - description: Should output quilting be used? - - WRITE_NEMSIOFILE: - type: bool - default: YES - description: Should nemsio output be used (yes/true) instead of netcdf (no/false)? - - WRITE_NEMSIOFLIP: { type: bool, default: yes } - nst_anl: { type: bool, default: yes } - lprecip_accu: { type: bool, default: yes, - description: "Precipitation accumulation, true--no bucket, false--bucket=FHZER" } - - DONST: { type: bool, default: YES } - - MONO: - type: string - default: "non-mono" - allowed: [ mono, non-mono ] - - MEMBER: - type: int - stage: [ execution ] - default: -1 - description: "-1: control, 0: ensemble mean, >0: ensemble member $MEMBER" - - d4_bg: { type: float, default: 0.15 } - dddmp: { type: float, default: 0.2 } - - ISEED: - type: int - default: 0 - stage: [ execution ] - description: > - Default seed for shum, skeb, and sppt, if specific seeds - are not specified. - - SET_STP_SEED: - type: bool - default: YES - description: > - Automatically set seeds for SKEB, SHUM, and SPPT at execution - time based on simulation date and member. - - DO_SHUM: - type: bool - default: NO - if_present: !FirstTrue - - when: !calc DO_SHUM - do: - ISEED_SHUM: { type: int, optional: true } - SHUM: { type: float, default: -999. } - SHUM_TAU: { type: float, default: -999. } - SHUM_LSCALE: { type: float, default: -999. } - - otherwise: null - - DO_SKEB: - type: bool - default: NO - if_present: !FirstTrue - - when: !calc DO_SKEB - do: - ISEED_SHUM: { type: int, optional: true } - SKEB_TAU: { type: float, default: -999. } - SKEB_LSCALE: { type: float, default: -999. } - SKEBNORM: { type: int, default: 1 } - SKEB: { type: float, default: -999. } - - otherwise: null - - DO_SPPT: - type: bool - default: NO - if_present: !FirstTrue - - when: !calc DO_SPPT==True - do: - ISEED_SHUM: { type: int, optional: true } - SPPT: { type: float } - SPPT_TAU: { type: float, default: -999. } - SPPT_LSCALE: { type: float, default: -999. } - SPPT_SFCLIMIT: { type: bool, default: yes } - - otherwise: !Message "Don't SPPT" - - RUN_EFCSGRP: { type: bool, default: NO } - ncld: { type: int, default: 1 } - nwat: { type: int, default: 2 } - zhao_mic: { type: bool, default: YES } - nh_type: { type: string, default: 'nh' } - USE_COUPLER_RES: { type: bool, default: NO } - cdmbgwd: { type: string, optional: true } - - CDUMP: - type: string - allowed: [ gfs, gdas ] - - CASE: - type: string - allowed: [ 'C48', 'C96', 'C192', 'C384', 'C768', 'C1152', 'C3072' ] - if_present: !FirstTrue - - when: !calc (CASE == "C48") - do: !Template - DELTIM: { type: int, default: 450 } - layout_x: { type: int, default: 2 } - layout_y: { type: int, default: 4 } - fv3_threads: { type: int, default: 1 } - cdmbgwd: - type: string - default: "0.062,3.5" - description: "mountain blocking and gravity wave drag" - WRITE_GROUP: { type: int, default: 1 } - WRTTASK_PER_GROUP: { type: int, default: 24 } - WRTIOBUF: { type: string, default: "4M" } - - - when: !calc (CASE == "C96") - do: !Template - DELTIM: { type: int, default: 450 } - layout_x: { type: int, default: 4 } - layout_y: { type: int, default: 4 } - fv3_threads: { type: int, default: 1 } - cdmbgwd: - type: string - default: "0.125,3.0" - description: "mountain blocking and gravity wave drag" - WRITE_GROUP: { type: int, default: 1 } - WRTTASK_PER_GROUP: { type: int, default: 24 } - WRTIOBUF: { type: string, default: "4M" } - - - when: !calc (CASE == "C192") - do: !Template - DELTIM: { type: int, default: 450 } - layout_x: { type: int, default: 4 } - layout_y: { type: int, default: 6 } - fv3_threads: { type: int, default: 2 } - cdmbgwd: - type: string - default: "0.2,2.5" - description: "mountain blocking and gravity wave drag" - WRITE_GROUP: { type: int, default: 2 } - WRTTASK_PER_GROUP: { type: int, default: 24 } - WRTIOBUF: { type: string, default: "8M" } - - - when: !calc (CASE == "C384") - do: !Template - DELTIM: { type: int, default: 300 } - layout_x: { type: int, default: 4 } - layout_y: { type: int, default: 8 } - fv3_threads: { type: int, default: 2 } - cdmbgwd: - type: string - default: "1.0,1.2" - description: "mountain blocking and gravity wave drag" - WRITE_GROUP: { type: int, default: 3 } - WRTTASK_PER_GROUP: { type: int, default: 24 } - WRTIOBUF: { type: string, default: "16M" } - - - when: !calc (CASE == "C768") - do: !Template - DELTIM: { type: int, default: 225 } - layout_x: { type: int, default: 8 } - layout_y: { type: int, default: 16 } - fv3_threads: { type: int, default: 2 } - cdmbgwd: - type: string - default: "3.5,0.25" - description: "mountain blocking and gravity wave drag" - WRITE_GROUP: { type: int, default: 4 } - WRTTASK_PER_GROUP: { type: int, default: 60 } - WRTIOBUF: { type: string, default: "32M" } - - - when: !calc (CASE == "C1152") - do: !Template - DELTIM: { type: int, default: 150 } - layout_x: { type: int, default: 8 } - layout_y: { type: int, default: 16 } - fv3_threads: { type: int, default: 4 } - WRITE_GROUP: { type: int, default: 4 } - WRTTASK_PER_GROUP: { type: int, default: 84 } - WRTIOBUF: { type: string, default: "48M" } - - - when: !calc (CASE == "C3072") - do: !Template - DELTIM: { type: int, default: 90 } - layout_x: { type: int, default: 16 } - layout_y: { type: int, default: 32 } - fv3_threads: { type: int, default: 4 } - WRITE_GROUP: { type: int, default: 4 } - WRTTASK_PER_GROUP: { type: int, default: 120 } - WRTIOBUF: { type: string, default: "64M" } - - otherwise: !error "Unknown case: {CASE}" diff --git a/tests/test_data/regtest/schema/nsst.yaml b/tests/test_data/regtest/schema/nsst.yaml deleted file mode 100644 index cf19566..0000000 --- a/tests/test_data/regtest/schema/nsst.yaml +++ /dev/null @@ -1,36 +0,0 @@ -nsst_schema: &nsst_schema !Template - NST_MODEL: - type: int - allowed: [ 0, 1, 2 ] - default: 2 - description: >- - nstf_name(1) : NST_MODEL (NSST Model) : 0 = OFF, - 1 = ON but uncoupled, 2 = ON and coupled - NST_RESV: - type: int - default: 0 - allowed: [ 0, 1 ] - ZSEA1: - type: int - default: 0 - ZSEA2: - type: int - default: 0 - NST_GSI: - type: int - allowed: [ 0, 1, 2, 3, 4 ] - default: 3 - description: | - 0: No NST info at all; - 1: Input NST info but not used in GSI; - 2: Input NST info, used in CRTM simulation, no Tr analysis - 3: Input NST info, used in both CRTM simulation and Tr analysis - - NSTINFO: - type: int - default: 0 - description: number of elements added in obs. data array - NST_SPINUP: - type: int - allowed: [ 0, 1] - optional: yes diff --git a/tests/test_data/regtest/schema/output.yaml b/tests/test_data/regtest/schema/output.yaml deleted file mode 100644 index 0a307ee..0000000 --- a/tests/test_data/regtest/schema/output.yaml +++ /dev/null @@ -1,89 +0,0 @@ -gfs_output_settings_template: !Template &gfs_output_settings_template - FHOUT_GFS: - type: int - default: 3 - description: GFS forecast output frequency in hours - FHMIN_GFS: - type: int - default: 0 - description: GFS forecast initial hour for output - - FHMIN_ENKF: - type: int - default: 3 - description: GDAS ENKF initial hour for output - FHMAX_ENKF: - type: int - default: 9 - description: GDAS ENKF forecast length - FHOUT_ENKF: - type: int - description: GDAS ENKF output frequency in hours - default: !FirstTrue - - when: doc.data_assimilation.l4densvar - do: 1 - - otherwise: 3 - - FHMIN_GDAS: - type: int - default: 0 - description: GDAS initial hour for output - FHMAX_GDAS: - type: int - default: 9 - description: GDAS forecast length - FHOUT_GDAS: - type: int - default: 3 - description: GDAS output frequency in hours - - FHMAX_HF_GFS: - type: int - default: 0 - description: Last forecast hour with high-frequency output for gfs - FHOUT_HF_GFS: - type: int - default: 1 - description: Output frequency until FHMAX_HF_GFS hours. - - NCO_NAMING_CONV: - type: bool - default: YES - description: "YES = use standard, operational, naming conventions. NO = use non-standard naming conventions" - - OUTPUT_FILE_TYPE: - type: string - default: nemsio - description: "Type of model output file" - - - gfs_forecast_hours: - type: int list - default: !calc >- - tools.uniq(sorted( - tools.seq(FHMIN_GFS,FHMAX_HF_GFS,FHOUT_HF_GFS) + - tools.seq(FHMIN_GFS,FHMAX_GFS, FHOUT_GFS))) - - gdas_forecast_hours: - type: int list - default: !calc "tools.seq(FHMIN_GDAS,FHMAX_GDAS,FHOUT_GDAS)" - - enkf_epos_fhr: - type: int list - default: !calc "tools.seq(FHMIN_ENKF,FHMAX_ENKF,FHOUT_ENKF)" - - wafs_last_hour: - type: int - default: !calc 120 - - awips_g2_hours: - type: int list - default: !calc ( tools.seq(0,240,6) ) - - awips_20km_1p0_hours: - type: int list - default: !calc >- - tools.uniq(sorted( - tools.seq(0,84,3) + - tools.seq(90,240,6))) - diff --git a/tests/test_data/regtest/schema/places.yaml b/tests/test_data/regtest/schema/places.yaml index d914261..c725527 100644 --- a/tests/test_data/regtest/schema/places.yaml +++ b/tests/test_data/regtest/schema/places.yaml @@ -14,7 +14,7 @@ places_schema: &places_schema !Template COMROOT: type: string - optional: !calc not doc.settings.four_cycle_mode + optional: !calc not doc.settings.realtime SHORT_TERM_TEMP: { type: string } LONG_TERM_TEMP: { type: string } diff --git a/tests/test_data/regtest/schema/post.yaml b/tests/test_data/regtest/schema/post.yaml deleted file mode 100644 index 1d71b6a..0000000 --- a/tests/test_data/regtest/schema/post.yaml +++ /dev/null @@ -1,25 +0,0 @@ -# This is used to set default values for grib product generation. -# Reconfiguring These variables are not supported in this release. - -post_schema: &post_schema !Template - GOESF: - type: bool - default: yes - description: make synthetic goes imagery - GTGF: - type: bool - default: no - description: make gtg icing product - FLXF: - type: bool - default: yes - description: grib2 flux file written by post - PGB1F: { type: bool, default: yes } - GFS_DOWNSTREAM: { type: bool, default: yes } - downset: { type: int, default: 1 } - NPOSTGRP: { type: int, default: 5 } - master_grid: - type: string - default: "0p25deg" - allowed: [ "1deg", "0p5deg", "0p25deg", "0p125deg" ] - description: Original grid of the post diff --git a/tests/test_data/regtest/schema/settings.yaml b/tests/test_data/regtest/schema/settings.yaml index e6ed15d..58605ab 100644 --- a/tests/test_data/regtest/schema/settings.yaml +++ b/tests/test_data/regtest/schema/settings.yaml @@ -1,91 +1,46 @@ settings_schema: !Template &settings_schema - dev_safeguards: - type: bool - default: true - description: "Add backup triggers in workflow to handle scheduling delays, such as the forecast finishing any posts start. Only turn this off for NCO operational deliveries." - - realtime: + resolution: # specified by user in case file + type: string + default: C192 + description: resolution of the simulation + + ecf_key: # default in schema type: bool default: false description: "Simulation of an event that is currently happening (ie.: a forecast)" - - run_vrfy_jobs: + + realtime: # specified by user in case file type: bool - default: true - description: "Run the EMC product generation jobs." + default: false + description: "Simulation of an event that is currently happening (ie.: a forecast)" - four_cycle_mode: + four_cycle_mode: # specified by user in case file type: bool - description: "Enable NCO-like four cycle suite. Requires a special setup for your ecFlow server, and a prepared directory structure designed to look like NCEP production. Do not use unless you know what you're doing." default: false - if_present: !FirstTrue - - when: !calc four_cycle_mode - take: !FirstTrue - - when: !calc ( doc.names.experiment in [ "prod", "para", "test" ] ) - message: !expand "Running four cycle mode with envir={doc.names.experiment}" - take: null - - otherwise: !error "In four cycle mode, the experiment must be prod, para, or test, not {doc.names.experiment}." - - otherwise: null + description: "NCO ways to run" -# ecf_module_commands: -# type: str -# default: !FirstTrue -# - when: !calc four_cycle_mode -# take: !calc doc.platform.four_cycle_mode_modules -# - otherwise: !expand | -# source "$HOMEgfs/ush/load_fv3gfs_modules.sh" {task_type} - - rocoto_cycle_throttle: + task_throttle: type: int - description: "Maximum number of cycles active at once in a Rocoto workflow" + description: "Maximum number of tasks active (queued/running) at once in a workflow" + default: 5 + + layout_x: + type: int + description: "number of cores x" default: 2 - - rocoto_task_throttle: + + layout_y: type: int - description: "Maximum number of tasks active (queued/running) at once in a Rocoto workflow" - default: 5 - - use_nco_ecflow_headers: - type: bool - description: "Use the NCO model-ver.h instead of experiment-specific paths. This will cause you to use the scripts and code in NCEP Operational areas instead of your own scripts and code. Only use this option if you know what you're doing." - default: !calc four_cycle_mode + description: "number of cores y" + default: 4 - prod_util_module: + module: type: str default: !calc doc.platform.get("prod_util_module","prod_util") description: Name of the unix modulefile to load to obtain NCEP production shell utilities. - ecflow_module: - type: str - default: !calc doc.platform.get("ecflow_module","ecflow") - description: Name of the unix modulefile to load to obtain ecflow_client. - - ecflow_real_clock: - type: bool - default: no - description: the ecflow suite definition should specify a real clock - - ecflow_virtual_clock: - type: bool - default: no - description: the ecflow suite definition should specify a virtual clock - - ecflow_hybrid_clock: - type: bool - default: no - description: the ecflow suite definition should specify a hybrid clock - - ecflow_totality_limit: - type: bool - default: yes - description: 'Place the entire suite in the "/totality_limit:TOTALITY" limit so that the server can limit the total number of jobs running.' - - run_gsi: - type: bool - description: "Run Gridpoint Statistical Interpolation" - - run_enkf: + physics_1: # default in /default type: bool description: "Enable Ensemble Kalman Filter" default: !calc run_gsi @@ -94,14 +49,9 @@ settings_schema: !Template &settings_schema type: bool description: "Run the fv3ic job, which changes restart file resolution and converts legacy GFS GSM restart files to FV3 restart files." - max_job_tries: - type: int - default: 1 - description: "Number of times to try running a job. Set to 1 for no retries." - - IC_CDUMP: + DUMP: # individually set in runtime type: string - allowed: [ gfs, gdas ] + allowed: [ dump_1, dump_2 ] optional: true description: Get initial conditions from gfs or gdas @@ -128,6 +78,16 @@ settings_schema: !Template &settings_schema EDATE: type: datetime description: Last cycle to run GDAS. + + forecast_hours: + type: int + description: "Hours in the future to forecast" + default: 12 + + output_hours: + type: list + description: "Hours to output" + default: "0,2,4,6,8" ics_from: type: string diff --git a/tests/test_data/regtest/settings.yaml b/tests/test_data/regtest/settings.yaml deleted file mode 100644 index e6ed15d..0000000 --- a/tests/test_data/regtest/settings.yaml +++ /dev/null @@ -1,143 +0,0 @@ -settings_schema: !Template &settings_schema - - dev_safeguards: - type: bool - default: true - description: "Add backup triggers in workflow to handle scheduling delays, such as the forecast finishing any posts start. Only turn this off for NCO operational deliveries." - - realtime: - type: bool - default: false - description: "Simulation of an event that is currently happening (ie.: a forecast)" - - run_vrfy_jobs: - type: bool - default: true - description: "Run the EMC product generation jobs." - - four_cycle_mode: - type: bool - description: "Enable NCO-like four cycle suite. Requires a special setup for your ecFlow server, and a prepared directory structure designed to look like NCEP production. Do not use unless you know what you're doing." - default: false - if_present: !FirstTrue - - when: !calc four_cycle_mode - take: !FirstTrue - - when: !calc ( doc.names.experiment in [ "prod", "para", "test" ] ) - message: !expand "Running four cycle mode with envir={doc.names.experiment}" - take: null - - otherwise: !error "In four cycle mode, the experiment must be prod, para, or test, not {doc.names.experiment}." - - otherwise: null - -# ecf_module_commands: -# type: str -# default: !FirstTrue -# - when: !calc four_cycle_mode -# take: !calc doc.platform.four_cycle_mode_modules -# - otherwise: !expand | -# source "$HOMEgfs/ush/load_fv3gfs_modules.sh" {task_type} - - rocoto_cycle_throttle: - type: int - description: "Maximum number of cycles active at once in a Rocoto workflow" - default: 2 - - rocoto_task_throttle: - type: int - description: "Maximum number of tasks active (queued/running) at once in a Rocoto workflow" - default: 5 - - use_nco_ecflow_headers: - type: bool - description: "Use the NCO model-ver.h instead of experiment-specific paths. This will cause you to use the scripts and code in NCEP Operational areas instead of your own scripts and code. Only use this option if you know what you're doing." - default: !calc four_cycle_mode - - prod_util_module: - type: str - default: !calc doc.platform.get("prod_util_module","prod_util") - description: Name of the unix modulefile to load to obtain NCEP production shell utilities. - - ecflow_module: - type: str - default: !calc doc.platform.get("ecflow_module","ecflow") - description: Name of the unix modulefile to load to obtain ecflow_client. - - ecflow_real_clock: - type: bool - default: no - description: the ecflow suite definition should specify a real clock - - ecflow_virtual_clock: - type: bool - default: no - description: the ecflow suite definition should specify a virtual clock - - ecflow_hybrid_clock: - type: bool - default: no - description: the ecflow suite definition should specify a hybrid clock - - ecflow_totality_limit: - type: bool - default: yes - description: 'Place the entire suite in the "/totality_limit:TOTALITY" limit so that the server can limit the total number of jobs running.' - - run_gsi: - type: bool - description: "Run Gridpoint Statistical Interpolation" - - run_enkf: - type: bool - description: "Enable Ensemble Kalman Filter" - default: !calc run_gsi - - chgres_and_convert_ics: - type: bool - description: "Run the fv3ic job, which changes restart file resolution and converts legacy GFS GSM restart files to FV3 restart files." - - max_job_tries: - type: int - default: 1 - description: "Number of times to try running a job. Set to 1 for no retries." - - IC_CDUMP: - type: string - allowed: [ gfs, gdas ] - optional: true - description: Get initial conditions from gfs or gdas - - gfs_cyc: - type: int - default: 4 - allowed: [ 0, 1, 2, 4 ] - description: | - When to run GFS forecast. Data assimilation is run for every - cycle regardless of these values - * 0: no GFS cycle - * 1: 00Z only - * 2: 00Z and 12Z only - * 4: all 4 cycles (0, 6, 12, 18 Z) - - SDATE: - type: datetime - description: | - First cycle to run. In the cycled workflow, this is a - "half-cycle" in that it only runs the parts of the workflow that - do not require a prior cycle. The first "real" cycle is the - following cycle. - - EDATE: - type: datetime - description: Last cycle to run GDAS. - - ics_from: - type: string - default: opsgfs - allowed: [ opsgfs, pargfs ] - description: initial conditions from opsgfs or pargfs - if_present: !Template - parexp: - type: string - default: prnemsrn - HPSS_PAR_PATH: - type: string - default: !expand "/5year/NCEPDEV/emc-global/emc.glopara/WCOSS_C/{parexp}" diff --git a/tests/test_data/regtest/user.yaml b/tests/test_data/regtest/user.yaml index c6ebc0d..44334a7 100644 --- a/tests/test_data/regtest/user.yaml +++ b/tests/test_data/regtest/user.yaml @@ -1,22 +1,5 @@ user_places: &user_places - PROJECT_DIR: !calc doc.default_places.HOMEcrow + '/tests/test_data/regtest' - # !error Please select a project directory. - # ie. /scratch4/NCEPDEV/ocean - - # Override scrub areas here. Mandatory on Jet. - # LONG_TERM_TEMP: !expand "/lfs3/projects/hfv3gfs/{tools.env('USER')}/scrub" - # SHORT_TERM_TEMP: !expand "/lfs3/projects/hfv3gfs/{tools.env('USER')}/scrub" - - # Some other interesting directories: - - # COM directory: - # ROTDIR: !expand "/path/to/some/scrub/area/{tools.env('USER')}/comrot/{doc.names.experiment}" - - # Scrub area for individual jobs: - # DATAROOT: !expand "/path/to/some/scrub/area/RUNDIRS/{doc.names.experiment}" - - # Input conditions. This should usually be specified in the case file: - # ICSDIR: !expand "/path/to/your/FV3ICS" + PROJECT_DIR: !calc doc.default_places.HOMEcrow + '/tests/test_data/regtest/cache' accounting: &accounting # Project for CPU accounting. @@ -31,9 +14,3 @@ accounting: &accounting shared_partition: !calc doc.platform.partitions.default_shared exclusive_partition: !calc doc.platform.partitions.default_exclusive service_partition: !calc doc.platform.partitions.default_service - -# Optional. Override settings in suite. This example would use -# tjet:ujet for running the forecast jobs on Jet: -# user_suite_overrides: -# - Search: '**/forecast/*_forecast*' -# partition: !calc doc.platform.partitions.tjet_or_ujet diff --git a/tests/test_data/regtest/workflow/cycled_gfs.yaml b/tests/test_data/regtest/workflow/cycled_gfs.yaml deleted file mode 100644 index 9a86d57..0000000 --- a/tests/test_data/regtest/workflow/cycled_gfs.yaml +++ /dev/null @@ -1,767 +0,0 @@ -suite: !Cycle - <<: *suite_defaults - - Alarms: - <<: *cycled_suite_alarms - - ###################################################################### - ## GDAS FAMILY ####################################################### - ###################################################################### - - gdas: !Family - RUN: gdas - ecflow_def: "edit RUN 'gdas'" - - jgdas_emc_dump_waiter: !Task - <<: *dump_waiter_task - Disable: !calc metasched.type != 'ecflow' - - #Time: !FirstTrue - # - when: !calc doc.settings.four_cycle_mode - # do: !timedelta +5:50:00 - # - otherwise: null - - realtime_logic: !FirstTrue - - when: !calc doc.settings.realtime - do: "edit ECF_TRIES 72" - - otherwise: "" - ecflow_def: !expand | - {realtime_logic} - edit DUMPDIR '{doc.places.DMPDIR}' - Trigger: !Depend forecast.at('-6:00:00') - - dump: !Family - ecflow_def: "# NCO will need to add NCO dump job here" - Dummy: true ## <-- Node is not implemented yet - Trigger: !Depend forecast.at('-6:00:00') - jgdas_ics: !Task - <<: *exclusive_task_template - release_gdas00_ics: !DataEvent {file="/dev/null"} - resources: !calc partition.resources.run_one_hour_exclusive - - #jgdas_dump_post: !Task - # Trigger: !Depend jgdas_dump - # release_sfcprep: !DataEvent {file="/dev/null"} - # release_gdas00_dump_alert: !DataEvent {file="/dev/null"} - # ecf_file: *ecf_file_template - # resources: !calc partition.resources.run_nothing - # accounting: *exclusive_accounting - # J_JOB: nothing - - jgdas_tropcy_qc_reloc: !Task - <<: *exclusive_task_template - Trigger: !Depend jgdas_dump - Time: !FirstTrue - - when: !calc doc.settings.four_cycle_mode - do: !timedelta +5:45:00 - - otherwise: null - resources: !calc partition.resources.run_one_hour_exclusive - - #Replaced by emc version of dump job - #This dump job should be using NCO version when delivery to NCO - jgdas_dump: !Task - <<: *exclusive_task_template - release_sfcprep: !DataEvent {file="/dev/null"} - Time: !FirstTrue - - when: !calc doc.settings.four_cycle_mode - do: !timedelta +5:50:00 - - otherwise: null - resources: !calc partition.resources.run_one_hour_exclusive - #endfamily dump - - prep: !Family - Trigger: !Depend | - (jgdas_emc_dump_waiter.updated_status | dump.jgdas_dump.release_sfcprep ) & up.gdas.forecast.at('-6:00:00') - Complete: !Depend ( ~ suite.has_cycle('-6:00:00') ) - jgdas_emcsfc_sfc_prep: !Task -# Disable: !calc not doc.data_assimilation.DO_EMCSFC - <<: *exclusive_task_template - Trigger: !Depend 'up.dump.jgdas_dump.release_sfcprep | up.jgdas_emc_dump_waiter.updated_status' - ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround - resources: !calc partition.resources.run_prep - config_list: [ base, prep, prepbufr ] - J_JOB: JGLOBAL_EMCSFC_SFC_PREP - - jgdas_prep: !Task - <<: *exclusive_task_template - Trigger: !Depend ( ( up.dump.jgdas_dump & up.jgdas_emc_dump_waiter ) & up.up.gdas.post.at('-6:00:00') ) - ecflow_def: - edit model 'obsproc_global' - resources: !calc partition.resources.run_prep - ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround - J_JOB: JGLOBAL_PREP - model: obsproc_global # for four cycle mode - J_JOB_PATH: '$HOMEobsproc_global/jobs' - config_list: [ base, prep, prepbufr ] - - jgdas_tropcy_cp: !Task - <<: *exclusive_task_template - Dummy: True - Trigger: !Depend prep.jgdas_prep - resources: !calc partition.resources.run_one_hour_exclusive - J_JOB: rocoto/tropcy_cp.sh - ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround - - analysis: !Family - Complete: !Depend ( ~ suite.has_cycle('-6:00:00') ) - jgdas_analysis_high: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.prep.jgdas_prep & up.prep.jgdas_emcsfc_sfc_prep & up.enkf.post.at('-6:00:00') ) - #release_fcst: !DataEvent {file="/dev/null"} - resources: !calc partition.resources.run_anal - J_JOB: JGLOBAL_ANALYSIS - - forecast: !Family - jgdas_forecast_high: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.analysis.jgdas_analysis_high & up.enkf.innovate ) | ~ suite.has_cycle('-6:00:00') - resources_remap: !JobRequest [ { <<: *remap_resource_template } ] - #release_fcst: !DataEvent {file="/dev/null"} - resources: !calc partition.resources.run_gdasfcst - J_JOB: JGLOBAL_FORECAST - - post_processing: !Family - Disable: !calc not doc.downstream.DO_POST_PROCESSING - Complete: !Depend ~ suite.has_cycle('-6:00:00') - bulletins: !Family - Disable: !calc not doc.downstream.DO_BULLETINS - jgdas_bulls_navy: !Task - <<: *exclusive_task_template - Dummy: True # job is broken - AlarmName: gfs_00_12 - Trigger: !Depend ( up.up.prep.jgdas_prep ) - resources: !calc partition.resources.run_bulls_navy - J_JOB: JGDAS_BULLS_NAVY - - gempak: !Family - Disable: !calc not doc.downstream.DO_GEMPAK - Complete: !Depend ~ suite.has_cycle('-6:00:00') - jgdas_gempak: !Task - <<: *exclusive_task_template - resources: !calc partition.resources.run_gdas_gempak - J_JOB: JGDAS_GEMPAK - Trigger: !Depend '( up.post if doc.settings.dev_safeguards else up.forecast.jgdas_forecast_high )' - - jgdas_gempak_meta_ncdc: !Task - <<: *exclusive_task_template - resources: !calc partition.resources.run_gdas_gempak_meta_ncdc - Trigger: !Depend jgdas_gempak - J_JOB: JGDAS_GEMPAK_META_NCDC - - #endfamily gempak - - post: !TaskArray - RUN: !calc up.RUN - Dimensions: - fhr: !calc doc.gfs_output_settings.gdas_forecast_hours - post_manager_el: !TaskElement - <<: *exclusive_task_template - RUN: !calc up.RUN - Trigger: !FirstTrue - - when: !calc not doc.settings.dev_safeguards - take: !Depend ( up.forecast.is_running() ) - - otherwise: !Depend "up.forecast.is_running() | up.forecast" - Disable: !calc metasched.type=='rocoto' - Foreach: [] - J_JOB: JGLOBAL_POST_MANAGER - Name: jgdas_post_manager - resources: !calc partition.resources.run_gdas_post_manager - release_postanl: !DataEvent - file: !expand >- - {metasched.varref("COM")}/gdas.{metasched.datestring("%Y%m%d/%H/")}gdas.t{metasched.datestring("%H")}z.logf000.nemsio - release_post_fhr: !DataEventElement - Name: !expand "release_post{dimval.fhr:03d}" - Foreach: [ fhr ] - file: !expand > - {metasched.varref("COM")}/gdas.{metasched.datestring("%Y%m%d/%H/")}gdas.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio - # NOTE: the above files must match the logic in scripts/exglobal_pmgr.sh.ecf - - # The post_anl has to be a !TaskElement to ensure it shows up between - # the post manager and forecast hour posts: - jgdas_post_anl_el: !TaskElement - <<: *exclusive_task_template - Foreach: [] - FHR: 'anl' - Name: jgdas_post_anl - Trigger: !FirstTrue - - when: !calc not doc.settings.dev_safeguards - take: !Depend jgdas_post_manager.release_postanl - - otherwise: !Depend jgdas_post_manager.release_postanl | up.forecast - release_pgrb2_anl: !DataEvent {file="/dev/null"} - resources: !calc partition.resources.run_gdaspost - ecflow_def: !expand "edit FHR '{FHR}'" - J_JOB: JGLOBAL_NCEPPOST - ecflow_command: !expand | - export post_times=%FHR% FHRLST=%FHR% FHRGRP=%FHR% - $HOMEgfs/jobs/{J_JOB} - rocoto_command: !expand >- - {rocoto_load_modules} ; - /usr/bin/env FHRGRP={FHR} post_times={FHR} FHRLST={FHR} &HOMEgfs;/jobs/{J_JOB} - - jgdas_post_fhr_el: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - resources: !calc partition.resources.run_gdaspost - Name: !expand jgdas_post_f{dimval.fhr:03d} - FHR: !expand '{dimval.fhr:03d}' - J_JOB: JGLOBAL_NCEPPOST - ecflow_def: !expand "edit FHR '{FHR}'" - ecflow_command: !expand | - export post_times=%FHR% FHRLST=%FHR% FHRGRP=%FHR% - $HOMEgfs/jobs/{J_JOB} - rocoto_command: !expand >- - {rocoto_load_modules} ; - /usr/bin/env FHRGRP={FHR} post_times={FHR} FHRLST={FHR} &HOMEgfs;/jobs/{J_JOB} - Trigger: !FirstTrue - - when: !calc not doc.settings.dev_safeguards - take: !Depend jgdas_post_manager.depend("release_post{F:03d}",F=[dimval.fhr]) - - otherwise: !Depend jgdas_post_manager.depend("release_post{F:03d}",F=[dimval.fhr]) | up.forecast - #endfamily post - - jgdas_emc_vrfy: !Task - <<: *exclusive_task_template - Disable: !calc not doc.settings.run_vrfy_jobs - Complete: !Depend ( ~ suite.has_cycle('-6:00:00') ) - Trigger: !Depend post - resources: !calc partition.resources.run_gdasvrfy - ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround - J_JOB: rocoto/vrfy.sh - ecf_module_commands: "# vrfy.sh will load modules instead" - - enkf: !Family - jgdas_enkf_select_obs: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.prep.jgdas_prep & post.at('-6:00:00') & up.jgdas_tropcy_cp ) - resources: !calc partition.resources.run_eobs - Complete: !Depend ~ suite.has_cycle('-6:00:00') - J_JOB: JGLOBAL_ENKF_SELECT_OBS - - innovate: !TaskArray - Trigger: !Depend jgdas_enkf_select_obs - Complete: !Depend ~ suite.has_cycle('-6:00:00') - Dimensions: - groupid: !calc tools.seq(1,doc.data_assimilation.ENKF_INNOVATE_GROUPS,1) - jgdas_enkf_innovate_obs_grp: !TaskElement - <<: *exclusive_task_template - Foreach: [ groupid ] - Name: !expand "jgdas_enkf_innovate_obs_grp{dimval.groupid}" - resources: !calc partition.resources.run_eomg - J_JOB: JGLOBAL_ENKF_INNOVATE_OBS - ENSGRP: !expand "{dimval.groupid:02d}" - # Lin wants ENSGRP to be outside the ecflow suite definition: - #rocoto_command: !expand "/usr/bin/env ENSGRP={ENSGRP} &HOMEgfs;/jobs/{J_JOB}" - rocoto_command: !expand >- - {rocoto_load_modules} ; - /usr/bin/env ENSGRP={ENSGRP} &HOMEgfs;/jobs/{J_JOB} - ecflow_command: !expand | - export ENSGRP={ENSGRP} - $HOMEgfs/jobs/{J_JOB} - - jgdas_enkf_update: !Task - <<: *exclusive_task_template - Trigger: !Depend innovate - ecflow_def: "edit ECF_PASS 'FREE'" - Complete: !Depend ~ suite.has_cycle('-6:00:00') - resources: !calc partition.resources.run_eupd - J_JOB: JGLOBAL_ENKF_UPDATE - - jgdas_enkf_inflate_recenter: !Task - <<: *exclusive_task_template - Trigger: !Depend ( jgdas_enkf_update & up.analysis.jgdas_analysis_high ) - resources: !calc partition.resources.run_ecen - J_JOB: JGDAS_ENKF_RECENTER - Complete: !Depend ~ suite.has_cycle('-6:00:00') - - forecast: !TaskArray - - Trigger: !Depend up.enkf.jgdas_enkf_inflate_recenter | ~ suite.has_cycle('-6:00:00') - Dimensions: - groupid: !calc tools.seq(1,doc.data_assimilation.ENKF_FORECAST_GROUPS,1) - jgdas_enkf_fcst_grp: !TaskElement - <<: *exclusive_task_template - Foreach: [ groupid ] - Name: !expand "jgdas_enkf_fcst_grp{dimval.groupid}" - resources: !calc partition.resources.run_efcs - J_JOB: JGDAS_ENKF_FCST - ENSGRP: !expand "{dimval.groupid:02d}" - - # Lin wants ENSGRP to be outside the ecflow suite definition: - #rocoto_command: !expand "/usr/bin/env ENSGRP={ENSGRP} &HOMEgfs;/jobs/{J_JOB}" - rocoto_command: !expand >- - {rocoto_load_modules} ; - /usr/bin/env ENSGRP={ENSGRP} &HOMEgfs;/jobs/{J_JOB} - ecflow_command: !expand | - export ENSGRP={ENSGRP} - $HOMEgfs/jobs/{J_JOB} - -# Create epos task array -# export FHRLST="f003 f004 f005 f006 f007 f008 f009" -# fhrlst=$(echo $FHRLST | sed -e 's/_/ /g; s/f/ /g; s/,/ /g') -# FHMIN_EPOS=$fhr -# FHMAX_EPOS=$fhr -# FHOUT_EPOS=$fhr -# job=epos${fhr} - post: !TaskArray - Trigger: !Depend forecast - Dimensions: - fhr: !calc doc.gfs_output_settings.enkf_epos_fhr - jgdas_enkf_post_fhr: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - Name: !expand "jgdas_enkf_post_f{dimval.fhr:03d}" - FHMIN_EPOS: !expand '{dimval.fhr:03d}' - FHMAX_EPOS: !expand '{dimval.fhr:03d}' - FHOUT_EPOS: !expand '{dimval.fhr:03d}' - ecflow_command: !expand | - export FHMIN_EPOS={FHMIN_EPOS} FHMAX_EPOS={FHMAX_EPOS} FHOUT_EPOS={FHOUT_EPOS} - $HOMEgfs/jobs/{J_JOB} - rocoto_command: !expand >- - {rocoto_load_modules} ; - /usr/bin/env FHMIN_EPOS={FHMIN_EPOS} FHMAX_EPOS={FHMAX_EPOS} FHOUT_EPOS={FHOUT_EPOS} &HOMEgfs;/jobs/{J_JOB} - resources: !calc partition.resources.run_epos - J_JOB: JGDAS_ENKF_POST - - #endfamily enkf - #endfamily gdas - - ###################################################################### - ## GFS FAMILY ######################################################## - ###################################################################### - - gfs: !Family - Disable: !calc doc.settings.gfs_cyc==0 - Complete: !Depend ~ suite.has_cycle('-6:00:00') - AlarmName: gfs - RUN: 'gfs' - ecflow_def: "edit RUN 'gfs'" - - jgfs_emc_dump_waiter: !Task - <<: *dump_waiter_task - Disable: !calc metasched.type != 'ecflow' - realtime_logic: !FirstTrue - - when: !calc doc.settings.realtime - do: "edit ECF_TRIES 72" - - otherwise: "" - #Time: !FirstTrue - # - when: !calc doc.settings.four_cycle_mode - # do: !timedelta +2:47:00 - # - otherwise: null - ecflow_def: !expand | - {realtime_logic} - edit DUMPDIR '{doc.places.DMPDIR}' - Trigger: !Depend up.gdas.forecast.at('-6:00:00') - - dump: !Family - Trigger: !Depend up.gdas.forecast.at('-6:00:00') - Complete: !Depend ~ suite.has_cycle('-6:00:00') - Dummy: true ## <-- Node is not implemented yet - ecflow_def: "# NCO will need to add NCO dump job here" - jgfs_tropcy_qc_reloc: !Task - <<: *exclusive_task_template - Trigger: !Depend jgfs_dump - jtwc_bull_email: !DataEvent {file="/dev/null"} - resources: !calc partition.resources.run_one_hour_exclusive - Time: !FirstTrue - - when: !calc doc.settings.four_cycle_mode - do: !timedelta +2:41:00 - - otherwise: null - - jgfs_dump: !Task - <<: *exclusive_task_template - ecflow_def: '#NCO needs to replace this with the real dump job' - release_sfcprep: !DataEvent {file="/dev/null"} - resources: !calc partition.resources.run_one_hour_exclusive - Time: !FirstTrue - - when: !calc doc.settings.four_cycle_mode - do: !timedelta +2:47:00 - - otherwise: null - - prep: !Family - Trigger: !Depend ( ( jgfs_emc_dump_waiter.updated_status | dump.jgfs_dump.release_sfcprep ) & up.gdas.forecast.at('-6:00:00') ) - Complete: !Depend ~ suite.has_cycle('-6:00:00') - jgfs_emcsfc_sfc_prep: !Task -# Disable: !calc not doc.data_assimilation.DO_EMCSFC - <<: *exclusive_task_template - Trigger: !Depend 'up.dump.jgfs_dump.release_sfcprep | up.jgfs_emc_dump_waiter.updated_status' - resources: !calc partition.resources.run_sfc_prep - J_JOB: JGLOBAL_EMCSFC_SFC_PREP - ecf_environment_settings: !expand | - {doc.settings.ecflow_rocoto_cdate_workaround} - export DATAROOT="$DATAROOT.$job" - config_list: [ base, prep, prepbufr ] - - jgfs_prep: !Task - <<: *exclusive_task_template - ecflow_def: - edit model 'obsproc_global' - Trigger: !Depend up.dump - resources: !calc partition.resources.run_prep - ecf_environment_settings: !expand | - {doc.settings.ecflow_rocoto_cdate_workaround} - export DATAROOT="$DATAROOT.$job" - J_JOB: JGLOBAL_PREP - model: obsproc_global # for four cycle mode - J_JOB_PATH: '$HOMEobsproc_global/jobs' - config_list: [ base, prep, prepbufr ] - - jgfs_tropcy_cp: !Task - <<: *exclusive_task_template - Dummy: True - Trigger: !Depend prep.jgfs_prep - resources: !calc partition.resources.run_one_hour_exclusive - ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround - J_JOB: rocoto/tropcy_cp.sh - - jgfs_analysis: !Task - <<: *exclusive_task_template - Trigger: !Depend ( prep.jgfs_prep & prep.jgfs_emcsfc_sfc_prep & up.gdas.enkf.post.at('-6:00:00') ) - resources: !calc partition.resources.run_anal - J_JOB: JGLOBAL_ANALYSIS - Complete: !Depend ~ suite.has_cycle('-6:00:00') - - forecast: !Family - Complete: !Depend ~ suite.has_cycle('-6:00:00') - jgfs_forecast_high: !Task - <<: *exclusive_task_template - Trigger: !Depend up.jgfs_analysis - resources: !calc partition.resources.run_gfsfcst - J_JOB: JGLOBAL_FORECAST - resources_remap: !JobRequest [ { <<: *remap_resource_template } ] - - post: !TaskArray - RUN: !calc up.RUN - Dimensions: - fhr: !calc doc.gfs_output_settings.gfs_forecast_hours - jgfs_post_manager_el: !TaskElement - <<: *exclusive_task_template - RUN: !calc up.RUN - Disable: !calc metasched.type == 'rocoto' - Trigger: !FirstTrue - - when: !calc not doc.settings.dev_safeguards - take: !Depend "up.forecast.is_running()" - - otherwise: !Depend "up.forecast.is_running() | up.forecast" - Foreach: [ ] - J_JOB: JGLOBAL_POST_MANAGER - Name: jgfs_post_manager - resources: !calc partition.resources.run_gfs_post_manager - release_postanl: !DataEvent - file: !expand >- - {metasched.varref("COM")}/gfs.{metasched.datestring("%Y%m%d/%H/")}gfs.t{metasched.datestring("%H")}z.logf000.nemsio - release_post_fhr: !DataEventElement - Name: !expand "release_post{dimval.fhr:03d}" - Foreach: [ fhr ] - file: !expand >- - {metasched.varref("COM")}/gfs.{metasched.datestring("%Y%m%d/%H/")}gfs.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio - # NOTE: the above files must match post_manager.yaml - - jgfs_post_anl_el: !TaskElement - <<: *exclusive_task_template - Foreach: [] - FHR: anl - Name: jgfs_post_anl - Trigger: !FirstTrue - - when: !calc doc.settings.dev_safeguards - take: !Depend jgfs_post_manager.release_postanl - - otherwise: !Depend jgfs_post_manager.release_postanl | up.forecast - - ecflow_def: !expand "edit FHR '{FHR}'" - release_pgrb2_anl: !DataEvent {file="/dev/null"} - resources: !calc partition.resources.run_gfspost - J_JOB: JGLOBAL_NCEPPOST - ecflow_command: !expand | - export post_times=%FHR% FHRLST=%FHR% FHRGRP=%FHR% - $HOMEgfs/jobs/{J_JOB} - rocoto_command: !expand >- - {rocoto_load_modules} ; - /usr/bin/env post_times=anl &HOMEgfs;/jobs/{J_JOB} - - jgfs_post_fhr_el: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - resources: !calc partition.resources.run_gfspost - Name: !expand jgfs_post_f{dimval.fhr:03d} - FHR: !expand "{dimval.fhr:03d}" - J_JOB: JGLOBAL_NCEPPOST - Trigger: !FirstTrue - - when: !calc not doc.settings.dev_safeguards - take: !Depend jgfs_post_manager.depend("release_post{F:03d}",F=[dimval.fhr]) - - otherwise: !Depend jgfs_post_manager.depend("release_post{F:03d}",F=[dimval.fhr]) | up.forecast - ecflow_def: !expand "edit FHR '{FHR}'" - ecflow_command: !expand | - export post_times=%FHR% FHRLST=%FHR% FHRGRP=%FHR% - $HOMEgfs/jobs/{J_JOB} - rocoto_command: !expand >- - {rocoto_load_modules} ; - /usr/bin/env post_times={FHR} FHRLST={FHR} FHRGRP={FHR} &HOMEgfs;/jobs/{J_JOB} - - jgfs_pgrb2_spec_post: !FirstTrue - - when: !calc max(doc.gfs_output_settings.gfs_forecast_hours)>=384 - do: !Task - <<: *exclusive_task_template - Dummy: true ## <-- Node is not implemented yet - Trigger: !Depend ( jgfs_post_f336 & jgfs_post_f348 & jgfs_post_f360 & jgfs_post_f372 & jgfs_post_f384 ) - resources: !calc partition.resources.run_gfspost - - otherwise: null - #endfamily post - - post_processing: !Family - Disable: !calc not doc.downstream.DO_POST_PROCESSING - fax: !Family - Disable: !calc not doc.downstream.DO_FAX - jgfs_fax_f000: !Task - <<: *fax_task_template - FCSTHR: '00' - Trigger: !Depend ( up.up.post.jgfs_post_f000 & up.up.post.jgfs_post_anl ) - - jgfs_fax_anl: !Task - <<: *fax_task_template - FCSTHR: 'anl' - Trigger: !Depend up.up.post.jgfs_post_anl - - jgfs_fax_wafs_f012: !Task - <<: *fax_wafs_task_template - FCSTHR: '12' - fhr_list: '06 12' - Trigger: !Depend up.up.post.jgfs_post_f012 - - jgfs_fax_wafs_f024: !Task - <<: *fax_wafs_task_template - FCSTHR: '24' - fhr_list: '18 24' - Trigger: !Depend up.up.post.jgfs_post_f024 - - jgfs_fax_wafs_f036: !Task - <<: *fax_wafs_task_template - FCSTHR: '36' - fhr_list: '30 36' - Trigger: !Depend up.up.post.jgfs_post_f036 - - grib_wafs: !TaskArray - Disable: !calc not doc.downstream.DO_WAFS - Dimensions: - fhr: !calc tools.seq(0,doc.gfs_output_settings.wafs_last_hour,6) - jgfs_wafs: !TaskElement - <<: *exclusive_task_template - Name: !expand 'jgfs_wafs_f{dimval.fhr:03d}' - resources: !ref partition.resources.run_one_node_downstream - Trigger: !FirstTrue - - when: !calc dimval.fhr == 0 - do: !Depend ( up.up.post.jgfs_post_f000 & up.up.post.jgfs_post_f120 & up.grib2_wafs.jgfs_wafs_grib2 ) - - otherwise: !Depend >- - up.up.post.depend("jgfs_post_f{N:03d}",N=[ dimval.fhr ]) - & up.grib_wafs.depend("jgfs_wafs_f{N:03d}",N=[ dimval.fhr-6 ]) - ecflow_command: !expand | - export fcsthrs=%FCSTHR% - $HOMEgfs/jobs/{J_JOB} - FCSTHR: !expand '{dimval.fhr:02d}' - J_JOB: JGFS_WAFS - more_vars: { fcsthrs: FCSTHR } - config_list: [ base, wafs ] - - bufr_sounding: !Family - Disable: !calc not doc.downstream.DO_BUFRSND - jgfs_postsnd: !Task - <<: *exclusive_task_template - Trigger: !Depend up.up.post.jgfs_post_f144 - resources: !calc partition.resources.run_postsnd - - bulletins: !Family - Disable: !calc not doc.downstream.DO_BULLETINS - jgfs_fbwind: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.up.post.jgfs_post_f006 & up.up.post.jgfs_post_f012 & up.up.post.jgfs_post_f024 ) - resources: !calc partition.resources.run_small_downstream - - grib2_wafs: !Family - Disable: !calc not doc.downstream.DO_WAFS - jgfs_wafs_grib2: !Task - <<: *exclusive_task_template - Trigger: !Depend up.up.post.jgfs_post_f000 - resources: !calc partition.resources.run_one_node_downstream - config_list: [ base, wafs ] - - jgfs_wafs_blending: !Task - <<: *exclusive_task_template - Trigger: !Depend jgfs_wafs_grib2 - Time: !FirstTrue - - when: !calc not doc.settings.dev_safeguards - do: !timedelta +4:33:00 - - otherwise: null - resources: !calc partition.resources.run_one_node_downstream - config_list: [ base, wafs ] - - jgfs_wafs_gcip: !Task - <<: *exclusive_task_template - Trigger: !Depend up.up.post.jgfs_post_f003 - resources: !calc partition.resources.run_one_node_downstream - config_list: [ base, wafs_gcip ] - J_JOB: JGFS_WAFS_GCIP - - awips_20km_1p0: !TaskArray - Disable: !calc not doc.downstream.DO_AWIPS - Dimensions: - fhr: !calc " sorted(list(set(doc.gfs_output_settings.gfs_forecast_hours)&set(doc.gfs_output_settings.awips_20km_1p0_hours))) " - # tasks every 6 hours till f240 - jgfs_awips: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - Name: !expand "jgfs_awips_f{dimval.fhr:03d}" - ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround - Trigger: !Depend up.up.post.depend('jgfs_post_f{N:03d}',N=dimval.fhr) - resources: !calc partition.resources.run_awips_20km_1p0 - TRDRUN: !calc ('YES' if (dimval.fhr==0 or dimval.fhr%6!=0 or dimval.fhr>=84) else 'NO') - J_JOB: JGFS_AWIPS_20KM_1P0DEG - FHR: !expand '{dimval.fhr:03d}' - more_vars: { fcsthrs: FHR } - - awips_g2: !TaskArray - Disable: !calc not doc.downstream.DO_AWIPS - Dimensions: - fhr: !calc " sorted(list(set(doc.gfs_output_settings.gfs_forecast_hours)&set(doc.gfs_output_settings.awips_g2_hours))) " - jgfs_awips: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - Name: !expand "jgfs_awips_g2_f{dimval.fhr:03d}" - Trigger: !Depend up.up.post.depend('jgfs_post_f{N:03d}',N=dimval.fhr) - resources: !calc partition.resources.run_awips_g2 - FHR: !expand '{dimval.fhr:03d}' - J_JOB: JGFS_AWIPS_G2 - more_vars: { fcsthrs: FHR } - #endfamily post_processing - - gempak: !Family - Disable: !calc not doc.downstream.DO_GEMPAK - jgfs_gempak: !Task - <<: *exclusive_task_template - resources: !calc partition.resources.run_gfs_gempak - Trigger: !Depend up.jgfs_analysis - - jgfs_gempak_meta: !Task - <<: *exclusive_task_template - Dummy: True # job is broken - Trigger: !Depend up.jgfs_analysis - resources: !calc partition.resources.run_gfs_gempak - - jgfs_pgrb2_spec_npoess: !Task - <<: *exclusive_task_template - Trigger: !Depend 'up.post.jgfs_post_anl.is_running() | up.post.jgfs_post_anl' - resources: !calc partition.resources.run_npoess - - jgfs_pgrb2_spec_gempak: !Task - <<: *exclusive_task_template - Trigger: !Depend jgfs_pgrb2_spec_npoess - resources: !calc partition.resources.run_big_downstream - - jgfs_gempak_ncdc_upapgif: !Task # NOTE: twelve-hourly - <<: *exclusive_task_template - Dummy: True # job is broken - Trigger: !Depend 'jgfs_gempak.is_running() | jgfs_gempak' - resources: !calc partition.resources.run_one_node_downstream - - jgfs_emc_vrfy: !Task - <<: *exclusive_task_template - Trigger: !Depend post - Disable: !calc not doc.settings.run_vrfy_jobs - resources: !calc partition.resources.run_gfsvrfy - J_JOB: rocoto/vrfy.sh - ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround - ecf_module_commands: "# vrfy.sh will load modules instead" - - #endfamily gempak - #endfamily gfs - - ###################################################################### - ## ARCHIVE FAMILY #################################################### - ###################################################################### - - archive: !Family - Disable: !calc not doc.archiving.archive_to_hpss - ecflow_def: - edit ECF_TRIES '3' - jgdas_archive: !Task - <<: *service_task_template - AlarmName: gdas - Trigger: !Depend up.gdas - #Trigger: !Depend up.gdas.jgdas_emc_vrfy - resources: !calc partition.resources.run_arch - Disable: !calc not doc.archiving.archive_to_hpss - ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround - J_JOB: rocoto/arch.sh - ecf_module_commands: "# arch.sh will load modules instead" - RUN: gdas - ecflow_def: !FirstTrue - - when: !calc not doc.settings.four_cycle_mode - take: "edit RUN 'gdas'" - - otherwise: | - time +00:10 - edit RUN 'gdas' - - jgdas_enkf_archive: !TaskArray - ecflow_def: "edit RUN 'gdas'" - AlarmName: gdas - Trigger: !Depend up.gdas.enkf.post - Dimensions: - groupid: !calc tools.seq(0,doc.data_assimilation.ENKF_ARCHIVE_GROUPS,1) - grp: !TaskElement - <<: *service_task_template - resources: !calc partition.resources.run_arch - ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround - J_JOB: rocoto/earc.sh - ecf_module_commands: "# arch.sh will load modules instead" - Foreach: [ groupid ] - Name: !expand "jgdas_enkf_archive_grp{dimval.groupid:02d}" - RUN: gdas - ENSGRP: !expand '{dimval.groupid:02d}' - more_vars: { ENSGRP: ENSGRP } - ecflow_def: !FirstTrue - - when: !calc doc.settings.four_cycle_mode - take: !expand "edit ENSGRP '{ENSGRP}'" - - otherwise: !expand | - time +00:10 - edit ENSGRP '{ENSGRP}' - - jgfs_archive: !Task - <<: *service_task_template - Disable: !calc doc.settings.gfs_cyc == 0 - Complete: !Depend ~ suite.has_cycle('-6:00:00') - AlarmName: gfs - Trigger: !Depend up.gfs - resources: !calc partition.resources.run_arch - ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround - J_JOB: rocoto/arch.sh - ecf_module_commands: "# arch.sh will load modules instead" - RUN: gfs - ecflow_def: !FirstTrue - - when: !calc doc.settings.four_cycle_mode - take: "edit RUN 'gfs'" - - otherwise: | - time +00:10 - edit RUN 'gfs' - - ###################################################################### - ## CYCLE COMPLETION TASKS ############################################ - ###################################################################### - - cycle_end: *cycle_end_task - - make_next_cycles: !Task - <<: *make_next_cycles_task - Disable: !calc ( not metasched.type=="ecflow" or doc.settings.four_cycle_mode ) - Trigger: !Depend gdas - ecflow_def: !expand | - # These variables are used by the make_next_cycles job to generate - # suites for later cycles once earlier cycles have finished. - edit WORKFLOW_FIRST_CYCLE '{tools.strftime(suite.Clock.start,"%Y%m%d%H")}' - edit WORKFLOW_LAST_CYCLE '{tools.strftime(suite.Clock.end,"%Y%m%d%H")}' - edit WORKFLOW_CYCLES_TO_GENERATE '5' - edit WORKFLOW_CROW_HOME '{doc.static_locations.initial_directory}' - edit WORKFLOW_EXPDIR '{doc.places.EXPDIR}' - edit RUN 'gdas' # useless but required - - final: !Task - <<: *service_task_template - Disable: !calc not metasched.type=="rocoto" - resources: !calc partition.resources.run_nothing - rocoto_command: /bin/true - RUN: gfs # useless but required - diff --git a/tests/test_data/regtest/workflow/free_forecast_gfs.yaml b/tests/test_data/regtest/workflow/free_forecast_gfs.yaml deleted file mode 100644 index 9cac6bc..0000000 --- a/tests/test_data/regtest/workflow/free_forecast_gfs.yaml +++ /dev/null @@ -1,131 +0,0 @@ -suite: !Cycle - <<: *suite_defaults - - ics: !Family - Disable: !calc >- - doc.settings.get("IC_CDUMP","") and not doc.settings.chgres_and_convert_ics - model: 'gfs' # useless but required - jgfs_emc_getics: !Task - <<: *service_task_template - Disable: !calc doc.settings.get("IC_CDUMP","") - resources: !calc partition.resources.run_getic - J_JOB: rocoto/getic.sh - ecf_module_commands: "# getics.sh will load modules instead" - model: gfs - - jgfs_emc_fv3ic: !Task - <<: *exclusive_task_template - Disable: !calc not doc.settings.chgres_and_convert_ics - Trigger: !Depend jgfs_emc_getics - resources: !calc partition.resources.run_fv3ic - J_JOB: rocoto/fv3ic.sh - ecf_module_commands: "# fv3ic.sh will load modules instead" - model: gfs - - gfs: !Family - Trigger: !Depend ics - model: 'gfs' - - forecast: !Family - jgfs_forecast_high: !Task - <<: *exclusive_task_template - resources: !calc partition.resources.run_gfsfcst - J_JOB: JGLOBAL_FORECAST - - post: !TaskArray - model: !calc up.model - Dimensions: - fhr: !calc doc.gfs_output_settings.gfs_forecast_hours - jgfs_post_manager_el: !TaskElement - <<: *exclusive_task_template - model: !calc up.model - Disable: !calc metasched.type == 'rocoto' - Trigger: !Depend up.forecast.is_running() - Complete: !Depend up.forecast - Foreach: [ ] - ecflow_command: *post_manager_job_contents - J_JOB: post_manager - Name: jgfs_post_manager - resources: !calc partition.resources.run_gfs_post_manager - release_postanl: !DataEvent - file: !expand >- - {metasched.varref("COM")}/gfs.{metasched.datestring("%Y%m%d/%H/")}gfs.t{metasched.datestring("%H")}z.logf000.nemsio - release_post_fhr: !DataEventElement - Name: !expand "release_post{dimval.fhr:02d}" - Foreach: [ fhr ] - file: !expand >- - {metasched.varref("COM")}/gfs.{metasched.datestring("%Y%m%d/%H/")}gfs.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio - # NOTE: the above files must match post_manager.yaml - - jgfs_post_anl: !Task - <<: *exclusive_task_template - model: !calc up.model - FHRGRP: '000' - FHRLST: anl - more_vars: [ FHRGRP, FHRLST, FHR, HR ] - FHR: !expand 'anl' - HR: !expand 'anl' - Trigger: !Depend jgfs_post_manager.release_postanl | up.forecast - release_pgrb2_anl: !DataEvent {file="/dev/null"} - resources: !calc partition.resources.run_gfspost - J_JOB: JGLOBAL_NCEPPOST - ecflow_command: !expand | - export post_times=anl FHRLST={FHRLST} FHRGRP={FHRGRP} - $HOMEgfs/jobs/{J_JOB} - rocoto_command: !expand >- - {rocoto_load_modules} ; - /usr/bin/env post_times=anl &HOMEgfs;/jobs/{J_JOB} - more_vars: [ FHRGRP, FHRLST ] - - jgfs_post_fhr_el: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - resources: !calc partition.resources.run_gfspost - Name: !expand jgfs_post_f{dimval.fhr:02d} - FHRGRP: !expand "{dimidx.fhr+1:03d}" - FHRLST: !expand "f{dimval.fhr:03d}" - FHR: !expand 'f{dimval.fhr:02d}' - HR: !expand '{dimval.fhr:02d}' - more_vars: [ FHRGRP, FHRLST, FHR, HR ] - J_JOB: JGLOBAL_NCEPPOST - Trigger: !Depend jgfs_post_manager.depend("release_post{F:02d}",F=[dimval.fhr]) | up.forecast - ecflow_command: !expand | - export post_times={dimval.fhr:03d} FHRLST={FHRLST} FHRGRP={FHRGRP} - $HOMEgfs/jobs/{J_JOB} - rocoto_command: !expand >- - {rocoto_load_modules} ; - /usr/bin/env post_times={dimval.fhr:03d} &HOMEgfs;/jobs/{J_JOB} - #endfamily post - - jgfs_emc_vrfy: !Task - <<: *exclusive_task_template - Trigger: !Depend post - resources: !calc partition.resources.run_gfsvrfy - J_JOB: rocoto/vrfy.sh - ecf_module_commands: "# vrfy.sh will load modules instead" - - #endfamily gfs - - archive: !Family - ecflow_def: - edit ECF_TRIES '3' - - jgfs_archive: !Task - <<: *service_task_template - Disable: !calc doc.settings.gfs_cyc == 0 - Trigger: !Depend up.gfs.jgfs_emc_vrfy - resources: !calc partition.resources.run_arch - Disable: !calc not doc.archiving.archive_to_hpss - J_JOB: rocoto/arch.sh - ecf_module_commands: "# arch.sh will load modules instead" - model: gfs - ecflow_def: - time +00:10 - - final: !Task - <<: *service_task_template - Disable: !calc not metasched.type=="rocoto" - resources: !calc partition.resources.run_nothing - rocoto_command: /bin/true - model: gfs # useless but required - diff --git a/tests/test_data/regtest/workflow/nco.yaml b/tests/test_data/regtest/workflow/nco.yaml deleted file mode 100644 index 01ab402..0000000 --- a/tests/test_data/regtest/workflow/nco.yaml +++ /dev/null @@ -1,597 +0,0 @@ -suite: !Cycle - <<: *suite_defaults - - Alarms: - <<: *cycled_suite_alarms - - ###################################################################### - ## GDAS FAMILY ####################################################### - ###################################################################### - - gdas: !Family - RUN: gdas - ecflow_def: "edit RUN 'gdas'" - - jgdas_verfrad: !Task - <<: *exclusive_task_template - resources: !calc partition.resources.run_verfrad - - jgdas_vminmon: !Task - <<: *exclusive_task_template - resources: !calc partition.resources.run_vminmon - - dump: !Family - - #jgdas_dump_post: !Task - # Trigger: !Depend jgdas_dump - # release_sfcprep: !DataEvent {file="/dev/null"} - # release_gdas00_dump_alert: !DataEvent {file="/dev/null"} - # ecf_file: *ecf_file_template - # resources: !calc partition.resources.run_nothing - # accounting: *exclusive_accounting - # J_JOB: nothing - - jgdas_tropcy_qc_reloc: !Task - <<: *exclusive_task_template - Time: !FirstTrue - - when: !calc doc.settings.four_cycle_mode - do: !timedelta +5:45:00 - - otherwise: null - resources: !calc partition.resources.run_one_hour_exclusive - - #Replaced by emc version of dump job - #This dump job should be using NCO version when delivery to NCO - jgdas_dump: !Task - <<: *exclusive_task_template - release_sfcprep: !DataEvent {file="/dev/null"} - Time: !FirstTrue - - when: !calc doc.settings.four_cycle_mode - do: !timedelta +5:50:00 - - otherwise: null - resources: !calc partition.resources.run_one_hour_exclusive - #endfamily dump - - prep: !Family - Complete: !Depend ( ~ suite.has_cycle('-6:00:00') ) - jgdas_emcsfc_sfc_prep: !Task - <<: *exclusive_task_template - Trigger: !Depend 'up.dump.jgdas_dump.release_sfcprep' - resources: !calc partition.resources.run_prep - config_list: [ base, prep, prepbufr ] - J_JOB: JGLOBAL_EMCSFC_SFC_PREP - - jgdas_prep: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.dump.jgdas_dump & up.up.gdas.post.at('-6:00:00') ) - ecflow_def: - edit model 'obsproc_global' - resources: !calc partition.resources.run_prep - J_JOB: JGLOBAL_PREP - model: obsproc_global # for four cycle mode - J_JOB_PATH: '$HOMEobsproc_global/jobs' - config_list: [ base, prep, prepbufr ] - - analysis: !Family - Complete: !Depend ( ~ suite.has_cycle('-6:00:00') ) - jgdas_analysis_high: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.prep.jgdas_prep & up.prep.jgdas_emcsfc_sfc_prep & up.enkf.post.at('-6:00:00') ) - #Trigger: !Depend ( up.prep.jgdas_prep & up.prep.jgdas_emcsfc_sfc_prep ) - #release_fcst: !DataEvent {file="/dev/null"} - resources: !calc partition.resources.run_anal - J_JOB: JGLOBAL_ANALYSIS - - forecast: !Family - jgdas_forecast_high: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.analysis.jgdas_analysis_high & up.enkf.innovate ) | ~ suite.has_cycle('-6:00:00') - resources_remap: !JobRequest [ { <<: *remap_resource_template } ] - #release_fcst: !DataEvent {file="/dev/null"} - resources: !calc partition.resources.run_gdasfcst - J_JOB: JGLOBAL_FORECAST - - post_processing: !Family - Complete: !Depend ~ suite.has_cycle('-6:00:00') - bulletins: !Family - jgdas_bulls_navy: !Task - <<: *exclusive_task_template - AlarmName: gfs_00_12 - Trigger: !Depend ( up.up.dump.jgdas_dump ) - resources: !calc partition.resources.run_bulls_navy - J_JOB: JGDAS_BULLS_NAVY - - gempak: !Family - Complete: !Depend ~ suite.has_cycle('-6:00:00') - jgdas_gempak: !Task - <<: *exclusive_task_template - resources: !calc partition.resources.run_gdas_gempak - J_JOB: JGDAS_GEMPAK - Trigger: !Depend '( up.post if doc.settings.dev_safeguards else up.forecast.jgdas_forecast_high )' - - jgdas_gempak_meta_ncdc: !Task - <<: *exclusive_task_template - resources: !calc partition.resources.run_gdas_gempak_meta_ncdc - Trigger: !Depend jgdas_gempak - J_JOB: JGDAS_GEMPAK_META_NCDC - - #endfamily gempak - - post: !TaskArray - RUN: !calc up.RUN - Dimensions: - fhr: !calc doc.gfs_output_settings.gdas_forecast_hours - post_manager_el: !TaskElement - <<: *exclusive_task_template - RUN: !calc up.RUN - Trigger: !FirstTrue - - when: !calc not doc.settings.dev_safeguards - take: !Depend ( up.forecast.is_running() ) - - otherwise: !Depend "up.forecast.is_running() | up.forecast" - Disable: !calc metasched.type=='rocoto' - Foreach: [] - J_JOB: JGLOBAL_POST_MANAGER - Name: jgdas_post_manager - resources: !calc partition.resources.run_gdas_post_manager - release_postanl: !DataEvent - file: !expand >- - {metasched.varref("COM")}/gdas.{metasched.datestring("%Y%m%d/%H/")}gdas.t{metasched.datestring("%H")}z.logf000.nemsio - release_post_fhr: !DataEventElement - Name: !expand "release_post{dimval.fhr:03d}" - Foreach: [ fhr ] - file: !expand > - {metasched.varref("COM")}/gdas.{metasched.datestring("%Y%m%d/%H/")}gdas.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio - # NOTE: the above files must match the logic in scripts/exglobal_pmgr.sh.ecf - - # The post_anl has to be a !TaskElement to ensure it shows up between - # the post manager and forecast hour posts: - jgdas_post_anl_el: !TaskElement - <<: *exclusive_task_template - Foreach: [] - FHR: 'anl' - Name: jgdas_post_anl - Trigger: !FirstTrue - - when: !calc not doc.settings.dev_safeguards - take: !Depend jgdas_post_manager.release_postanl - - otherwise: !Depend jgdas_post_manager.release_postanl | up.forecast - release_pgrb2_anl: !DataEvent {file="/dev/null"} - resources: !calc partition.resources.run_gdaspost - ecflow_def: !expand "edit FHR '{FHR}'" - J_JOB: JGLOBAL_NCEPPOST - ecflow_command: !expand | - export post_times=%FHR% FHRLST=%FHR% FHRGRP=%FHR% - $HOMEgfs/jobs/{J_JOB} - rocoto_command: !expand >- - {rocoto_load_modules} ; - /usr/bin/env FHRGRP={FHR} post_times={FHR} FHRLST={FHR} &HOMEgfs;/jobs/{J_JOB} - - jgdas_post_fhr_el: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - resources: !calc partition.resources.run_gdaspost - Name: !expand jgdas_post_f{dimval.fhr:03d} - FHR: !expand '{dimval.fhr:03d}' - J_JOB: JGLOBAL_NCEPPOST - ecflow_def: !expand "edit FHR '{FHR}'" - ecflow_command: !expand | - export post_times=%FHR% FHRLST=%FHR% FHRGRP=%FHR% - $HOMEgfs/jobs/{J_JOB} - rocoto_command: !expand >- - {rocoto_load_modules} ; - /usr/bin/env FHRGRP={FHR} post_times={FHR} FHRLST={FHR} &HOMEgfs;/jobs/{J_JOB} - Trigger: !FirstTrue - - when: !calc not doc.settings.dev_safeguards - take: !Depend jgdas_post_manager.depend("release_post{F:03d}",F=[dimval.fhr]) - - otherwise: !Depend jgdas_post_manager.depend("release_post{F:03d}",F=[dimval.fhr]) | up.forecast - #endfamily post - - enkf: !Family - jgdas_enkf_select_obs: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.prep.jgdas_prep & post.at('-6:00:00') ) - resources: !calc partition.resources.run_eobs - Complete: !Depend ~ suite.has_cycle('-6:00:00') - J_JOB: JGLOBAL_ENKF_SELECT_OBS - - innovate: !TaskArray - Trigger: !Depend jgdas_enkf_select_obs - Complete: !Depend ~ suite.has_cycle('-6:00:00') - Dimensions: - groupid: !calc tools.seq(1,doc.data_assimilation.ENKF_INNOVATE_GROUPS,1) - jgdas_enkf_innovate_obs_grp: !TaskElement - <<: *exclusive_task_template - Foreach: [ groupid ] - Name: !expand "jgdas_enkf_innovate_obs_grp{dimval.groupid}" - resources: !calc partition.resources.run_eomg - J_JOB: JGLOBAL_ENKF_INNOVATE_OBS - ENSGRP: !expand "{dimval.groupid:02d}" - # Lin wants ENSGRP to be outside the ecflow suite definition: - rocoto_command: !expand "/usr/bin/env ENSGRP={ENSGRP} &HOMEgfs;/jobs/{J_JOB}" - ecflow_command: !expand | - export ENSGRP={ENSGRP} - $HOMEgfs/jobs/{J_JOB} - - jgdas_enkf_update: !Task - <<: *exclusive_task_template - Trigger: !Depend innovate - ecflow_def: "edit ECF_PASS 'FREE'" - Complete: !Depend ~ suite.has_cycle('-6:00:00') - resources: !calc partition.resources.run_eupd - J_JOB: JGLOBAL_ENKF_UPDATE - - jgdas_enkf_inflate_recenter: !Task - <<: *exclusive_task_template - Trigger: !Depend ( jgdas_enkf_update & up.analysis.jgdas_analysis_high ) - resources: !calc partition.resources.run_ecen - J_JOB: JGDAS_ENKF_RECENTER - Complete: !Depend ~ suite.has_cycle('-6:00:00') - - forecast: !TaskArray - - Trigger: !Depend up.enkf.jgdas_enkf_inflate_recenter | ~ suite.has_cycle('-6:00:00') - Dimensions: - groupid: !calc tools.seq(1,doc.data_assimilation.ENKF_FORECAST_GROUPS,1) - jgdas_enkf_fcst_grp: !TaskElement - <<: *exclusive_task_template - Foreach: [ groupid ] - Name: !expand "jgdas_enkf_fcst_grp{dimval.groupid}" - resources: !calc partition.resources.run_efcs - J_JOB: JGDAS_ENKF_FCST - ENSGRP: !expand "{dimval.groupid:02d}" - - # Lin wants ENSGRP to be outside the ecflow suite definition: - rocoto_command: !expand "/usr/bin/env ENSGRP={ENSGRP} &HOMEgfs;/jobs/{J_JOB}" - ecflow_command: !expand | - export ENSGRP={ENSGRP} - $HOMEgfs/jobs/{J_JOB} - -# Create epos task array -# export FHRLST="f003 f004 f005 f006 f007 f008 f009" -# fhrlst=$(echo $FHRLST | sed -e 's/_/ /g; s/f/ /g; s/,/ /g') -# FHMIN_EPOS=$fhr -# FHMAX_EPOS=$fhr -# FHOUT_EPOS=$fhr -# job=epos${fhr} - post: !TaskArray - Trigger: !Depend forecast - Dimensions: - fhr: !calc doc.gfs_output_settings.enkf_epos_fhr - jgdas_enkf_post_fhr: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - Name: !expand "jgdas_enkf_post_f{dimval.fhr:03d}" - FHMIN_EPOS: !expand '{dimval.fhr:03d}' - FHMAX_EPOS: !expand '{dimval.fhr:03d}' - FHOUT_EPOS: !expand '{dimval.fhr:03d}' - job: !expand "epos{dimval.fhr:03d}" - ecflow_command: !expand | - export FHMIN_EPOS={FHMIN_EPOS} FHMAX_EPOS={FHMAX_EPOS} FHOUT_EPOS={FHOUT_EPOS} - $HOMEgfs/jobs/{J_JOB} - rocoto_command: !expand >- - {rocoto_load_modules} ; - /usr/bin/env FHMIN_EPOS={FHMIN_EPOS} FHMAX_EPOS={FHMAX_EPOS} FHOUT_EPOS={FHOUT_EPOS} job={job} &HOMEgfs;/jobs/{J_JOB} - resources: !calc partition.resources.run_epos - J_JOB: JGDAS_ENKF_POST - - #endfamily enkf - #endfamily gdas - - ###################################################################### - ## GFS FAMILY ######################################################## - ###################################################################### - - gfs: !Family - Disable: !calc doc.settings.gfs_cyc==0 - Complete: !Depend ~ suite.has_cycle('-6:00:00') - AlarmName: gfs - RUN: 'gfs' - ecflow_def: "edit RUN 'gfs'" - - dump: !Family - Trigger: !Depend up.gdas.forecast.at('-6:00:00') - Complete: !Depend ~ suite.has_cycle('-6:00:00') - ecflow_def: "# NCO will need to add NCO dump job here" - jgfs_tropcy_qc_reloc: !Task - <<: *exclusive_task_template - Trigger: !Depend jgfs_dump - jtwc_bull_email: !DataEvent {file="/dev/null"} - resources: !calc partition.resources.run_one_hour_exclusive - Time: !FirstTrue - - when: !calc doc.settings.four_cycle_mode - do: !timedelta +2:41:00 - - otherwise: null - - jgfs_dump: !Task - <<: *exclusive_task_template - ecflow_def: '#NCO needs to replace this with the real dump job' - release_sfcprep: !DataEvent {file="/dev/null"} - resources: !calc partition.resources.run_one_hour_exclusive - Time: !FirstTrue - - when: !calc doc.settings.four_cycle_mode - do: !timedelta +2:47:00 - - otherwise: null - - prep: !Family - Trigger: !Depend "( dump.jgfs_dump.release_sfcprep ) & up.gdas.forecast.at('-6:00:00')" - Complete: !Depend ~ suite.has_cycle('-6:00:00') - jgfs_emcsfc_sfc_prep: !Task - <<: *exclusive_task_template - Trigger: !Depend 'up.dump.jgfs_dump.release_sfcprep' - resources: !calc partition.resources.run_sfc_prep - J_JOB: JGLOBAL_EMCSFC_SFC_PREP - config_list: [ base, prep, prepbufr ] - - jgfs_prep: !Task - <<: *exclusive_task_template - ecflow_def: - edit model 'obsproc_global' - Trigger: !Depend up.dump - resources: !calc partition.resources.run_prep - J_JOB: JGLOBAL_PREP - model: obsproc_global # for four cycle mode - J_JOB_PATH: '$HOMEobsproc_global/jobs' - config_list: [ base, prep, prepbufr ] - - jgfs_analysis: !Task - <<: *exclusive_task_template - Trigger: !Depend ( prep.jgfs_prep & prep.jgfs_emcsfc_sfc_prep & up.gdas.enkf.post.at('-6:00:00') ) - #Trigger: !Depend ( prep.jgfs_prep & prep.jgfs_emcsfc_sfc_prep ) - resources: !calc partition.resources.run_anal - J_JOB: JGLOBAL_ANALYSIS - Complete: !Depend ~ suite.has_cycle('-6:00:00') - - forecast: !Family - Complete: !Depend ~ suite.has_cycle('-6:00:00') - jgfs_forecast_high: !Task - <<: *exclusive_task_template - Trigger: !Depend up.jgfs_analysis - resources: !calc partition.resources.run_gfsfcst - J_JOB: JGLOBAL_FORECAST - resources_remap: !JobRequest [ { <<: *remap_resource_template } ] - - post: !TaskArray - RUN: !calc up.RUN - Dimensions: - fhr: !calc doc.gfs_output_settings.gfs_forecast_hours - jgfs_post_manager_el: !TaskElement - <<: *exclusive_task_template - RUN: !calc up.RUN - Disable: !calc metasched.type == 'rocoto' - Trigger: !FirstTrue - - when: !calc not doc.settings.dev_safeguards - take: !Depend "up.forecast.is_running()" - - otherwise: !Depend "up.forecast.is_running() | up.forecast" - Foreach: [ ] - J_JOB: JGLOBAL_POST_MANAGER - Name: jgfs_post_manager - resources: !calc partition.resources.run_gfs_post_manager - release_postanl: !DataEvent - file: !expand >- - {metasched.varref("COM")}/gfs.{metasched.datestring("%Y%m%d/%H/")}gfs.t{metasched.datestring("%H")}z.logf000.nemsio - release_post_fhr: !DataEventElement - Name: !expand "release_post{dimval.fhr:03d}" - Foreach: [ fhr ] - file: !expand >- - {metasched.varref("COM")}/gfs.{metasched.datestring("%Y%m%d/%H/")}gfs.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio - # NOTE: the above files must match post_manager.yaml - - jgfs_post_anl_el: !TaskElement - <<: *exclusive_task_template - Foreach: [] - FHR: anl - Name: jgfs_post_anl - Trigger: !FirstTrue - - when: !calc doc.settings.dev_safeguards - take: !Depend jgfs_post_manager.release_postanl - - otherwise: !Depend jgfs_post_manager.release_postanl | up.forecast - - ecflow_def: !expand "edit FHR '{FHR}'" - release_pgrb2_anl: !DataEvent {file="/dev/null"} - resources: !calc partition.resources.run_gfspost - J_JOB: JGLOBAL_NCEPPOST - ecflow_command: !expand | - export post_times=%FHR% FHRLST=%FHR% FHRGRP=%FHR% - $HOMEgfs/jobs/{J_JOB} - rocoto_command: !expand >- - {rocoto_load_modules} ; - /usr/bin/env post_times=anl &HOMEgfs;/jobs/{J_JOB} - - jgfs_post_fhr_el: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - resources: !calc partition.resources.run_gfspost - Name: !expand jgfs_post_f{dimval.fhr:03d} - FHR: !expand "{dimval.fhr:03d}" - J_JOB: JGLOBAL_NCEPPOST - Trigger: !FirstTrue - - when: !calc not doc.settings.dev_safeguards - take: !Depend jgfs_post_manager.depend("release_post{F:03d}",F=[dimval.fhr]) - - otherwise: !Depend jgfs_post_manager.depend("release_post{F:03d}",F=[dimval.fhr]) | up.forecast - ecflow_def: !expand "edit FHR '{FHR}'" - ecflow_command: !expand | - export post_times=%FHR% FHRLST=%FHR% FHRGRP=%FHR% - $HOMEgfs/jobs/{J_JOB} - rocoto_command: !expand >- - {rocoto_load_modules} ; - export post_times={FHR} FHRLST={FHR} FHRGRP={FHR} &HOMEgfs;/jobs/{J_JOB} - - jgfs_pgrb2_spec_post: !FirstTrue - - when: !calc max(doc.gfs_output_settings.gfs_forecast_hours)>=384 - do: !Task - <<: *exclusive_task_template - Trigger: !Depend ( jgfs_post_f336 & jgfs_post_f348 & jgfs_post_f360 & jgfs_post_f372 & jgfs_post_f384 ) - resources: !calc partition.resources.run_gfspost - - otherwise: null - #endfamily post - - post_processing: !Family - fax: !Family - jgfs_fax_f000: !Task - <<: *fax_task_template - FCSTHR: '00' - Trigger: !Depend ( up.up.post.jgfs_post_f000 & up.up.post.jgfs_post_anl ) - - jgfs_fax_anl: !Task - <<: *fax_task_template - FCSTHR: 'anl' - Trigger: !Depend up.up.post.jgfs_post_anl - - jgfs_fax_wafs_f012: !Task - <<: *fax_wafs_task_template - FCSTHR: '12' - fhr_list: '06 12' - Trigger: !Depend up.up.post.jgfs_post_f012 - - jgfs_fax_wafs_f024: !Task - <<: *fax_wafs_task_template - FCSTHR: '24' - fhr_list: '18 24' - Trigger: !Depend up.up.post.jgfs_post_f024 - - jgfs_fax_wafs_f036: !Task - <<: *fax_wafs_task_template - FCSTHR: '36' - fhr_list: '30 36' - Trigger: !Depend up.up.post.jgfs_post_f036 - - grib_wafs: !TaskArray - Dimensions: - fhr: !calc tools.seq(0,doc.gfs_output_settings.wafs_last_hour,6) - jgfs_wafs: !TaskElement - <<: *exclusive_task_template - Name: !expand 'jgfs_wafs_f{dimval.fhr:03d}' - resources: !ref partition.resources.run_one_node_downstream - Trigger: !FirstTrue - - when: !calc dimval.fhr == 0 - do: !Depend ( up.up.post.jgfs_post_f000 & up.up.post.jgfs_post_f120 & up.grib2_wafs.jgfs_wafs_grib2 ) - - otherwise: !Depend >- - up.up.post.depend("jgfs_post_f{N:03d}",N=[ dimval.fhr ]) - & up.grib_wafs.depend("jgfs_wafs_f{N:03d}",N=[ dimval.fhr-6 ]) - ecflow_command: !expand | - export fcsthrs=%FCSTHR% - $HOMEgfs/jobs/{J_JOB} - FCSTHR: !expand '{dimval.fhr:02d}' - J_JOB: JGFS_WAFS - more_vars: { fcsthrs: FCSTHR } - config_list: [ base, wafs ] - - bufr_sounding: !Family - jgfs_postsnd: !Task - <<: *exclusive_task_template - Trigger: !Depend up.up.post.jgfs_post_f144 - resources: !calc partition.resources.run_postsnd - - bulletins: !Family - jgfs_fbwind: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.up.post.jgfs_post_f006 & up.up.post.jgfs_post_f012 & up.up.post.jgfs_post_f024 ) - resources: !calc partition.resources.run_small_downstream - - grib2_wafs: !Family - jgfs_wafs_grib2: !Task - <<: *exclusive_task_template - Trigger: !Depend up.up.post.jgfs_post_f000 - resources: !calc partition.resources.run_one_node_downstream - config_list: [ base, wafs ] - - jgfs_wafs_blending: !Task - <<: *exclusive_task_template - Trigger: !Depend jgfs_wafs_grib2 - Time: !FirstTrue - - when: !calc not doc.settings.dev_safeguards - do: !timedelta +4:33:00 - - otherwise: null - resources: !calc partition.resources.run_one_node_downstream - config_list: [ base, wafs ] - - jgfs_wafs_gcip: !Task - <<: *exclusive_task_template - Trigger: !Depend up.up.post.jgfs_post_f003 - resources: !calc partition.resources.run_one_node_downstream - config_list: [ base, wafs_gcip ] - J_JOB: JGFS_WAFS_GCIP - - awips_20km_1p0: !TaskArray - Dimensions: - fhr: !calc " sorted(list(set(doc.gfs_output_settings.gfs_forecast_hours)&set(doc.gfs_output_settings.awips_20km_1p0_hours))) " - # tasks every 6 hours till f240 - jgfs_awips: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - Name: !expand "jgfs_awips_f{dimval.fhr:03d}" - Trigger: !Depend up.up.post.depend('jgfs_post_f{N:03d}',N=dimval.fhr) - resources: !calc partition.resources.run_awips_20km_1p0 - TRDRUN: !calc ('YES' if (dimval.fhr==0 or dimval.fhr%6!=0 or dimval.fhr>=84) else 'NO') - J_JOB: JGFS_AWIPS_20KM_1P0DEG - FHR: !expand '{dimval.fhr:03d}' - more_vars: { fcsthrs: FHR } - - awips_g2: !TaskArray - Dimensions: - fhr: !calc " sorted(list(set(doc.gfs_output_settings.gfs_forecast_hours)&set(doc.gfs_output_settings.awips_g2_hours))) " - jgfs_awips: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - Name: !expand "jgfs_awips_g2_f{dimval.fhr:03d}" - Trigger: !Depend up.up.post.depend('jgfs_post_f{N:03d}',N=dimval.fhr) - resources: !calc partition.resources.run_awips_g2 - FHR: !expand '{dimval.fhr:03d}' - J_JOB: JGFS_AWIPS_G2 - more_vars: { fcsthrs: FHR } - #endfamily post_processing - - gempak: !Family - jgfs_gempak: !Task - <<: *exclusive_task_template - resources: !calc partition.resources.run_gfs_gempak - Trigger: !Depend up.jgfs_analysis - - jgfs_gempak_meta: !Task - <<: *exclusive_task_template - Trigger: !Depend up.jgfs_analysis - resources: !calc partition.resources.run_gfs_gempak - - jgfs_pgrb2_spec_npoess: !Task - <<: *exclusive_task_template - Trigger: !Depend 'up.post.jgfs_post_anl.is_running() | up.post.jgfs_post_anl' - resources: !calc partition.resources.run_npoess - - jgfs_pgrb2_spec_gempak: !Task - <<: *exclusive_task_template - Trigger: !Depend jgfs_pgrb2_spec_npoess - resources: !calc partition.resources.run_big_downstream - - jgfs_gempak_ncdc_upapgif: !Task # NOTE: twelve-hourly - <<: *exclusive_task_template - Trigger: !Depend 'jgfs_gempak.is_running() | jgfs_gempak' - resources: !calc partition.resources.run_one_node_downstream - - #endfamily gempak - #endfamily gfs - - ###################################################################### - ## CYCLE COMPLETION TASKS ############################################ - ###################################################################### - - cycle_end: *cycle_end_task - - make_next_cycles: !Task - <<: *make_next_cycles_task - Disable: !calc ( not metasched.type=="ecflow" or doc.settings.four_cycle_mode ) - Trigger: !Depend gdas - ecflow_def: !expand | - # These variables are used by the make_next_cycles job to generate - # suites for later cycles once earlier cycles have finished. - edit WORKFLOW_FIRST_CYCLE '{tools.strftime(suite.Clock.start,"%Y%m%d%H")}' - edit WORKFLOW_LAST_CYCLE '{tools.strftime(suite.Clock.end,"%Y%m%d%H")}' - edit WORKFLOW_CYCLES_TO_GENERATE '5' - edit WORKFLOW_CROW_HOME '{doc.static_locations.initial_directory}' - edit WORKFLOW_EXPDIR '{doc.places.EXPDIR}' - edit RUN 'gdas' # useless but required - - final: !Task - <<: *service_task_template - Disable: !calc not metasched.type=="rocoto" - resources: !calc partition.resources.run_nothing - rocoto_command: /bin/true - RUN: gfs # useless but required - diff --git a/tests/test_data/regtest/workflow/public_release_v1.yaml b/tests/test_data/regtest/workflow/public_release_v1.yaml deleted file mode 100644 index 4c6fed5..0000000 --- a/tests/test_data/regtest/workflow/public_release_v1.yaml +++ /dev/null @@ -1,127 +0,0 @@ -# This file describes the jobs to run and their dependencies. The -# CROW/crow/metascheduler package converts this to a Rocoto XML -# document or an ecFlow suit definition with ecf files. Much of the -# configuration logic is included from the runtime/ directory via YAML -# imports (<<: *anchor_name) - -suite: !Cycle - <<: *suite_defaults - - # The ics family of tasks generates FV3 input conditions. It is only - # enabled if required. - ics: !Family - Disable: !calc >- - doc.settings.get("IC_CDUMP","") and not doc.settings.chgres_and_convert_ics - model: 'gfs' # useless but required - - # The jgfs_emc_getics task pulls GFS GSM data from disk. - jgfs_emc_getics: !Task - <<: *service_task_template - Disable: !calc doc.settings.get("IC_CDUMP","") - resources: !calc partition.resources.run_getic - J_JOB: rocoto/getic.sh - ecf_module_commands: "# getics.sh will load modules instead" - model: gfs - - # The jgfs_emc_fv3ic task convers GFS GSM data to FV3 data - jgfs_emc_fv3ic: !Task - <<: *exclusive_task_template - Disable: !calc not doc.settings.chgres_and_convert_ics - Trigger: !Depend jgfs_emc_getics - resources: !calc partition.resources.run_fv3ic - J_JOB: rocoto/fv3ic.sh - ecf_module_commands: "# fv3ic.sh will load modules instead" - model: gfs - - # The gfs family executes the gfs forecast and post-processing. - # Were this the full workflow, it would also run the observation - # processing, analysis, and varoius downstream (post-processing of - # the post-processed data). - gfs: !Family - Trigger: !Depend ics - model: 'gfs' - - # Forecast family: in the old GSM GFS workflow this contains two - # jobs at two resolutions. We only have one forecast for FV3. - forecast: !Family - # jgfs_forecast_high - the FV3 forecast - jgfs_forecast_high: !Task - <<: *forecast_task_template - resources: !calc partition.resources.run_gfsfcst - J_JOB: JGLOBAL_FORECAST - resources_remap: !JobRequest [ { <<: *remap_resource_template } ] - - # post family - this family runs the UPP to convert FV3 output - # conditions to GRIB files. It runs wgrib2 to convert - # gaussian grid files to lat-lon. - post: !TaskArray - model: !calc up.model - Dimensions: - fhr: !calc doc.gfs_output_settings.gfs_forecast_hours - - # jgfs_post_manager_el - used to generate the jgfs_post_manager - # task. That task is only executed in ecFlow; it watches the - # forecast output directory for output data and alerts ecflow - # when new files become available. - # - # When running Rocoto, the specified data files (!DataEventElement) - # are used to define Rocoto date dependencies in later jobs. - jgfs_post_manager_el: !TaskElement - <<: *exclusive_task_template - model: !calc up.model - Disable: !calc metasched.type == 'rocoto' - Trigger: !Depend up.forecast.is_running() - Complete: !Depend up.forecast - Foreach: [ ] - ecflow_command: *post_manager_job_contents - J_JOB: post_manager - Name: jgfs_post_manager - resources: !calc partition.resources.run_gfs_post_manager - release_post_fhr: !DataEventElement - Name: !expand "release_post{dimval.fhr:02d}" - Foreach: [ fhr ] - file: !expand >- - {metasched.varref("COM")}/gfs.{metasched.datestring("%Y%m%d/%H/")}gfs.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio - # NOTE: the above files must match post_manager.yaml - - # jgfs_post_fhr_el - generates the numerous jgfs_post jobs, one per - # forecast hour. These jobs run UPP and wgrib2 to convert FV3 - # output to gaussian and lat-lon GRIB files. - jgfs_post_fhr_el: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - resources: !calc partition.resources.run_gfspost - Name: !expand jgfs_post_f{dimval.fhr:02d} - FHRGRP: !expand "{dimidx.fhr+1:03d}" - FHRLST: !expand "f{dimval.fhr:03d}" - FHR: !expand 'f{dimval.fhr:02d}' - HR: !expand '{dimval.fhr:02d}' - more_vars: [ FHRGRP, FHRLST, FHR, HR ] - J_JOB: JGLOBAL_NCEPPOST - Trigger: !Depend jgfs_post_manager.depend("release_post{F:02d}",F=[dimval.fhr]) | up.forecast - ecflow_command: !expand | - export post_times={dimval.fhr:03d} FHRLST={FHRLST} FHRGRP={FHRGRP} - $HOMEgfs/jobs/{J_JOB} - rocoto_command: !expand >- - {rocoto_load_modules} ; - /usr/bin/env post_times={dimval.fhr:03d} &HOMEgfs;/jobs/{J_JOB} - #endfamily post - - #endfamily gfs - - # final - The special "final" task is used in Rocoto to detect when - # all tasks that have to run in a given forecast cycle are - # completed. This is not needed for this workflow, but is mandatory - # anyway. It is needed when Complete directives are present. Such - # directives tell the metascheduler that a job should be marked as - # completed without submitting it if a certain condition is met. - # Rocoto does not have that capability, so it has to be implemented - # using Rocoto's "final" task capability. - - final: !Task - <<: *service_task_template - Disable: !calc not metasched.type=="rocoto" - resources: !calc partition.resources.run_nothing - rocoto_command: /bin/true - model: gfs # useless but required - diff --git a/tests/test_data/regtest/workflow/regression.yaml b/tests/test_data/regtest/workflow/regression.yaml index 9a86d57..5583add 100644 --- a/tests/test_data/regtest/workflow/regression.yaml +++ b/tests/test_data/regtest/workflow/regression.yaml @@ -12,24 +12,6 @@ suite: !Cycle RUN: gdas ecflow_def: "edit RUN 'gdas'" - jgdas_emc_dump_waiter: !Task - <<: *dump_waiter_task - Disable: !calc metasched.type != 'ecflow' - - #Time: !FirstTrue - # - when: !calc doc.settings.four_cycle_mode - # do: !timedelta +5:50:00 - # - otherwise: null - - realtime_logic: !FirstTrue - - when: !calc doc.settings.realtime - do: "edit ECF_TRIES 72" - - otherwise: "" - ecflow_def: !expand | - {realtime_logic} - edit DUMPDIR '{doc.places.DMPDIR}' - Trigger: !Depend forecast.at('-6:00:00') - dump: !Family ecflow_def: "# NCO will need to add NCO dump job here" Dummy: true ## <-- Node is not implemented yet @@ -52,7 +34,7 @@ suite: !Cycle <<: *exclusive_task_template Trigger: !Depend jgdas_dump Time: !FirstTrue - - when: !calc doc.settings.four_cycle_mode + - when: !calc doc.settings.realtime do: !timedelta +5:45:00 - otherwise: null resources: !calc partition.resources.run_one_hour_exclusive @@ -63,20 +45,19 @@ suite: !Cycle <<: *exclusive_task_template release_sfcprep: !DataEvent {file="/dev/null"} Time: !FirstTrue - - when: !calc doc.settings.four_cycle_mode + - when: !calc doc.settings.realtime do: !timedelta +5:50:00 - otherwise: null resources: !calc partition.resources.run_one_hour_exclusive #endfamily dump prep: !Family - Trigger: !Depend | - (jgdas_emc_dump_waiter.updated_status | dump.jgdas_dump.release_sfcprep ) & up.gdas.forecast.at('-6:00:00') + Trigger: !Depend ( up.gdas.forecast.at('-6:00:00') ) Complete: !Depend ( ~ suite.has_cycle('-6:00:00') ) jgdas_emcsfc_sfc_prep: !Task # Disable: !calc not doc.data_assimilation.DO_EMCSFC <<: *exclusive_task_template - Trigger: !Depend 'up.dump.jgdas_dump.release_sfcprep | up.jgdas_emc_dump_waiter.updated_status' + Trigger: !Depend ( up.dump.jgdas_dump.release_sfcprep ) ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround resources: !calc partition.resources.run_prep config_list: [ base, prep, prepbufr ] @@ -84,7 +65,7 @@ suite: !Cycle jgdas_prep: !Task <<: *exclusive_task_template - Trigger: !Depend ( ( up.dump.jgdas_dump & up.jgdas_emc_dump_waiter ) & up.up.gdas.post.at('-6:00:00') ) + Trigger: !Depend ( up.dump.jgdas_dump & up.up.gdas.post.at('-6:00:00') ) ecflow_def: edit model 'obsproc_global' resources: !calc partition.resources.run_prep @@ -106,7 +87,7 @@ suite: !Cycle Complete: !Depend ( ~ suite.has_cycle('-6:00:00') ) jgdas_analysis_high: !Task <<: *exclusive_task_template - Trigger: !Depend ( up.prep.jgdas_prep & up.prep.jgdas_emcsfc_sfc_prep & up.enkf.post.at('-6:00:00') ) + Trigger: !Depend ( up.prep.jgdas_prep ) #release_fcst: !DataEvent {file="/dev/null"} resources: !calc partition.resources.run_anal J_JOB: JGLOBAL_ANALYSIS @@ -114,51 +95,23 @@ suite: !Cycle forecast: !Family jgdas_forecast_high: !Task <<: *exclusive_task_template - Trigger: !Depend ( up.analysis.jgdas_analysis_high & up.enkf.innovate ) | ~ suite.has_cycle('-6:00:00') + Trigger: !Depend ( up.analysis.jgdas_analysis_high ) | ~ suite.has_cycle('-6:00:00') resources_remap: !JobRequest [ { <<: *remap_resource_template } ] #release_fcst: !DataEvent {file="/dev/null"} resources: !calc partition.resources.run_gdasfcst J_JOB: JGLOBAL_FORECAST - post_processing: !Family - Disable: !calc not doc.downstream.DO_POST_PROCESSING - Complete: !Depend ~ suite.has_cycle('-6:00:00') - bulletins: !Family - Disable: !calc not doc.downstream.DO_BULLETINS - jgdas_bulls_navy: !Task - <<: *exclusive_task_template - Dummy: True # job is broken - AlarmName: gfs_00_12 - Trigger: !Depend ( up.up.prep.jgdas_prep ) - resources: !calc partition.resources.run_bulls_navy - J_JOB: JGDAS_BULLS_NAVY - - gempak: !Family - Disable: !calc not doc.downstream.DO_GEMPAK - Complete: !Depend ~ suite.has_cycle('-6:00:00') - jgdas_gempak: !Task - <<: *exclusive_task_template - resources: !calc partition.resources.run_gdas_gempak - J_JOB: JGDAS_GEMPAK - Trigger: !Depend '( up.post if doc.settings.dev_safeguards else up.forecast.jgdas_forecast_high )' - - jgdas_gempak_meta_ncdc: !Task - <<: *exclusive_task_template - resources: !calc partition.resources.run_gdas_gempak_meta_ncdc - Trigger: !Depend jgdas_gempak - J_JOB: JGDAS_GEMPAK_META_NCDC - #endfamily gempak post: !TaskArray RUN: !calc up.RUN Dimensions: - fhr: !calc doc.gfs_output_settings.gdas_forecast_hours + fhr: !calc doc.settings.output_hours post_manager_el: !TaskElement <<: *exclusive_task_template RUN: !calc up.RUN Trigger: !FirstTrue - - when: !calc not doc.settings.dev_safeguards + - when: !calc not doc.settings.realtime take: !Depend ( up.forecast.is_running() ) - otherwise: !Depend "up.forecast.is_running() | up.forecast" Disable: !calc metasched.type=='rocoto' @@ -170,7 +123,7 @@ suite: !Cycle file: !expand >- {metasched.varref("COM")}/gdas.{metasched.datestring("%Y%m%d/%H/")}gdas.t{metasched.datestring("%H")}z.logf000.nemsio release_post_fhr: !DataEventElement - Name: !expand "release_post{dimval.fhr:03d}" + Name: !expand "release_post" Foreach: [ fhr ] file: !expand > {metasched.varref("COM")}/gdas.{metasched.datestring("%Y%m%d/%H/")}gdas.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio @@ -184,7 +137,7 @@ suite: !Cycle FHR: 'anl' Name: jgdas_post_anl Trigger: !FirstTrue - - when: !calc not doc.settings.dev_safeguards + - when: !calc not doc.settings.realtime take: !Depend jgdas_post_manager.release_postanl - otherwise: !Depend jgdas_post_manager.release_postanl | up.forecast release_pgrb2_anl: !DataEvent {file="/dev/null"} @@ -198,130 +151,6 @@ suite: !Cycle {rocoto_load_modules} ; /usr/bin/env FHRGRP={FHR} post_times={FHR} FHRLST={FHR} &HOMEgfs;/jobs/{J_JOB} - jgdas_post_fhr_el: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - resources: !calc partition.resources.run_gdaspost - Name: !expand jgdas_post_f{dimval.fhr:03d} - FHR: !expand '{dimval.fhr:03d}' - J_JOB: JGLOBAL_NCEPPOST - ecflow_def: !expand "edit FHR '{FHR}'" - ecflow_command: !expand | - export post_times=%FHR% FHRLST=%FHR% FHRGRP=%FHR% - $HOMEgfs/jobs/{J_JOB} - rocoto_command: !expand >- - {rocoto_load_modules} ; - /usr/bin/env FHRGRP={FHR} post_times={FHR} FHRLST={FHR} &HOMEgfs;/jobs/{J_JOB} - Trigger: !FirstTrue - - when: !calc not doc.settings.dev_safeguards - take: !Depend jgdas_post_manager.depend("release_post{F:03d}",F=[dimval.fhr]) - - otherwise: !Depend jgdas_post_manager.depend("release_post{F:03d}",F=[dimval.fhr]) | up.forecast - #endfamily post - - jgdas_emc_vrfy: !Task - <<: *exclusive_task_template - Disable: !calc not doc.settings.run_vrfy_jobs - Complete: !Depend ( ~ suite.has_cycle('-6:00:00') ) - Trigger: !Depend post - resources: !calc partition.resources.run_gdasvrfy - ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround - J_JOB: rocoto/vrfy.sh - ecf_module_commands: "# vrfy.sh will load modules instead" - - enkf: !Family - jgdas_enkf_select_obs: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.prep.jgdas_prep & post.at('-6:00:00') & up.jgdas_tropcy_cp ) - resources: !calc partition.resources.run_eobs - Complete: !Depend ~ suite.has_cycle('-6:00:00') - J_JOB: JGLOBAL_ENKF_SELECT_OBS - - innovate: !TaskArray - Trigger: !Depend jgdas_enkf_select_obs - Complete: !Depend ~ suite.has_cycle('-6:00:00') - Dimensions: - groupid: !calc tools.seq(1,doc.data_assimilation.ENKF_INNOVATE_GROUPS,1) - jgdas_enkf_innovate_obs_grp: !TaskElement - <<: *exclusive_task_template - Foreach: [ groupid ] - Name: !expand "jgdas_enkf_innovate_obs_grp{dimval.groupid}" - resources: !calc partition.resources.run_eomg - J_JOB: JGLOBAL_ENKF_INNOVATE_OBS - ENSGRP: !expand "{dimval.groupid:02d}" - # Lin wants ENSGRP to be outside the ecflow suite definition: - #rocoto_command: !expand "/usr/bin/env ENSGRP={ENSGRP} &HOMEgfs;/jobs/{J_JOB}" - rocoto_command: !expand >- - {rocoto_load_modules} ; - /usr/bin/env ENSGRP={ENSGRP} &HOMEgfs;/jobs/{J_JOB} - ecflow_command: !expand | - export ENSGRP={ENSGRP} - $HOMEgfs/jobs/{J_JOB} - - jgdas_enkf_update: !Task - <<: *exclusive_task_template - Trigger: !Depend innovate - ecflow_def: "edit ECF_PASS 'FREE'" - Complete: !Depend ~ suite.has_cycle('-6:00:00') - resources: !calc partition.resources.run_eupd - J_JOB: JGLOBAL_ENKF_UPDATE - - jgdas_enkf_inflate_recenter: !Task - <<: *exclusive_task_template - Trigger: !Depend ( jgdas_enkf_update & up.analysis.jgdas_analysis_high ) - resources: !calc partition.resources.run_ecen - J_JOB: JGDAS_ENKF_RECENTER - Complete: !Depend ~ suite.has_cycle('-6:00:00') - - forecast: !TaskArray - - Trigger: !Depend up.enkf.jgdas_enkf_inflate_recenter | ~ suite.has_cycle('-6:00:00') - Dimensions: - groupid: !calc tools.seq(1,doc.data_assimilation.ENKF_FORECAST_GROUPS,1) - jgdas_enkf_fcst_grp: !TaskElement - <<: *exclusive_task_template - Foreach: [ groupid ] - Name: !expand "jgdas_enkf_fcst_grp{dimval.groupid}" - resources: !calc partition.resources.run_efcs - J_JOB: JGDAS_ENKF_FCST - ENSGRP: !expand "{dimval.groupid:02d}" - - # Lin wants ENSGRP to be outside the ecflow suite definition: - #rocoto_command: !expand "/usr/bin/env ENSGRP={ENSGRP} &HOMEgfs;/jobs/{J_JOB}" - rocoto_command: !expand >- - {rocoto_load_modules} ; - /usr/bin/env ENSGRP={ENSGRP} &HOMEgfs;/jobs/{J_JOB} - ecflow_command: !expand | - export ENSGRP={ENSGRP} - $HOMEgfs/jobs/{J_JOB} - -# Create epos task array -# export FHRLST="f003 f004 f005 f006 f007 f008 f009" -# fhrlst=$(echo $FHRLST | sed -e 's/_/ /g; s/f/ /g; s/,/ /g') -# FHMIN_EPOS=$fhr -# FHMAX_EPOS=$fhr -# FHOUT_EPOS=$fhr -# job=epos${fhr} - post: !TaskArray - Trigger: !Depend forecast - Dimensions: - fhr: !calc doc.gfs_output_settings.enkf_epos_fhr - jgdas_enkf_post_fhr: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - Name: !expand "jgdas_enkf_post_f{dimval.fhr:03d}" - FHMIN_EPOS: !expand '{dimval.fhr:03d}' - FHMAX_EPOS: !expand '{dimval.fhr:03d}' - FHOUT_EPOS: !expand '{dimval.fhr:03d}' - ecflow_command: !expand | - export FHMIN_EPOS={FHMIN_EPOS} FHMAX_EPOS={FHMAX_EPOS} FHOUT_EPOS={FHOUT_EPOS} - $HOMEgfs/jobs/{J_JOB} - rocoto_command: !expand >- - {rocoto_load_modules} ; - /usr/bin/env FHMIN_EPOS={FHMIN_EPOS} FHMAX_EPOS={FHMAX_EPOS} FHOUT_EPOS={FHOUT_EPOS} &HOMEgfs;/jobs/{J_JOB} - resources: !calc partition.resources.run_epos - J_JOB: JGDAS_ENKF_POST - - #endfamily enkf #endfamily gdas ###################################################################### @@ -335,22 +164,6 @@ suite: !Cycle RUN: 'gfs' ecflow_def: "edit RUN 'gfs'" - jgfs_emc_dump_waiter: !Task - <<: *dump_waiter_task - Disable: !calc metasched.type != 'ecflow' - realtime_logic: !FirstTrue - - when: !calc doc.settings.realtime - do: "edit ECF_TRIES 72" - - otherwise: "" - #Time: !FirstTrue - # - when: !calc doc.settings.four_cycle_mode - # do: !timedelta +2:47:00 - # - otherwise: null - ecflow_def: !expand | - {realtime_logic} - edit DUMPDIR '{doc.places.DMPDIR}' - Trigger: !Depend up.gdas.forecast.at('-6:00:00') - dump: !Family Trigger: !Depend up.gdas.forecast.at('-6:00:00') Complete: !Depend ~ suite.has_cycle('-6:00:00') @@ -362,7 +175,7 @@ suite: !Cycle jtwc_bull_email: !DataEvent {file="/dev/null"} resources: !calc partition.resources.run_one_hour_exclusive Time: !FirstTrue - - when: !calc doc.settings.four_cycle_mode + - when: !calc doc.settings.realtime do: !timedelta +2:41:00 - otherwise: null @@ -372,17 +185,17 @@ suite: !Cycle release_sfcprep: !DataEvent {file="/dev/null"} resources: !calc partition.resources.run_one_hour_exclusive Time: !FirstTrue - - when: !calc doc.settings.four_cycle_mode + - when: !calc doc.settings.realtime do: !timedelta +2:47:00 - otherwise: null prep: !Family - Trigger: !Depend ( ( jgfs_emc_dump_waiter.updated_status | dump.jgfs_dump.release_sfcprep ) & up.gdas.forecast.at('-6:00:00') ) + Trigger: !Depend ( ( dump.jgfs_dump.release_sfcprep ) & up.gdas.forecast.at('-6:00:00') ) Complete: !Depend ~ suite.has_cycle('-6:00:00') jgfs_emcsfc_sfc_prep: !Task # Disable: !calc not doc.data_assimilation.DO_EMCSFC <<: *exclusive_task_template - Trigger: !Depend 'up.dump.jgfs_dump.release_sfcprep | up.jgfs_emc_dump_waiter.updated_status' + Trigger: !Depend ( up.dump.jgfs_dump.release_sfcprep ) resources: !calc partition.resources.run_sfc_prep J_JOB: JGLOBAL_EMCSFC_SFC_PREP ecf_environment_settings: !expand | @@ -414,7 +227,7 @@ suite: !Cycle jgfs_analysis: !Task <<: *exclusive_task_template - Trigger: !Depend ( prep.jgfs_prep & prep.jgfs_emcsfc_sfc_prep & up.gdas.enkf.post.at('-6:00:00') ) + Trigger: !Depend ( prep.jgfs_prep ) resources: !calc partition.resources.run_anal J_JOB: JGLOBAL_ANALYSIS Complete: !Depend ~ suite.has_cycle('-6:00:00') @@ -431,13 +244,13 @@ suite: !Cycle post: !TaskArray RUN: !calc up.RUN Dimensions: - fhr: !calc doc.gfs_output_settings.gfs_forecast_hours + fhr: !calc doc.settings.output_hours jgfs_post_manager_el: !TaskElement <<: *exclusive_task_template RUN: !calc up.RUN Disable: !calc metasched.type == 'rocoto' Trigger: !FirstTrue - - when: !calc not doc.settings.dev_safeguards + - when: !calc not doc.settings.realtime take: !Depend "up.forecast.is_running()" - otherwise: !Depend "up.forecast.is_running() | up.forecast" Foreach: [ ] @@ -448,7 +261,7 @@ suite: !Cycle file: !expand >- {metasched.varref("COM")}/gfs.{metasched.datestring("%Y%m%d/%H/")}gfs.t{metasched.datestring("%H")}z.logf000.nemsio release_post_fhr: !DataEventElement - Name: !expand "release_post{dimval.fhr:03d}" + Name: !expand "release_post" Foreach: [ fhr ] file: !expand >- {metasched.varref("COM")}/gfs.{metasched.datestring("%Y%m%d/%H/")}gfs.t{metasched.datestring("%H")}z.logf{dimval.fhr:03d}.nemsio @@ -460,7 +273,7 @@ suite: !Cycle FHR: anl Name: jgfs_post_anl Trigger: !FirstTrue - - when: !calc doc.settings.dev_safeguards + - when: !calc doc.settings.realtime take: !Depend jgfs_post_manager.release_postanl - otherwise: !Depend jgfs_post_manager.release_postanl | up.forecast @@ -479,13 +292,10 @@ suite: !Cycle <<: *exclusive_task_template Foreach: [ fhr ] resources: !calc partition.resources.run_gfspost - Name: !expand jgfs_post_f{dimval.fhr:03d} - FHR: !expand "{dimval.fhr:03d}" + Name: !expand jgfs_post_el + FHR: "arbitary" J_JOB: JGLOBAL_NCEPPOST - Trigger: !FirstTrue - - when: !calc not doc.settings.dev_safeguards - take: !Depend jgfs_post_manager.depend("release_post{F:03d}",F=[dimval.fhr]) - - otherwise: !Depend jgfs_post_manager.depend("release_post{F:03d}",F=[dimval.fhr]) | up.forecast + Trigger: !Depend up.forecast ecflow_def: !expand "edit FHR '{FHR}'" ecflow_command: !expand | export post_times=%FHR% FHRLST=%FHR% FHRGRP=%FHR% @@ -494,270 +304,14 @@ suite: !Cycle {rocoto_load_modules} ; /usr/bin/env post_times={FHR} FHRLST={FHR} FHRGRP={FHR} &HOMEgfs;/jobs/{J_JOB} - jgfs_pgrb2_spec_post: !FirstTrue - - when: !calc max(doc.gfs_output_settings.gfs_forecast_hours)>=384 - do: !Task - <<: *exclusive_task_template - Dummy: true ## <-- Node is not implemented yet - Trigger: !Depend ( jgfs_post_f336 & jgfs_post_f348 & jgfs_post_f360 & jgfs_post_f372 & jgfs_post_f384 ) - resources: !calc partition.resources.run_gfspost - - otherwise: null #endfamily post - post_processing: !Family - Disable: !calc not doc.downstream.DO_POST_PROCESSING - fax: !Family - Disable: !calc not doc.downstream.DO_FAX - jgfs_fax_f000: !Task - <<: *fax_task_template - FCSTHR: '00' - Trigger: !Depend ( up.up.post.jgfs_post_f000 & up.up.post.jgfs_post_anl ) - - jgfs_fax_anl: !Task - <<: *fax_task_template - FCSTHR: 'anl' - Trigger: !Depend up.up.post.jgfs_post_anl - - jgfs_fax_wafs_f012: !Task - <<: *fax_wafs_task_template - FCSTHR: '12' - fhr_list: '06 12' - Trigger: !Depend up.up.post.jgfs_post_f012 - - jgfs_fax_wafs_f024: !Task - <<: *fax_wafs_task_template - FCSTHR: '24' - fhr_list: '18 24' - Trigger: !Depend up.up.post.jgfs_post_f024 - - jgfs_fax_wafs_f036: !Task - <<: *fax_wafs_task_template - FCSTHR: '36' - fhr_list: '30 36' - Trigger: !Depend up.up.post.jgfs_post_f036 - - grib_wafs: !TaskArray - Disable: !calc not doc.downstream.DO_WAFS - Dimensions: - fhr: !calc tools.seq(0,doc.gfs_output_settings.wafs_last_hour,6) - jgfs_wafs: !TaskElement - <<: *exclusive_task_template - Name: !expand 'jgfs_wafs_f{dimval.fhr:03d}' - resources: !ref partition.resources.run_one_node_downstream - Trigger: !FirstTrue - - when: !calc dimval.fhr == 0 - do: !Depend ( up.up.post.jgfs_post_f000 & up.up.post.jgfs_post_f120 & up.grib2_wafs.jgfs_wafs_grib2 ) - - otherwise: !Depend >- - up.up.post.depend("jgfs_post_f{N:03d}",N=[ dimval.fhr ]) - & up.grib_wafs.depend("jgfs_wafs_f{N:03d}",N=[ dimval.fhr-6 ]) - ecflow_command: !expand | - export fcsthrs=%FCSTHR% - $HOMEgfs/jobs/{J_JOB} - FCSTHR: !expand '{dimval.fhr:02d}' - J_JOB: JGFS_WAFS - more_vars: { fcsthrs: FCSTHR } - config_list: [ base, wafs ] - - bufr_sounding: !Family - Disable: !calc not doc.downstream.DO_BUFRSND - jgfs_postsnd: !Task - <<: *exclusive_task_template - Trigger: !Depend up.up.post.jgfs_post_f144 - resources: !calc partition.resources.run_postsnd - - bulletins: !Family - Disable: !calc not doc.downstream.DO_BULLETINS - jgfs_fbwind: !Task - <<: *exclusive_task_template - Trigger: !Depend ( up.up.post.jgfs_post_f006 & up.up.post.jgfs_post_f012 & up.up.post.jgfs_post_f024 ) - resources: !calc partition.resources.run_small_downstream - - grib2_wafs: !Family - Disable: !calc not doc.downstream.DO_WAFS - jgfs_wafs_grib2: !Task - <<: *exclusive_task_template - Trigger: !Depend up.up.post.jgfs_post_f000 - resources: !calc partition.resources.run_one_node_downstream - config_list: [ base, wafs ] - - jgfs_wafs_blending: !Task - <<: *exclusive_task_template - Trigger: !Depend jgfs_wafs_grib2 - Time: !FirstTrue - - when: !calc not doc.settings.dev_safeguards - do: !timedelta +4:33:00 - - otherwise: null - resources: !calc partition.resources.run_one_node_downstream - config_list: [ base, wafs ] - - jgfs_wafs_gcip: !Task - <<: *exclusive_task_template - Trigger: !Depend up.up.post.jgfs_post_f003 - resources: !calc partition.resources.run_one_node_downstream - config_list: [ base, wafs_gcip ] - J_JOB: JGFS_WAFS_GCIP - - awips_20km_1p0: !TaskArray - Disable: !calc not doc.downstream.DO_AWIPS - Dimensions: - fhr: !calc " sorted(list(set(doc.gfs_output_settings.gfs_forecast_hours)&set(doc.gfs_output_settings.awips_20km_1p0_hours))) " - # tasks every 6 hours till f240 - jgfs_awips: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - Name: !expand "jgfs_awips_f{dimval.fhr:03d}" - ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround - Trigger: !Depend up.up.post.depend('jgfs_post_f{N:03d}',N=dimval.fhr) - resources: !calc partition.resources.run_awips_20km_1p0 - TRDRUN: !calc ('YES' if (dimval.fhr==0 or dimval.fhr%6!=0 or dimval.fhr>=84) else 'NO') - J_JOB: JGFS_AWIPS_20KM_1P0DEG - FHR: !expand '{dimval.fhr:03d}' - more_vars: { fcsthrs: FHR } - - awips_g2: !TaskArray - Disable: !calc not doc.downstream.DO_AWIPS - Dimensions: - fhr: !calc " sorted(list(set(doc.gfs_output_settings.gfs_forecast_hours)&set(doc.gfs_output_settings.awips_g2_hours))) " - jgfs_awips: !TaskElement - <<: *exclusive_task_template - Foreach: [ fhr ] - Name: !expand "jgfs_awips_g2_f{dimval.fhr:03d}" - Trigger: !Depend up.up.post.depend('jgfs_post_f{N:03d}',N=dimval.fhr) - resources: !calc partition.resources.run_awips_g2 - FHR: !expand '{dimval.fhr:03d}' - J_JOB: JGFS_AWIPS_G2 - more_vars: { fcsthrs: FHR } - #endfamily post_processing - - gempak: !Family - Disable: !calc not doc.downstream.DO_GEMPAK - jgfs_gempak: !Task - <<: *exclusive_task_template - resources: !calc partition.resources.run_gfs_gempak - Trigger: !Depend up.jgfs_analysis - - jgfs_gempak_meta: !Task - <<: *exclusive_task_template - Dummy: True # job is broken - Trigger: !Depend up.jgfs_analysis - resources: !calc partition.resources.run_gfs_gempak - - jgfs_pgrb2_spec_npoess: !Task - <<: *exclusive_task_template - Trigger: !Depend 'up.post.jgfs_post_anl.is_running() | up.post.jgfs_post_anl' - resources: !calc partition.resources.run_npoess - - jgfs_pgrb2_spec_gempak: !Task - <<: *exclusive_task_template - Trigger: !Depend jgfs_pgrb2_spec_npoess - resources: !calc partition.resources.run_big_downstream - - jgfs_gempak_ncdc_upapgif: !Task # NOTE: twelve-hourly - <<: *exclusive_task_template - Dummy: True # job is broken - Trigger: !Depend 'jgfs_gempak.is_running() | jgfs_gempak' - resources: !calc partition.resources.run_one_node_downstream - - jgfs_emc_vrfy: !Task - <<: *exclusive_task_template - Trigger: !Depend post - Disable: !calc not doc.settings.run_vrfy_jobs - resources: !calc partition.resources.run_gfsvrfy - J_JOB: rocoto/vrfy.sh - ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround - ecf_module_commands: "# vrfy.sh will load modules instead" - - #endfamily gempak - #endfamily gfs - - ###################################################################### - ## ARCHIVE FAMILY #################################################### - ###################################################################### - - archive: !Family - Disable: !calc not doc.archiving.archive_to_hpss - ecflow_def: - edit ECF_TRIES '3' - jgdas_archive: !Task - <<: *service_task_template - AlarmName: gdas - Trigger: !Depend up.gdas - #Trigger: !Depend up.gdas.jgdas_emc_vrfy - resources: !calc partition.resources.run_arch - Disable: !calc not doc.archiving.archive_to_hpss - ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround - J_JOB: rocoto/arch.sh - ecf_module_commands: "# arch.sh will load modules instead" - RUN: gdas - ecflow_def: !FirstTrue - - when: !calc not doc.settings.four_cycle_mode - take: "edit RUN 'gdas'" - - otherwise: | - time +00:10 - edit RUN 'gdas' - - jgdas_enkf_archive: !TaskArray - ecflow_def: "edit RUN 'gdas'" - AlarmName: gdas - Trigger: !Depend up.gdas.enkf.post - Dimensions: - groupid: !calc tools.seq(0,doc.data_assimilation.ENKF_ARCHIVE_GROUPS,1) - grp: !TaskElement - <<: *service_task_template - resources: !calc partition.resources.run_arch - ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround - J_JOB: rocoto/earc.sh - ecf_module_commands: "# arch.sh will load modules instead" - Foreach: [ groupid ] - Name: !expand "jgdas_enkf_archive_grp{dimval.groupid:02d}" - RUN: gdas - ENSGRP: !expand '{dimval.groupid:02d}' - more_vars: { ENSGRP: ENSGRP } - ecflow_def: !FirstTrue - - when: !calc doc.settings.four_cycle_mode - take: !expand "edit ENSGRP '{ENSGRP}'" - - otherwise: !expand | - time +00:10 - edit ENSGRP '{ENSGRP}' - - jgfs_archive: !Task - <<: *service_task_template - Disable: !calc doc.settings.gfs_cyc == 0 - Complete: !Depend ~ suite.has_cycle('-6:00:00') - AlarmName: gfs - Trigger: !Depend up.gfs - resources: !calc partition.resources.run_arch - ecf_environment_settings: !calc doc.settings.ecflow_rocoto_cdate_workaround - J_JOB: rocoto/arch.sh - ecf_module_commands: "# arch.sh will load modules instead" - RUN: gfs - ecflow_def: !FirstTrue - - when: !calc doc.settings.four_cycle_mode - take: "edit RUN 'gfs'" - - otherwise: | - time +00:10 - edit RUN 'gfs' - ###################################################################### ## CYCLE COMPLETION TASKS ############################################ ###################################################################### cycle_end: *cycle_end_task - make_next_cycles: !Task - <<: *make_next_cycles_task - Disable: !calc ( not metasched.type=="ecflow" or doc.settings.four_cycle_mode ) - Trigger: !Depend gdas - ecflow_def: !expand | - # These variables are used by the make_next_cycles job to generate - # suites for later cycles once earlier cycles have finished. - edit WORKFLOW_FIRST_CYCLE '{tools.strftime(suite.Clock.start,"%Y%m%d%H")}' - edit WORKFLOW_LAST_CYCLE '{tools.strftime(suite.Clock.end,"%Y%m%d%H")}' - edit WORKFLOW_CYCLES_TO_GENERATE '5' - edit WORKFLOW_CROW_HOME '{doc.static_locations.initial_directory}' - edit WORKFLOW_EXPDIR '{doc.places.EXPDIR}' - edit RUN 'gdas' # useless but required - final: !Task <<: *service_task_template Disable: !calc not metasched.type=="rocoto" From 19366c2d6ccfc81f00e0c74037d5351ceaaf9bd4 Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Mon, 24 Jun 2019 19:40:40 +0000 Subject: [PATCH 477/487] clean up --- rocoto-test-file.yaml => examples/rocoto-test-file.yaml | 0 feedback.py => utils/feedback.py | 0 to_sh.py => utils/to_sh.py | 0 3 files changed, 0 insertions(+), 0 deletions(-) rename rocoto-test-file.yaml => examples/rocoto-test-file.yaml (100%) rename feedback.py => utils/feedback.py (100%) rename to_sh.py => utils/to_sh.py (100%) diff --git a/rocoto-test-file.yaml b/examples/rocoto-test-file.yaml similarity index 100% rename from rocoto-test-file.yaml rename to examples/rocoto-test-file.yaml diff --git a/feedback.py b/utils/feedback.py similarity index 100% rename from feedback.py rename to utils/feedback.py diff --git a/to_sh.py b/utils/to_sh.py similarity index 100% rename from to_sh.py rename to utils/to_sh.py From 785d374e5f0997e16c3155dec5870d4fe71ec5e5 Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Fri, 28 Jun 2019 22:02:57 +0000 Subject: [PATCH 478/487] SLURM schedular file has a minor issue resulting in single digit of hours. Fixed --- crow/sysenv/schedulers/Slurm.py | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/crow/sysenv/schedulers/Slurm.py b/crow/sysenv/schedulers/Slurm.py index d8415cb..a8d4b70 100644 --- a/crow/sysenv/schedulers/Slurm.py +++ b/crow/sysenv/schedulers/Slurm.py @@ -83,7 +83,7 @@ def batch_resources(self,*args,**kwargs): hours=int(dt//3600) minutes=int((dt%3600)//60) seconds=int(math.floor(dt%60)) - sio.write(f'#SBATCH -t {hours:d}:{minutes:02d}' + sio.write(f'#SBATCH -t {hours:02d}:{minutes:02d}' f':{seconds:02d}\n') megabytes=self.get_memory_from_resource_spec(spec) @@ -172,7 +172,7 @@ def rocoto_resources(self,*args,indent=0,**kwargs): hours=int(dt//3600) minutes=int((dt%3600)//60) seconds=int(math.floor(dt%60)) - sio.write(f'{indent*space}{hours}:{minutes:02d}:{seconds:02d}\n') + sio.write(f'{indent*space}{hours:02d}:{minutes:02d}:{seconds:02d}\n') megabytes=self.get_memory_from_resource_spec(spec) if megabytes is not None: From a6e2551e55c0051a937df7643ce5450bfe5b68d7 Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Tue, 9 Jul 2019 14:56:38 +0000 Subject: [PATCH 479/487] change for rocoto file generation in slurm system --- crow/sysenv/schedulers/Slurm.py | 7 +++---- 1 file changed, 3 insertions(+), 4 deletions(-) diff --git a/crow/sysenv/schedulers/Slurm.py b/crow/sysenv/schedulers/Slurm.py index a8d4b70..5ca4f6e 100644 --- a/crow/sysenv/schedulers/Slurm.py +++ b/crow/sysenv/schedulers/Slurm.py @@ -134,14 +134,13 @@ def rocoto_accounting(self,*args,indent=0,**kwargs): space=self.indent_text sio=StringIO() if 'queue' in spec: - sio.write(f'{indent*space}{spec["queue"]!s}\n') + sio.write(f'{indent*space}{"batch"}\n') + if 'partition' in spec: + sio.write(f'{indent*space}{spec["queue"]!s}\n') if 'account' in spec: sio.write(f'{indent*space}{spec["account"]!s}\n') if 'project' in spec: sio.write(f'{indent*space}{spec["project"]!s}\n') - if 'partition' in spec and spec['partition']: - sio.write(f'{indent*space}-l partition=' - f'{spec["partition"]!s}\n') if 'account' in spec: sio.write(f'{indent*space}{spec["account"]!s}\n') if 'jobname' in spec: From acaacffb676c37544b331139fcc44bcf680c7be4 Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Tue, 9 Jul 2019 19:56:55 +0000 Subject: [PATCH 480/487] upgrade for jet support --- crow/sysenv/schedulers/Slurm.py | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/crow/sysenv/schedulers/Slurm.py b/crow/sysenv/schedulers/Slurm.py index 5ca4f6e..856c8a7 100644 --- a/crow/sysenv/schedulers/Slurm.py +++ b/crow/sysenv/schedulers/Slurm.py @@ -136,7 +136,7 @@ def rocoto_accounting(self,*args,indent=0,**kwargs): if 'queue' in spec: sio.write(f'{indent*space}{"batch"}\n') if 'partition' in spec: - sio.write(f'{indent*space}{spec["queue"]!s}\n') + sio.write(f'{indent*space}{spec["partition"]!s}\n') if 'account' in spec: sio.write(f'{indent*space}{spec["account"]!s}\n') if 'project' in spec: From 172a5efba034b912ed6b17d5a50ef7a99ba14511 Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Tue, 16 Jul 2019 11:32:11 -0400 Subject: [PATCH 481/487] manual mode --- eclipse_main.py | 4 ++-- worktools.py | 12 ++++++++++-- 2 files changed, 12 insertions(+), 4 deletions(-) diff --git a/eclipse_main.py b/eclipse_main.py index d54b35a..7e63104 100644 --- a/eclipse_main.py +++ b/eclipse_main.py @@ -6,7 +6,7 @@ import sys,os; -sys.path.append(os.getcwd() + "/CROW") +sys.path.append(os.getcwd() + "/../") import worktools; @@ -14,5 +14,5 @@ # print("Hello world CROW!") option1 = '-sf' casename = 'tutorial_case' - username = 'casetest1' + username = 'datest' worktools.setup_case([option1,casename,username]) diff --git a/worktools.py b/worktools.py index df6bb16..4ccdcb5 100644 --- a/worktools.py +++ b/worktools.py @@ -645,15 +645,23 @@ def setup_case_usage(why=None): exit(1) def setup_case(command_line_arguments): - options,positionals=getopt(command_line_arguments,'sdvfcp:DF') + options,positionals=getopt(command_line_arguments,'sdvfcpm:DF') options=dict(options) YAML_DIRS_TO_COPY={ '../schema':'schema', '../defaults':'defaults', '../config':'config', '../runtime':'runtime' } # important: no ending / - YAML_FILES_TO_COPY={ '../_expdir_main.yaml': '_main.yaml', + + if '-m' in options: + logger.warning('Using manual mode \n ') + YAML_FILES_TO_COPY={ '../_expdir_main_manual.yaml': '_main.yaml', + '../user.yaml': 'user.yaml' } + else: + YAML_FILES_TO_COPY={ '../_expdir_main_auto.yaml': '_main.yaml', '../user.yaml': 'user.yaml' } + + init_logging('-v' in options,'-d' in options or '-D' in options) From 11e0b69293395da3612628c07b52dfff6f1936d0 Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Tue, 16 Jul 2019 21:57:44 -0400 Subject: [PATCH 482/487] merge vlab and github CROW --- crow/config/readme.txt | 1 + crow/dataflow/readme.txt | 1 + crow/metascheduler/readme.txt | 1 + crow/readme.txt | 1 + crow/sysenv/parallelism/readme.txt | 1 + crow/sysenv/readme.txt | 1 + crow/sysenv/schedulers/readme.txt | 1 + docs/notes/readme.txt | 1 + docs/readme.txt | 1 + ecflow_main.py | 4 ++-- eclipse_main.py | 6 +++--- examples/ecflow/readme.txt | 1 + examples/readme.txt | 1 + rocoto_main.py | 2 +- 14 files changed, 17 insertions(+), 6 deletions(-) create mode 100644 crow/config/readme.txt create mode 100644 crow/dataflow/readme.txt create mode 100644 crow/metascheduler/readme.txt create mode 100644 crow/readme.txt create mode 100644 crow/sysenv/parallelism/readme.txt create mode 100644 crow/sysenv/readme.txt create mode 100644 crow/sysenv/schedulers/readme.txt create mode 100644 docs/notes/readme.txt create mode 100644 docs/readme.txt create mode 100644 examples/ecflow/readme.txt create mode 100644 examples/readme.txt diff --git a/crow/config/readme.txt b/crow/config/readme.txt new file mode 100644 index 0000000..04204c7 --- /dev/null +++ b/crow/config/readme.txt @@ -0,0 +1 @@ +config diff --git a/crow/dataflow/readme.txt b/crow/dataflow/readme.txt new file mode 100644 index 0000000..84605f1 --- /dev/null +++ b/crow/dataflow/readme.txt @@ -0,0 +1 @@ +dataflow system prototypes. Currently not in use diff --git a/crow/metascheduler/readme.txt b/crow/metascheduler/readme.txt new file mode 100644 index 0000000..d9802b5 --- /dev/null +++ b/crow/metascheduler/readme.txt @@ -0,0 +1 @@ +Connection to Rocoto and more. diff --git a/crow/readme.txt b/crow/readme.txt new file mode 100644 index 0000000..e2e382f --- /dev/null +++ b/crow/readme.txt @@ -0,0 +1 @@ +crow.config diff --git a/crow/sysenv/parallelism/readme.txt b/crow/sysenv/parallelism/readme.txt new file mode 100644 index 0000000..cd91fe5 --- /dev/null +++ b/crow/sysenv/parallelism/readme.txt @@ -0,0 +1 @@ +generate "mpi" launcher command. Largely unused as of now. diff --git a/crow/sysenv/readme.txt b/crow/sysenv/readme.txt new file mode 100644 index 0000000..b258832 --- /dev/null +++ b/crow/sysenv/readme.txt @@ -0,0 +1 @@ +All system variables for a job. diff --git a/crow/sysenv/schedulers/readme.txt b/crow/sysenv/schedulers/readme.txt new file mode 100644 index 0000000..def5478 --- /dev/null +++ b/crow/sysenv/schedulers/readme.txt @@ -0,0 +1 @@ +Batch card generation using LSF, Slurm, MOAB... diff --git a/docs/notes/readme.txt b/docs/notes/readme.txt new file mode 100644 index 0000000..476ff46 --- /dev/null +++ b/docs/notes/readme.txt @@ -0,0 +1 @@ +early develop scratches diff --git a/docs/readme.txt b/docs/readme.txt new file mode 100644 index 0000000..5259c8f --- /dev/null +++ b/docs/readme.txt @@ -0,0 +1 @@ +some early develop scratches diff --git a/ecflow_main.py b/ecflow_main.py index 35f493f..934138f 100644 --- a/ecflow_main.py +++ b/ecflow_main.py @@ -20,8 +20,8 @@ import worktools; # Edit your writting directory and comment out the following line: -output = '/Users/jiankuang/Documents/Eclipse_workspace//expdir/test' +output = '/Users/jiankuang/Documents/expdir/expdir/test3' if __name__ == '__main__': print(os.getcwd()) - worktools.make_ecflow_files_for_cycles(output,'2018112800','2018112900') + worktools.make_ecflow_files_for_cycles(output,'2019050100','2019050200') diff --git a/eclipse_main.py b/eclipse_main.py index 7e63104..b2569a6 100644 --- a/eclipse_main.py +++ b/eclipse_main.py @@ -12,7 +12,7 @@ if __name__ == '__main__': # print("Hello world CROW!") - option1 = '-sf' - casename = 'tutorial_case' - username = 'datest' + option1 = '-sfD' + casename = 'tutorial_cycled' + username = 'test3' worktools.setup_case([option1,casename,username]) diff --git a/examples/ecflow/readme.txt b/examples/ecflow/readme.txt new file mode 100644 index 0000000..0fc6d1d --- /dev/null +++ b/examples/ecflow/readme.txt @@ -0,0 +1 @@ +ecflow suite example diff --git a/examples/readme.txt b/examples/readme.txt new file mode 100644 index 0000000..4cc7511 --- /dev/null +++ b/examples/readme.txt @@ -0,0 +1 @@ +Examples of workflow generation. Some belongs to unit tests. diff --git a/rocoto_main.py b/rocoto_main.py index 9e25e7e..ac4883d 100644 --- a/rocoto_main.py +++ b/rocoto_main.py @@ -10,4 +10,4 @@ import worktools ; if __name__ == '__main__': - worktools.make_rocoto_xml_for('/export/emc-lw-jkuang/jkuang//expdir/test1') + worktools.make_rocoto_xml_for('/Users/jiankuang/Documents/expdir/expdir/test3') From 728078bd8a7ac25e4d72f4a9ca447cd40b0195c9 Mon Sep 17 00:00:00 2001 From: "Jian.Kuang" Date: Tue, 16 Jul 2019 23:19:43 -0400 Subject: [PATCH 483/487] doxygen for CROW phase 1 --- docs/Doxyfile | 2493 ++++++++++++++++++++++++++++++++++++ docs/aprun-examples.txt | 85 -- docs/mechanics.md | 184 +++ docs/{ => notes}/README | 0 docs/{ => notes}/TODO.txt | 0 docs/{ => notes}/hippie.i | 0 docs/overview.md | 37 + docs/quick_end_to_end.md | 47 + docs/readme.txt | 1 - docs/terminology.md | 30 + docs/terry-explanation.txt | 71 - 11 files changed, 2791 insertions(+), 157 deletions(-) create mode 100644 docs/Doxyfile delete mode 100644 docs/aprun-examples.txt create mode 100644 docs/mechanics.md rename docs/{ => notes}/README (100%) rename docs/{ => notes}/TODO.txt (100%) rename docs/{ => notes}/hippie.i (100%) create mode 100644 docs/overview.md create mode 100644 docs/quick_end_to_end.md delete mode 100644 docs/readme.txt create mode 100644 docs/terminology.md delete mode 100644 docs/terry-explanation.txt diff --git a/docs/Doxyfile b/docs/Doxyfile new file mode 100644 index 0000000..93e2ca2 --- /dev/null +++ b/docs/Doxyfile @@ -0,0 +1,2493 @@ +# Doxyfile 1.8.15 + +# This file describes the settings to be used by the documentation system +# doxygen (www.doxygen.org) for a project. +# +# All text after a double hash (##) is considered a comment and is placed in +# front of the TAG it is preceding. +# +# All text after a single hash (#) is considered a comment and will be ignored. +# The format is: +# TAG = value [value, ...] +# For lists, items can also be appended using: +# TAG += value [value, ...] +# Values that contain spaces should be placed between quotes (\" \"). + +#--------------------------------------------------------------------------- +# Project related configuration options +#--------------------------------------------------------------------------- + +# This tag specifies the encoding used for all characters in the configuration +# file that follow. The default is UTF-8 which is also the encoding used for all +# text before the first occurrence of this tag. Doxygen uses libiconv (or the +# iconv built into libc) for the transcoding. See +# https://www.gnu.org/software/libiconv/ for the list of possible encodings. +# The default value is: UTF-8. + +DOXYFILE_ENCODING = UTF-8 + +# The PROJECT_NAME tag is a single word (or a sequence of words surrounded by +# double-quotes, unless you are using Doxywizard) that should identify the +# project for which the documentation is generated. This name is used in the +# title of most generated pages and in a few other places. +# The default value is: My Project. + +PROJECT_NAME = "CROW" + +# The PROJECT_NUMBER tag can be used to enter a project or revision number. This +# could be handy for archiving the generated documentation or if some version +# control system is used. + +PROJECT_NUMBER = 0.1 + +# Using the PROJECT_BRIEF tag one can provide an optional one line description +# for a project that appears at the top of each page and should give viewer a +# quick idea about the purpose of the project. Keep the description short. + +PROJECT_BRIEF = 'CROW implementation for Global-Workflow' + +# With the PROJECT_LOGO tag one can specify a logo or an icon that is included +# in the documentation. The maximum height of the logo should not exceed 55 +# pixels and the maximum width should not exceed 200 pixels. Doxygen will copy +# the logo to the output directory. + +PROJECT_LOGO = + +# The OUTPUT_DIRECTORY tag is used to specify the (relative or absolute) path +# into which the generated documentation will be written. If a relative path is +# entered, it will be relative to the location where doxygen was started. If +# left blank the current directory will be used. + +OUTPUT_DIRECTORY = + +# If the CREATE_SUBDIRS tag is set to YES then doxygen will create 4096 sub- +# directories (in 2 levels) under the output directory of each output format and +# will distribute the generated files over these directories. Enabling this +# option can be useful when feeding doxygen a huge amount of source files, where +# putting all generated files in the same directory would otherwise causes +# performance problems for the file system. +# The default value is: NO. + +CREATE_SUBDIRS = NO + +# If the ALLOW_UNICODE_NAMES tag is set to YES, doxygen will allow non-ASCII +# characters to appear in the names of generated files. If set to NO, non-ASCII +# characters will be escaped, for example _xE3_x81_x84 will be used for Unicode +# U+3044. +# The default value is: NO. + +ALLOW_UNICODE_NAMES = NO + +# The OUTPUT_LANGUAGE tag is used to specify the language in which all +# documentation generated by doxygen is written. Doxygen will use this +# information to generate all constant output in the proper language. +# Possible values are: Afrikaans, Arabic, Armenian, Brazilian, Catalan, Chinese, +# Chinese-Traditional, Croatian, Czech, Danish, Dutch, English (United States), +# Esperanto, Farsi (Persian), Finnish, French, German, Greek, Hungarian, +# Indonesian, Italian, Japanese, Japanese-en (Japanese with English messages), +# Korean, Korean-en (Korean with English messages), Latvian, Lithuanian, +# Macedonian, Norwegian, Persian (Farsi), Polish, Portuguese, Romanian, Russian, +# Serbian, Serbian-Cyrillic, Slovak, Slovene, Spanish, Swedish, Turkish, +# Ukrainian and Vietnamese. +# The default value is: English. + +OUTPUT_LANGUAGE = English + +# The OUTPUT_TEXT_DIRECTION tag is used to specify the direction in which all +# documentation generated by doxygen is written. Doxygen will use this +# information to generate all generated output in the proper direction. +# Possible values are: None, LTR, RTL and Context. +# The default value is: None. + +OUTPUT_TEXT_DIRECTION = None + +# If the BRIEF_MEMBER_DESC tag is set to YES, doxygen will include brief member +# descriptions after the members that are listed in the file and class +# documentation (similar to Javadoc). Set to NO to disable this. +# The default value is: YES. + +BRIEF_MEMBER_DESC = YES + +# If the REPEAT_BRIEF tag is set to YES, doxygen will prepend the brief +# description of a member or function before the detailed description +# +# Note: If both HIDE_UNDOC_MEMBERS and BRIEF_MEMBER_DESC are set to NO, the +# brief descriptions will be completely suppressed. +# The default value is: YES. + +REPEAT_BRIEF = YES + +# This tag implements a quasi-intelligent brief description abbreviator that is +# used to form the text in various listings. Each string in this list, if found +# as the leading text of the brief description, will be stripped from the text +# and the result, after processing the whole list, is used as the annotated +# text. Otherwise, the brief description is used as-is. If left blank, the +# following values are used ($name is automatically replaced with the name of +# the entity):The $name class, The $name widget, The $name file, is, provides, +# specifies, contains, represents, a, an and the. + +ABBREVIATE_BRIEF = "The $name class" \ + "The $name widget" \ + "The $name file" \ + is \ + provides \ + specifies \ + contains \ + represents \ + a \ + an \ + the + +# If the ALWAYS_DETAILED_SEC and REPEAT_BRIEF tags are both set to YES then +# doxygen will generate a detailed section even if there is only a brief +# description. +# The default value is: NO. + +ALWAYS_DETAILED_SEC = NO + +# If the INLINE_INHERITED_MEMB tag is set to YES, doxygen will show all +# inherited members of a class in the documentation of that class as if those +# members were ordinary class members. Constructors, destructors and assignment +# operators of the base classes will not be shown. +# The default value is: NO. + +INLINE_INHERITED_MEMB = NO + +# If the FULL_PATH_NAMES tag is set to YES, doxygen will prepend the full path +# before files name in the file list and in the header files. If set to NO the +# shortest path that makes the file name unique will be used +# The default value is: YES. + +FULL_PATH_NAMES = YES + +# The STRIP_FROM_PATH tag can be used to strip a user-defined part of the path. +# Stripping is only done if one of the specified strings matches the left-hand +# part of the path. The tag can be used to show relative paths in the file list. +# If left blank the directory from which doxygen is run is used as the path to +# strip. +# +# Note that you can specify absolute paths here, but also relative paths, which +# will be relative from the directory where doxygen is started. +# This tag requires that the tag FULL_PATH_NAMES is set to YES. + +STRIP_FROM_PATH = + +# The STRIP_FROM_INC_PATH tag can be used to strip a user-defined part of the +# path mentioned in the documentation of a class, which tells the reader which +# header file to include in order to use a class. If left blank only the name of +# the header file containing the class definition is used. Otherwise one should +# specify the list of include paths that are normally passed to the compiler +# using the -I flag. + +STRIP_FROM_INC_PATH = + +# If the SHORT_NAMES tag is set to YES, doxygen will generate much shorter (but +# less readable) file names. This can be useful is your file systems doesn't +# support long names like on DOS, Mac, or CD-ROM. +# The default value is: NO. + +SHORT_NAMES = NO + +# If the JAVADOC_AUTOBRIEF tag is set to YES then doxygen will interpret the +# first line (until the first dot) of a Javadoc-style comment as the brief +# description. If set to NO, the Javadoc-style will behave just like regular Qt- +# style comments (thus requiring an explicit @brief command for a brief +# description.) +# The default value is: NO. + +JAVADOC_AUTOBRIEF = NO + +# If the QT_AUTOBRIEF tag is set to YES then doxygen will interpret the first +# line (until the first dot) of a Qt-style comment as the brief description. If +# set to NO, the Qt-style will behave just like regular Qt-style comments (thus +# requiring an explicit \brief command for a brief description.) +# The default value is: NO. + +QT_AUTOBRIEF = NO + +# The MULTILINE_CPP_IS_BRIEF tag can be set to YES to make doxygen treat a +# multi-line C++ special comment block (i.e. a block of //! or /// comments) as +# a brief description. This used to be the default behavior. The new default is +# to treat a multi-line C++ comment block as a detailed description. Set this +# tag to YES if you prefer the old behavior instead. +# +# Note that setting this tag to YES also means that rational rose comments are +# not recognized any more. +# The default value is: NO. + +MULTILINE_CPP_IS_BRIEF = NO + +# If the INHERIT_DOCS tag is set to YES then an undocumented member inherits the +# documentation from any documented member that it re-implements. +# The default value is: YES. + +INHERIT_DOCS = YES + +# If the SEPARATE_MEMBER_PAGES tag is set to YES then doxygen will produce a new +# page for each member. If set to NO, the documentation of a member will be part +# of the file/class/namespace that contains it. +# The default value is: NO. + +SEPARATE_MEMBER_PAGES = NO + +# The TAB_SIZE tag can be used to set the number of spaces in a tab. Doxygen +# uses this value to replace tabs by spaces in code fragments. +# Minimum value: 1, maximum value: 16, default value: 4. + +TAB_SIZE = 4 + +# This tag can be used to specify a number of aliases that act as commands in +# the documentation. An alias has the form: +# name=value +# For example adding +# "sideeffect=@par Side Effects:\n" +# will allow you to put the command \sideeffect (or @sideeffect) in the +# documentation, which will result in a user-defined paragraph with heading +# "Side Effects:". You can put \n's in the value part of an alias to insert +# newlines (in the resulting output). You can put ^^ in the value part of an +# alias to insert a newline as if a physical newline was in the original file. +# When you need a literal { or } or , in the value part of an alias you have to +# escape them by means of a backslash (\), this can lead to conflicts with the +# commands \{ and \} for these it is advised to use the version @{ and @} or use +# a double escape (\\{ and \\}) + +ALIASES = + +# This tag can be used to specify a number of word-keyword mappings (TCL only). +# A mapping has the form "name=value". For example adding "class=itcl::class" +# will allow you to use the command class in the itcl::class meaning. + +TCL_SUBST = + +# Set the OPTIMIZE_OUTPUT_FOR_C tag to YES if your project consists of C sources +# only. Doxygen will then generate output that is more tailored for C. For +# instance, some of the names that are used will be different. The list of all +# members will be omitted, etc. +# The default value is: NO. + +OPTIMIZE_OUTPUT_FOR_C = NO + +# Set the OPTIMIZE_OUTPUT_JAVA tag to YES if your project consists of Java or +# Python sources only. Doxygen will then generate output that is more tailored +# for that language. For instance, namespaces will be presented as packages, +# qualified scopes will look different, etc. +# The default value is: NO. + +OPTIMIZE_OUTPUT_JAVA = NO + +# Set the OPTIMIZE_FOR_FORTRAN tag to YES if your project consists of Fortran +# sources. Doxygen will then generate output that is tailored for Fortran. +# The default value is: NO. + +OPTIMIZE_FOR_FORTRAN = NO + +# Set the OPTIMIZE_OUTPUT_VHDL tag to YES if your project consists of VHDL +# sources. Doxygen will then generate output that is tailored for VHDL. +# The default value is: NO. + +OPTIMIZE_OUTPUT_VHDL = NO + +# Set the OPTIMIZE_OUTPUT_SLICE tag to YES if your project consists of Slice +# sources only. Doxygen will then generate output that is more tailored for that +# language. For instance, namespaces will be presented as modules, types will be +# separated into more groups, etc. +# The default value is: NO. + +OPTIMIZE_OUTPUT_SLICE = NO + +# Doxygen selects the parser to use depending on the extension of the files it +# parses. With this tag you can assign which parser to use for a given +# extension. Doxygen has a built-in mapping, but you can override or extend it +# using this tag. The format is ext=language, where ext is a file extension, and +# language is one of the parsers supported by doxygen: IDL, Java, Javascript, +# Csharp (C#), C, C++, D, PHP, md (Markdown), Objective-C, Python, Slice, +# Fortran (fixed format Fortran: FortranFixed, free formatted Fortran: +# FortranFree, unknown formatted Fortran: Fortran. In the later case the parser +# tries to guess whether the code is fixed or free formatted code, this is the +# default for Fortran type files), VHDL, tcl. For instance to make doxygen treat +# .inc files as Fortran files (default is PHP), and .f files as C (default is +# Fortran), use: inc=Fortran f=C. +# +# Note: For files without extension you can use no_extension as a placeholder. +# +# Note that for custom extensions you also need to set FILE_PATTERNS otherwise +# the files are not read by doxygen. + +EXTENSION_MAPPING = + +# If the MARKDOWN_SUPPORT tag is enabled then doxygen pre-processes all comments +# according to the Markdown format, which allows for more readable +# documentation. See https://daringfireball.net/projects/markdown/ for details. +# The output of markdown processing is further processed by doxygen, so you can +# mix doxygen, HTML, and XML commands with Markdown formatting. Disable only in +# case of backward compatibilities issues. +# The default value is: YES. + +MARKDOWN_SUPPORT = YES + +# When the TOC_INCLUDE_HEADINGS tag is set to a non-zero value, all headings up +# to that level are automatically included in the table of contents, even if +# they do not have an id attribute. +# Note: This feature currently applies only to Markdown headings. +# Minimum value: 0, maximum value: 99, default value: 0. +# This tag requires that the tag MARKDOWN_SUPPORT is set to YES. + +TOC_INCLUDE_HEADINGS = 0 + +# When enabled doxygen tries to link words that correspond to documented +# classes, or namespaces to their corresponding documentation. Such a link can +# be prevented in individual cases by putting a % sign in front of the word or +# globally by setting AUTOLINK_SUPPORT to NO. +# The default value is: YES. + +AUTOLINK_SUPPORT = YES + +# If you use STL classes (i.e. std::string, std::vector, etc.) but do not want +# to include (a tag file for) the STL sources as input, then you should set this +# tag to YES in order to let doxygen match functions declarations and +# definitions whose arguments contain STL classes (e.g. func(std::string); +# versus func(std::string) {}). This also make the inheritance and collaboration +# diagrams that involve STL classes more complete and accurate. +# The default value is: NO. + +BUILTIN_STL_SUPPORT = NO + +# If you use Microsoft's C++/CLI language, you should set this option to YES to +# enable parsing support. +# The default value is: NO. + +CPP_CLI_SUPPORT = NO + +# Set the SIP_SUPPORT tag to YES if your project consists of sip (see: +# https://www.riverbankcomputing.com/software/sip/intro) sources only. Doxygen +# will parse them like normal C++ but will assume all classes use public instead +# of private inheritance when no explicit protection keyword is present. +# The default value is: NO. + +SIP_SUPPORT = NO + +# For Microsoft's IDL there are propget and propput attributes to indicate +# getter and setter methods for a property. Setting this option to YES will make +# doxygen to replace the get and set methods by a property in the documentation. +# This will only work if the methods are indeed getting or setting a simple +# type. If this is not the case, or you want to show the methods anyway, you +# should set this option to NO. +# The default value is: YES. + +IDL_PROPERTY_SUPPORT = YES + +# If member grouping is used in the documentation and the DISTRIBUTE_GROUP_DOC +# tag is set to YES then doxygen will reuse the documentation of the first +# member in the group (if any) for the other members of the group. By default +# all members of a group must be documented explicitly. +# The default value is: NO. + +DISTRIBUTE_GROUP_DOC = NO + +# If one adds a struct or class to a group and this option is enabled, then also +# any nested class or struct is added to the same group. By default this option +# is disabled and one has to add nested compounds explicitly via \ingroup. +# The default value is: NO. + +GROUP_NESTED_COMPOUNDS = NO + +# Set the SUBGROUPING tag to YES to allow class member groups of the same type +# (for instance a group of public functions) to be put as a subgroup of that +# type (e.g. under the Public Functions section). Set it to NO to prevent +# subgrouping. Alternatively, this can be done per class using the +# \nosubgrouping command. +# The default value is: YES. + +SUBGROUPING = YES + +# When the INLINE_GROUPED_CLASSES tag is set to YES, classes, structs and unions +# are shown inside the group in which they are included (e.g. using \ingroup) +# instead of on a separate page (for HTML and Man pages) or section (for LaTeX +# and RTF). +# +# Note that this feature does not work in combination with +# SEPARATE_MEMBER_PAGES. +# The default value is: NO. + +INLINE_GROUPED_CLASSES = NO + +# When the INLINE_SIMPLE_STRUCTS tag is set to YES, structs, classes, and unions +# with only public data fields or simple typedef fields will be shown inline in +# the documentation of the scope in which they are defined (i.e. file, +# namespace, or group documentation), provided this scope is documented. If set +# to NO, structs, classes, and unions are shown on a separate page (for HTML and +# Man pages) or section (for LaTeX and RTF). +# The default value is: NO. + +INLINE_SIMPLE_STRUCTS = NO + +# When TYPEDEF_HIDES_STRUCT tag is enabled, a typedef of a struct, union, or +# enum is documented as struct, union, or enum with the name of the typedef. So +# typedef struct TypeS {} TypeT, will appear in the documentation as a struct +# with name TypeT. When disabled the typedef will appear as a member of a file, +# namespace, or class. And the struct will be named TypeS. This can typically be +# useful for C code in case the coding convention dictates that all compound +# types are typedef'ed and only the typedef is referenced, never the tag name. +# The default value is: NO. + +TYPEDEF_HIDES_STRUCT = NO + +# The size of the symbol lookup cache can be set using LOOKUP_CACHE_SIZE. This +# cache is used to resolve symbols given their name and scope. Since this can be +# an expensive process and often the same symbol appears multiple times in the +# code, doxygen keeps a cache of pre-resolved symbols. If the cache is too small +# doxygen will become slower. If the cache is too large, memory is wasted. The +# cache size is given by this formula: 2^(16+LOOKUP_CACHE_SIZE). The valid range +# is 0..9, the default is 0, corresponding to a cache size of 2^16=65536 +# symbols. At the end of a run doxygen will report the cache usage and suggest +# the optimal cache size from a speed point of view. +# Minimum value: 0, maximum value: 9, default value: 0. + +LOOKUP_CACHE_SIZE = 0 + +#--------------------------------------------------------------------------- +# Build related configuration options +#--------------------------------------------------------------------------- + +# If the EXTRACT_ALL tag is set to YES, doxygen will assume all entities in +# documentation are documented, even if no documentation was available. Private +# class members and static file members will be hidden unless the +# EXTRACT_PRIVATE respectively EXTRACT_STATIC tags are set to YES. +# Note: This will also disable the warnings about undocumented members that are +# normally produced when WARNINGS is set to YES. +# The default value is: NO. + +EXTRACT_ALL = NO + +# If the EXTRACT_PRIVATE tag is set to YES, all private members of a class will +# be included in the documentation. +# The default value is: NO. + +EXTRACT_PRIVATE = NO + +# If the EXTRACT_PACKAGE tag is set to YES, all members with package or internal +# scope will be included in the documentation. +# The default value is: NO. + +EXTRACT_PACKAGE = NO + +# If the EXTRACT_STATIC tag is set to YES, all static members of a file will be +# included in the documentation. +# The default value is: NO. + +EXTRACT_STATIC = NO + +# If the EXTRACT_LOCAL_CLASSES tag is set to YES, classes (and structs) defined +# locally in source files will be included in the documentation. If set to NO, +# only classes defined in header files are included. Does not have any effect +# for Java sources. +# The default value is: YES. + +EXTRACT_LOCAL_CLASSES = YES + +# This flag is only useful for Objective-C code. If set to YES, local methods, +# which are defined in the implementation section but not in the interface are +# included in the documentation. If set to NO, only methods in the interface are +# included. +# The default value is: NO. + +EXTRACT_LOCAL_METHODS = NO + +# If this flag is set to YES, the members of anonymous namespaces will be +# extracted and appear in the documentation as a namespace called +# 'anonymous_namespace{file}', where file will be replaced with the base name of +# the file that contains the anonymous namespace. By default anonymous namespace +# are hidden. +# The default value is: NO. + +EXTRACT_ANON_NSPACES = NO + +# If the HIDE_UNDOC_MEMBERS tag is set to YES, doxygen will hide all +# undocumented members inside documented classes or files. If set to NO these +# members will be included in the various overviews, but no documentation +# section is generated. This option has no effect if EXTRACT_ALL is enabled. +# The default value is: NO. + +HIDE_UNDOC_MEMBERS = NO + +# If the HIDE_UNDOC_CLASSES tag is set to YES, doxygen will hide all +# undocumented classes that are normally visible in the class hierarchy. If set +# to NO, these classes will be included in the various overviews. This option +# has no effect if EXTRACT_ALL is enabled. +# The default value is: NO. + +HIDE_UNDOC_CLASSES = NO + +# If the HIDE_FRIEND_COMPOUNDS tag is set to YES, doxygen will hide all friend +# (class|struct|union) declarations. If set to NO, these declarations will be +# included in the documentation. +# The default value is: NO. + +HIDE_FRIEND_COMPOUNDS = NO + +# If the HIDE_IN_BODY_DOCS tag is set to YES, doxygen will hide any +# documentation blocks found inside the body of a function. If set to NO, these +# blocks will be appended to the function's detailed documentation block. +# The default value is: NO. + +HIDE_IN_BODY_DOCS = NO + +# The INTERNAL_DOCS tag determines if documentation that is typed after a +# \internal command is included. If the tag is set to NO then the documentation +# will be excluded. Set it to YES to include the internal documentation. +# The default value is: NO. + +INTERNAL_DOCS = NO + +# If the CASE_SENSE_NAMES tag is set to NO then doxygen will only generate file +# names in lower-case letters. If set to YES, upper-case letters are also +# allowed. This is useful if you have classes or files whose names only differ +# in case and if your file system supports case sensitive file names. Windows +# and Mac users are advised to set this option to NO. +# The default value is: system dependent. + +CASE_SENSE_NAMES = NO + +# If the HIDE_SCOPE_NAMES tag is set to NO then doxygen will show members with +# their full class and namespace scopes in the documentation. If set to YES, the +# scope will be hidden. +# The default value is: NO. + +HIDE_SCOPE_NAMES = NO + +# If the HIDE_COMPOUND_REFERENCE tag is set to NO (default) then doxygen will +# append additional text to a page's title, such as Class Reference. If set to +# YES the compound reference will be hidden. +# The default value is: NO. + +HIDE_COMPOUND_REFERENCE= NO + +# If the SHOW_INCLUDE_FILES tag is set to YES then doxygen will put a list of +# the files that are included by a file in the documentation of that file. +# The default value is: YES. + +SHOW_INCLUDE_FILES = YES + +# If the SHOW_GROUPED_MEMB_INC tag is set to YES then Doxygen will add for each +# grouped member an include statement to the documentation, telling the reader +# which file to include in order to use the member. +# The default value is: NO. + +SHOW_GROUPED_MEMB_INC = NO + +# If the FORCE_LOCAL_INCLUDES tag is set to YES then doxygen will list include +# files with double quotes in the documentation rather than with sharp brackets. +# The default value is: NO. + +FORCE_LOCAL_INCLUDES = NO + +# If the INLINE_INFO tag is set to YES then a tag [inline] is inserted in the +# documentation for inline members. +# The default value is: YES. + +INLINE_INFO = YES + +# If the SORT_MEMBER_DOCS tag is set to YES then doxygen will sort the +# (detailed) documentation of file and class members alphabetically by member +# name. If set to NO, the members will appear in declaration order. +# The default value is: YES. + +SORT_MEMBER_DOCS = YES + +# If the SORT_BRIEF_DOCS tag is set to YES then doxygen will sort the brief +# descriptions of file, namespace and class members alphabetically by member +# name. If set to NO, the members will appear in declaration order. Note that +# this will also influence the order of the classes in the class list. +# The default value is: NO. + +SORT_BRIEF_DOCS = NO + +# If the SORT_MEMBERS_CTORS_1ST tag is set to YES then doxygen will sort the +# (brief and detailed) documentation of class members so that constructors and +# destructors are listed first. If set to NO the constructors will appear in the +# respective orders defined by SORT_BRIEF_DOCS and SORT_MEMBER_DOCS. +# Note: If SORT_BRIEF_DOCS is set to NO this option is ignored for sorting brief +# member documentation. +# Note: If SORT_MEMBER_DOCS is set to NO this option is ignored for sorting +# detailed member documentation. +# The default value is: NO. + +SORT_MEMBERS_CTORS_1ST = NO + +# If the SORT_GROUP_NAMES tag is set to YES then doxygen will sort the hierarchy +# of group names into alphabetical order. If set to NO the group names will +# appear in their defined order. +# The default value is: NO. + +SORT_GROUP_NAMES = NO + +# If the SORT_BY_SCOPE_NAME tag is set to YES, the class list will be sorted by +# fully-qualified names, including namespaces. If set to NO, the class list will +# be sorted only by class name, not including the namespace part. +# Note: This option is not very useful if HIDE_SCOPE_NAMES is set to YES. +# Note: This option applies only to the class list, not to the alphabetical +# list. +# The default value is: NO. + +SORT_BY_SCOPE_NAME = NO + +# If the STRICT_PROTO_MATCHING option is enabled and doxygen fails to do proper +# type resolution of all parameters of a function it will reject a match between +# the prototype and the implementation of a member function even if there is +# only one candidate or it is obvious which candidate to choose by doing a +# simple string match. By disabling STRICT_PROTO_MATCHING doxygen will still +# accept a match between prototype and implementation in such cases. +# The default value is: NO. + +STRICT_PROTO_MATCHING = NO + +# The GENERATE_TODOLIST tag can be used to enable (YES) or disable (NO) the todo +# list. This list is created by putting \todo commands in the documentation. +# The default value is: YES. + +GENERATE_TODOLIST = YES + +# The GENERATE_TESTLIST tag can be used to enable (YES) or disable (NO) the test +# list. This list is created by putting \test commands in the documentation. +# The default value is: YES. + +GENERATE_TESTLIST = YES + +# The GENERATE_BUGLIST tag can be used to enable (YES) or disable (NO) the bug +# list. This list is created by putting \bug commands in the documentation. +# The default value is: YES. + +GENERATE_BUGLIST = YES + +# The GENERATE_DEPRECATEDLIST tag can be used to enable (YES) or disable (NO) +# the deprecated list. This list is created by putting \deprecated commands in +# the documentation. +# The default value is: YES. + +GENERATE_DEPRECATEDLIST= YES + +# The ENABLED_SECTIONS tag can be used to enable conditional documentation +# sections, marked by \if ... \endif and \cond +# ... \endcond blocks. + +ENABLED_SECTIONS = + +# The MAX_INITIALIZER_LINES tag determines the maximum number of lines that the +# initial value of a variable or macro / define can have for it to appear in the +# documentation. If the initializer consists of more lines than specified here +# it will be hidden. Use a value of 0 to hide initializers completely. The +# appearance of the value of individual variables and macros / defines can be +# controlled using \showinitializer or \hideinitializer command in the +# documentation regardless of this setting. +# Minimum value: 0, maximum value: 10000, default value: 30. + +MAX_INITIALIZER_LINES = 30 + +# Set the SHOW_USED_FILES tag to NO to disable the list of files generated at +# the bottom of the documentation of classes and structs. If set to YES, the +# list will mention the files that were used to generate the documentation. +# The default value is: YES. + +SHOW_USED_FILES = YES + +# Set the SHOW_FILES tag to NO to disable the generation of the Files page. This +# will remove the Files entry from the Quick Index and from the Folder Tree View +# (if specified). +# The default value is: YES. + +SHOW_FILES = YES + +# Set the SHOW_NAMESPACES tag to NO to disable the generation of the Namespaces +# page. This will remove the Namespaces entry from the Quick Index and from the +# Folder Tree View (if specified). +# The default value is: YES. + +SHOW_NAMESPACES = YES + +# The FILE_VERSION_FILTER tag can be used to specify a program or script that +# doxygen should invoke to get the current version for each file (typically from +# the version control system). Doxygen will invoke the program by executing (via +# popen()) the command command input-file, where command is the value of the +# FILE_VERSION_FILTER tag, and input-file is the name of an input file provided +# by doxygen. Whatever the program writes to standard output is used as the file +# version. For an example see the documentation. + +FILE_VERSION_FILTER = + +# The LAYOUT_FILE tag can be used to specify a layout file which will be parsed +# by doxygen. The layout file controls the global structure of the generated +# output files in an output format independent way. To create the layout file +# that represents doxygen's defaults, run doxygen with the -l option. You can +# optionally specify a file name after the option, if omitted DoxygenLayout.xml +# will be used as the name of the layout file. +# +# Note that if you run doxygen from a directory containing a file called +# DoxygenLayout.xml, doxygen will parse it automatically even if the LAYOUT_FILE +# tag is left empty. + +LAYOUT_FILE = + +# The CITE_BIB_FILES tag can be used to specify one or more bib files containing +# the reference definitions. This must be a list of .bib files. The .bib +# extension is automatically appended if omitted. This requires the bibtex tool +# to be installed. See also https://en.wikipedia.org/wiki/BibTeX for more info. +# For LaTeX the style of the bibliography can be controlled using +# LATEX_BIB_STYLE. To use this feature you need bibtex and perl available in the +# search path. See also \cite for info how to create references. + +CITE_BIB_FILES = + +#--------------------------------------------------------------------------- +# Configuration options related to warning and progress messages +#--------------------------------------------------------------------------- + +# The QUIET tag can be used to turn on/off the messages that are generated to +# standard output by doxygen. If QUIET is set to YES this implies that the +# messages are off. +# The default value is: NO. + +QUIET = NO + +# The WARNINGS tag can be used to turn on/off the warning messages that are +# generated to standard error (stderr) by doxygen. If WARNINGS is set to YES +# this implies that the warnings are on. +# +# Tip: Turn warnings on while writing the documentation. +# The default value is: YES. + +WARNINGS = YES + +# If the WARN_IF_UNDOCUMENTED tag is set to YES then doxygen will generate +# warnings for undocumented members. If EXTRACT_ALL is set to YES then this flag +# will automatically be disabled. +# The default value is: YES. + +WARN_IF_UNDOCUMENTED = YES + +# If the WARN_IF_DOC_ERROR tag is set to YES, doxygen will generate warnings for +# potential errors in the documentation, such as not documenting some parameters +# in a documented function, or documenting parameters that don't exist or using +# markup commands wrongly. +# The default value is: YES. + +WARN_IF_DOC_ERROR = YES + +# This WARN_NO_PARAMDOC option can be enabled to get warnings for functions that +# are documented, but have no documentation for their parameters or return +# value. If set to NO, doxygen will only warn about wrong or incomplete +# parameter documentation, but not about the absence of documentation. If +# EXTRACT_ALL is set to YES then this flag will automatically be disabled. +# The default value is: NO. + +WARN_NO_PARAMDOC = NO + +# If the WARN_AS_ERROR tag is set to YES then doxygen will immediately stop when +# a warning is encountered. +# The default value is: NO. + +WARN_AS_ERROR = NO + +# The WARN_FORMAT tag determines the format of the warning messages that doxygen +# can produce. The string should contain the $file, $line, and $text tags, which +# will be replaced by the file and line number from which the warning originated +# and the warning text. Optionally the format may contain $version, which will +# be replaced by the version of the file (if it could be obtained via +# FILE_VERSION_FILTER) +# The default value is: $file:$line: $text. + +WARN_FORMAT = "$file:$line: $text" + +# The WARN_LOGFILE tag can be used to specify a file to which warning and error +# messages should be written. If left blank the output is written to standard +# error (stderr). + +WARN_LOGFILE = + +#--------------------------------------------------------------------------- +# Configuration options related to the input files +#--------------------------------------------------------------------------- + +# The INPUT tag is used to specify the files and/or directories that contain +# documented source files. You may enter file names like myfile.cpp or +# directories like /usr/src/myproject. Separate the files or directories with +# spaces. See also FILE_PATTERNS and EXTENSION_MAPPING +# Note: If this tag is empty the current directory is searched. + +INPUT = + +# This tag can be used to specify the character encoding of the source files +# that doxygen parses. Internally doxygen uses the UTF-8 encoding. Doxygen uses +# libiconv (or the iconv built into libc) for the transcoding. See the libiconv +# documentation (see: https://www.gnu.org/software/libiconv/) for the list of +# possible encodings. +# The default value is: UTF-8. + +INPUT_ENCODING = UTF-8 + +# If the value of the INPUT tag contains directories, you can use the +# FILE_PATTERNS tag to specify one or more wildcard patterns (like *.cpp and +# *.h) to filter out the source-files in the directories. +# +# Note that for custom extensions or not directly supported extensions you also +# need to set EXTENSION_MAPPING for the extension otherwise the files are not +# read by doxygen. +# +# If left blank the following patterns are tested:*.c, *.cc, *.cxx, *.cpp, +# *.c++, *.java, *.ii, *.ixx, *.ipp, *.i++, *.inl, *.idl, *.ddl, *.odl, *.h, +# *.hh, *.hxx, *.hpp, *.h++, *.cs, *.d, *.php, *.php4, *.php5, *.phtml, *.inc, +# *.m, *.markdown, *.md, *.mm, *.dox, *.py, *.pyw, *.f90, *.f95, *.f03, *.f08, +# *.f, *.for, *.tcl, *.vhd, *.vhdl, *.ucf, *.qsf and *.ice. + +FILE_PATTERNS = *.md \ + +# The RECURSIVE tag can be used to specify whether or not subdirectories should +# be searched for input files as well. +# The default value is: NO. + +RECURSIVE = NO + +# The EXCLUDE tag can be used to specify files and/or directories that should be +# excluded from the INPUT source files. This way you can easily exclude a +# subdirectory from a directory tree whose root is specified with the INPUT tag. +# +# Note that relative paths are relative to the directory from which doxygen is +# run. + +EXCLUDE = + +# The EXCLUDE_SYMLINKS tag can be used to select whether or not files or +# directories that are symbolic links (a Unix file system feature) are excluded +# from the input. +# The default value is: NO. + +EXCLUDE_SYMLINKS = NO + +# If the value of the INPUT tag contains directories, you can use the +# EXCLUDE_PATTERNS tag to specify one or more wildcard patterns to exclude +# certain files from those directories. +# +# Note that the wildcards are matched against the file with absolute path, so to +# exclude all test directories for example use the pattern */test/* + +EXCLUDE_PATTERNS = + +# The EXCLUDE_SYMBOLS tag can be used to specify one or more symbol names +# (namespaces, classes, functions, etc.) that should be excluded from the +# output. The symbol name can be a fully qualified name, a word, or if the +# wildcard * is used, a substring. Examples: ANamespace, AClass, +# AClass::ANamespace, ANamespace::*Test +# +# Note that the wildcards are matched against the file with absolute path, so to +# exclude all test directories use the pattern */test/* + +EXCLUDE_SYMBOLS = + +# The EXAMPLE_PATH tag can be used to specify one or more files or directories +# that contain example code fragments that are included (see the \include +# command). + +EXAMPLE_PATH = + +# If the value of the EXAMPLE_PATH tag contains directories, you can use the +# EXAMPLE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp and +# *.h) to filter out the source-files in the directories. If left blank all +# files are included. + +EXAMPLE_PATTERNS = * + +# If the EXAMPLE_RECURSIVE tag is set to YES then subdirectories will be +# searched for input files to be used with the \include or \dontinclude commands +# irrespective of the value of the RECURSIVE tag. +# The default value is: NO. + +EXAMPLE_RECURSIVE = NO + +# The IMAGE_PATH tag can be used to specify one or more files or directories +# that contain images that are to be included in the documentation (see the +# \image command). + +IMAGE_PATH = + +# The INPUT_FILTER tag can be used to specify a program that doxygen should +# invoke to filter for each input file. Doxygen will invoke the filter program +# by executing (via popen()) the command: +# +# +# +# where is the value of the INPUT_FILTER tag, and is the +# name of an input file. Doxygen will then use the output that the filter +# program writes to standard output. If FILTER_PATTERNS is specified, this tag +# will be ignored. +# +# Note that the filter must not add or remove lines; it is applied before the +# code is scanned, but not when the output code is generated. If lines are added +# or removed, the anchors will not be placed correctly. +# +# Note that for custom extensions or not directly supported extensions you also +# need to set EXTENSION_MAPPING for the extension otherwise the files are not +# properly processed by doxygen. + +INPUT_FILTER = + +# The FILTER_PATTERNS tag can be used to specify filters on a per file pattern +# basis. Doxygen will compare the file name with each pattern and apply the +# filter if there is a match. The filters are a list of the form: pattern=filter +# (like *.cpp=my_cpp_filter). See INPUT_FILTER for further information on how +# filters are used. If the FILTER_PATTERNS tag is empty or if none of the +# patterns match the file name, INPUT_FILTER is applied. +# +# Note that for custom extensions or not directly supported extensions you also +# need to set EXTENSION_MAPPING for the extension otherwise the files are not +# properly processed by doxygen. + +FILTER_PATTERNS = + +# If the FILTER_SOURCE_FILES tag is set to YES, the input filter (if set using +# INPUT_FILTER) will also be used to filter the input files that are used for +# producing the source files to browse (i.e. when SOURCE_BROWSER is set to YES). +# The default value is: NO. + +FILTER_SOURCE_FILES = NO + +# The FILTER_SOURCE_PATTERNS tag can be used to specify source filters per file +# pattern. A pattern will override the setting for FILTER_PATTERN (if any) and +# it is also possible to disable source filtering for a specific pattern using +# *.ext= (so without naming a filter). +# This tag requires that the tag FILTER_SOURCE_FILES is set to YES. + +FILTER_SOURCE_PATTERNS = + +# If the USE_MDFILE_AS_MAINPAGE tag refers to the name of a markdown file that +# is part of the input, its contents will be placed on the main page +# (index.html). This can be useful if you have a project on for instance GitHub +# and want to reuse the introduction page also for the doxygen output. + +USE_MDFILE_AS_MAINPAGE = + +#--------------------------------------------------------------------------- +# Configuration options related to source browsing +#--------------------------------------------------------------------------- + +# If the SOURCE_BROWSER tag is set to YES then a list of source files will be +# generated. Documented entities will be cross-referenced with these sources. +# +# Note: To get rid of all source code in the generated output, make sure that +# also VERBATIM_HEADERS is set to NO. +# The default value is: NO. + +SOURCE_BROWSER = NO + +# Setting the INLINE_SOURCES tag to YES will include the body of functions, +# classes and enums directly into the documentation. +# The default value is: NO. + +INLINE_SOURCES = NO + +# Setting the STRIP_CODE_COMMENTS tag to YES will instruct doxygen to hide any +# special comment blocks from generated source code fragments. Normal C, C++ and +# Fortran comments will always remain visible. +# The default value is: YES. + +STRIP_CODE_COMMENTS = YES + +# If the REFERENCED_BY_RELATION tag is set to YES then for each documented +# entity all documented functions referencing it will be listed. +# The default value is: NO. + +REFERENCED_BY_RELATION = NO + +# If the REFERENCES_RELATION tag is set to YES then for each documented function +# all documented entities called/used by that function will be listed. +# The default value is: NO. + +REFERENCES_RELATION = NO + +# If the REFERENCES_LINK_SOURCE tag is set to YES and SOURCE_BROWSER tag is set +# to YES then the hyperlinks from functions in REFERENCES_RELATION and +# REFERENCED_BY_RELATION lists will link to the source code. Otherwise they will +# link to the documentation. +# The default value is: YES. + +REFERENCES_LINK_SOURCE = YES + +# If SOURCE_TOOLTIPS is enabled (the default) then hovering a hyperlink in the +# source code will show a tooltip with additional information such as prototype, +# brief description and links to the definition and documentation. Since this +# will make the HTML file larger and loading of large files a bit slower, you +# can opt to disable this feature. +# The default value is: YES. +# This tag requires that the tag SOURCE_BROWSER is set to YES. + +SOURCE_TOOLTIPS = YES + +# If the USE_HTAGS tag is set to YES then the references to source code will +# point to the HTML generated by the htags(1) tool instead of doxygen built-in +# source browser. The htags tool is part of GNU's global source tagging system +# (see https://www.gnu.org/software/global/global.html). You will need version +# 4.8.6 or higher. +# +# To use it do the following: +# - Install the latest version of global +# - Enable SOURCE_BROWSER and USE_HTAGS in the configuration file +# - Make sure the INPUT points to the root of the source tree +# - Run doxygen as normal +# +# Doxygen will invoke htags (and that will in turn invoke gtags), so these +# tools must be available from the command line (i.e. in the search path). +# +# The result: instead of the source browser generated by doxygen, the links to +# source code will now point to the output of htags. +# The default value is: NO. +# This tag requires that the tag SOURCE_BROWSER is set to YES. + +USE_HTAGS = NO + +# If the VERBATIM_HEADERS tag is set the YES then doxygen will generate a +# verbatim copy of the header file for each class for which an include is +# specified. Set to NO to disable this. +# See also: Section \class. +# The default value is: YES. + +VERBATIM_HEADERS = YES + +#--------------------------------------------------------------------------- +# Configuration options related to the alphabetical class index +#--------------------------------------------------------------------------- + +# If the ALPHABETICAL_INDEX tag is set to YES, an alphabetical index of all +# compounds will be generated. Enable this if the project contains a lot of +# classes, structs, unions or interfaces. +# The default value is: YES. + +ALPHABETICAL_INDEX = YES + +# The COLS_IN_ALPHA_INDEX tag can be used to specify the number of columns in +# which the alphabetical index list will be split. +# Minimum value: 1, maximum value: 20, default value: 5. +# This tag requires that the tag ALPHABETICAL_INDEX is set to YES. + +COLS_IN_ALPHA_INDEX = 5 + +# In case all classes in a project start with a common prefix, all classes will +# be put under the same header in the alphabetical index. The IGNORE_PREFIX tag +# can be used to specify a prefix (or a list of prefixes) that should be ignored +# while generating the index headers. +# This tag requires that the tag ALPHABETICAL_INDEX is set to YES. + +IGNORE_PREFIX = + +#--------------------------------------------------------------------------- +# Configuration options related to the HTML output +#--------------------------------------------------------------------------- + +# If the GENERATE_HTML tag is set to YES, doxygen will generate HTML output +# The default value is: YES. + +GENERATE_HTML = YES + +# The HTML_OUTPUT tag is used to specify where the HTML docs will be put. If a +# relative path is entered the value of OUTPUT_DIRECTORY will be put in front of +# it. +# The default directory is: html. +# This tag requires that the tag GENERATE_HTML is set to YES. + +HTML_OUTPUT = html + +# The HTML_FILE_EXTENSION tag can be used to specify the file extension for each +# generated HTML page (for example: .htm, .php, .asp). +# The default value is: .html. +# This tag requires that the tag GENERATE_HTML is set to YES. + +HTML_FILE_EXTENSION = .html + +# The HTML_HEADER tag can be used to specify a user-defined HTML header file for +# each generated HTML page. If the tag is left blank doxygen will generate a +# standard header. +# +# To get valid HTML the header file that includes any scripts and style sheets +# that doxygen needs, which is dependent on the configuration options used (e.g. +# the setting GENERATE_TREEVIEW). It is highly recommended to start with a +# default header using +# doxygen -w html new_header.html new_footer.html new_stylesheet.css +# YourConfigFile +# and then modify the file new_header.html. See also section "Doxygen usage" +# for information on how to generate the default header that doxygen normally +# uses. +# Note: The header is subject to change so you typically have to regenerate the +# default header when upgrading to a newer version of doxygen. For a description +# of the possible markers and block names see the documentation. +# This tag requires that the tag GENERATE_HTML is set to YES. + +HTML_HEADER = + +# The HTML_FOOTER tag can be used to specify a user-defined HTML footer for each +# generated HTML page. If the tag is left blank doxygen will generate a standard +# footer. See HTML_HEADER for more information on how to generate a default +# footer and what special commands can be used inside the footer. See also +# section "Doxygen usage" for information on how to generate the default footer +# that doxygen normally uses. +# This tag requires that the tag GENERATE_HTML is set to YES. + +HTML_FOOTER = + +# The HTML_STYLESHEET tag can be used to specify a user-defined cascading style +# sheet that is used by each HTML page. It can be used to fine-tune the look of +# the HTML output. If left blank doxygen will generate a default style sheet. +# See also section "Doxygen usage" for information on how to generate the style +# sheet that doxygen normally uses. +# Note: It is recommended to use HTML_EXTRA_STYLESHEET instead of this tag, as +# it is more robust and this tag (HTML_STYLESHEET) will in the future become +# obsolete. +# This tag requires that the tag GENERATE_HTML is set to YES. + +HTML_STYLESHEET = + +# The HTML_EXTRA_STYLESHEET tag can be used to specify additional user-defined +# cascading style sheets that are included after the standard style sheets +# created by doxygen. Using this option one can overrule certain style aspects. +# This is preferred over using HTML_STYLESHEET since it does not replace the +# standard style sheet and is therefore more robust against future updates. +# Doxygen will copy the style sheet files to the output directory. +# Note: The order of the extra style sheet files is of importance (e.g. the last +# style sheet in the list overrules the setting of the previous ones in the +# list). For an example see the documentation. +# This tag requires that the tag GENERATE_HTML is set to YES. + +HTML_EXTRA_STYLESHEET = + +# The HTML_EXTRA_FILES tag can be used to specify one or more extra images or +# other source files which should be copied to the HTML output directory. Note +# that these files will be copied to the base HTML output directory. Use the +# $relpath^ marker in the HTML_HEADER and/or HTML_FOOTER files to load these +# files. In the HTML_STYLESHEET file, use the file name only. Also note that the +# files will be copied as-is; there are no commands or markers available. +# This tag requires that the tag GENERATE_HTML is set to YES. + +HTML_EXTRA_FILES = + +# The HTML_COLORSTYLE_HUE tag controls the color of the HTML output. Doxygen +# will adjust the colors in the style sheet and background images according to +# this color. Hue is specified as an angle on a colorwheel, see +# https://en.wikipedia.org/wiki/Hue for more information. For instance the value +# 0 represents red, 60 is yellow, 120 is green, 180 is cyan, 240 is blue, 300 +# purple, and 360 is red again. +# Minimum value: 0, maximum value: 359, default value: 220. +# This tag requires that the tag GENERATE_HTML is set to YES. + +HTML_COLORSTYLE_HUE = 220 + +# The HTML_COLORSTYLE_SAT tag controls the purity (or saturation) of the colors +# in the HTML output. For a value of 0 the output will use grayscales only. A +# value of 255 will produce the most vivid colors. +# Minimum value: 0, maximum value: 255, default value: 100. +# This tag requires that the tag GENERATE_HTML is set to YES. + +HTML_COLORSTYLE_SAT = 100 + +# The HTML_COLORSTYLE_GAMMA tag controls the gamma correction applied to the +# luminance component of the colors in the HTML output. Values below 100 +# gradually make the output lighter, whereas values above 100 make the output +# darker. The value divided by 100 is the actual gamma applied, so 80 represents +# a gamma of 0.8, The value 220 represents a gamma of 2.2, and 100 does not +# change the gamma. +# Minimum value: 40, maximum value: 240, default value: 80. +# This tag requires that the tag GENERATE_HTML is set to YES. + +HTML_COLORSTYLE_GAMMA = 80 + +# If the HTML_TIMESTAMP tag is set to YES then the footer of each generated HTML +# page will contain the date and time when the page was generated. Setting this +# to YES can help to show when doxygen was last run and thus if the +# documentation is up to date. +# The default value is: NO. +# This tag requires that the tag GENERATE_HTML is set to YES. + +HTML_TIMESTAMP = NO + +# If the HTML_DYNAMIC_MENUS tag is set to YES then the generated HTML +# documentation will contain a main index with vertical navigation menus that +# are dynamically created via Javascript. If disabled, the navigation index will +# consists of multiple levels of tabs that are statically embedded in every HTML +# page. Disable this option to support browsers that do not have Javascript, +# like the Qt help browser. +# The default value is: YES. +# This tag requires that the tag GENERATE_HTML is set to YES. + +HTML_DYNAMIC_MENUS = YES + +# If the HTML_DYNAMIC_SECTIONS tag is set to YES then the generated HTML +# documentation will contain sections that can be hidden and shown after the +# page has loaded. +# The default value is: NO. +# This tag requires that the tag GENERATE_HTML is set to YES. + +HTML_DYNAMIC_SECTIONS = NO + +# With HTML_INDEX_NUM_ENTRIES one can control the preferred number of entries +# shown in the various tree structured indices initially; the user can expand +# and collapse entries dynamically later on. Doxygen will expand the tree to +# such a level that at most the specified number of entries are visible (unless +# a fully collapsed tree already exceeds this amount). So setting the number of +# entries 1 will produce a full collapsed tree by default. 0 is a special value +# representing an infinite number of entries and will result in a full expanded +# tree by default. +# Minimum value: 0, maximum value: 9999, default value: 100. +# This tag requires that the tag GENERATE_HTML is set to YES. + +HTML_INDEX_NUM_ENTRIES = 100 + +# If the GENERATE_DOCSET tag is set to YES, additional index files will be +# generated that can be used as input for Apple's Xcode 3 integrated development +# environment (see: https://developer.apple.com/xcode/), introduced with OSX +# 10.5 (Leopard). To create a documentation set, doxygen will generate a +# Makefile in the HTML output directory. Running make will produce the docset in +# that directory and running make install will install the docset in +# ~/Library/Developer/Shared/Documentation/DocSets so that Xcode will find it at +# startup. See https://developer.apple.com/library/archive/featuredarticles/Doxy +# genXcode/_index.html for more information. +# The default value is: NO. +# This tag requires that the tag GENERATE_HTML is set to YES. + +GENERATE_DOCSET = NO + +# This tag determines the name of the docset feed. A documentation feed provides +# an umbrella under which multiple documentation sets from a single provider +# (such as a company or product suite) can be grouped. +# The default value is: Doxygen generated docs. +# This tag requires that the tag GENERATE_DOCSET is set to YES. + +DOCSET_FEEDNAME = "Doxygen generated docs" + +# This tag specifies a string that should uniquely identify the documentation +# set bundle. This should be a reverse domain-name style string, e.g. +# com.mycompany.MyDocSet. Doxygen will append .docset to the name. +# The default value is: org.doxygen.Project. +# This tag requires that the tag GENERATE_DOCSET is set to YES. + +DOCSET_BUNDLE_ID = org.doxygen.Project + +# The DOCSET_PUBLISHER_ID tag specifies a string that should uniquely identify +# the documentation publisher. This should be a reverse domain-name style +# string, e.g. com.mycompany.MyDocSet.documentation. +# The default value is: org.doxygen.Publisher. +# This tag requires that the tag GENERATE_DOCSET is set to YES. + +DOCSET_PUBLISHER_ID = org.doxygen.Publisher + +# The DOCSET_PUBLISHER_NAME tag identifies the documentation publisher. +# The default value is: Publisher. +# This tag requires that the tag GENERATE_DOCSET is set to YES. + +DOCSET_PUBLISHER_NAME = Publisher + +# If the GENERATE_HTMLHELP tag is set to YES then doxygen generates three +# additional HTML index files: index.hhp, index.hhc, and index.hhk. The +# index.hhp is a project file that can be read by Microsoft's HTML Help Workshop +# (see: https://www.microsoft.com/en-us/download/details.aspx?id=21138) on +# Windows. +# +# The HTML Help Workshop contains a compiler that can convert all HTML output +# generated by doxygen into a single compiled HTML file (.chm). Compiled HTML +# files are now used as the Windows 98 help format, and will replace the old +# Windows help format (.hlp) on all Windows platforms in the future. Compressed +# HTML files also contain an index, a table of contents, and you can search for +# words in the documentation. The HTML workshop also contains a viewer for +# compressed HTML files. +# The default value is: NO. +# This tag requires that the tag GENERATE_HTML is set to YES. + +GENERATE_HTMLHELP = NO + +# The CHM_FILE tag can be used to specify the file name of the resulting .chm +# file. You can add a path in front of the file if the result should not be +# written to the html output directory. +# This tag requires that the tag GENERATE_HTMLHELP is set to YES. + +CHM_FILE = + +# The HHC_LOCATION tag can be used to specify the location (absolute path +# including file name) of the HTML help compiler (hhc.exe). If non-empty, +# doxygen will try to run the HTML help compiler on the generated index.hhp. +# The file has to be specified with full path. +# This tag requires that the tag GENERATE_HTMLHELP is set to YES. + +HHC_LOCATION = + +# The GENERATE_CHI flag controls if a separate .chi index file is generated +# (YES) or that it should be included in the master .chm file (NO). +# The default value is: NO. +# This tag requires that the tag GENERATE_HTMLHELP is set to YES. + +GENERATE_CHI = NO + +# The CHM_INDEX_ENCODING is used to encode HtmlHelp index (hhk), content (hhc) +# and project file content. +# This tag requires that the tag GENERATE_HTMLHELP is set to YES. + +CHM_INDEX_ENCODING = + +# The BINARY_TOC flag controls whether a binary table of contents is generated +# (YES) or a normal table of contents (NO) in the .chm file. Furthermore it +# enables the Previous and Next buttons. +# The default value is: NO. +# This tag requires that the tag GENERATE_HTMLHELP is set to YES. + +BINARY_TOC = NO + +# The TOC_EXPAND flag can be set to YES to add extra items for group members to +# the table of contents of the HTML help documentation and to the tree view. +# The default value is: NO. +# This tag requires that the tag GENERATE_HTMLHELP is set to YES. + +TOC_EXPAND = NO + +# If the GENERATE_QHP tag is set to YES and both QHP_NAMESPACE and +# QHP_VIRTUAL_FOLDER are set, an additional index file will be generated that +# can be used as input for Qt's qhelpgenerator to generate a Qt Compressed Help +# (.qch) of the generated HTML documentation. +# The default value is: NO. +# This tag requires that the tag GENERATE_HTML is set to YES. + +GENERATE_QHP = NO + +# If the QHG_LOCATION tag is specified, the QCH_FILE tag can be used to specify +# the file name of the resulting .qch file. The path specified is relative to +# the HTML output folder. +# This tag requires that the tag GENERATE_QHP is set to YES. + +QCH_FILE = + +# The QHP_NAMESPACE tag specifies the namespace to use when generating Qt Help +# Project output. For more information please see Qt Help Project / Namespace +# (see: http://doc.qt.io/archives/qt-4.8/qthelpproject.html#namespace). +# The default value is: org.doxygen.Project. +# This tag requires that the tag GENERATE_QHP is set to YES. + +QHP_NAMESPACE = org.doxygen.Project + +# The QHP_VIRTUAL_FOLDER tag specifies the namespace to use when generating Qt +# Help Project output. For more information please see Qt Help Project / Virtual +# Folders (see: http://doc.qt.io/archives/qt-4.8/qthelpproject.html#virtual- +# folders). +# The default value is: doc. +# This tag requires that the tag GENERATE_QHP is set to YES. + +QHP_VIRTUAL_FOLDER = doc + +# If the QHP_CUST_FILTER_NAME tag is set, it specifies the name of a custom +# filter to add. For more information please see Qt Help Project / Custom +# Filters (see: http://doc.qt.io/archives/qt-4.8/qthelpproject.html#custom- +# filters). +# This tag requires that the tag GENERATE_QHP is set to YES. + +QHP_CUST_FILTER_NAME = + +# The QHP_CUST_FILTER_ATTRS tag specifies the list of the attributes of the +# custom filter to add. For more information please see Qt Help Project / Custom +# Filters (see: http://doc.qt.io/archives/qt-4.8/qthelpproject.html#custom- +# filters). +# This tag requires that the tag GENERATE_QHP is set to YES. + +QHP_CUST_FILTER_ATTRS = + +# The QHP_SECT_FILTER_ATTRS tag specifies the list of the attributes this +# project's filter section matches. Qt Help Project / Filter Attributes (see: +# http://doc.qt.io/archives/qt-4.8/qthelpproject.html#filter-attributes). +# This tag requires that the tag GENERATE_QHP is set to YES. + +QHP_SECT_FILTER_ATTRS = + +# The QHG_LOCATION tag can be used to specify the location of Qt's +# qhelpgenerator. If non-empty doxygen will try to run qhelpgenerator on the +# generated .qhp file. +# This tag requires that the tag GENERATE_QHP is set to YES. + +QHG_LOCATION = + +# If the GENERATE_ECLIPSEHELP tag is set to YES, additional index files will be +# generated, together with the HTML files, they form an Eclipse help plugin. To +# install this plugin and make it available under the help contents menu in +# Eclipse, the contents of the directory containing the HTML and XML files needs +# to be copied into the plugins directory of eclipse. The name of the directory +# within the plugins directory should be the same as the ECLIPSE_DOC_ID value. +# After copying Eclipse needs to be restarted before the help appears. +# The default value is: NO. +# This tag requires that the tag GENERATE_HTML is set to YES. + +GENERATE_ECLIPSEHELP = NO + +# A unique identifier for the Eclipse help plugin. When installing the plugin +# the directory name containing the HTML and XML files should also have this +# name. Each documentation set should have its own identifier. +# The default value is: org.doxygen.Project. +# This tag requires that the tag GENERATE_ECLIPSEHELP is set to YES. + +ECLIPSE_DOC_ID = org.doxygen.Project + +# If you want full control over the layout of the generated HTML pages it might +# be necessary to disable the index and replace it with your own. The +# DISABLE_INDEX tag can be used to turn on/off the condensed index (tabs) at top +# of each HTML page. A value of NO enables the index and the value YES disables +# it. Since the tabs in the index contain the same information as the navigation +# tree, you can set this option to YES if you also set GENERATE_TREEVIEW to YES. +# The default value is: NO. +# This tag requires that the tag GENERATE_HTML is set to YES. + +DISABLE_INDEX = NO + +# The GENERATE_TREEVIEW tag is used to specify whether a tree-like index +# structure should be generated to display hierarchical information. If the tag +# value is set to YES, a side panel will be generated containing a tree-like +# index structure (just like the one that is generated for HTML Help). For this +# to work a browser that supports JavaScript, DHTML, CSS and frames is required +# (i.e. any modern browser). Windows users are probably better off using the +# HTML help feature. Via custom style sheets (see HTML_EXTRA_STYLESHEET) one can +# further fine-tune the look of the index. As an example, the default style +# sheet generated by doxygen has an example that shows how to put an image at +# the root of the tree instead of the PROJECT_NAME. Since the tree basically has +# the same information as the tab index, you could consider setting +# DISABLE_INDEX to YES when enabling this option. +# The default value is: NO. +# This tag requires that the tag GENERATE_HTML is set to YES. + +GENERATE_TREEVIEW = NO + +# The ENUM_VALUES_PER_LINE tag can be used to set the number of enum values that +# doxygen will group on one line in the generated HTML documentation. +# +# Note that a value of 0 will completely suppress the enum values from appearing +# in the overview section. +# Minimum value: 0, maximum value: 20, default value: 4. +# This tag requires that the tag GENERATE_HTML is set to YES. + +ENUM_VALUES_PER_LINE = 4 + +# If the treeview is enabled (see GENERATE_TREEVIEW) then this tag can be used +# to set the initial width (in pixels) of the frame in which the tree is shown. +# Minimum value: 0, maximum value: 1500, default value: 250. +# This tag requires that the tag GENERATE_HTML is set to YES. + +TREEVIEW_WIDTH = 250 + +# If the EXT_LINKS_IN_WINDOW option is set to YES, doxygen will open links to +# external symbols imported via tag files in a separate window. +# The default value is: NO. +# This tag requires that the tag GENERATE_HTML is set to YES. + +EXT_LINKS_IN_WINDOW = NO + +# Use this tag to change the font size of LaTeX formulas included as images in +# the HTML documentation. When you change the font size after a successful +# doxygen run you need to manually remove any form_*.png images from the HTML +# output directory to force them to be regenerated. +# Minimum value: 8, maximum value: 50, default value: 10. +# This tag requires that the tag GENERATE_HTML is set to YES. + +FORMULA_FONTSIZE = 10 + +# Use the FORMULA_TRANSPARENT tag to determine whether or not the images +# generated for formulas are transparent PNGs. Transparent PNGs are not +# supported properly for IE 6.0, but are supported on all modern browsers. +# +# Note that when changing this option you need to delete any form_*.png files in +# the HTML output directory before the changes have effect. +# The default value is: YES. +# This tag requires that the tag GENERATE_HTML is set to YES. + +FORMULA_TRANSPARENT = YES + +# Enable the USE_MATHJAX option to render LaTeX formulas using MathJax (see +# https://www.mathjax.org) which uses client side Javascript for the rendering +# instead of using pre-rendered bitmaps. Use this if you do not have LaTeX +# installed or if you want to formulas look prettier in the HTML output. When +# enabled you may also need to install MathJax separately and configure the path +# to it using the MATHJAX_RELPATH option. +# The default value is: NO. +# This tag requires that the tag GENERATE_HTML is set to YES. + +USE_MATHJAX = NO + +# When MathJax is enabled you can set the default output format to be used for +# the MathJax output. See the MathJax site (see: +# http://docs.mathjax.org/en/latest/output.html) for more details. +# Possible values are: HTML-CSS (which is slower, but has the best +# compatibility), NativeMML (i.e. MathML) and SVG. +# The default value is: HTML-CSS. +# This tag requires that the tag USE_MATHJAX is set to YES. + +MATHJAX_FORMAT = HTML-CSS + +# When MathJax is enabled you need to specify the location relative to the HTML +# output directory using the MATHJAX_RELPATH option. The destination directory +# should contain the MathJax.js script. For instance, if the mathjax directory +# is located at the same level as the HTML output directory, then +# MATHJAX_RELPATH should be ../mathjax. The default value points to the MathJax +# Content Delivery Network so you can quickly see the result without installing +# MathJax. However, it is strongly recommended to install a local copy of +# MathJax from https://www.mathjax.org before deployment. +# The default value is: https://cdnjs.cloudflare.com/ajax/libs/mathjax/2.7.5/. +# This tag requires that the tag USE_MATHJAX is set to YES. + +MATHJAX_RELPATH = https://cdnjs.cloudflare.com/ajax/libs/mathjax/2.7.5/ + +# The MATHJAX_EXTENSIONS tag can be used to specify one or more MathJax +# extension names that should be enabled during MathJax rendering. For example +# MATHJAX_EXTENSIONS = TeX/AMSmath TeX/AMSsymbols +# This tag requires that the tag USE_MATHJAX is set to YES. + +MATHJAX_EXTENSIONS = + +# The MATHJAX_CODEFILE tag can be used to specify a file with javascript pieces +# of code that will be used on startup of the MathJax code. See the MathJax site +# (see: http://docs.mathjax.org/en/latest/output.html) for more details. For an +# example see the documentation. +# This tag requires that the tag USE_MATHJAX is set to YES. + +MATHJAX_CODEFILE = + +# When the SEARCHENGINE tag is enabled doxygen will generate a search box for +# the HTML output. The underlying search engine uses javascript and DHTML and +# should work on any modern browser. Note that when using HTML help +# (GENERATE_HTMLHELP), Qt help (GENERATE_QHP), or docsets (GENERATE_DOCSET) +# there is already a search function so this one should typically be disabled. +# For large projects the javascript based search engine can be slow, then +# enabling SERVER_BASED_SEARCH may provide a better solution. It is possible to +# search using the keyboard; to jump to the search box use + S +# (what the is depends on the OS and browser, but it is typically +# , /